blob: 597aeb0338f3526f1992365009f9c44c6aa6b36e [file] [log] [blame]
VERSION 5.8 ;
DIVIDERCHAR "/" ;
BUSBITCHARS "[]" ;
DESIGN Flash ;
UNITS DISTANCE MICRONS 1000 ;
DIEAREA ( 0 0 ) ( 300000 200000 ) ;
ROW ROW_0 unithd 5520 10880 N DO 628 BY 1 STEP 460 0 ;
ROW ROW_1 unithd 5520 13600 FS DO 628 BY 1 STEP 460 0 ;
ROW ROW_2 unithd 5520 16320 N DO 628 BY 1 STEP 460 0 ;
ROW ROW_3 unithd 5520 19040 FS DO 628 BY 1 STEP 460 0 ;
ROW ROW_4 unithd 5520 21760 N DO 628 BY 1 STEP 460 0 ;
ROW ROW_5 unithd 5520 24480 FS DO 628 BY 1 STEP 460 0 ;
ROW ROW_6 unithd 5520 27200 N DO 628 BY 1 STEP 460 0 ;
ROW ROW_7 unithd 5520 29920 FS DO 628 BY 1 STEP 460 0 ;
ROW ROW_8 unithd 5520 32640 N DO 628 BY 1 STEP 460 0 ;
ROW ROW_9 unithd 5520 35360 FS DO 628 BY 1 STEP 460 0 ;
ROW ROW_10 unithd 5520 38080 N DO 628 BY 1 STEP 460 0 ;
ROW ROW_11 unithd 5520 40800 FS DO 628 BY 1 STEP 460 0 ;
ROW ROW_12 unithd 5520 43520 N DO 628 BY 1 STEP 460 0 ;
ROW ROW_13 unithd 5520 46240 FS DO 628 BY 1 STEP 460 0 ;
ROW ROW_14 unithd 5520 48960 N DO 628 BY 1 STEP 460 0 ;
ROW ROW_15 unithd 5520 51680 FS DO 628 BY 1 STEP 460 0 ;
ROW ROW_16 unithd 5520 54400 N DO 628 BY 1 STEP 460 0 ;
ROW ROW_17 unithd 5520 57120 FS DO 628 BY 1 STEP 460 0 ;
ROW ROW_18 unithd 5520 59840 N DO 628 BY 1 STEP 460 0 ;
ROW ROW_19 unithd 5520 62560 FS DO 628 BY 1 STEP 460 0 ;
ROW ROW_20 unithd 5520 65280 N DO 628 BY 1 STEP 460 0 ;
ROW ROW_21 unithd 5520 68000 FS DO 628 BY 1 STEP 460 0 ;
ROW ROW_22 unithd 5520 70720 N DO 628 BY 1 STEP 460 0 ;
ROW ROW_23 unithd 5520 73440 FS DO 628 BY 1 STEP 460 0 ;
ROW ROW_24 unithd 5520 76160 N DO 628 BY 1 STEP 460 0 ;
ROW ROW_25 unithd 5520 78880 FS DO 628 BY 1 STEP 460 0 ;
ROW ROW_26 unithd 5520 81600 N DO 628 BY 1 STEP 460 0 ;
ROW ROW_27 unithd 5520 84320 FS DO 628 BY 1 STEP 460 0 ;
ROW ROW_28 unithd 5520 87040 N DO 628 BY 1 STEP 460 0 ;
ROW ROW_29 unithd 5520 89760 FS DO 628 BY 1 STEP 460 0 ;
ROW ROW_30 unithd 5520 92480 N DO 628 BY 1 STEP 460 0 ;
ROW ROW_31 unithd 5520 95200 FS DO 628 BY 1 STEP 460 0 ;
ROW ROW_32 unithd 5520 97920 N DO 628 BY 1 STEP 460 0 ;
ROW ROW_33 unithd 5520 100640 FS DO 628 BY 1 STEP 460 0 ;
ROW ROW_34 unithd 5520 103360 N DO 628 BY 1 STEP 460 0 ;
ROW ROW_35 unithd 5520 106080 FS DO 628 BY 1 STEP 460 0 ;
ROW ROW_36 unithd 5520 108800 N DO 628 BY 1 STEP 460 0 ;
ROW ROW_37 unithd 5520 111520 FS DO 628 BY 1 STEP 460 0 ;
ROW ROW_38 unithd 5520 114240 N DO 628 BY 1 STEP 460 0 ;
ROW ROW_39 unithd 5520 116960 FS DO 628 BY 1 STEP 460 0 ;
ROW ROW_40 unithd 5520 119680 N DO 628 BY 1 STEP 460 0 ;
ROW ROW_41 unithd 5520 122400 FS DO 628 BY 1 STEP 460 0 ;
ROW ROW_42 unithd 5520 125120 N DO 628 BY 1 STEP 460 0 ;
ROW ROW_43 unithd 5520 127840 FS DO 628 BY 1 STEP 460 0 ;
ROW ROW_44 unithd 5520 130560 N DO 628 BY 1 STEP 460 0 ;
ROW ROW_45 unithd 5520 133280 FS DO 628 BY 1 STEP 460 0 ;
ROW ROW_46 unithd 5520 136000 N DO 628 BY 1 STEP 460 0 ;
ROW ROW_47 unithd 5520 138720 FS DO 628 BY 1 STEP 460 0 ;
ROW ROW_48 unithd 5520 141440 N DO 628 BY 1 STEP 460 0 ;
ROW ROW_49 unithd 5520 144160 FS DO 628 BY 1 STEP 460 0 ;
ROW ROW_50 unithd 5520 146880 N DO 628 BY 1 STEP 460 0 ;
ROW ROW_51 unithd 5520 149600 FS DO 628 BY 1 STEP 460 0 ;
ROW ROW_52 unithd 5520 152320 N DO 628 BY 1 STEP 460 0 ;
ROW ROW_53 unithd 5520 155040 FS DO 628 BY 1 STEP 460 0 ;
ROW ROW_54 unithd 5520 157760 N DO 628 BY 1 STEP 460 0 ;
ROW ROW_55 unithd 5520 160480 FS DO 628 BY 1 STEP 460 0 ;
ROW ROW_56 unithd 5520 163200 N DO 628 BY 1 STEP 460 0 ;
ROW ROW_57 unithd 5520 165920 FS DO 628 BY 1 STEP 460 0 ;
ROW ROW_58 unithd 5520 168640 N DO 628 BY 1 STEP 460 0 ;
ROW ROW_59 unithd 5520 171360 FS DO 628 BY 1 STEP 460 0 ;
ROW ROW_60 unithd 5520 174080 N DO 628 BY 1 STEP 460 0 ;
ROW ROW_61 unithd 5520 176800 FS DO 628 BY 1 STEP 460 0 ;
ROW ROW_62 unithd 5520 179520 N DO 628 BY 1 STEP 460 0 ;
ROW ROW_63 unithd 5520 182240 FS DO 628 BY 1 STEP 460 0 ;
ROW ROW_64 unithd 5520 184960 N DO 628 BY 1 STEP 460 0 ;
TRACKS X 230 DO 652 STEP 460 LAYER li1 ;
TRACKS Y 170 DO 588 STEP 340 LAYER li1 ;
TRACKS X 170 DO 882 STEP 340 LAYER met1 ;
TRACKS Y 170 DO 588 STEP 340 LAYER met1 ;
TRACKS X 230 DO 652 STEP 460 LAYER met2 ;
TRACKS Y 230 DO 435 STEP 460 LAYER met2 ;
TRACKS X 340 DO 441 STEP 680 LAYER met3 ;
TRACKS Y 340 DO 294 STEP 680 LAYER met3 ;
TRACKS X 460 DO 326 STEP 920 LAYER met4 ;
TRACKS Y 460 DO 217 STEP 920 LAYER met4 ;
TRACKS X 1700 DO 88 STEP 3400 LAYER met5 ;
TRACKS Y 1700 DO 59 STEP 3400 LAYER met5 ;
GCELLGRID X 0 DO 43 STEP 6900 ;
GCELLGRID Y 0 DO 28 STEP 6900 ;
VIAS 3 ;
- via2_3_1600_480_1_5_320_320 + VIARULE M1M2_PR + CUTSIZE 150 150 + LAYERS met1 via met2 + CUTSPACING 170 170 + ENCLOSURE 85 165 55 85 + ROWCOL 1 5 ;
- via3_4_1600_480_1_4_400_400 + VIARULE M2M3_PR + CUTSIZE 200 200 + LAYERS met2 via2 met3 + CUTSPACING 200 200 + ENCLOSURE 40 85 65 65 + ROWCOL 1 4 ;
- via4_5_1600_480_1_4_400_400 + VIARULE M3M4_PR + CUTSIZE 200 200 + LAYERS met3 via3 met4 + CUTSPACING 200 200 + ENCLOSURE 90 60 100 140 + ROWCOL 1 4 ;
END VIAS
COMPONENTS 5562 ;
- FILLER_0_101 sky130_fd_sc_hd__decap_4 + SOURCE DIST + PLACED ( 51980 10880 ) N ;
- FILLER_0_108 sky130_fd_sc_hd__decap_4 + SOURCE DIST + PLACED ( 55200 10880 ) N ;
- FILLER_0_113 sky130_fd_sc_hd__decap_4 + SOURCE DIST + PLACED ( 57500 10880 ) N ;
- FILLER_0_117 sky130_fd_sc_hd__fill_1 + SOURCE DIST + PLACED ( 59340 10880 ) N ;
- FILLER_0_122 sky130_fd_sc_hd__decap_4 + SOURCE DIST + PLACED ( 61640 10880 ) N ;
- FILLER_0_129 sky130_fd_sc_hd__decap_4 + SOURCE DIST + PLACED ( 64860 10880 ) N ;
- FILLER_0_136 sky130_fd_sc_hd__decap_4 + SOURCE DIST + PLACED ( 68080 10880 ) N ;
- FILLER_0_141 sky130_fd_sc_hd__fill_2 + SOURCE DIST + PLACED ( 70380 10880 ) N ;
- FILLER_0_146 sky130_fd_sc_hd__decap_4 + SOURCE DIST + PLACED ( 72680 10880 ) N ;
- FILLER_0_15 sky130_fd_sc_hd__decap_4 + SOURCE DIST + PLACED ( 12420 10880 ) N ;
- FILLER_0_154 sky130_fd_sc_hd__decap_6 + SOURCE DIST + PLACED ( 76360 10880 ) N ;
- FILLER_0_160 sky130_fd_sc_hd__fill_1 + SOURCE DIST + PLACED ( 79120 10880 ) N ;
- FILLER_0_164 sky130_fd_sc_hd__decap_4 + SOURCE DIST + PLACED ( 80960 10880 ) N ;
- FILLER_0_172 sky130_fd_sc_hd__decap_4 + SOURCE DIST + PLACED ( 84640 10880 ) N ;
- FILLER_0_180 sky130_fd_sc_hd__decap_8 + SOURCE DIST + PLACED ( 88320 10880 ) N ;
- FILLER_0_188 sky130_fd_sc_hd__fill_1 + SOURCE DIST + PLACED ( 92000 10880 ) N ;
- FILLER_0_192 sky130_fd_sc_hd__decap_4 + SOURCE DIST + PLACED ( 93840 10880 ) N ;
- FILLER_0_197 sky130_fd_sc_hd__decap_6 + SOURCE DIST + PLACED ( 96140 10880 ) N ;
- FILLER_0_207 sky130_fd_sc_hd__decap_8 + SOURCE DIST + PLACED ( 100740 10880 ) N ;
- FILLER_0_215 sky130_fd_sc_hd__fill_2 + SOURCE DIST + PLACED ( 104420 10880 ) N ;
- FILLER_0_220 sky130_fd_sc_hd__decap_4 + SOURCE DIST + PLACED ( 106720 10880 ) N ;
- FILLER_0_225 sky130_fd_sc_hd__decap_4 + SOURCE DIST + PLACED ( 109020 10880 ) N ;
- FILLER_0_23 sky130_fd_sc_hd__decap_4 + SOURCE DIST + PLACED ( 16100 10880 ) N ;
- FILLER_0_233 sky130_fd_sc_hd__decap_4 + SOURCE DIST + PLACED ( 112700 10880 ) N ;
- FILLER_0_240 sky130_fd_sc_hd__decap_4 + SOURCE DIST + PLACED ( 115920 10880 ) N ;
- FILLER_0_244 sky130_fd_sc_hd__fill_1 + SOURCE DIST + PLACED ( 117760 10880 ) N ;
- FILLER_0_248 sky130_fd_sc_hd__decap_4 + SOURCE DIST + PLACED ( 119600 10880 ) N ;
- FILLER_0_253 sky130_fd_sc_hd__decap_3 + SOURCE DIST + PLACED ( 121900 10880 ) N ;
- FILLER_0_260 sky130_fd_sc_hd__decap_6 + SOURCE DIST + PLACED ( 125120 10880 ) N ;
- FILLER_0_269 sky130_fd_sc_hd__decap_4 + SOURCE DIST + PLACED ( 129260 10880 ) N ;
- FILLER_0_27 sky130_fd_sc_hd__fill_1 + SOURCE DIST + PLACED ( 17940 10880 ) N ;
- FILLER_0_276 sky130_fd_sc_hd__decap_4 + SOURCE DIST + PLACED ( 132480 10880 ) N ;
- FILLER_0_281 sky130_fd_sc_hd__decap_6 + SOURCE DIST + PLACED ( 134780 10880 ) N ;
- FILLER_0_297 sky130_fd_sc_hd__decap_4 + SOURCE DIST + PLACED ( 142140 10880 ) N ;
- FILLER_0_304 sky130_fd_sc_hd__decap_4 + SOURCE DIST + PLACED ( 145360 10880 ) N ;
- FILLER_0_312 sky130_fd_sc_hd__decap_6 + SOURCE DIST + PLACED ( 149040 10880 ) N ;
- FILLER_0_318 sky130_fd_sc_hd__fill_1 + SOURCE DIST + PLACED ( 151800 10880 ) N ;
- FILLER_0_329 sky130_fd_sc_hd__decap_6 + SOURCE DIST + PLACED ( 156860 10880 ) N ;
- FILLER_0_33 sky130_fd_sc_hd__decap_8 + SOURCE DIST + PLACED ( 20700 10880 ) N ;
- FILLER_0_335 sky130_fd_sc_hd__fill_1 + SOURCE DIST + PLACED ( 159620 10880 ) N ;
- FILLER_0_347 sky130_fd_sc_hd__decap_8 + SOURCE DIST + PLACED ( 165140 10880 ) N ;
- FILLER_0_355 sky130_fd_sc_hd__fill_1 + SOURCE DIST + PLACED ( 168820 10880 ) N ;
- FILLER_0_359 sky130_fd_sc_hd__decap_4 + SOURCE DIST + PLACED ( 170660 10880 ) N ;
- FILLER_0_363 sky130_fd_sc_hd__fill_1 + SOURCE DIST + PLACED ( 172500 10880 ) N ;
- FILLER_0_365 sky130_fd_sc_hd__decap_4 + SOURCE DIST + PLACED ( 173420 10880 ) N ;
- FILLER_0_373 sky130_fd_sc_hd__decap_8 + SOURCE DIST + PLACED ( 177100 10880 ) N ;
- FILLER_0_381 sky130_fd_sc_hd__fill_2 + SOURCE DIST + PLACED ( 180780 10880 ) N ;
- FILLER_0_387 sky130_fd_sc_hd__decap_4 + SOURCE DIST + PLACED ( 183540 10880 ) N ;
- FILLER_0_391 sky130_fd_sc_hd__fill_1 + SOURCE DIST + PLACED ( 185380 10880 ) N ;
- FILLER_0_393 sky130_fd_sc_hd__decap_6 + SOURCE DIST + PLACED ( 186300 10880 ) N ;
- FILLER_0_403 sky130_fd_sc_hd__decap_4 + SOURCE DIST + PLACED ( 190900 10880 ) N ;
- FILLER_0_41 sky130_fd_sc_hd__fill_1 + SOURCE DIST + PLACED ( 24380 10880 ) N ;
- FILLER_0_410 sky130_fd_sc_hd__decap_8 + SOURCE DIST + PLACED ( 194120 10880 ) N ;
- FILLER_0_418 sky130_fd_sc_hd__fill_2 + SOURCE DIST + PLACED ( 197800 10880 ) N ;
- FILLER_0_425 sky130_fd_sc_hd__decap_4 + SOURCE DIST + PLACED ( 201020 10880 ) N ;
- FILLER_0_429 sky130_fd_sc_hd__fill_1 + SOURCE DIST + PLACED ( 202860 10880 ) N ;
- FILLER_0_434 sky130_fd_sc_hd__decap_4 + SOURCE DIST + PLACED ( 205160 10880 ) N ;
- FILLER_0_441 sky130_fd_sc_hd__decap_6 + SOURCE DIST + PLACED ( 208380 10880 ) N ;
- FILLER_0_447 sky130_fd_sc_hd__fill_1 + SOURCE DIST + PLACED ( 211140 10880 ) N ;
- FILLER_0_45 sky130_fd_sc_hd__decap_4 + SOURCE DIST + PLACED ( 26220 10880 ) N ;
- FILLER_0_453 sky130_fd_sc_hd__decap_8 + SOURCE DIST + PLACED ( 213900 10880 ) N ;
- FILLER_0_461 sky130_fd_sc_hd__fill_1 + SOURCE DIST + PLACED ( 217580 10880 ) N ;
- FILLER_0_468 sky130_fd_sc_hd__decap_8 + SOURCE DIST + PLACED ( 220800 10880 ) N ;
- FILLER_0_477 sky130_fd_sc_hd__decap_4 + SOURCE DIST + PLACED ( 224940 10880 ) N ;
- FILLER_0_487 sky130_fd_sc_hd__decap_6 + SOURCE DIST + PLACED ( 229540 10880 ) N ;
- FILLER_0_493 sky130_fd_sc_hd__fill_1 + SOURCE DIST + PLACED ( 232300 10880 ) N ;
- FILLER_0_500 sky130_fd_sc_hd__decap_4 + SOURCE DIST + PLACED ( 235520 10880 ) N ;
- FILLER_0_505 sky130_fd_sc_hd__decap_4 + SOURCE DIST + PLACED ( 237820 10880 ) N ;
- FILLER_0_509 sky130_fd_sc_hd__fill_1 + SOURCE DIST + PLACED ( 239660 10880 ) N ;
- FILLER_0_516 sky130_fd_sc_hd__decap_4 + SOURCE DIST + PLACED ( 242880 10880 ) N ;
- FILLER_0_52 sky130_fd_sc_hd__decap_4 + SOURCE DIST + PLACED ( 29440 10880 ) N ;
- FILLER_0_523 sky130_fd_sc_hd__decap_8 + SOURCE DIST + PLACED ( 246100 10880 ) N ;
- FILLER_0_531 sky130_fd_sc_hd__fill_1 + SOURCE DIST + PLACED ( 249780 10880 ) N ;
- FILLER_0_533 sky130_fd_sc_hd__decap_4 + SOURCE DIST + PLACED ( 250700 10880 ) N ;
- FILLER_0_543 sky130_fd_sc_hd__decap_4 + SOURCE DIST + PLACED ( 255300 10880 ) N ;
- FILLER_0_551 sky130_fd_sc_hd__decap_8 + SOURCE DIST + PLACED ( 258980 10880 ) N ;
- FILLER_0_559 sky130_fd_sc_hd__fill_1 + SOURCE DIST + PLACED ( 262660 10880 ) N ;
- FILLER_0_565 sky130_fd_sc_hd__decap_8 + SOURCE DIST + PLACED ( 265420 10880 ) N ;
- FILLER_0_573 sky130_fd_sc_hd__fill_1 + SOURCE DIST + PLACED ( 269100 10880 ) N ;
- FILLER_0_580 sky130_fd_sc_hd__decap_8 + SOURCE DIST + PLACED ( 272320 10880 ) N ;
- FILLER_0_589 sky130_fd_sc_hd__decap_4 + SOURCE DIST + PLACED ( 276460 10880 ) N ;
- FILLER_0_599 sky130_fd_sc_hd__decap_6 + SOURCE DIST + PLACED ( 281060 10880 ) N ;
- FILLER_0_61 sky130_fd_sc_hd__decap_8 + SOURCE DIST + PLACED ( 33580 10880 ) N ;
- FILLER_0_611 sky130_fd_sc_hd__decap_4 + SOURCE DIST + PLACED ( 286580 10880 ) N ;
- FILLER_0_615 sky130_fd_sc_hd__fill_1 + SOURCE DIST + PLACED ( 288420 10880 ) N ;
- FILLER_0_620 sky130_fd_sc_hd__decap_4 + SOURCE DIST + PLACED ( 290720 10880 ) N ;
- FILLER_0_624 sky130_fd_sc_hd__fill_1 + SOURCE DIST + PLACED ( 292560 10880 ) N ;
- FILLER_0_69 sky130_fd_sc_hd__fill_1 + SOURCE DIST + PLACED ( 37260 10880 ) N ;
- FILLER_0_73 sky130_fd_sc_hd__decap_4 + SOURCE DIST + PLACED ( 39100 10880 ) N ;
- FILLER_0_80 sky130_fd_sc_hd__decap_4 + SOURCE DIST + PLACED ( 42320 10880 ) N ;
- FILLER_0_85 sky130_fd_sc_hd__fill_1 + SOURCE DIST + PLACED ( 44620 10880 ) N ;
- FILLER_0_90 sky130_fd_sc_hd__decap_8 + SOURCE DIST + PLACED ( 46920 10880 ) N ;
- FILLER_10_106 sky130_fd_sc_hd__decap_4 + SOURCE DIST + PLACED ( 54280 38080 ) N ;
- FILLER_10_115 sky130_fd_sc_hd__decap_4 + SOURCE DIST + PLACED ( 58420 38080 ) N ;
- FILLER_10_123 sky130_fd_sc_hd__decap_12 + SOURCE DIST + PLACED ( 62100 38080 ) N ;
- FILLER_10_135 sky130_fd_sc_hd__decap_4 + SOURCE DIST + PLACED ( 67620 38080 ) N ;
- FILLER_10_139 sky130_fd_sc_hd__fill_1 + SOURCE DIST + PLACED ( 69460 38080 ) N ;
- FILLER_10_144 sky130_fd_sc_hd__decap_12 + SOURCE DIST + PLACED ( 71760 38080 ) N ;
- FILLER_10_156 sky130_fd_sc_hd__decap_8 + SOURCE DIST + PLACED ( 77280 38080 ) N ;
- FILLER_10_164 sky130_fd_sc_hd__fill_1 + SOURCE DIST + PLACED ( 80960 38080 ) N ;
- FILLER_10_168 sky130_fd_sc_hd__decap_12 + SOURCE DIST + PLACED ( 82800 38080 ) N ;
- FILLER_10_180 sky130_fd_sc_hd__decap_12 + SOURCE DIST + PLACED ( 88320 38080 ) N ;
- FILLER_10_192 sky130_fd_sc_hd__decap_4 + SOURCE DIST + PLACED ( 93840 38080 ) N ;
- FILLER_10_200 sky130_fd_sc_hd__decap_12 + SOURCE DIST + PLACED ( 97520 38080 ) N ;
- FILLER_10_21 sky130_fd_sc_hd__decap_6 + SOURCE DIST + PLACED ( 15180 38080 ) N ;
- FILLER_10_212 sky130_fd_sc_hd__decap_12 + SOURCE DIST + PLACED ( 103040 38080 ) N ;
- FILLER_10_224 sky130_fd_sc_hd__decap_12 + SOURCE DIST + PLACED ( 108560 38080 ) N ;
- FILLER_10_236 sky130_fd_sc_hd__decap_12 + SOURCE DIST + PLACED ( 114080 38080 ) N ;
- FILLER_10_248 sky130_fd_sc_hd__decap_4 + SOURCE DIST + PLACED ( 119600 38080 ) N ;
- FILLER_10_253 sky130_fd_sc_hd__decap_12 + SOURCE DIST + PLACED ( 121900 38080 ) N ;
- FILLER_10_265 sky130_fd_sc_hd__decap_12 + SOURCE DIST + PLACED ( 127420 38080 ) N ;
- FILLER_10_27 sky130_fd_sc_hd__fill_1 + SOURCE DIST + PLACED ( 17940 38080 ) N ;
- FILLER_10_277 sky130_fd_sc_hd__decap_12 + SOURCE DIST + PLACED ( 132940 38080 ) N ;
- FILLER_10_289 sky130_fd_sc_hd__decap_12 + SOURCE DIST + PLACED ( 138460 38080 ) N ;
- FILLER_10_29 sky130_fd_sc_hd__decap_8 + SOURCE DIST + PLACED ( 18860 38080 ) N ;
- FILLER_10_301 sky130_fd_sc_hd__decap_6 + SOURCE DIST + PLACED ( 143980 38080 ) N ;
- FILLER_10_307 sky130_fd_sc_hd__fill_1 + SOURCE DIST + PLACED ( 146740 38080 ) N ;
- FILLER_10_309 sky130_fd_sc_hd__decap_12 + SOURCE DIST + PLACED ( 147660 38080 ) N ;
- FILLER_10_321 sky130_fd_sc_hd__decap_12 + SOURCE DIST + PLACED ( 153180 38080 ) N ;
- FILLER_10_333 sky130_fd_sc_hd__decap_12 + SOURCE DIST + PLACED ( 158700 38080 ) N ;
- FILLER_10_345 sky130_fd_sc_hd__decap_12 + SOURCE DIST + PLACED ( 164220 38080 ) N ;
- FILLER_10_357 sky130_fd_sc_hd__decap_6 + SOURCE DIST + PLACED ( 169740 38080 ) N ;
- FILLER_10_363 sky130_fd_sc_hd__fill_1 + SOURCE DIST + PLACED ( 172500 38080 ) N ;
- FILLER_10_365 sky130_fd_sc_hd__decap_12 + SOURCE DIST + PLACED ( 173420 38080 ) N ;
- FILLER_10_37 sky130_fd_sc_hd__fill_1 + SOURCE DIST + PLACED ( 22540 38080 ) N ;
- FILLER_10_377 sky130_fd_sc_hd__decap_12 + SOURCE DIST + PLACED ( 178940 38080 ) N ;
- FILLER_10_389 sky130_fd_sc_hd__decap_12 + SOURCE DIST + PLACED ( 184460 38080 ) N ;
- FILLER_10_401 sky130_fd_sc_hd__decap_12 + SOURCE DIST + PLACED ( 189980 38080 ) N ;
- FILLER_10_413 sky130_fd_sc_hd__decap_6 + SOURCE DIST + PLACED ( 195500 38080 ) N ;
- FILLER_10_419 sky130_fd_sc_hd__fill_1 + SOURCE DIST + PLACED ( 198260 38080 ) N ;
- FILLER_10_421 sky130_fd_sc_hd__decap_12 + SOURCE DIST + PLACED ( 199180 38080 ) N ;
- FILLER_10_433 sky130_fd_sc_hd__decap_12 + SOURCE DIST + PLACED ( 204700 38080 ) N ;
- FILLER_10_445 sky130_fd_sc_hd__decap_12 + SOURCE DIST + PLACED ( 210220 38080 ) N ;
- FILLER_10_457 sky130_fd_sc_hd__decap_12 + SOURCE DIST + PLACED ( 215740 38080 ) N ;
- FILLER_10_469 sky130_fd_sc_hd__decap_6 + SOURCE DIST + PLACED ( 221260 38080 ) N ;
- FILLER_10_475 sky130_fd_sc_hd__fill_1 + SOURCE DIST + PLACED ( 224020 38080 ) N ;
- FILLER_10_477 sky130_fd_sc_hd__decap_12 + SOURCE DIST + PLACED ( 224940 38080 ) N ;
- FILLER_10_489 sky130_fd_sc_hd__decap_12 + SOURCE DIST + PLACED ( 230460 38080 ) N ;
- FILLER_10_501 sky130_fd_sc_hd__decap_12 + SOURCE DIST + PLACED ( 235980 38080 ) N ;
- FILLER_10_513 sky130_fd_sc_hd__decap_12 + SOURCE DIST + PLACED ( 241500 38080 ) N ;
- FILLER_10_525 sky130_fd_sc_hd__decap_6 + SOURCE DIST + PLACED ( 247020 38080 ) N ;
- FILLER_10_531 sky130_fd_sc_hd__fill_1 + SOURCE DIST + PLACED ( 249780 38080 ) N ;
- FILLER_10_533 sky130_fd_sc_hd__decap_12 + SOURCE DIST + PLACED ( 250700 38080 ) N ;
- FILLER_10_54 sky130_fd_sc_hd__decap_12 + SOURCE DIST + PLACED ( 30360 38080 ) N ;
- FILLER_10_545 sky130_fd_sc_hd__decap_12 + SOURCE DIST + PLACED ( 256220 38080 ) N ;
- FILLER_10_557 sky130_fd_sc_hd__decap_12 + SOURCE DIST + PLACED ( 261740 38080 ) N ;
- FILLER_10_569 sky130_fd_sc_hd__decap_12 + SOURCE DIST + PLACED ( 267260 38080 ) N ;
- FILLER_10_581 sky130_fd_sc_hd__decap_6 + SOURCE DIST + PLACED ( 272780 38080 ) N ;
- FILLER_10_587 sky130_fd_sc_hd__fill_1 + SOURCE DIST + PLACED ( 275540 38080 ) N ;
- FILLER_10_589 sky130_fd_sc_hd__decap_12 + SOURCE DIST + PLACED ( 276460 38080 ) N ;
- FILLER_10_6 sky130_fd_sc_hd__decap_6 + SOURCE DIST + PLACED ( 8280 38080 ) N ;
- FILLER_10_601 sky130_fd_sc_hd__decap_12 + SOURCE DIST + PLACED ( 281980 38080 ) N ;
- FILLER_10_613 sky130_fd_sc_hd__decap_12 + SOURCE DIST + PLACED ( 287500 38080 ) N ;
- FILLER_10_66 sky130_fd_sc_hd__fill_2 + SOURCE DIST + PLACED ( 35880 38080 ) N ;
- FILLER_10_71 sky130_fd_sc_hd__decap_4 + SOURCE DIST + PLACED ( 38180 38080 ) N ;
- FILLER_10_80 sky130_fd_sc_hd__decap_4 + SOURCE DIST + PLACED ( 42320 38080 ) N ;
- FILLER_10_88 sky130_fd_sc_hd__decap_6 + SOURCE DIST + PLACED ( 46000 38080 ) N ;
- FILLER_10_98 sky130_fd_sc_hd__decap_4 + SOURCE DIST + PLACED ( 50600 38080 ) N ;
- FILLER_11_101 sky130_fd_sc_hd__decap_3 + SOURCE DIST + PLACED ( 51980 40800 ) FS ;
- FILLER_11_108 sky130_fd_sc_hd__decap_4 + SOURCE DIST + PLACED ( 55200 40800 ) FS ;
- FILLER_11_117 sky130_fd_sc_hd__decap_12 + SOURCE DIST + PLACED ( 59340 40800 ) FS ;
- FILLER_11_129 sky130_fd_sc_hd__decap_12 + SOURCE DIST + PLACED ( 64860 40800 ) FS ;
- FILLER_11_141 sky130_fd_sc_hd__decap_12 + SOURCE DIST + PLACED ( 70380 40800 ) FS ;
- FILLER_11_153 sky130_fd_sc_hd__decap_12 + SOURCE DIST + PLACED ( 75900 40800 ) FS ;
- FILLER_11_165 sky130_fd_sc_hd__decap_3 + SOURCE DIST + PLACED ( 81420 40800 ) FS ;
- FILLER_11_169 sky130_fd_sc_hd__decap_12 + SOURCE DIST + PLACED ( 83260 40800 ) FS ;
- FILLER_11_181 sky130_fd_sc_hd__decap_12 + SOURCE DIST + PLACED ( 88780 40800 ) FS ;
- FILLER_11_193 sky130_fd_sc_hd__decap_12 + SOURCE DIST + PLACED ( 94300 40800 ) FS ;
- FILLER_11_205 sky130_fd_sc_hd__decap_12 + SOURCE DIST + PLACED ( 99820 40800 ) FS ;
- FILLER_11_217 sky130_fd_sc_hd__decap_6 + SOURCE DIST + PLACED ( 105340 40800 ) FS ;
- FILLER_11_223 sky130_fd_sc_hd__fill_1 + SOURCE DIST + PLACED ( 108100 40800 ) FS ;
- FILLER_11_225 sky130_fd_sc_hd__decap_12 + SOURCE DIST + PLACED ( 109020 40800 ) FS ;
- FILLER_11_23 sky130_fd_sc_hd__decap_12 + SOURCE DIST + PLACED ( 16100 40800 ) FS ;
- FILLER_11_237 sky130_fd_sc_hd__decap_12 + SOURCE DIST + PLACED ( 114540 40800 ) FS ;
- FILLER_11_249 sky130_fd_sc_hd__decap_12 + SOURCE DIST + PLACED ( 120060 40800 ) FS ;
- FILLER_11_261 sky130_fd_sc_hd__decap_12 + SOURCE DIST + PLACED ( 125580 40800 ) FS ;
- FILLER_11_273 sky130_fd_sc_hd__decap_6 + SOURCE DIST + PLACED ( 131100 40800 ) FS ;
- FILLER_11_279 sky130_fd_sc_hd__fill_1 + SOURCE DIST + PLACED ( 133860 40800 ) FS ;
- FILLER_11_281 sky130_fd_sc_hd__decap_12 + SOURCE DIST + PLACED ( 134780 40800 ) FS ;
- FILLER_11_293 sky130_fd_sc_hd__decap_12 + SOURCE DIST + PLACED ( 140300 40800 ) FS ;
- FILLER_11_3 sky130_fd_sc_hd__decap_4 + SOURCE DIST + PLACED ( 6900 40800 ) FS ;
- FILLER_11_305 sky130_fd_sc_hd__decap_12 + SOURCE DIST + PLACED ( 145820 40800 ) FS ;
- FILLER_11_317 sky130_fd_sc_hd__decap_12 + SOURCE DIST + PLACED ( 151340 40800 ) FS ;
- FILLER_11_329 sky130_fd_sc_hd__decap_6 + SOURCE DIST + PLACED ( 156860 40800 ) FS ;
- FILLER_11_335 sky130_fd_sc_hd__fill_1 + SOURCE DIST + PLACED ( 159620 40800 ) FS ;
- FILLER_11_337 sky130_fd_sc_hd__decap_12 + SOURCE DIST + PLACED ( 160540 40800 ) FS ;
- FILLER_11_349 sky130_fd_sc_hd__decap_12 + SOURCE DIST + PLACED ( 166060 40800 ) FS ;
- FILLER_11_35 sky130_fd_sc_hd__fill_1 + SOURCE DIST + PLACED ( 21620 40800 ) FS ;
- FILLER_11_361 sky130_fd_sc_hd__decap_12 + SOURCE DIST + PLACED ( 171580 40800 ) FS ;
- FILLER_11_373 sky130_fd_sc_hd__decap_12 + SOURCE DIST + PLACED ( 177100 40800 ) FS ;
- FILLER_11_385 sky130_fd_sc_hd__decap_6 + SOURCE DIST + PLACED ( 182620 40800 ) FS ;
- FILLER_11_391 sky130_fd_sc_hd__fill_1 + SOURCE DIST + PLACED ( 185380 40800 ) FS ;
- FILLER_11_393 sky130_fd_sc_hd__decap_12 + SOURCE DIST + PLACED ( 186300 40800 ) FS ;
- FILLER_11_405 sky130_fd_sc_hd__decap_12 + SOURCE DIST + PLACED ( 191820 40800 ) FS ;
- FILLER_11_417 sky130_fd_sc_hd__decap_12 + SOURCE DIST + PLACED ( 197340 40800 ) FS ;
- FILLER_11_429 sky130_fd_sc_hd__decap_12 + SOURCE DIST + PLACED ( 202860 40800 ) FS ;
- FILLER_11_441 sky130_fd_sc_hd__decap_6 + SOURCE DIST + PLACED ( 208380 40800 ) FS ;
- FILLER_11_447 sky130_fd_sc_hd__fill_1 + SOURCE DIST + PLACED ( 211140 40800 ) FS ;
- FILLER_11_449 sky130_fd_sc_hd__decap_12 + SOURCE DIST + PLACED ( 212060 40800 ) FS ;
- FILLER_11_45 sky130_fd_sc_hd__decap_8 + SOURCE DIST + PLACED ( 26220 40800 ) FS ;
- FILLER_11_461 sky130_fd_sc_hd__decap_12 + SOURCE DIST + PLACED ( 217580 40800 ) FS ;
- FILLER_11_473 sky130_fd_sc_hd__decap_12 + SOURCE DIST + PLACED ( 223100 40800 ) FS ;
- FILLER_11_485 sky130_fd_sc_hd__decap_12 + SOURCE DIST + PLACED ( 228620 40800 ) FS ;
- FILLER_11_497 sky130_fd_sc_hd__decap_6 + SOURCE DIST + PLACED ( 234140 40800 ) FS ;
- FILLER_11_503 sky130_fd_sc_hd__fill_1 + SOURCE DIST + PLACED ( 236900 40800 ) FS ;
- FILLER_11_505 sky130_fd_sc_hd__decap_12 + SOURCE DIST + PLACED ( 237820 40800 ) FS ;
- FILLER_11_517 sky130_fd_sc_hd__decap_12 + SOURCE DIST + PLACED ( 243340 40800 ) FS ;
- FILLER_11_529 sky130_fd_sc_hd__decap_12 + SOURCE DIST + PLACED ( 248860 40800 ) FS ;
- FILLER_11_53 sky130_fd_sc_hd__decap_3 + SOURCE DIST + PLACED ( 29900 40800 ) FS ;
- FILLER_11_541 sky130_fd_sc_hd__decap_12 + SOURCE DIST + PLACED ( 254380 40800 ) FS ;
- FILLER_11_553 sky130_fd_sc_hd__decap_6 + SOURCE DIST + PLACED ( 259900 40800 ) FS ;
- FILLER_11_559 sky130_fd_sc_hd__fill_1 + SOURCE DIST + PLACED ( 262660 40800 ) FS ;
- FILLER_11_561 sky130_fd_sc_hd__decap_12 + SOURCE DIST + PLACED ( 263580 40800 ) FS ;
- FILLER_11_57 sky130_fd_sc_hd__decap_8 + SOURCE DIST + PLACED ( 31740 40800 ) FS ;
- FILLER_11_573 sky130_fd_sc_hd__decap_12 + SOURCE DIST + PLACED ( 269100 40800 ) FS ;
- FILLER_11_585 sky130_fd_sc_hd__decap_12 + SOURCE DIST + PLACED ( 274620 40800 ) FS ;
- FILLER_11_597 sky130_fd_sc_hd__decap_12 + SOURCE DIST + PLACED ( 280140 40800 ) FS ;
- FILLER_11_609 sky130_fd_sc_hd__decap_6 + SOURCE DIST + PLACED ( 285660 40800 ) FS ;
- FILLER_11_615 sky130_fd_sc_hd__fill_1 + SOURCE DIST + PLACED ( 288420 40800 ) FS ;
- FILLER_11_617 sky130_fd_sc_hd__decap_8 + SOURCE DIST + PLACED ( 289340 40800 ) FS ;
- FILLER_11_65 sky130_fd_sc_hd__fill_1 + SOURCE DIST + PLACED ( 35420 40800 ) FS ;
- FILLER_11_71 sky130_fd_sc_hd__decap_4 + SOURCE DIST + PLACED ( 38180 40800 ) FS ;
- FILLER_11_80 sky130_fd_sc_hd__decap_4 + SOURCE DIST + PLACED ( 42320 40800 ) FS ;
- FILLER_11_89 sky130_fd_sc_hd__decap_12 + SOURCE DIST + PLACED ( 46460 40800 ) FS ;
- FILLER_12_105 sky130_fd_sc_hd__decap_3 + SOURCE DIST + PLACED ( 53820 43520 ) N ;
- FILLER_12_11 sky130_fd_sc_hd__fill_1 + SOURCE DIST + PLACED ( 10580 43520 ) N ;
- FILLER_12_111 sky130_fd_sc_hd__decap_12 + SOURCE DIST + PLACED ( 56580 43520 ) N ;
- FILLER_12_123 sky130_fd_sc_hd__decap_12 + SOURCE DIST + PLACED ( 62100 43520 ) N ;
- FILLER_12_135 sky130_fd_sc_hd__decap_4 + SOURCE DIST + PLACED ( 67620 43520 ) N ;
- FILLER_12_139 sky130_fd_sc_hd__fill_1 + SOURCE DIST + PLACED ( 69460 43520 ) N ;
- FILLER_12_141 sky130_fd_sc_hd__decap_12 + SOURCE DIST + PLACED ( 70380 43520 ) N ;
- FILLER_12_15 sky130_fd_sc_hd__decap_12 + SOURCE DIST + PLACED ( 12420 43520 ) N ;
- FILLER_12_153 sky130_fd_sc_hd__decap_12 + SOURCE DIST + PLACED ( 75900 43520 ) N ;
- FILLER_12_165 sky130_fd_sc_hd__decap_12 + SOURCE DIST + PLACED ( 81420 43520 ) N ;
- FILLER_12_177 sky130_fd_sc_hd__decap_12 + SOURCE DIST + PLACED ( 86940 43520 ) N ;
- FILLER_12_189 sky130_fd_sc_hd__decap_6 + SOURCE DIST + PLACED ( 92460 43520 ) N ;
- FILLER_12_195 sky130_fd_sc_hd__fill_1 + SOURCE DIST + PLACED ( 95220 43520 ) N ;
- FILLER_12_197 sky130_fd_sc_hd__decap_12 + SOURCE DIST + PLACED ( 96140 43520 ) N ;
- FILLER_12_209 sky130_fd_sc_hd__decap_12 + SOURCE DIST + PLACED ( 101660 43520 ) N ;
- FILLER_12_221 sky130_fd_sc_hd__decap_12 + SOURCE DIST + PLACED ( 107180 43520 ) N ;
- FILLER_12_233 sky130_fd_sc_hd__decap_12 + SOURCE DIST + PLACED ( 112700 43520 ) N ;
- FILLER_12_245 sky130_fd_sc_hd__decap_6 + SOURCE DIST + PLACED ( 118220 43520 ) N ;
- FILLER_12_251 sky130_fd_sc_hd__fill_1 + SOURCE DIST + PLACED ( 120980 43520 ) N ;
- FILLER_12_253 sky130_fd_sc_hd__decap_12 + SOURCE DIST + PLACED ( 121900 43520 ) N ;
- FILLER_12_265 sky130_fd_sc_hd__decap_12 + SOURCE DIST + PLACED ( 127420 43520 ) N ;
- FILLER_12_27 sky130_fd_sc_hd__fill_1 + SOURCE DIST + PLACED ( 17940 43520 ) N ;
- FILLER_12_277 sky130_fd_sc_hd__decap_12 + SOURCE DIST + PLACED ( 132940 43520 ) N ;
- FILLER_12_289 sky130_fd_sc_hd__decap_12 + SOURCE DIST + PLACED ( 138460 43520 ) N ;
- FILLER_12_29 sky130_fd_sc_hd__decap_6 + SOURCE DIST + PLACED ( 18860 43520 ) N ;
- FILLER_12_301 sky130_fd_sc_hd__decap_6 + SOURCE DIST + PLACED ( 143980 43520 ) N ;
- FILLER_12_307 sky130_fd_sc_hd__fill_1 + SOURCE DIST + PLACED ( 146740 43520 ) N ;
- FILLER_12_309 sky130_fd_sc_hd__decap_12 + SOURCE DIST + PLACED ( 147660 43520 ) N ;
- FILLER_12_321 sky130_fd_sc_hd__decap_12 + SOURCE DIST + PLACED ( 153180 43520 ) N ;
- FILLER_12_333 sky130_fd_sc_hd__decap_12 + SOURCE DIST + PLACED ( 158700 43520 ) N ;
- FILLER_12_345 sky130_fd_sc_hd__decap_12 + SOURCE DIST + PLACED ( 164220 43520 ) N ;
- FILLER_12_357 sky130_fd_sc_hd__decap_6 + SOURCE DIST + PLACED ( 169740 43520 ) N ;
- FILLER_12_363 sky130_fd_sc_hd__fill_1 + SOURCE DIST + PLACED ( 172500 43520 ) N ;
- FILLER_12_365 sky130_fd_sc_hd__decap_12 + SOURCE DIST + PLACED ( 173420 43520 ) N ;
- FILLER_12_377 sky130_fd_sc_hd__decap_12 + SOURCE DIST + PLACED ( 178940 43520 ) N ;
- FILLER_12_389 sky130_fd_sc_hd__decap_12 + SOURCE DIST + PLACED ( 184460 43520 ) N ;
- FILLER_12_401 sky130_fd_sc_hd__decap_12 + SOURCE DIST + PLACED ( 189980 43520 ) N ;
- FILLER_12_413 sky130_fd_sc_hd__decap_6 + SOURCE DIST + PLACED ( 195500 43520 ) N ;
- FILLER_12_419 sky130_fd_sc_hd__fill_1 + SOURCE DIST + PLACED ( 198260 43520 ) N ;
- FILLER_12_421 sky130_fd_sc_hd__decap_12 + SOURCE DIST + PLACED ( 199180 43520 ) N ;
- FILLER_12_433 sky130_fd_sc_hd__decap_12 + SOURCE DIST + PLACED ( 204700 43520 ) N ;
- FILLER_12_44 sky130_fd_sc_hd__decap_12 + SOURCE DIST + PLACED ( 25760 43520 ) N ;
- FILLER_12_445 sky130_fd_sc_hd__decap_12 + SOURCE DIST + PLACED ( 210220 43520 ) N ;
- FILLER_12_457 sky130_fd_sc_hd__decap_12 + SOURCE DIST + PLACED ( 215740 43520 ) N ;
- FILLER_12_469 sky130_fd_sc_hd__decap_6 + SOURCE DIST + PLACED ( 221260 43520 ) N ;
- FILLER_12_475 sky130_fd_sc_hd__fill_1 + SOURCE DIST + PLACED ( 224020 43520 ) N ;
- FILLER_12_477 sky130_fd_sc_hd__decap_12 + SOURCE DIST + PLACED ( 224940 43520 ) N ;
- FILLER_12_489 sky130_fd_sc_hd__decap_12 + SOURCE DIST + PLACED ( 230460 43520 ) N ;
- FILLER_12_501 sky130_fd_sc_hd__decap_12 + SOURCE DIST + PLACED ( 235980 43520 ) N ;
- FILLER_12_513 sky130_fd_sc_hd__decap_12 + SOURCE DIST + PLACED ( 241500 43520 ) N ;
- FILLER_12_525 sky130_fd_sc_hd__decap_6 + SOURCE DIST + PLACED ( 247020 43520 ) N ;
- FILLER_12_531 sky130_fd_sc_hd__fill_1 + SOURCE DIST + PLACED ( 249780 43520 ) N ;
- FILLER_12_533 sky130_fd_sc_hd__decap_12 + SOURCE DIST + PLACED ( 250700 43520 ) N ;
- FILLER_12_545 sky130_fd_sc_hd__decap_12 + SOURCE DIST + PLACED ( 256220 43520 ) N ;
- FILLER_12_557 sky130_fd_sc_hd__decap_12 + SOURCE DIST + PLACED ( 261740 43520 ) N ;
- FILLER_12_56 sky130_fd_sc_hd__decap_12 + SOURCE DIST + PLACED ( 31280 43520 ) N ;
- FILLER_12_569 sky130_fd_sc_hd__decap_12 + SOURCE DIST + PLACED ( 267260 43520 ) N ;
- FILLER_12_581 sky130_fd_sc_hd__decap_6 + SOURCE DIST + PLACED ( 272780 43520 ) N ;
- FILLER_12_587 sky130_fd_sc_hd__fill_1 + SOURCE DIST + PLACED ( 275540 43520 ) N ;
- FILLER_12_589 sky130_fd_sc_hd__decap_12 + SOURCE DIST + PLACED ( 276460 43520 ) N ;
- FILLER_12_601 sky130_fd_sc_hd__decap_12 + SOURCE DIST + PLACED ( 281980 43520 ) N ;
- FILLER_12_613 sky130_fd_sc_hd__decap_12 + SOURCE DIST + PLACED ( 287500 43520 ) N ;
- FILLER_12_68 sky130_fd_sc_hd__decap_6 + SOURCE DIST + PLACED ( 36800 43520 ) N ;
- FILLER_12_7 sky130_fd_sc_hd__decap_4 + SOURCE DIST + PLACED ( 8740 43520 ) N ;
- FILLER_12_74 sky130_fd_sc_hd__fill_1 + SOURCE DIST + PLACED ( 39560 43520 ) N ;
- FILLER_12_80 sky130_fd_sc_hd__decap_4 + SOURCE DIST + PLACED ( 42320 43520 ) N ;
- FILLER_12_85 sky130_fd_sc_hd__decap_12 + SOURCE DIST + PLACED ( 44620 43520 ) N ;
- FILLER_12_97 sky130_fd_sc_hd__decap_8 + SOURCE DIST + PLACED ( 50140 43520 ) N ;
- FILLER_13_105 sky130_fd_sc_hd__decap_6 + SOURCE DIST + PLACED ( 53820 46240 ) FS ;
- FILLER_13_111 sky130_fd_sc_hd__fill_1 + SOURCE DIST + PLACED ( 56580 46240 ) FS ;
- FILLER_13_113 sky130_fd_sc_hd__decap_12 + SOURCE DIST + PLACED ( 57500 46240 ) FS ;
- FILLER_13_125 sky130_fd_sc_hd__decap_12 + SOURCE DIST + PLACED ( 63020 46240 ) FS ;
- FILLER_13_137 sky130_fd_sc_hd__decap_12 + SOURCE DIST + PLACED ( 68540 46240 ) FS ;
- FILLER_13_149 sky130_fd_sc_hd__decap_12 + SOURCE DIST + PLACED ( 74060 46240 ) FS ;
- FILLER_13_161 sky130_fd_sc_hd__decap_6 + SOURCE DIST + PLACED ( 79580 46240 ) FS ;
- FILLER_13_167 sky130_fd_sc_hd__fill_1 + SOURCE DIST + PLACED ( 82340 46240 ) FS ;
- FILLER_13_169 sky130_fd_sc_hd__decap_12 + SOURCE DIST + PLACED ( 83260 46240 ) FS ;
- FILLER_13_18 sky130_fd_sc_hd__decap_12 + SOURCE DIST + PLACED ( 13800 46240 ) FS ;
- FILLER_13_181 sky130_fd_sc_hd__decap_12 + SOURCE DIST + PLACED ( 88780 46240 ) FS ;
- FILLER_13_193 sky130_fd_sc_hd__decap_12 + SOURCE DIST + PLACED ( 94300 46240 ) FS ;
- FILLER_13_205 sky130_fd_sc_hd__decap_12 + SOURCE DIST + PLACED ( 99820 46240 ) FS ;
- FILLER_13_217 sky130_fd_sc_hd__decap_6 + SOURCE DIST + PLACED ( 105340 46240 ) FS ;
- FILLER_13_223 sky130_fd_sc_hd__fill_1 + SOURCE DIST + PLACED ( 108100 46240 ) FS ;
- FILLER_13_225 sky130_fd_sc_hd__decap_12 + SOURCE DIST + PLACED ( 109020 46240 ) FS ;
- FILLER_13_237 sky130_fd_sc_hd__decap_12 + SOURCE DIST + PLACED ( 114540 46240 ) FS ;
- FILLER_13_249 sky130_fd_sc_hd__decap_12 + SOURCE DIST + PLACED ( 120060 46240 ) FS ;
- FILLER_13_261 sky130_fd_sc_hd__decap_12 + SOURCE DIST + PLACED ( 125580 46240 ) FS ;
- FILLER_13_273 sky130_fd_sc_hd__decap_6 + SOURCE DIST + PLACED ( 131100 46240 ) FS ;
- FILLER_13_279 sky130_fd_sc_hd__fill_1 + SOURCE DIST + PLACED ( 133860 46240 ) FS ;
- FILLER_13_281 sky130_fd_sc_hd__decap_12 + SOURCE DIST + PLACED ( 134780 46240 ) FS ;
- FILLER_13_293 sky130_fd_sc_hd__decap_12 + SOURCE DIST + PLACED ( 140300 46240 ) FS ;
- FILLER_13_30 sky130_fd_sc_hd__decap_6 + SOURCE DIST + PLACED ( 19320 46240 ) FS ;
- FILLER_13_305 sky130_fd_sc_hd__decap_12 + SOURCE DIST + PLACED ( 145820 46240 ) FS ;
- FILLER_13_317 sky130_fd_sc_hd__decap_12 + SOURCE DIST + PLACED ( 151340 46240 ) FS ;
- FILLER_13_329 sky130_fd_sc_hd__decap_6 + SOURCE DIST + PLACED ( 156860 46240 ) FS ;
- FILLER_13_335 sky130_fd_sc_hd__fill_1 + SOURCE DIST + PLACED ( 159620 46240 ) FS ;
- FILLER_13_337 sky130_fd_sc_hd__decap_12 + SOURCE DIST + PLACED ( 160540 46240 ) FS ;
- FILLER_13_349 sky130_fd_sc_hd__decap_12 + SOURCE DIST + PLACED ( 166060 46240 ) FS ;
- FILLER_13_361 sky130_fd_sc_hd__decap_12 + SOURCE DIST + PLACED ( 171580 46240 ) FS ;
- FILLER_13_373 sky130_fd_sc_hd__decap_12 + SOURCE DIST + PLACED ( 177100 46240 ) FS ;
- FILLER_13_385 sky130_fd_sc_hd__decap_6 + SOURCE DIST + PLACED ( 182620 46240 ) FS ;
- FILLER_13_391 sky130_fd_sc_hd__fill_1 + SOURCE DIST + PLACED ( 185380 46240 ) FS ;
- FILLER_13_393 sky130_fd_sc_hd__decap_12 + SOURCE DIST + PLACED ( 186300 46240 ) FS ;
- FILLER_13_405 sky130_fd_sc_hd__decap_12 + SOURCE DIST + PLACED ( 191820 46240 ) FS ;
- FILLER_13_417 sky130_fd_sc_hd__decap_12 + SOURCE DIST + PLACED ( 197340 46240 ) FS ;
- FILLER_13_429 sky130_fd_sc_hd__decap_12 + SOURCE DIST + PLACED ( 202860 46240 ) FS ;
- FILLER_13_441 sky130_fd_sc_hd__decap_6 + SOURCE DIST + PLACED ( 208380 46240 ) FS ;
- FILLER_13_447 sky130_fd_sc_hd__fill_1 + SOURCE DIST + PLACED ( 211140 46240 ) FS ;
- FILLER_13_449 sky130_fd_sc_hd__decap_12 + SOURCE DIST + PLACED ( 212060 46240 ) FS ;
- FILLER_13_461 sky130_fd_sc_hd__decap_12 + SOURCE DIST + PLACED ( 217580 46240 ) FS ;
- FILLER_13_473 sky130_fd_sc_hd__decap_12 + SOURCE DIST + PLACED ( 223100 46240 ) FS ;
- FILLER_13_485 sky130_fd_sc_hd__decap_12 + SOURCE DIST + PLACED ( 228620 46240 ) FS ;
- FILLER_13_497 sky130_fd_sc_hd__decap_6 + SOURCE DIST + PLACED ( 234140 46240 ) FS ;
- FILLER_13_503 sky130_fd_sc_hd__fill_1 + SOURCE DIST + PLACED ( 236900 46240 ) FS ;
- FILLER_13_505 sky130_fd_sc_hd__decap_12 + SOURCE DIST + PLACED ( 237820 46240 ) FS ;
- FILLER_13_517 sky130_fd_sc_hd__decap_12 + SOURCE DIST + PLACED ( 243340 46240 ) FS ;
- FILLER_13_52 sky130_fd_sc_hd__decap_4 + SOURCE DIST + PLACED ( 29440 46240 ) FS ;
- FILLER_13_529 sky130_fd_sc_hd__decap_12 + SOURCE DIST + PLACED ( 248860 46240 ) FS ;
- FILLER_13_541 sky130_fd_sc_hd__decap_12 + SOURCE DIST + PLACED ( 254380 46240 ) FS ;
- FILLER_13_553 sky130_fd_sc_hd__decap_6 + SOURCE DIST + PLACED ( 259900 46240 ) FS ;
- FILLER_13_559 sky130_fd_sc_hd__fill_1 + SOURCE DIST + PLACED ( 262660 46240 ) FS ;
- FILLER_13_561 sky130_fd_sc_hd__decap_12 + SOURCE DIST + PLACED ( 263580 46240 ) FS ;
- FILLER_13_57 sky130_fd_sc_hd__decap_12 + SOURCE DIST + PLACED ( 31740 46240 ) FS ;
- FILLER_13_573 sky130_fd_sc_hd__decap_12 + SOURCE DIST + PLACED ( 269100 46240 ) FS ;
- FILLER_13_585 sky130_fd_sc_hd__decap_12 + SOURCE DIST + PLACED ( 274620 46240 ) FS ;
- FILLER_13_597 sky130_fd_sc_hd__decap_12 + SOURCE DIST + PLACED ( 280140 46240 ) FS ;
- FILLER_13_6 sky130_fd_sc_hd__decap_12 + SOURCE DIST + PLACED ( 8280 46240 ) FS ;
- FILLER_13_609 sky130_fd_sc_hd__decap_6 + SOURCE DIST + PLACED ( 285660 46240 ) FS ;
- FILLER_13_615 sky130_fd_sc_hd__fill_1 + SOURCE DIST + PLACED ( 288420 46240 ) FS ;
- FILLER_13_617 sky130_fd_sc_hd__decap_8 + SOURCE DIST + PLACED ( 289340 46240 ) FS ;
- FILLER_13_69 sky130_fd_sc_hd__decap_12 + SOURCE DIST + PLACED ( 37260 46240 ) FS ;
- FILLER_13_81 sky130_fd_sc_hd__decap_12 + SOURCE DIST + PLACED ( 42780 46240 ) FS ;
- FILLER_13_93 sky130_fd_sc_hd__decap_12 + SOURCE DIST + PLACED ( 48300 46240 ) FS ;
- FILLER_14_100 sky130_fd_sc_hd__decap_12 + SOURCE DIST + PLACED ( 51520 48960 ) N ;
- FILLER_14_112 sky130_fd_sc_hd__decap_12 + SOURCE DIST + PLACED ( 57040 48960 ) N ;
- FILLER_14_124 sky130_fd_sc_hd__decap_12 + SOURCE DIST + PLACED ( 62560 48960 ) N ;
- FILLER_14_136 sky130_fd_sc_hd__decap_4 + SOURCE DIST + PLACED ( 68080 48960 ) N ;
- FILLER_14_141 sky130_fd_sc_hd__decap_12 + SOURCE DIST + PLACED ( 70380 48960 ) N ;
- FILLER_14_153 sky130_fd_sc_hd__decap_12 + SOURCE DIST + PLACED ( 75900 48960 ) N ;
- FILLER_14_165 sky130_fd_sc_hd__decap_12 + SOURCE DIST + PLACED ( 81420 48960 ) N ;
- FILLER_14_177 sky130_fd_sc_hd__decap_12 + SOURCE DIST + PLACED ( 86940 48960 ) N ;
- FILLER_14_189 sky130_fd_sc_hd__decap_6 + SOURCE DIST + PLACED ( 92460 48960 ) N ;
- FILLER_14_195 sky130_fd_sc_hd__fill_1 + SOURCE DIST + PLACED ( 95220 48960 ) N ;
- FILLER_14_197 sky130_fd_sc_hd__decap_12 + SOURCE DIST + PLACED ( 96140 48960 ) N ;
- FILLER_14_209 sky130_fd_sc_hd__decap_12 + SOURCE DIST + PLACED ( 101660 48960 ) N ;
- FILLER_14_22 sky130_fd_sc_hd__decap_6 + SOURCE DIST + PLACED ( 15640 48960 ) N ;
- FILLER_14_221 sky130_fd_sc_hd__decap_12 + SOURCE DIST + PLACED ( 107180 48960 ) N ;
- FILLER_14_233 sky130_fd_sc_hd__decap_12 + SOURCE DIST + PLACED ( 112700 48960 ) N ;
- FILLER_14_245 sky130_fd_sc_hd__decap_6 + SOURCE DIST + PLACED ( 118220 48960 ) N ;
- FILLER_14_251 sky130_fd_sc_hd__fill_1 + SOURCE DIST + PLACED ( 120980 48960 ) N ;
- FILLER_14_253 sky130_fd_sc_hd__decap_12 + SOURCE DIST + PLACED ( 121900 48960 ) N ;
- FILLER_14_265 sky130_fd_sc_hd__decap_12 + SOURCE DIST + PLACED ( 127420 48960 ) N ;
- FILLER_14_277 sky130_fd_sc_hd__decap_12 + SOURCE DIST + PLACED ( 132940 48960 ) N ;
- FILLER_14_289 sky130_fd_sc_hd__decap_12 + SOURCE DIST + PLACED ( 138460 48960 ) N ;
- FILLER_14_29 sky130_fd_sc_hd__decap_8 + SOURCE DIST + PLACED ( 18860 48960 ) N ;
- FILLER_14_301 sky130_fd_sc_hd__decap_6 + SOURCE DIST + PLACED ( 143980 48960 ) N ;
- FILLER_14_307 sky130_fd_sc_hd__fill_1 + SOURCE DIST + PLACED ( 146740 48960 ) N ;
- FILLER_14_309 sky130_fd_sc_hd__decap_12 + SOURCE DIST + PLACED ( 147660 48960 ) N ;
- FILLER_14_321 sky130_fd_sc_hd__decap_12 + SOURCE DIST + PLACED ( 153180 48960 ) N ;
- FILLER_14_333 sky130_fd_sc_hd__decap_12 + SOURCE DIST + PLACED ( 158700 48960 ) N ;
- FILLER_14_345 sky130_fd_sc_hd__decap_12 + SOURCE DIST + PLACED ( 164220 48960 ) N ;
- FILLER_14_357 sky130_fd_sc_hd__decap_6 + SOURCE DIST + PLACED ( 169740 48960 ) N ;
- FILLER_14_363 sky130_fd_sc_hd__fill_1 + SOURCE DIST + PLACED ( 172500 48960 ) N ;
- FILLER_14_365 sky130_fd_sc_hd__decap_12 + SOURCE DIST + PLACED ( 173420 48960 ) N ;
- FILLER_14_37 sky130_fd_sc_hd__fill_2 + SOURCE DIST + PLACED ( 22540 48960 ) N ;
- FILLER_14_377 sky130_fd_sc_hd__decap_12 + SOURCE DIST + PLACED ( 178940 48960 ) N ;
- FILLER_14_389 sky130_fd_sc_hd__decap_12 + SOURCE DIST + PLACED ( 184460 48960 ) N ;
- FILLER_14_401 sky130_fd_sc_hd__decap_12 + SOURCE DIST + PLACED ( 189980 48960 ) N ;
- FILLER_14_413 sky130_fd_sc_hd__decap_6 + SOURCE DIST + PLACED ( 195500 48960 ) N ;
- FILLER_14_419 sky130_fd_sc_hd__fill_1 + SOURCE DIST + PLACED ( 198260 48960 ) N ;
- FILLER_14_42 sky130_fd_sc_hd__decap_12 + SOURCE DIST + PLACED ( 24840 48960 ) N ;
- FILLER_14_421 sky130_fd_sc_hd__decap_12 + SOURCE DIST + PLACED ( 199180 48960 ) N ;
- FILLER_14_433 sky130_fd_sc_hd__decap_12 + SOURCE DIST + PLACED ( 204700 48960 ) N ;
- FILLER_14_445 sky130_fd_sc_hd__decap_12 + SOURCE DIST + PLACED ( 210220 48960 ) N ;
- FILLER_14_457 sky130_fd_sc_hd__decap_12 + SOURCE DIST + PLACED ( 215740 48960 ) N ;
- FILLER_14_469 sky130_fd_sc_hd__decap_6 + SOURCE DIST + PLACED ( 221260 48960 ) N ;
- FILLER_14_475 sky130_fd_sc_hd__fill_1 + SOURCE DIST + PLACED ( 224020 48960 ) N ;
- FILLER_14_477 sky130_fd_sc_hd__decap_12 + SOURCE DIST + PLACED ( 224940 48960 ) N ;
- FILLER_14_489 sky130_fd_sc_hd__decap_12 + SOURCE DIST + PLACED ( 230460 48960 ) N ;
- FILLER_14_501 sky130_fd_sc_hd__decap_12 + SOURCE DIST + PLACED ( 235980 48960 ) N ;
- FILLER_14_513 sky130_fd_sc_hd__decap_12 + SOURCE DIST + PLACED ( 241500 48960 ) N ;
- FILLER_14_525 sky130_fd_sc_hd__decap_6 + SOURCE DIST + PLACED ( 247020 48960 ) N ;
- FILLER_14_531 sky130_fd_sc_hd__fill_1 + SOURCE DIST + PLACED ( 249780 48960 ) N ;
- FILLER_14_533 sky130_fd_sc_hd__decap_12 + SOURCE DIST + PLACED ( 250700 48960 ) N ;
- FILLER_14_54 sky130_fd_sc_hd__decap_12 + SOURCE DIST + PLACED ( 30360 48960 ) N ;
- FILLER_14_545 sky130_fd_sc_hd__decap_12 + SOURCE DIST + PLACED ( 256220 48960 ) N ;
- FILLER_14_557 sky130_fd_sc_hd__decap_12 + SOURCE DIST + PLACED ( 261740 48960 ) N ;
- FILLER_14_569 sky130_fd_sc_hd__decap_12 + SOURCE DIST + PLACED ( 267260 48960 ) N ;
- FILLER_14_581 sky130_fd_sc_hd__decap_6 + SOURCE DIST + PLACED ( 272780 48960 ) N ;
- FILLER_14_587 sky130_fd_sc_hd__fill_1 + SOURCE DIST + PLACED ( 275540 48960 ) N ;
- FILLER_14_589 sky130_fd_sc_hd__decap_12 + SOURCE DIST + PLACED ( 276460 48960 ) N ;
- FILLER_14_601 sky130_fd_sc_hd__decap_12 + SOURCE DIST + PLACED ( 281980 48960 ) N ;
- FILLER_14_613 sky130_fd_sc_hd__decap_12 + SOURCE DIST + PLACED ( 287500 48960 ) N ;
- FILLER_14_66 sky130_fd_sc_hd__decap_12 + SOURCE DIST + PLACED ( 35880 48960 ) N ;
- FILLER_14_7 sky130_fd_sc_hd__decap_6 + SOURCE DIST + PLACED ( 8740 48960 ) N ;
- FILLER_14_78 sky130_fd_sc_hd__decap_6 + SOURCE DIST + PLACED ( 41400 48960 ) N ;
- FILLER_14_88 sky130_fd_sc_hd__decap_12 + SOURCE DIST + PLACED ( 46000 48960 ) N ;
- FILLER_15_105 sky130_fd_sc_hd__decap_6 + SOURCE DIST + PLACED ( 53820 51680 ) FS ;
- FILLER_15_111 sky130_fd_sc_hd__fill_1 + SOURCE DIST + PLACED ( 56580 51680 ) FS ;
- FILLER_15_113 sky130_fd_sc_hd__decap_12 + SOURCE DIST + PLACED ( 57500 51680 ) FS ;
- FILLER_15_125 sky130_fd_sc_hd__decap_12 + SOURCE DIST + PLACED ( 63020 51680 ) FS ;
- FILLER_15_137 sky130_fd_sc_hd__decap_12 + SOURCE DIST + PLACED ( 68540 51680 ) FS ;
- FILLER_15_149 sky130_fd_sc_hd__decap_12 + SOURCE DIST + PLACED ( 74060 51680 ) FS ;
- FILLER_15_161 sky130_fd_sc_hd__decap_6 + SOURCE DIST + PLACED ( 79580 51680 ) FS ;
- FILLER_15_167 sky130_fd_sc_hd__fill_1 + SOURCE DIST + PLACED ( 82340 51680 ) FS ;
- FILLER_15_169 sky130_fd_sc_hd__decap_12 + SOURCE DIST + PLACED ( 83260 51680 ) FS ;
- FILLER_15_181 sky130_fd_sc_hd__decap_12 + SOURCE DIST + PLACED ( 88780 51680 ) FS ;
- FILLER_15_193 sky130_fd_sc_hd__decap_12 + SOURCE DIST + PLACED ( 94300 51680 ) FS ;
- FILLER_15_205 sky130_fd_sc_hd__decap_12 + SOURCE DIST + PLACED ( 99820 51680 ) FS ;
- FILLER_15_217 sky130_fd_sc_hd__decap_6 + SOURCE DIST + PLACED ( 105340 51680 ) FS ;
- FILLER_15_223 sky130_fd_sc_hd__fill_1 + SOURCE DIST + PLACED ( 108100 51680 ) FS ;
- FILLER_15_225 sky130_fd_sc_hd__decap_12 + SOURCE DIST + PLACED ( 109020 51680 ) FS ;
- FILLER_15_237 sky130_fd_sc_hd__decap_12 + SOURCE DIST + PLACED ( 114540 51680 ) FS ;
- FILLER_15_249 sky130_fd_sc_hd__decap_12 + SOURCE DIST + PLACED ( 120060 51680 ) FS ;
- FILLER_15_26 sky130_fd_sc_hd__decap_12 + SOURCE DIST + PLACED ( 17480 51680 ) FS ;
- FILLER_15_261 sky130_fd_sc_hd__decap_12 + SOURCE DIST + PLACED ( 125580 51680 ) FS ;
- FILLER_15_273 sky130_fd_sc_hd__decap_6 + SOURCE DIST + PLACED ( 131100 51680 ) FS ;
- FILLER_15_279 sky130_fd_sc_hd__fill_1 + SOURCE DIST + PLACED ( 133860 51680 ) FS ;
- FILLER_15_281 sky130_fd_sc_hd__decap_12 + SOURCE DIST + PLACED ( 134780 51680 ) FS ;
- FILLER_15_293 sky130_fd_sc_hd__decap_12 + SOURCE DIST + PLACED ( 140300 51680 ) FS ;
- FILLER_15_3 sky130_fd_sc_hd__decap_6 + SOURCE DIST + PLACED ( 6900 51680 ) FS ;
- FILLER_15_305 sky130_fd_sc_hd__decap_12 + SOURCE DIST + PLACED ( 145820 51680 ) FS ;
- FILLER_15_317 sky130_fd_sc_hd__decap_12 + SOURCE DIST + PLACED ( 151340 51680 ) FS ;
- FILLER_15_329 sky130_fd_sc_hd__decap_6 + SOURCE DIST + PLACED ( 156860 51680 ) FS ;
- FILLER_15_335 sky130_fd_sc_hd__fill_1 + SOURCE DIST + PLACED ( 159620 51680 ) FS ;
- FILLER_15_337 sky130_fd_sc_hd__decap_12 + SOURCE DIST + PLACED ( 160540 51680 ) FS ;
- FILLER_15_349 sky130_fd_sc_hd__decap_12 + SOURCE DIST + PLACED ( 166060 51680 ) FS ;
- FILLER_15_361 sky130_fd_sc_hd__decap_12 + SOURCE DIST + PLACED ( 171580 51680 ) FS ;
- FILLER_15_373 sky130_fd_sc_hd__decap_12 + SOURCE DIST + PLACED ( 177100 51680 ) FS ;
- FILLER_15_38 sky130_fd_sc_hd__decap_12 + SOURCE DIST + PLACED ( 23000 51680 ) FS ;
- FILLER_15_385 sky130_fd_sc_hd__decap_6 + SOURCE DIST + PLACED ( 182620 51680 ) FS ;
- FILLER_15_391 sky130_fd_sc_hd__fill_1 + SOURCE DIST + PLACED ( 185380 51680 ) FS ;
- FILLER_15_393 sky130_fd_sc_hd__decap_12 + SOURCE DIST + PLACED ( 186300 51680 ) FS ;
- FILLER_15_405 sky130_fd_sc_hd__decap_12 + SOURCE DIST + PLACED ( 191820 51680 ) FS ;
- FILLER_15_417 sky130_fd_sc_hd__decap_12 + SOURCE DIST + PLACED ( 197340 51680 ) FS ;
- FILLER_15_429 sky130_fd_sc_hd__decap_12 + SOURCE DIST + PLACED ( 202860 51680 ) FS ;
- FILLER_15_441 sky130_fd_sc_hd__decap_6 + SOURCE DIST + PLACED ( 208380 51680 ) FS ;
- FILLER_15_447 sky130_fd_sc_hd__fill_1 + SOURCE DIST + PLACED ( 211140 51680 ) FS ;
- FILLER_15_449 sky130_fd_sc_hd__decap_12 + SOURCE DIST + PLACED ( 212060 51680 ) FS ;
- FILLER_15_461 sky130_fd_sc_hd__decap_12 + SOURCE DIST + PLACED ( 217580 51680 ) FS ;
- FILLER_15_473 sky130_fd_sc_hd__decap_12 + SOURCE DIST + PLACED ( 223100 51680 ) FS ;
- FILLER_15_485 sky130_fd_sc_hd__decap_12 + SOURCE DIST + PLACED ( 228620 51680 ) FS ;
- FILLER_15_497 sky130_fd_sc_hd__decap_6 + SOURCE DIST + PLACED ( 234140 51680 ) FS ;
- FILLER_15_50 sky130_fd_sc_hd__decap_6 + SOURCE DIST + PLACED ( 28520 51680 ) FS ;
- FILLER_15_503 sky130_fd_sc_hd__fill_1 + SOURCE DIST + PLACED ( 236900 51680 ) FS ;
- FILLER_15_505 sky130_fd_sc_hd__decap_12 + SOURCE DIST + PLACED ( 237820 51680 ) FS ;
- FILLER_15_517 sky130_fd_sc_hd__decap_12 + SOURCE DIST + PLACED ( 243340 51680 ) FS ;
- FILLER_15_529 sky130_fd_sc_hd__decap_12 + SOURCE DIST + PLACED ( 248860 51680 ) FS ;
- FILLER_15_541 sky130_fd_sc_hd__decap_12 + SOURCE DIST + PLACED ( 254380 51680 ) FS ;
- FILLER_15_553 sky130_fd_sc_hd__decap_6 + SOURCE DIST + PLACED ( 259900 51680 ) FS ;
- FILLER_15_559 sky130_fd_sc_hd__fill_1 + SOURCE DIST + PLACED ( 262660 51680 ) FS ;
- FILLER_15_561 sky130_fd_sc_hd__decap_12 + SOURCE DIST + PLACED ( 263580 51680 ) FS ;
- FILLER_15_57 sky130_fd_sc_hd__decap_12 + SOURCE DIST + PLACED ( 31740 51680 ) FS ;
- FILLER_15_573 sky130_fd_sc_hd__decap_12 + SOURCE DIST + PLACED ( 269100 51680 ) FS ;
- FILLER_15_585 sky130_fd_sc_hd__decap_12 + SOURCE DIST + PLACED ( 274620 51680 ) FS ;
- FILLER_15_597 sky130_fd_sc_hd__decap_12 + SOURCE DIST + PLACED ( 280140 51680 ) FS ;
- FILLER_15_609 sky130_fd_sc_hd__decap_6 + SOURCE DIST + PLACED ( 285660 51680 ) FS ;
- FILLER_15_615 sky130_fd_sc_hd__fill_1 + SOURCE DIST + PLACED ( 288420 51680 ) FS ;
- FILLER_15_617 sky130_fd_sc_hd__decap_8 + SOURCE DIST + PLACED ( 289340 51680 ) FS ;
- FILLER_15_69 sky130_fd_sc_hd__decap_12 + SOURCE DIST + PLACED ( 37260 51680 ) FS ;
- FILLER_15_81 sky130_fd_sc_hd__decap_12 + SOURCE DIST + PLACED ( 42780 51680 ) FS ;
- FILLER_15_9 sky130_fd_sc_hd__fill_1 + SOURCE DIST + PLACED ( 9660 51680 ) FS ;
- FILLER_15_93 sky130_fd_sc_hd__decap_12 + SOURCE DIST + PLACED ( 48300 51680 ) FS ;
- FILLER_16_109 sky130_fd_sc_hd__decap_12 + SOURCE DIST + PLACED ( 55660 54400 ) N ;
- FILLER_16_121 sky130_fd_sc_hd__decap_12 + SOURCE DIST + PLACED ( 61180 54400 ) N ;
- FILLER_16_133 sky130_fd_sc_hd__decap_6 + SOURCE DIST + PLACED ( 66700 54400 ) N ;
- FILLER_16_139 sky130_fd_sc_hd__fill_1 + SOURCE DIST + PLACED ( 69460 54400 ) N ;
- FILLER_16_141 sky130_fd_sc_hd__decap_12 + SOURCE DIST + PLACED ( 70380 54400 ) N ;
- FILLER_16_15 sky130_fd_sc_hd__decap_12 + SOURCE DIST + PLACED ( 12420 54400 ) N ;
- FILLER_16_153 sky130_fd_sc_hd__decap_12 + SOURCE DIST + PLACED ( 75900 54400 ) N ;
- FILLER_16_165 sky130_fd_sc_hd__decap_12 + SOURCE DIST + PLACED ( 81420 54400 ) N ;
- FILLER_16_177 sky130_fd_sc_hd__decap_12 + SOURCE DIST + PLACED ( 86940 54400 ) N ;
- FILLER_16_189 sky130_fd_sc_hd__decap_6 + SOURCE DIST + PLACED ( 92460 54400 ) N ;
- FILLER_16_195 sky130_fd_sc_hd__fill_1 + SOURCE DIST + PLACED ( 95220 54400 ) N ;
- FILLER_16_197 sky130_fd_sc_hd__decap_12 + SOURCE DIST + PLACED ( 96140 54400 ) N ;
- FILLER_16_209 sky130_fd_sc_hd__decap_12 + SOURCE DIST + PLACED ( 101660 54400 ) N ;
- FILLER_16_221 sky130_fd_sc_hd__decap_12 + SOURCE DIST + PLACED ( 107180 54400 ) N ;
- FILLER_16_233 sky130_fd_sc_hd__decap_12 + SOURCE DIST + PLACED ( 112700 54400 ) N ;
- FILLER_16_245 sky130_fd_sc_hd__decap_6 + SOURCE DIST + PLACED ( 118220 54400 ) N ;
- FILLER_16_251 sky130_fd_sc_hd__fill_1 + SOURCE DIST + PLACED ( 120980 54400 ) N ;
- FILLER_16_253 sky130_fd_sc_hd__decap_12 + SOURCE DIST + PLACED ( 121900 54400 ) N ;
- FILLER_16_265 sky130_fd_sc_hd__decap_12 + SOURCE DIST + PLACED ( 127420 54400 ) N ;
- FILLER_16_27 sky130_fd_sc_hd__fill_1 + SOURCE DIST + PLACED ( 17940 54400 ) N ;
- FILLER_16_277 sky130_fd_sc_hd__decap_12 + SOURCE DIST + PLACED ( 132940 54400 ) N ;
- FILLER_16_289 sky130_fd_sc_hd__decap_12 + SOURCE DIST + PLACED ( 138460 54400 ) N ;
- FILLER_16_301 sky130_fd_sc_hd__decap_6 + SOURCE DIST + PLACED ( 143980 54400 ) N ;
- FILLER_16_307 sky130_fd_sc_hd__fill_1 + SOURCE DIST + PLACED ( 146740 54400 ) N ;
- FILLER_16_309 sky130_fd_sc_hd__decap_12 + SOURCE DIST + PLACED ( 147660 54400 ) N ;
- FILLER_16_321 sky130_fd_sc_hd__decap_12 + SOURCE DIST + PLACED ( 153180 54400 ) N ;
- FILLER_16_333 sky130_fd_sc_hd__decap_12 + SOURCE DIST + PLACED ( 158700 54400 ) N ;
- FILLER_16_345 sky130_fd_sc_hd__decap_12 + SOURCE DIST + PLACED ( 164220 54400 ) N ;
- FILLER_16_357 sky130_fd_sc_hd__decap_6 + SOURCE DIST + PLACED ( 169740 54400 ) N ;
- FILLER_16_363 sky130_fd_sc_hd__fill_1 + SOURCE DIST + PLACED ( 172500 54400 ) N ;
- FILLER_16_365 sky130_fd_sc_hd__decap_12 + SOURCE DIST + PLACED ( 173420 54400 ) N ;
- FILLER_16_377 sky130_fd_sc_hd__decap_12 + SOURCE DIST + PLACED ( 178940 54400 ) N ;
- FILLER_16_38 sky130_fd_sc_hd__decap_12 + SOURCE DIST + PLACED ( 23000 54400 ) N ;
- FILLER_16_389 sky130_fd_sc_hd__decap_12 + SOURCE DIST + PLACED ( 184460 54400 ) N ;
- FILLER_16_401 sky130_fd_sc_hd__decap_12 + SOURCE DIST + PLACED ( 189980 54400 ) N ;
- FILLER_16_413 sky130_fd_sc_hd__decap_6 + SOURCE DIST + PLACED ( 195500 54400 ) N ;
- FILLER_16_419 sky130_fd_sc_hd__fill_1 + SOURCE DIST + PLACED ( 198260 54400 ) N ;
- FILLER_16_421 sky130_fd_sc_hd__decap_12 + SOURCE DIST + PLACED ( 199180 54400 ) N ;
- FILLER_16_433 sky130_fd_sc_hd__decap_12 + SOURCE DIST + PLACED ( 204700 54400 ) N ;
- FILLER_16_445 sky130_fd_sc_hd__decap_12 + SOURCE DIST + PLACED ( 210220 54400 ) N ;
- FILLER_16_457 sky130_fd_sc_hd__decap_12 + SOURCE DIST + PLACED ( 215740 54400 ) N ;
- FILLER_16_469 sky130_fd_sc_hd__decap_6 + SOURCE DIST + PLACED ( 221260 54400 ) N ;
- FILLER_16_475 sky130_fd_sc_hd__fill_1 + SOURCE DIST + PLACED ( 224020 54400 ) N ;
- FILLER_16_477 sky130_fd_sc_hd__decap_12 + SOURCE DIST + PLACED ( 224940 54400 ) N ;
- FILLER_16_489 sky130_fd_sc_hd__decap_12 + SOURCE DIST + PLACED ( 230460 54400 ) N ;
- FILLER_16_50 sky130_fd_sc_hd__decap_12 + SOURCE DIST + PLACED ( 28520 54400 ) N ;
- FILLER_16_501 sky130_fd_sc_hd__decap_12 + SOURCE DIST + PLACED ( 235980 54400 ) N ;
- FILLER_16_513 sky130_fd_sc_hd__decap_12 + SOURCE DIST + PLACED ( 241500 54400 ) N ;
- FILLER_16_525 sky130_fd_sc_hd__decap_6 + SOURCE DIST + PLACED ( 247020 54400 ) N ;
- FILLER_16_531 sky130_fd_sc_hd__fill_1 + SOURCE DIST + PLACED ( 249780 54400 ) N ;
- FILLER_16_533 sky130_fd_sc_hd__decap_12 + SOURCE DIST + PLACED ( 250700 54400 ) N ;
- FILLER_16_545 sky130_fd_sc_hd__decap_12 + SOURCE DIST + PLACED ( 256220 54400 ) N ;
- FILLER_16_557 sky130_fd_sc_hd__decap_12 + SOURCE DIST + PLACED ( 261740 54400 ) N ;
- FILLER_16_569 sky130_fd_sc_hd__decap_12 + SOURCE DIST + PLACED ( 267260 54400 ) N ;
- FILLER_16_581 sky130_fd_sc_hd__decap_6 + SOURCE DIST + PLACED ( 272780 54400 ) N ;
- FILLER_16_587 sky130_fd_sc_hd__fill_1 + SOURCE DIST + PLACED ( 275540 54400 ) N ;
- FILLER_16_589 sky130_fd_sc_hd__decap_12 + SOURCE DIST + PLACED ( 276460 54400 ) N ;
- FILLER_16_6 sky130_fd_sc_hd__decap_6 + SOURCE DIST + PLACED ( 8280 54400 ) N ;
- FILLER_16_601 sky130_fd_sc_hd__decap_12 + SOURCE DIST + PLACED ( 281980 54400 ) N ;
- FILLER_16_613 sky130_fd_sc_hd__decap_12 + SOURCE DIST + PLACED ( 287500 54400 ) N ;
- FILLER_16_62 sky130_fd_sc_hd__decap_12 + SOURCE DIST + PLACED ( 34040 54400 ) N ;
- FILLER_16_74 sky130_fd_sc_hd__decap_8 + SOURCE DIST + PLACED ( 39560 54400 ) N ;
- FILLER_16_82 sky130_fd_sc_hd__fill_2 + SOURCE DIST + PLACED ( 43240 54400 ) N ;
- FILLER_16_85 sky130_fd_sc_hd__decap_12 + SOURCE DIST + PLACED ( 44620 54400 ) N ;
- FILLER_16_97 sky130_fd_sc_hd__decap_12 + SOURCE DIST + PLACED ( 50140 54400 ) N ;
- FILLER_17_105 sky130_fd_sc_hd__decap_6 + SOURCE DIST + PLACED ( 53820 57120 ) FS ;
- FILLER_17_111 sky130_fd_sc_hd__fill_1 + SOURCE DIST + PLACED ( 56580 57120 ) FS ;
- FILLER_17_113 sky130_fd_sc_hd__decap_12 + SOURCE DIST + PLACED ( 57500 57120 ) FS ;
- FILLER_17_125 sky130_fd_sc_hd__decap_12 + SOURCE DIST + PLACED ( 63020 57120 ) FS ;
- FILLER_17_137 sky130_fd_sc_hd__decap_12 + SOURCE DIST + PLACED ( 68540 57120 ) FS ;
- FILLER_17_149 sky130_fd_sc_hd__decap_12 + SOURCE DIST + PLACED ( 74060 57120 ) FS ;
- FILLER_17_161 sky130_fd_sc_hd__decap_6 + SOURCE DIST + PLACED ( 79580 57120 ) FS ;
- FILLER_17_167 sky130_fd_sc_hd__fill_1 + SOURCE DIST + PLACED ( 82340 57120 ) FS ;
- FILLER_17_169 sky130_fd_sc_hd__decap_12 + SOURCE DIST + PLACED ( 83260 57120 ) FS ;
- FILLER_17_18 sky130_fd_sc_hd__decap_4 + SOURCE DIST + PLACED ( 13800 57120 ) FS ;
- FILLER_17_181 sky130_fd_sc_hd__decap_12 + SOURCE DIST + PLACED ( 88780 57120 ) FS ;
- FILLER_17_193 sky130_fd_sc_hd__decap_12 + SOURCE DIST + PLACED ( 94300 57120 ) FS ;
- FILLER_17_205 sky130_fd_sc_hd__decap_12 + SOURCE DIST + PLACED ( 99820 57120 ) FS ;
- FILLER_17_217 sky130_fd_sc_hd__decap_6 + SOURCE DIST + PLACED ( 105340 57120 ) FS ;
- FILLER_17_223 sky130_fd_sc_hd__fill_1 + SOURCE DIST + PLACED ( 108100 57120 ) FS ;
- FILLER_17_225 sky130_fd_sc_hd__decap_12 + SOURCE DIST + PLACED ( 109020 57120 ) FS ;
- FILLER_17_237 sky130_fd_sc_hd__decap_12 + SOURCE DIST + PLACED ( 114540 57120 ) FS ;
- FILLER_17_249 sky130_fd_sc_hd__decap_12 + SOURCE DIST + PLACED ( 120060 57120 ) FS ;
- FILLER_17_25 sky130_fd_sc_hd__decap_4 + SOURCE DIST + PLACED ( 17020 57120 ) FS ;
- FILLER_17_261 sky130_fd_sc_hd__decap_12 + SOURCE DIST + PLACED ( 125580 57120 ) FS ;
- FILLER_17_273 sky130_fd_sc_hd__decap_6 + SOURCE DIST + PLACED ( 131100 57120 ) FS ;
- FILLER_17_279 sky130_fd_sc_hd__fill_1 + SOURCE DIST + PLACED ( 133860 57120 ) FS ;
- FILLER_17_281 sky130_fd_sc_hd__decap_12 + SOURCE DIST + PLACED ( 134780 57120 ) FS ;
- FILLER_17_293 sky130_fd_sc_hd__decap_12 + SOURCE DIST + PLACED ( 140300 57120 ) FS ;
- FILLER_17_305 sky130_fd_sc_hd__decap_12 + SOURCE DIST + PLACED ( 145820 57120 ) FS ;
- FILLER_17_317 sky130_fd_sc_hd__decap_12 + SOURCE DIST + PLACED ( 151340 57120 ) FS ;
- FILLER_17_329 sky130_fd_sc_hd__decap_6 + SOURCE DIST + PLACED ( 156860 57120 ) FS ;
- FILLER_17_335 sky130_fd_sc_hd__fill_1 + SOURCE DIST + PLACED ( 159620 57120 ) FS ;
- FILLER_17_337 sky130_fd_sc_hd__decap_12 + SOURCE DIST + PLACED ( 160540 57120 ) FS ;
- FILLER_17_349 sky130_fd_sc_hd__decap_12 + SOURCE DIST + PLACED ( 166060 57120 ) FS ;
- FILLER_17_361 sky130_fd_sc_hd__decap_12 + SOURCE DIST + PLACED ( 171580 57120 ) FS ;
- FILLER_17_373 sky130_fd_sc_hd__decap_12 + SOURCE DIST + PLACED ( 177100 57120 ) FS ;
- FILLER_17_385 sky130_fd_sc_hd__decap_6 + SOURCE DIST + PLACED ( 182620 57120 ) FS ;
- FILLER_17_391 sky130_fd_sc_hd__fill_1 + SOURCE DIST + PLACED ( 185380 57120 ) FS ;
- FILLER_17_393 sky130_fd_sc_hd__decap_12 + SOURCE DIST + PLACED ( 186300 57120 ) FS ;
- FILLER_17_405 sky130_fd_sc_hd__decap_12 + SOURCE DIST + PLACED ( 191820 57120 ) FS ;
- FILLER_17_417 sky130_fd_sc_hd__decap_12 + SOURCE DIST + PLACED ( 197340 57120 ) FS ;
- FILLER_17_429 sky130_fd_sc_hd__decap_12 + SOURCE DIST + PLACED ( 202860 57120 ) FS ;
- FILLER_17_441 sky130_fd_sc_hd__decap_6 + SOURCE DIST + PLACED ( 208380 57120 ) FS ;
- FILLER_17_447 sky130_fd_sc_hd__fill_1 + SOURCE DIST + PLACED ( 211140 57120 ) FS ;
- FILLER_17_449 sky130_fd_sc_hd__decap_12 + SOURCE DIST + PLACED ( 212060 57120 ) FS ;
- FILLER_17_45 sky130_fd_sc_hd__decap_8 + SOURCE DIST + PLACED ( 26220 57120 ) FS ;
- FILLER_17_461 sky130_fd_sc_hd__decap_12 + SOURCE DIST + PLACED ( 217580 57120 ) FS ;
- FILLER_17_473 sky130_fd_sc_hd__decap_12 + SOURCE DIST + PLACED ( 223100 57120 ) FS ;
- FILLER_17_485 sky130_fd_sc_hd__decap_12 + SOURCE DIST + PLACED ( 228620 57120 ) FS ;
- FILLER_17_497 sky130_fd_sc_hd__decap_6 + SOURCE DIST + PLACED ( 234140 57120 ) FS ;
- FILLER_17_503 sky130_fd_sc_hd__fill_1 + SOURCE DIST + PLACED ( 236900 57120 ) FS ;
- FILLER_17_505 sky130_fd_sc_hd__decap_12 + SOURCE DIST + PLACED ( 237820 57120 ) FS ;
- FILLER_17_517 sky130_fd_sc_hd__decap_12 + SOURCE DIST + PLACED ( 243340 57120 ) FS ;
- FILLER_17_529 sky130_fd_sc_hd__decap_12 + SOURCE DIST + PLACED ( 248860 57120 ) FS ;
- FILLER_17_53 sky130_fd_sc_hd__decap_3 + SOURCE DIST + PLACED ( 29900 57120 ) FS ;
- FILLER_17_541 sky130_fd_sc_hd__decap_12 + SOURCE DIST + PLACED ( 254380 57120 ) FS ;
- FILLER_17_553 sky130_fd_sc_hd__decap_6 + SOURCE DIST + PLACED ( 259900 57120 ) FS ;
- FILLER_17_559 sky130_fd_sc_hd__fill_1 + SOURCE DIST + PLACED ( 262660 57120 ) FS ;
- FILLER_17_561 sky130_fd_sc_hd__decap_12 + SOURCE DIST + PLACED ( 263580 57120 ) FS ;
- FILLER_17_57 sky130_fd_sc_hd__decap_12 + SOURCE DIST + PLACED ( 31740 57120 ) FS ;
- FILLER_17_573 sky130_fd_sc_hd__decap_12 + SOURCE DIST + PLACED ( 269100 57120 ) FS ;
- FILLER_17_585 sky130_fd_sc_hd__decap_12 + SOURCE DIST + PLACED ( 274620 57120 ) FS ;
- FILLER_17_597 sky130_fd_sc_hd__decap_12 + SOURCE DIST + PLACED ( 280140 57120 ) FS ;
- FILLER_17_6 sky130_fd_sc_hd__decap_12 + SOURCE DIST + PLACED ( 8280 57120 ) FS ;
- FILLER_17_609 sky130_fd_sc_hd__decap_6 + SOURCE DIST + PLACED ( 285660 57120 ) FS ;
- FILLER_17_615 sky130_fd_sc_hd__fill_1 + SOURCE DIST + PLACED ( 288420 57120 ) FS ;
- FILLER_17_617 sky130_fd_sc_hd__decap_8 + SOURCE DIST + PLACED ( 289340 57120 ) FS ;
- FILLER_17_69 sky130_fd_sc_hd__decap_12 + SOURCE DIST + PLACED ( 37260 57120 ) FS ;
- FILLER_17_81 sky130_fd_sc_hd__decap_12 + SOURCE DIST + PLACED ( 42780 57120 ) FS ;
- FILLER_17_93 sky130_fd_sc_hd__decap_12 + SOURCE DIST + PLACED ( 48300 57120 ) FS ;
- FILLER_18_109 sky130_fd_sc_hd__decap_12 + SOURCE DIST + PLACED ( 55660 59840 ) N ;
- FILLER_18_11 sky130_fd_sc_hd__fill_1 + SOURCE DIST + PLACED ( 10580 59840 ) N ;
- FILLER_18_121 sky130_fd_sc_hd__decap_12 + SOURCE DIST + PLACED ( 61180 59840 ) N ;
- FILLER_18_133 sky130_fd_sc_hd__decap_6 + SOURCE DIST + PLACED ( 66700 59840 ) N ;
- FILLER_18_139 sky130_fd_sc_hd__fill_1 + SOURCE DIST + PLACED ( 69460 59840 ) N ;
- FILLER_18_141 sky130_fd_sc_hd__decap_12 + SOURCE DIST + PLACED ( 70380 59840 ) N ;
- FILLER_18_15 sky130_fd_sc_hd__decap_12 + SOURCE DIST + PLACED ( 12420 59840 ) N ;
- FILLER_18_153 sky130_fd_sc_hd__decap_12 + SOURCE DIST + PLACED ( 75900 59840 ) N ;
- FILLER_18_165 sky130_fd_sc_hd__decap_12 + SOURCE DIST + PLACED ( 81420 59840 ) N ;
- FILLER_18_177 sky130_fd_sc_hd__decap_12 + SOURCE DIST + PLACED ( 86940 59840 ) N ;
- FILLER_18_189 sky130_fd_sc_hd__decap_6 + SOURCE DIST + PLACED ( 92460 59840 ) N ;
- FILLER_18_195 sky130_fd_sc_hd__fill_1 + SOURCE DIST + PLACED ( 95220 59840 ) N ;
- FILLER_18_197 sky130_fd_sc_hd__decap_12 + SOURCE DIST + PLACED ( 96140 59840 ) N ;
- FILLER_18_209 sky130_fd_sc_hd__decap_12 + SOURCE DIST + PLACED ( 101660 59840 ) N ;
- FILLER_18_221 sky130_fd_sc_hd__decap_12 + SOURCE DIST + PLACED ( 107180 59840 ) N ;
- FILLER_18_233 sky130_fd_sc_hd__decap_12 + SOURCE DIST + PLACED ( 112700 59840 ) N ;
- FILLER_18_245 sky130_fd_sc_hd__decap_6 + SOURCE DIST + PLACED ( 118220 59840 ) N ;
- FILLER_18_251 sky130_fd_sc_hd__fill_1 + SOURCE DIST + PLACED ( 120980 59840 ) N ;
- FILLER_18_253 sky130_fd_sc_hd__decap_12 + SOURCE DIST + PLACED ( 121900 59840 ) N ;
- FILLER_18_265 sky130_fd_sc_hd__decap_12 + SOURCE DIST + PLACED ( 127420 59840 ) N ;
- FILLER_18_27 sky130_fd_sc_hd__fill_1 + SOURCE DIST + PLACED ( 17940 59840 ) N ;
- FILLER_18_277 sky130_fd_sc_hd__decap_12 + SOURCE DIST + PLACED ( 132940 59840 ) N ;
- FILLER_18_289 sky130_fd_sc_hd__decap_12 + SOURCE DIST + PLACED ( 138460 59840 ) N ;
- FILLER_18_29 sky130_fd_sc_hd__decap_4 + SOURCE DIST + PLACED ( 18860 59840 ) N ;
- FILLER_18_301 sky130_fd_sc_hd__decap_6 + SOURCE DIST + PLACED ( 143980 59840 ) N ;
- FILLER_18_307 sky130_fd_sc_hd__fill_1 + SOURCE DIST + PLACED ( 146740 59840 ) N ;
- FILLER_18_309 sky130_fd_sc_hd__decap_12 + SOURCE DIST + PLACED ( 147660 59840 ) N ;
- FILLER_18_321 sky130_fd_sc_hd__decap_12 + SOURCE DIST + PLACED ( 153180 59840 ) N ;
- FILLER_18_333 sky130_fd_sc_hd__decap_12 + SOURCE DIST + PLACED ( 158700 59840 ) N ;
- FILLER_18_345 sky130_fd_sc_hd__decap_12 + SOURCE DIST + PLACED ( 164220 59840 ) N ;
- FILLER_18_357 sky130_fd_sc_hd__decap_6 + SOURCE DIST + PLACED ( 169740 59840 ) N ;
- FILLER_18_363 sky130_fd_sc_hd__fill_1 + SOURCE DIST + PLACED ( 172500 59840 ) N ;
- FILLER_18_365 sky130_fd_sc_hd__decap_12 + SOURCE DIST + PLACED ( 173420 59840 ) N ;
- FILLER_18_37 sky130_fd_sc_hd__decap_12 + SOURCE DIST + PLACED ( 22540 59840 ) N ;
- FILLER_18_377 sky130_fd_sc_hd__decap_12 + SOURCE DIST + PLACED ( 178940 59840 ) N ;
- FILLER_18_389 sky130_fd_sc_hd__decap_12 + SOURCE DIST + PLACED ( 184460 59840 ) N ;
- FILLER_18_401 sky130_fd_sc_hd__decap_12 + SOURCE DIST + PLACED ( 189980 59840 ) N ;
- FILLER_18_413 sky130_fd_sc_hd__decap_6 + SOURCE DIST + PLACED ( 195500 59840 ) N ;
- FILLER_18_419 sky130_fd_sc_hd__fill_1 + SOURCE DIST + PLACED ( 198260 59840 ) N ;
- FILLER_18_421 sky130_fd_sc_hd__decap_12 + SOURCE DIST + PLACED ( 199180 59840 ) N ;
- FILLER_18_433 sky130_fd_sc_hd__decap_12 + SOURCE DIST + PLACED ( 204700 59840 ) N ;
- FILLER_18_445 sky130_fd_sc_hd__decap_12 + SOURCE DIST + PLACED ( 210220 59840 ) N ;
- FILLER_18_457 sky130_fd_sc_hd__decap_12 + SOURCE DIST + PLACED ( 215740 59840 ) N ;
- FILLER_18_469 sky130_fd_sc_hd__decap_6 + SOURCE DIST + PLACED ( 221260 59840 ) N ;
- FILLER_18_475 sky130_fd_sc_hd__fill_1 + SOURCE DIST + PLACED ( 224020 59840 ) N ;
- FILLER_18_477 sky130_fd_sc_hd__decap_12 + SOURCE DIST + PLACED ( 224940 59840 ) N ;
- FILLER_18_489 sky130_fd_sc_hd__decap_12 + SOURCE DIST + PLACED ( 230460 59840 ) N ;
- FILLER_18_49 sky130_fd_sc_hd__decap_12 + SOURCE DIST + PLACED ( 28060 59840 ) N ;
- FILLER_18_501 sky130_fd_sc_hd__decap_12 + SOURCE DIST + PLACED ( 235980 59840 ) N ;
- FILLER_18_513 sky130_fd_sc_hd__decap_12 + SOURCE DIST + PLACED ( 241500 59840 ) N ;
- FILLER_18_525 sky130_fd_sc_hd__decap_6 + SOURCE DIST + PLACED ( 247020 59840 ) N ;
- FILLER_18_531 sky130_fd_sc_hd__fill_1 + SOURCE DIST + PLACED ( 249780 59840 ) N ;
- FILLER_18_533 sky130_fd_sc_hd__decap_12 + SOURCE DIST + PLACED ( 250700 59840 ) N ;
- FILLER_18_545 sky130_fd_sc_hd__decap_12 + SOURCE DIST + PLACED ( 256220 59840 ) N ;
- FILLER_18_557 sky130_fd_sc_hd__decap_12 + SOURCE DIST + PLACED ( 261740 59840 ) N ;
- FILLER_18_569 sky130_fd_sc_hd__decap_12 + SOURCE DIST + PLACED ( 267260 59840 ) N ;
- FILLER_18_581 sky130_fd_sc_hd__decap_6 + SOURCE DIST + PLACED ( 272780 59840 ) N ;
- FILLER_18_587 sky130_fd_sc_hd__fill_1 + SOURCE DIST + PLACED ( 275540 59840 ) N ;
- FILLER_18_589 sky130_fd_sc_hd__decap_12 + SOURCE DIST + PLACED ( 276460 59840 ) N ;
- FILLER_18_601 sky130_fd_sc_hd__decap_12 + SOURCE DIST + PLACED ( 281980 59840 ) N ;
- FILLER_18_61 sky130_fd_sc_hd__decap_12 + SOURCE DIST + PLACED ( 33580 59840 ) N ;
- FILLER_18_613 sky130_fd_sc_hd__decap_12 + SOURCE DIST + PLACED ( 287500 59840 ) N ;
- FILLER_18_7 sky130_fd_sc_hd__decap_4 + SOURCE DIST + PLACED ( 8740 59840 ) N ;
- FILLER_18_73 sky130_fd_sc_hd__decap_8 + SOURCE DIST + PLACED ( 39100 59840 ) N ;
- FILLER_18_81 sky130_fd_sc_hd__decap_3 + SOURCE DIST + PLACED ( 42780 59840 ) N ;
- FILLER_18_85 sky130_fd_sc_hd__decap_12 + SOURCE DIST + PLACED ( 44620 59840 ) N ;
- FILLER_18_97 sky130_fd_sc_hd__decap_12 + SOURCE DIST + PLACED ( 50140 59840 ) N ;
- FILLER_19_105 sky130_fd_sc_hd__decap_6 + SOURCE DIST + PLACED ( 53820 62560 ) FS ;
- FILLER_19_111 sky130_fd_sc_hd__fill_1 + SOURCE DIST + PLACED ( 56580 62560 ) FS ;
- FILLER_19_113 sky130_fd_sc_hd__decap_12 + SOURCE DIST + PLACED ( 57500 62560 ) FS ;
- FILLER_19_125 sky130_fd_sc_hd__decap_12 + SOURCE DIST + PLACED ( 63020 62560 ) FS ;
- FILLER_19_137 sky130_fd_sc_hd__decap_12 + SOURCE DIST + PLACED ( 68540 62560 ) FS ;
- FILLER_19_149 sky130_fd_sc_hd__decap_12 + SOURCE DIST + PLACED ( 74060 62560 ) FS ;
- FILLER_19_161 sky130_fd_sc_hd__decap_6 + SOURCE DIST + PLACED ( 79580 62560 ) FS ;
- FILLER_19_167 sky130_fd_sc_hd__fill_1 + SOURCE DIST + PLACED ( 82340 62560 ) FS ;
- FILLER_19_169 sky130_fd_sc_hd__decap_12 + SOURCE DIST + PLACED ( 83260 62560 ) FS ;
- FILLER_19_181 sky130_fd_sc_hd__decap_12 + SOURCE DIST + PLACED ( 88780 62560 ) FS ;
- FILLER_19_193 sky130_fd_sc_hd__decap_12 + SOURCE DIST + PLACED ( 94300 62560 ) FS ;
- FILLER_19_205 sky130_fd_sc_hd__decap_12 + SOURCE DIST + PLACED ( 99820 62560 ) FS ;
- FILLER_19_217 sky130_fd_sc_hd__decap_6 + SOURCE DIST + PLACED ( 105340 62560 ) FS ;
- FILLER_19_223 sky130_fd_sc_hd__fill_1 + SOURCE DIST + PLACED ( 108100 62560 ) FS ;
- FILLER_19_225 sky130_fd_sc_hd__decap_12 + SOURCE DIST + PLACED ( 109020 62560 ) FS ;
- FILLER_19_237 sky130_fd_sc_hd__decap_12 + SOURCE DIST + PLACED ( 114540 62560 ) FS ;
- FILLER_19_24 sky130_fd_sc_hd__decap_12 + SOURCE DIST + PLACED ( 16560 62560 ) FS ;
- FILLER_19_249 sky130_fd_sc_hd__decap_12 + SOURCE DIST + PLACED ( 120060 62560 ) FS ;
- FILLER_19_261 sky130_fd_sc_hd__decap_12 + SOURCE DIST + PLACED ( 125580 62560 ) FS ;
- FILLER_19_273 sky130_fd_sc_hd__decap_6 + SOURCE DIST + PLACED ( 131100 62560 ) FS ;
- FILLER_19_279 sky130_fd_sc_hd__fill_1 + SOURCE DIST + PLACED ( 133860 62560 ) FS ;
- FILLER_19_281 sky130_fd_sc_hd__decap_12 + SOURCE DIST + PLACED ( 134780 62560 ) FS ;
- FILLER_19_293 sky130_fd_sc_hd__decap_12 + SOURCE DIST + PLACED ( 140300 62560 ) FS ;
- FILLER_19_3 sky130_fd_sc_hd__decap_4 + SOURCE DIST + PLACED ( 6900 62560 ) FS ;
- FILLER_19_305 sky130_fd_sc_hd__decap_12 + SOURCE DIST + PLACED ( 145820 62560 ) FS ;
- FILLER_19_317 sky130_fd_sc_hd__decap_12 + SOURCE DIST + PLACED ( 151340 62560 ) FS ;
- FILLER_19_329 sky130_fd_sc_hd__decap_6 + SOURCE DIST + PLACED ( 156860 62560 ) FS ;
- FILLER_19_335 sky130_fd_sc_hd__fill_1 + SOURCE DIST + PLACED ( 159620 62560 ) FS ;
- FILLER_19_337 sky130_fd_sc_hd__decap_12 + SOURCE DIST + PLACED ( 160540 62560 ) FS ;
- FILLER_19_349 sky130_fd_sc_hd__decap_12 + SOURCE DIST + PLACED ( 166060 62560 ) FS ;
- FILLER_19_36 sky130_fd_sc_hd__decap_12 + SOURCE DIST + PLACED ( 22080 62560 ) FS ;
- FILLER_19_361 sky130_fd_sc_hd__decap_12 + SOURCE DIST + PLACED ( 171580 62560 ) FS ;
- FILLER_19_373 sky130_fd_sc_hd__decap_12 + SOURCE DIST + PLACED ( 177100 62560 ) FS ;
- FILLER_19_385 sky130_fd_sc_hd__decap_6 + SOURCE DIST + PLACED ( 182620 62560 ) FS ;
- FILLER_19_391 sky130_fd_sc_hd__fill_1 + SOURCE DIST + PLACED ( 185380 62560 ) FS ;
- FILLER_19_393 sky130_fd_sc_hd__decap_12 + SOURCE DIST + PLACED ( 186300 62560 ) FS ;
- FILLER_19_405 sky130_fd_sc_hd__decap_12 + SOURCE DIST + PLACED ( 191820 62560 ) FS ;
- FILLER_19_417 sky130_fd_sc_hd__decap_12 + SOURCE DIST + PLACED ( 197340 62560 ) FS ;
- FILLER_19_429 sky130_fd_sc_hd__decap_12 + SOURCE DIST + PLACED ( 202860 62560 ) FS ;
- FILLER_19_441 sky130_fd_sc_hd__decap_6 + SOURCE DIST + PLACED ( 208380 62560 ) FS ;
- FILLER_19_447 sky130_fd_sc_hd__fill_1 + SOURCE DIST + PLACED ( 211140 62560 ) FS ;
- FILLER_19_449 sky130_fd_sc_hd__decap_12 + SOURCE DIST + PLACED ( 212060 62560 ) FS ;
- FILLER_19_461 sky130_fd_sc_hd__decap_12 + SOURCE DIST + PLACED ( 217580 62560 ) FS ;
- FILLER_19_473 sky130_fd_sc_hd__decap_12 + SOURCE DIST + PLACED ( 223100 62560 ) FS ;
- FILLER_19_48 sky130_fd_sc_hd__decap_8 + SOURCE DIST + PLACED ( 27600 62560 ) FS ;
- FILLER_19_485 sky130_fd_sc_hd__decap_12 + SOURCE DIST + PLACED ( 228620 62560 ) FS ;
- FILLER_19_497 sky130_fd_sc_hd__decap_6 + SOURCE DIST + PLACED ( 234140 62560 ) FS ;
- FILLER_19_503 sky130_fd_sc_hd__fill_1 + SOURCE DIST + PLACED ( 236900 62560 ) FS ;
- FILLER_19_505 sky130_fd_sc_hd__decap_12 + SOURCE DIST + PLACED ( 237820 62560 ) FS ;
- FILLER_19_517 sky130_fd_sc_hd__decap_12 + SOURCE DIST + PLACED ( 243340 62560 ) FS ;
- FILLER_19_529 sky130_fd_sc_hd__decap_12 + SOURCE DIST + PLACED ( 248860 62560 ) FS ;
- FILLER_19_541 sky130_fd_sc_hd__decap_12 + SOURCE DIST + PLACED ( 254380 62560 ) FS ;
- FILLER_19_553 sky130_fd_sc_hd__decap_6 + SOURCE DIST + PLACED ( 259900 62560 ) FS ;
- FILLER_19_559 sky130_fd_sc_hd__fill_1 + SOURCE DIST + PLACED ( 262660 62560 ) FS ;
- FILLER_19_561 sky130_fd_sc_hd__decap_12 + SOURCE DIST + PLACED ( 263580 62560 ) FS ;
- FILLER_19_57 sky130_fd_sc_hd__decap_12 + SOURCE DIST + PLACED ( 31740 62560 ) FS ;
- FILLER_19_573 sky130_fd_sc_hd__decap_12 + SOURCE DIST + PLACED ( 269100 62560 ) FS ;
- FILLER_19_585 sky130_fd_sc_hd__decap_12 + SOURCE DIST + PLACED ( 274620 62560 ) FS ;
- FILLER_19_597 sky130_fd_sc_hd__decap_12 + SOURCE DIST + PLACED ( 280140 62560 ) FS ;
- FILLER_19_609 sky130_fd_sc_hd__decap_6 + SOURCE DIST + PLACED ( 285660 62560 ) FS ;
- FILLER_19_615 sky130_fd_sc_hd__fill_1 + SOURCE DIST + PLACED ( 288420 62560 ) FS ;
- FILLER_19_617 sky130_fd_sc_hd__decap_8 + SOURCE DIST + PLACED ( 289340 62560 ) FS ;
- FILLER_19_69 sky130_fd_sc_hd__decap_12 + SOURCE DIST + PLACED ( 37260 62560 ) FS ;
- FILLER_19_7 sky130_fd_sc_hd__fill_1 + SOURCE DIST + PLACED ( 8740 62560 ) FS ;
- FILLER_19_81 sky130_fd_sc_hd__decap_12 + SOURCE DIST + PLACED ( 42780 62560 ) FS ;
- FILLER_19_93 sky130_fd_sc_hd__decap_12 + SOURCE DIST + PLACED ( 48300 62560 ) FS ;
- FILLER_1_10 sky130_fd_sc_hd__decap_4 + SOURCE DIST + PLACED ( 10120 13600 ) FS ;
- FILLER_1_101 sky130_fd_sc_hd__fill_1 + SOURCE DIST + PLACED ( 51980 13600 ) FS ;
- FILLER_1_105 sky130_fd_sc_hd__decap_6 + SOURCE DIST + PLACED ( 53820 13600 ) FS ;
- FILLER_1_111 sky130_fd_sc_hd__fill_1 + SOURCE DIST + PLACED ( 56580 13600 ) FS ;
- FILLER_1_113 sky130_fd_sc_hd__decap_6 + SOURCE DIST + PLACED ( 57500 13600 ) FS ;
- FILLER_1_124 sky130_fd_sc_hd__decap_12 + SOURCE DIST + PLACED ( 62560 13600 ) FS ;
- FILLER_1_136 sky130_fd_sc_hd__decap_3 + SOURCE DIST + PLACED ( 68080 13600 ) FS ;
- FILLER_1_142 sky130_fd_sc_hd__decap_12 + SOURCE DIST + PLACED ( 70840 13600 ) FS ;
- FILLER_1_154 sky130_fd_sc_hd__fill_1 + SOURCE DIST + PLACED ( 76360 13600 ) FS ;
- FILLER_1_158 sky130_fd_sc_hd__decap_8 + SOURCE DIST + PLACED ( 78200 13600 ) FS ;
- FILLER_1_166 sky130_fd_sc_hd__fill_2 + SOURCE DIST + PLACED ( 81880 13600 ) FS ;
- FILLER_1_169 sky130_fd_sc_hd__decap_12 + SOURCE DIST + PLACED ( 83260 13600 ) FS ;
- FILLER_1_18 sky130_fd_sc_hd__decap_8 + SOURCE DIST + PLACED ( 13800 13600 ) FS ;
- FILLER_1_184 sky130_fd_sc_hd__decap_12 + SOURCE DIST + PLACED ( 90160 13600 ) FS ;
- FILLER_1_196 sky130_fd_sc_hd__fill_1 + SOURCE DIST + PLACED ( 95680 13600 ) FS ;
- FILLER_1_200 sky130_fd_sc_hd__decap_8 + SOURCE DIST + PLACED ( 97520 13600 ) FS ;
- FILLER_1_211 sky130_fd_sc_hd__decap_6 + SOURCE DIST + PLACED ( 102580 13600 ) FS ;
- FILLER_1_220 sky130_fd_sc_hd__decap_4 + SOURCE DIST + PLACED ( 106720 13600 ) FS ;
- FILLER_1_228 sky130_fd_sc_hd__decap_12 + SOURCE DIST + PLACED ( 110400 13600 ) FS ;
- FILLER_1_240 sky130_fd_sc_hd__decap_4 + SOURCE DIST + PLACED ( 115920 13600 ) FS ;
- FILLER_1_244 sky130_fd_sc_hd__fill_1 + SOURCE DIST + PLACED ( 117760 13600 ) FS ;
- FILLER_1_248 sky130_fd_sc_hd__decap_12 + SOURCE DIST + PLACED ( 119600 13600 ) FS ;
- FILLER_1_260 sky130_fd_sc_hd__fill_1 + SOURCE DIST + PLACED ( 125120 13600 ) FS ;
- FILLER_1_264 sky130_fd_sc_hd__decap_12 + SOURCE DIST + PLACED ( 126960 13600 ) FS ;
- FILLER_1_276 sky130_fd_sc_hd__decap_4 + SOURCE DIST + PLACED ( 132480 13600 ) FS ;
- FILLER_1_281 sky130_fd_sc_hd__decap_12 + SOURCE DIST + PLACED ( 134780 13600 ) FS ;
- FILLER_1_293 sky130_fd_sc_hd__decap_8 + SOURCE DIST + PLACED ( 140300 13600 ) FS ;
- FILLER_1_3 sky130_fd_sc_hd__decap_3 + SOURCE DIST + PLACED ( 6900 13600 ) FS ;
- FILLER_1_301 sky130_fd_sc_hd__fill_2 + SOURCE DIST + PLACED ( 143980 13600 ) FS ;
- FILLER_1_313 sky130_fd_sc_hd__decap_8 + SOURCE DIST + PLACED ( 149500 13600 ) FS ;
- FILLER_1_321 sky130_fd_sc_hd__decap_3 + SOURCE DIST + PLACED ( 153180 13600 ) FS ;
- FILLER_1_327 sky130_fd_sc_hd__decap_8 + SOURCE DIST + PLACED ( 155940 13600 ) FS ;
- FILLER_1_335 sky130_fd_sc_hd__fill_1 + SOURCE DIST + PLACED ( 159620 13600 ) FS ;
- FILLER_1_337 sky130_fd_sc_hd__decap_3 + SOURCE DIST + PLACED ( 160540 13600 ) FS ;
- FILLER_1_343 sky130_fd_sc_hd__decap_8 + SOURCE DIST + PLACED ( 163300 13600 ) FS ;
- FILLER_1_361 sky130_fd_sc_hd__decap_12 + SOURCE DIST + PLACED ( 171580 13600 ) FS ;
- FILLER_1_373 sky130_fd_sc_hd__fill_2 + SOURCE DIST + PLACED ( 177100 13600 ) FS ;
- FILLER_1_378 sky130_fd_sc_hd__decap_12 + SOURCE DIST + PLACED ( 179400 13600 ) FS ;
- FILLER_1_390 sky130_fd_sc_hd__fill_2 + SOURCE DIST + PLACED ( 184920 13600 ) FS ;
- FILLER_1_396 sky130_fd_sc_hd__decap_12 + SOURCE DIST + PLACED ( 187680 13600 ) FS ;
- FILLER_1_408 sky130_fd_sc_hd__decap_12 + SOURCE DIST + PLACED ( 193200 13600 ) FS ;
- FILLER_1_42 sky130_fd_sc_hd__decap_6 + SOURCE DIST + PLACED ( 24840 13600 ) FS ;
- FILLER_1_423 sky130_fd_sc_hd__decap_12 + SOURCE DIST + PLACED ( 200100 13600 ) FS ;
- FILLER_1_435 sky130_fd_sc_hd__decap_12 + SOURCE DIST + PLACED ( 205620 13600 ) FS ;
- FILLER_1_447 sky130_fd_sc_hd__fill_1 + SOURCE DIST + PLACED ( 211140 13600 ) FS ;
- FILLER_1_449 sky130_fd_sc_hd__decap_3 + SOURCE DIST + PLACED ( 212060 13600 ) FS ;
- FILLER_1_455 sky130_fd_sc_hd__decap_12 + SOURCE DIST + PLACED ( 214820 13600 ) FS ;
- FILLER_1_467 sky130_fd_sc_hd__fill_1 + SOURCE DIST + PLACED ( 220340 13600 ) FS ;
- FILLER_1_471 sky130_fd_sc_hd__decap_12 + SOURCE DIST + PLACED ( 222180 13600 ) FS ;
- FILLER_1_48 sky130_fd_sc_hd__fill_1 + SOURCE DIST + PLACED ( 27600 13600 ) FS ;
- FILLER_1_486 sky130_fd_sc_hd__decap_12 + SOURCE DIST + PLACED ( 229080 13600 ) FS ;
- FILLER_1_498 sky130_fd_sc_hd__decap_6 + SOURCE DIST + PLACED ( 234600 13600 ) FS ;
- FILLER_1_508 sky130_fd_sc_hd__decap_12 + SOURCE DIST + PLACED ( 239200 13600 ) FS ;
- FILLER_1_52 sky130_fd_sc_hd__decap_4 + SOURCE DIST + PLACED ( 29440 13600 ) FS ;
- FILLER_1_520 sky130_fd_sc_hd__decap_8 + SOURCE DIST + PLACED ( 244720 13600 ) FS ;
- FILLER_1_528 sky130_fd_sc_hd__decap_3 + SOURCE DIST + PLACED ( 248400 13600 ) FS ;
- FILLER_1_534 sky130_fd_sc_hd__decap_12 + SOURCE DIST + PLACED ( 251160 13600 ) FS ;
- FILLER_1_546 sky130_fd_sc_hd__decap_4 + SOURCE DIST + PLACED ( 256680 13600 ) FS ;
- FILLER_1_550 sky130_fd_sc_hd__fill_1 + SOURCE DIST + PLACED ( 258520 13600 ) FS ;
- FILLER_1_554 sky130_fd_sc_hd__decap_6 + SOURCE DIST + PLACED ( 260360 13600 ) FS ;
- FILLER_1_561 sky130_fd_sc_hd__fill_2 + SOURCE DIST + PLACED ( 263580 13600 ) FS ;
- FILLER_1_566 sky130_fd_sc_hd__decap_12 + SOURCE DIST + PLACED ( 265880 13600 ) FS ;
- FILLER_1_57 sky130_fd_sc_hd__fill_2 + SOURCE DIST + PLACED ( 31740 13600 ) FS ;
- FILLER_1_578 sky130_fd_sc_hd__fill_1 + SOURCE DIST + PLACED ( 271400 13600 ) FS ;
- FILLER_1_582 sky130_fd_sc_hd__decap_12 + SOURCE DIST + PLACED ( 273240 13600 ) FS ;
- FILLER_1_594 sky130_fd_sc_hd__fill_1 + SOURCE DIST + PLACED ( 278760 13600 ) FS ;
- FILLER_1_598 sky130_fd_sc_hd__decap_8 + SOURCE DIST + PLACED ( 280600 13600 ) FS ;
- FILLER_1_612 sky130_fd_sc_hd__decap_4 + SOURCE DIST + PLACED ( 287040 13600 ) FS ;
- FILLER_1_617 sky130_fd_sc_hd__fill_1 + SOURCE DIST + PLACED ( 289340 13600 ) FS ;
- FILLER_1_62 sky130_fd_sc_hd__decap_4 + SOURCE DIST + PLACED ( 34040 13600 ) FS ;
- FILLER_1_621 sky130_fd_sc_hd__decap_4 + SOURCE DIST + PLACED ( 291180 13600 ) FS ;
- FILLER_1_71 sky130_fd_sc_hd__decap_8 + SOURCE DIST + PLACED ( 38180 13600 ) FS ;
- FILLER_1_79 sky130_fd_sc_hd__fill_2 + SOURCE DIST + PLACED ( 41860 13600 ) FS ;
- FILLER_1_84 sky130_fd_sc_hd__decap_4 + SOURCE DIST + PLACED ( 44160 13600 ) FS ;
- FILLER_1_93 sky130_fd_sc_hd__decap_8 + SOURCE DIST + PLACED ( 48300 13600 ) FS ;
- FILLER_20_109 sky130_fd_sc_hd__decap_12 + SOURCE DIST + PLACED ( 55660 65280 ) N ;
- FILLER_20_11 sky130_fd_sc_hd__fill_1 + SOURCE DIST + PLACED ( 10580 65280 ) N ;
- FILLER_20_121 sky130_fd_sc_hd__decap_12 + SOURCE DIST + PLACED ( 61180 65280 ) N ;
- FILLER_20_133 sky130_fd_sc_hd__decap_6 + SOURCE DIST + PLACED ( 66700 65280 ) N ;
- FILLER_20_139 sky130_fd_sc_hd__fill_1 + SOURCE DIST + PLACED ( 69460 65280 ) N ;
- FILLER_20_141 sky130_fd_sc_hd__decap_12 + SOURCE DIST + PLACED ( 70380 65280 ) N ;
- FILLER_20_153 sky130_fd_sc_hd__decap_12 + SOURCE DIST + PLACED ( 75900 65280 ) N ;
- FILLER_20_165 sky130_fd_sc_hd__decap_12 + SOURCE DIST + PLACED ( 81420 65280 ) N ;
- FILLER_20_177 sky130_fd_sc_hd__decap_12 + SOURCE DIST + PLACED ( 86940 65280 ) N ;
- FILLER_20_189 sky130_fd_sc_hd__decap_6 + SOURCE DIST + PLACED ( 92460 65280 ) N ;
- FILLER_20_195 sky130_fd_sc_hd__fill_1 + SOURCE DIST + PLACED ( 95220 65280 ) N ;
- FILLER_20_197 sky130_fd_sc_hd__decap_12 + SOURCE DIST + PLACED ( 96140 65280 ) N ;
- FILLER_20_209 sky130_fd_sc_hd__decap_12 + SOURCE DIST + PLACED ( 101660 65280 ) N ;
- FILLER_20_21 sky130_fd_sc_hd__decap_6 + SOURCE DIST + PLACED ( 15180 65280 ) N ;
- FILLER_20_221 sky130_fd_sc_hd__decap_12 + SOURCE DIST + PLACED ( 107180 65280 ) N ;
- FILLER_20_233 sky130_fd_sc_hd__decap_12 + SOURCE DIST + PLACED ( 112700 65280 ) N ;
- FILLER_20_245 sky130_fd_sc_hd__decap_6 + SOURCE DIST + PLACED ( 118220 65280 ) N ;
- FILLER_20_251 sky130_fd_sc_hd__fill_1 + SOURCE DIST + PLACED ( 120980 65280 ) N ;
- FILLER_20_253 sky130_fd_sc_hd__decap_12 + SOURCE DIST + PLACED ( 121900 65280 ) N ;
- FILLER_20_265 sky130_fd_sc_hd__decap_12 + SOURCE DIST + PLACED ( 127420 65280 ) N ;
- FILLER_20_27 sky130_fd_sc_hd__fill_1 + SOURCE DIST + PLACED ( 17940 65280 ) N ;
- FILLER_20_277 sky130_fd_sc_hd__decap_12 + SOURCE DIST + PLACED ( 132940 65280 ) N ;
- FILLER_20_289 sky130_fd_sc_hd__decap_12 + SOURCE DIST + PLACED ( 138460 65280 ) N ;
- FILLER_20_301 sky130_fd_sc_hd__decap_6 + SOURCE DIST + PLACED ( 143980 65280 ) N ;
- FILLER_20_307 sky130_fd_sc_hd__fill_1 + SOURCE DIST + PLACED ( 146740 65280 ) N ;
- FILLER_20_309 sky130_fd_sc_hd__decap_12 + SOURCE DIST + PLACED ( 147660 65280 ) N ;
- FILLER_20_321 sky130_fd_sc_hd__decap_12 + SOURCE DIST + PLACED ( 153180 65280 ) N ;
- FILLER_20_333 sky130_fd_sc_hd__decap_12 + SOURCE DIST + PLACED ( 158700 65280 ) N ;
- FILLER_20_345 sky130_fd_sc_hd__decap_12 + SOURCE DIST + PLACED ( 164220 65280 ) N ;
- FILLER_20_357 sky130_fd_sc_hd__decap_6 + SOURCE DIST + PLACED ( 169740 65280 ) N ;
- FILLER_20_363 sky130_fd_sc_hd__fill_1 + SOURCE DIST + PLACED ( 172500 65280 ) N ;
- FILLER_20_365 sky130_fd_sc_hd__decap_12 + SOURCE DIST + PLACED ( 173420 65280 ) N ;
- FILLER_20_377 sky130_fd_sc_hd__decap_12 + SOURCE DIST + PLACED ( 178940 65280 ) N ;
- FILLER_20_38 sky130_fd_sc_hd__decap_12 + SOURCE DIST + PLACED ( 23000 65280 ) N ;
- FILLER_20_389 sky130_fd_sc_hd__decap_12 + SOURCE DIST + PLACED ( 184460 65280 ) N ;
- FILLER_20_401 sky130_fd_sc_hd__decap_12 + SOURCE DIST + PLACED ( 189980 65280 ) N ;
- FILLER_20_413 sky130_fd_sc_hd__decap_6 + SOURCE DIST + PLACED ( 195500 65280 ) N ;
- FILLER_20_419 sky130_fd_sc_hd__fill_1 + SOURCE DIST + PLACED ( 198260 65280 ) N ;
- FILLER_20_421 sky130_fd_sc_hd__decap_12 + SOURCE DIST + PLACED ( 199180 65280 ) N ;
- FILLER_20_433 sky130_fd_sc_hd__decap_12 + SOURCE DIST + PLACED ( 204700 65280 ) N ;
- FILLER_20_445 sky130_fd_sc_hd__decap_12 + SOURCE DIST + PLACED ( 210220 65280 ) N ;
- FILLER_20_457 sky130_fd_sc_hd__decap_12 + SOURCE DIST + PLACED ( 215740 65280 ) N ;
- FILLER_20_469 sky130_fd_sc_hd__decap_6 + SOURCE DIST + PLACED ( 221260 65280 ) N ;
- FILLER_20_475 sky130_fd_sc_hd__fill_1 + SOURCE DIST + PLACED ( 224020 65280 ) N ;
- FILLER_20_477 sky130_fd_sc_hd__decap_12 + SOURCE DIST + PLACED ( 224940 65280 ) N ;
- FILLER_20_489 sky130_fd_sc_hd__decap_12 + SOURCE DIST + PLACED ( 230460 65280 ) N ;
- FILLER_20_50 sky130_fd_sc_hd__decap_12 + SOURCE DIST + PLACED ( 28520 65280 ) N ;
- FILLER_20_501 sky130_fd_sc_hd__decap_12 + SOURCE DIST + PLACED ( 235980 65280 ) N ;
- FILLER_20_513 sky130_fd_sc_hd__decap_12 + SOURCE DIST + PLACED ( 241500 65280 ) N ;
- FILLER_20_525 sky130_fd_sc_hd__decap_6 + SOURCE DIST + PLACED ( 247020 65280 ) N ;
- FILLER_20_531 sky130_fd_sc_hd__fill_1 + SOURCE DIST + PLACED ( 249780 65280 ) N ;
- FILLER_20_533 sky130_fd_sc_hd__decap_12 + SOURCE DIST + PLACED ( 250700 65280 ) N ;
- FILLER_20_545 sky130_fd_sc_hd__decap_12 + SOURCE DIST + PLACED ( 256220 65280 ) N ;
- FILLER_20_557 sky130_fd_sc_hd__decap_12 + SOURCE DIST + PLACED ( 261740 65280 ) N ;
- FILLER_20_569 sky130_fd_sc_hd__decap_12 + SOURCE DIST + PLACED ( 267260 65280 ) N ;
- FILLER_20_581 sky130_fd_sc_hd__decap_6 + SOURCE DIST + PLACED ( 272780 65280 ) N ;
- FILLER_20_587 sky130_fd_sc_hd__fill_1 + SOURCE DIST + PLACED ( 275540 65280 ) N ;
- FILLER_20_589 sky130_fd_sc_hd__decap_12 + SOURCE DIST + PLACED ( 276460 65280 ) N ;
- FILLER_20_601 sky130_fd_sc_hd__decap_12 + SOURCE DIST + PLACED ( 281980 65280 ) N ;
- FILLER_20_613 sky130_fd_sc_hd__decap_12 + SOURCE DIST + PLACED ( 287500 65280 ) N ;
- FILLER_20_62 sky130_fd_sc_hd__decap_12 + SOURCE DIST + PLACED ( 34040 65280 ) N ;
- FILLER_20_7 sky130_fd_sc_hd__decap_4 + SOURCE DIST + PLACED ( 8740 65280 ) N ;
- FILLER_20_74 sky130_fd_sc_hd__decap_8 + SOURCE DIST + PLACED ( 39560 65280 ) N ;
- FILLER_20_82 sky130_fd_sc_hd__fill_2 + SOURCE DIST + PLACED ( 43240 65280 ) N ;
- FILLER_20_85 sky130_fd_sc_hd__decap_12 + SOURCE DIST + PLACED ( 44620 65280 ) N ;
- FILLER_20_97 sky130_fd_sc_hd__decap_12 + SOURCE DIST + PLACED ( 50140 65280 ) N ;
- FILLER_21_107 sky130_fd_sc_hd__decap_4 + SOURCE DIST + PLACED ( 54740 68000 ) FS ;
- FILLER_21_111 sky130_fd_sc_hd__fill_1 + SOURCE DIST + PLACED ( 56580 68000 ) FS ;
- FILLER_21_113 sky130_fd_sc_hd__decap_12 + SOURCE DIST + PLACED ( 57500 68000 ) FS ;
- FILLER_21_125 sky130_fd_sc_hd__decap_12 + SOURCE DIST + PLACED ( 63020 68000 ) FS ;
- FILLER_21_13 sky130_fd_sc_hd__decap_12 + SOURCE DIST + PLACED ( 11500 68000 ) FS ;
- FILLER_21_137 sky130_fd_sc_hd__decap_12 + SOURCE DIST + PLACED ( 68540 68000 ) FS ;
- FILLER_21_149 sky130_fd_sc_hd__decap_12 + SOURCE DIST + PLACED ( 74060 68000 ) FS ;
- FILLER_21_161 sky130_fd_sc_hd__decap_6 + SOURCE DIST + PLACED ( 79580 68000 ) FS ;
- FILLER_21_167 sky130_fd_sc_hd__fill_1 + SOURCE DIST + PLACED ( 82340 68000 ) FS ;
- FILLER_21_169 sky130_fd_sc_hd__decap_12 + SOURCE DIST + PLACED ( 83260 68000 ) FS ;
- FILLER_21_181 sky130_fd_sc_hd__decap_12 + SOURCE DIST + PLACED ( 88780 68000 ) FS ;
- FILLER_21_193 sky130_fd_sc_hd__decap_12 + SOURCE DIST + PLACED ( 94300 68000 ) FS ;
- FILLER_21_205 sky130_fd_sc_hd__decap_12 + SOURCE DIST + PLACED ( 99820 68000 ) FS ;
- FILLER_21_217 sky130_fd_sc_hd__decap_6 + SOURCE DIST + PLACED ( 105340 68000 ) FS ;
- FILLER_21_223 sky130_fd_sc_hd__fill_1 + SOURCE DIST + PLACED ( 108100 68000 ) FS ;
- FILLER_21_225 sky130_fd_sc_hd__decap_12 + SOURCE DIST + PLACED ( 109020 68000 ) FS ;
- FILLER_21_237 sky130_fd_sc_hd__decap_12 + SOURCE DIST + PLACED ( 114540 68000 ) FS ;
- FILLER_21_249 sky130_fd_sc_hd__decap_12 + SOURCE DIST + PLACED ( 120060 68000 ) FS ;
- FILLER_21_25 sky130_fd_sc_hd__decap_3 + SOURCE DIST + PLACED ( 17020 68000 ) FS ;
- FILLER_21_261 sky130_fd_sc_hd__decap_12 + SOURCE DIST + PLACED ( 125580 68000 ) FS ;
- FILLER_21_273 sky130_fd_sc_hd__decap_6 + SOURCE DIST + PLACED ( 131100 68000 ) FS ;
- FILLER_21_279 sky130_fd_sc_hd__fill_1 + SOURCE DIST + PLACED ( 133860 68000 ) FS ;
- FILLER_21_281 sky130_fd_sc_hd__decap_12 + SOURCE DIST + PLACED ( 134780 68000 ) FS ;
- FILLER_21_293 sky130_fd_sc_hd__decap_12 + SOURCE DIST + PLACED ( 140300 68000 ) FS ;
- FILLER_21_305 sky130_fd_sc_hd__decap_12 + SOURCE DIST + PLACED ( 145820 68000 ) FS ;
- FILLER_21_317 sky130_fd_sc_hd__decap_12 + SOURCE DIST + PLACED ( 151340 68000 ) FS ;
- FILLER_21_32 sky130_fd_sc_hd__decap_4 + SOURCE DIST + PLACED ( 20240 68000 ) FS ;
- FILLER_21_329 sky130_fd_sc_hd__decap_6 + SOURCE DIST + PLACED ( 156860 68000 ) FS ;
- FILLER_21_335 sky130_fd_sc_hd__fill_1 + SOURCE DIST + PLACED ( 159620 68000 ) FS ;
- FILLER_21_337 sky130_fd_sc_hd__decap_12 + SOURCE DIST + PLACED ( 160540 68000 ) FS ;
- FILLER_21_349 sky130_fd_sc_hd__decap_12 + SOURCE DIST + PLACED ( 166060 68000 ) FS ;
- FILLER_21_361 sky130_fd_sc_hd__decap_12 + SOURCE DIST + PLACED ( 171580 68000 ) FS ;
- FILLER_21_373 sky130_fd_sc_hd__decap_12 + SOURCE DIST + PLACED ( 177100 68000 ) FS ;
- FILLER_21_385 sky130_fd_sc_hd__decap_6 + SOURCE DIST + PLACED ( 182620 68000 ) FS ;
- FILLER_21_391 sky130_fd_sc_hd__fill_1 + SOURCE DIST + PLACED ( 185380 68000 ) FS ;
- FILLER_21_393 sky130_fd_sc_hd__decap_12 + SOURCE DIST + PLACED ( 186300 68000 ) FS ;
- FILLER_21_405 sky130_fd_sc_hd__decap_12 + SOURCE DIST + PLACED ( 191820 68000 ) FS ;
- FILLER_21_417 sky130_fd_sc_hd__decap_12 + SOURCE DIST + PLACED ( 197340 68000 ) FS ;
- FILLER_21_429 sky130_fd_sc_hd__decap_12 + SOURCE DIST + PLACED ( 202860 68000 ) FS ;
- FILLER_21_441 sky130_fd_sc_hd__decap_6 + SOURCE DIST + PLACED ( 208380 68000 ) FS ;
- FILLER_21_447 sky130_fd_sc_hd__fill_1 + SOURCE DIST + PLACED ( 211140 68000 ) FS ;
- FILLER_21_449 sky130_fd_sc_hd__decap_12 + SOURCE DIST + PLACED ( 212060 68000 ) FS ;
- FILLER_21_461 sky130_fd_sc_hd__decap_12 + SOURCE DIST + PLACED ( 217580 68000 ) FS ;
- FILLER_21_473 sky130_fd_sc_hd__decap_12 + SOURCE DIST + PLACED ( 223100 68000 ) FS ;
- FILLER_21_485 sky130_fd_sc_hd__decap_12 + SOURCE DIST + PLACED ( 228620 68000 ) FS ;
- FILLER_21_497 sky130_fd_sc_hd__decap_6 + SOURCE DIST + PLACED ( 234140 68000 ) FS ;
- FILLER_21_503 sky130_fd_sc_hd__fill_1 + SOURCE DIST + PLACED ( 236900 68000 ) FS ;
- FILLER_21_505 sky130_fd_sc_hd__decap_12 + SOURCE DIST + PLACED ( 237820 68000 ) FS ;
- FILLER_21_517 sky130_fd_sc_hd__decap_12 + SOURCE DIST + PLACED ( 243340 68000 ) FS ;
- FILLER_21_52 sky130_fd_sc_hd__decap_4 + SOURCE DIST + PLACED ( 29440 68000 ) FS ;
- FILLER_21_529 sky130_fd_sc_hd__decap_12 + SOURCE DIST + PLACED ( 248860 68000 ) FS ;
- FILLER_21_541 sky130_fd_sc_hd__decap_12 + SOURCE DIST + PLACED ( 254380 68000 ) FS ;
- FILLER_21_553 sky130_fd_sc_hd__decap_6 + SOURCE DIST + PLACED ( 259900 68000 ) FS ;
- FILLER_21_559 sky130_fd_sc_hd__fill_1 + SOURCE DIST + PLACED ( 262660 68000 ) FS ;
- FILLER_21_561 sky130_fd_sc_hd__decap_12 + SOURCE DIST + PLACED ( 263580 68000 ) FS ;
- FILLER_21_57 sky130_fd_sc_hd__decap_8 + SOURCE DIST + PLACED ( 31740 68000 ) FS ;
- FILLER_21_573 sky130_fd_sc_hd__decap_12 + SOURCE DIST + PLACED ( 269100 68000 ) FS ;
- FILLER_21_585 sky130_fd_sc_hd__decap_12 + SOURCE DIST + PLACED ( 274620 68000 ) FS ;
- FILLER_21_597 sky130_fd_sc_hd__decap_12 + SOURCE DIST + PLACED ( 280140 68000 ) FS ;
- FILLER_21_6 sky130_fd_sc_hd__decap_4 + SOURCE DIST + PLACED ( 8280 68000 ) FS ;
- FILLER_21_609 sky130_fd_sc_hd__decap_6 + SOURCE DIST + PLACED ( 285660 68000 ) FS ;
- FILLER_21_615 sky130_fd_sc_hd__fill_1 + SOURCE DIST + PLACED ( 288420 68000 ) FS ;
- FILLER_21_617 sky130_fd_sc_hd__decap_8 + SOURCE DIST + PLACED ( 289340 68000 ) FS ;
- FILLER_21_65 sky130_fd_sc_hd__fill_1 + SOURCE DIST + PLACED ( 35420 68000 ) FS ;
- FILLER_21_71 sky130_fd_sc_hd__decap_12 + SOURCE DIST + PLACED ( 38180 68000 ) FS ;
- FILLER_21_83 sky130_fd_sc_hd__decap_12 + SOURCE DIST + PLACED ( 43700 68000 ) FS ;
- FILLER_21_95 sky130_fd_sc_hd__decap_12 + SOURCE DIST + PLACED ( 49220 68000 ) FS ;
- FILLER_22_101 sky130_fd_sc_hd__decap_12 + SOURCE DIST + PLACED ( 51980 70720 ) N ;
- FILLER_22_11 sky130_fd_sc_hd__fill_1 + SOURCE DIST + PLACED ( 10580 70720 ) N ;
- FILLER_22_113 sky130_fd_sc_hd__decap_12 + SOURCE DIST + PLACED ( 57500 70720 ) N ;
- FILLER_22_125 sky130_fd_sc_hd__decap_4 + SOURCE DIST + PLACED ( 63020 70720 ) N ;
- FILLER_22_129 sky130_fd_sc_hd__fill_1 + SOURCE DIST + PLACED ( 64860 70720 ) N ;
- FILLER_22_133 sky130_fd_sc_hd__decap_6 + SOURCE DIST + PLACED ( 66700 70720 ) N ;
- FILLER_22_139 sky130_fd_sc_hd__fill_1 + SOURCE DIST + PLACED ( 69460 70720 ) N ;
- FILLER_22_141 sky130_fd_sc_hd__decap_12 + SOURCE DIST + PLACED ( 70380 70720 ) N ;
- FILLER_22_153 sky130_fd_sc_hd__decap_12 + SOURCE DIST + PLACED ( 75900 70720 ) N ;
- FILLER_22_165 sky130_fd_sc_hd__decap_12 + SOURCE DIST + PLACED ( 81420 70720 ) N ;
- FILLER_22_177 sky130_fd_sc_hd__decap_12 + SOURCE DIST + PLACED ( 86940 70720 ) N ;
- FILLER_22_189 sky130_fd_sc_hd__decap_6 + SOURCE DIST + PLACED ( 92460 70720 ) N ;
- FILLER_22_195 sky130_fd_sc_hd__fill_1 + SOURCE DIST + PLACED ( 95220 70720 ) N ;
- FILLER_22_197 sky130_fd_sc_hd__decap_12 + SOURCE DIST + PLACED ( 96140 70720 ) N ;
- FILLER_22_209 sky130_fd_sc_hd__decap_12 + SOURCE DIST + PLACED ( 101660 70720 ) N ;
- FILLER_22_21 sky130_fd_sc_hd__decap_6 + SOURCE DIST + PLACED ( 15180 70720 ) N ;
- FILLER_22_221 sky130_fd_sc_hd__decap_12 + SOURCE DIST + PLACED ( 107180 70720 ) N ;
- FILLER_22_233 sky130_fd_sc_hd__decap_12 + SOURCE DIST + PLACED ( 112700 70720 ) N ;
- FILLER_22_245 sky130_fd_sc_hd__decap_6 + SOURCE DIST + PLACED ( 118220 70720 ) N ;
- FILLER_22_251 sky130_fd_sc_hd__fill_1 + SOURCE DIST + PLACED ( 120980 70720 ) N ;
- FILLER_22_253 sky130_fd_sc_hd__decap_12 + SOURCE DIST + PLACED ( 121900 70720 ) N ;
- FILLER_22_265 sky130_fd_sc_hd__decap_12 + SOURCE DIST + PLACED ( 127420 70720 ) N ;
- FILLER_22_27 sky130_fd_sc_hd__fill_1 + SOURCE DIST + PLACED ( 17940 70720 ) N ;
- FILLER_22_277 sky130_fd_sc_hd__decap_12 + SOURCE DIST + PLACED ( 132940 70720 ) N ;
- FILLER_22_289 sky130_fd_sc_hd__decap_12 + SOURCE DIST + PLACED ( 138460 70720 ) N ;
- FILLER_22_29 sky130_fd_sc_hd__decap_4 + SOURCE DIST + PLACED ( 18860 70720 ) N ;
- FILLER_22_301 sky130_fd_sc_hd__decap_6 + SOURCE DIST + PLACED ( 143980 70720 ) N ;
- FILLER_22_307 sky130_fd_sc_hd__fill_1 + SOURCE DIST + PLACED ( 146740 70720 ) N ;
- FILLER_22_309 sky130_fd_sc_hd__decap_12 + SOURCE DIST + PLACED ( 147660 70720 ) N ;
- FILLER_22_321 sky130_fd_sc_hd__decap_12 + SOURCE DIST + PLACED ( 153180 70720 ) N ;
- FILLER_22_33 sky130_fd_sc_hd__fill_1 + SOURCE DIST + PLACED ( 20700 70720 ) N ;
- FILLER_22_333 sky130_fd_sc_hd__decap_12 + SOURCE DIST + PLACED ( 158700 70720 ) N ;
- FILLER_22_345 sky130_fd_sc_hd__decap_12 + SOURCE DIST + PLACED ( 164220 70720 ) N ;
- FILLER_22_357 sky130_fd_sc_hd__decap_6 + SOURCE DIST + PLACED ( 169740 70720 ) N ;
- FILLER_22_363 sky130_fd_sc_hd__fill_1 + SOURCE DIST + PLACED ( 172500 70720 ) N ;
- FILLER_22_365 sky130_fd_sc_hd__decap_12 + SOURCE DIST + PLACED ( 173420 70720 ) N ;
- FILLER_22_37 sky130_fd_sc_hd__decap_12 + SOURCE DIST + PLACED ( 22540 70720 ) N ;
- FILLER_22_377 sky130_fd_sc_hd__decap_12 + SOURCE DIST + PLACED ( 178940 70720 ) N ;
- FILLER_22_389 sky130_fd_sc_hd__decap_12 + SOURCE DIST + PLACED ( 184460 70720 ) N ;
- FILLER_22_401 sky130_fd_sc_hd__decap_12 + SOURCE DIST + PLACED ( 189980 70720 ) N ;
- FILLER_22_413 sky130_fd_sc_hd__decap_6 + SOURCE DIST + PLACED ( 195500 70720 ) N ;
- FILLER_22_419 sky130_fd_sc_hd__fill_1 + SOURCE DIST + PLACED ( 198260 70720 ) N ;
- FILLER_22_421 sky130_fd_sc_hd__decap_12 + SOURCE DIST + PLACED ( 199180 70720 ) N ;
- FILLER_22_433 sky130_fd_sc_hd__decap_12 + SOURCE DIST + PLACED ( 204700 70720 ) N ;
- FILLER_22_445 sky130_fd_sc_hd__decap_12 + SOURCE DIST + PLACED ( 210220 70720 ) N ;
- FILLER_22_457 sky130_fd_sc_hd__decap_12 + SOURCE DIST + PLACED ( 215740 70720 ) N ;
- FILLER_22_469 sky130_fd_sc_hd__decap_6 + SOURCE DIST + PLACED ( 221260 70720 ) N ;
- FILLER_22_475 sky130_fd_sc_hd__fill_1 + SOURCE DIST + PLACED ( 224020 70720 ) N ;
- FILLER_22_477 sky130_fd_sc_hd__decap_12 + SOURCE DIST + PLACED ( 224940 70720 ) N ;
- FILLER_22_489 sky130_fd_sc_hd__decap_12 + SOURCE DIST + PLACED ( 230460 70720 ) N ;
- FILLER_22_49 sky130_fd_sc_hd__decap_6 + SOURCE DIST + PLACED ( 28060 70720 ) N ;
- FILLER_22_501 sky130_fd_sc_hd__decap_12 + SOURCE DIST + PLACED ( 235980 70720 ) N ;
- FILLER_22_513 sky130_fd_sc_hd__decap_12 + SOURCE DIST + PLACED ( 241500 70720 ) N ;
- FILLER_22_525 sky130_fd_sc_hd__decap_6 + SOURCE DIST + PLACED ( 247020 70720 ) N ;
- FILLER_22_531 sky130_fd_sc_hd__fill_1 + SOURCE DIST + PLACED ( 249780 70720 ) N ;
- FILLER_22_533 sky130_fd_sc_hd__decap_12 + SOURCE DIST + PLACED ( 250700 70720 ) N ;
- FILLER_22_545 sky130_fd_sc_hd__decap_12 + SOURCE DIST + PLACED ( 256220 70720 ) N ;
- FILLER_22_557 sky130_fd_sc_hd__decap_12 + SOURCE DIST + PLACED ( 261740 70720 ) N ;
- FILLER_22_569 sky130_fd_sc_hd__decap_12 + SOURCE DIST + PLACED ( 267260 70720 ) N ;
- FILLER_22_581 sky130_fd_sc_hd__decap_6 + SOURCE DIST + PLACED ( 272780 70720 ) N ;
- FILLER_22_587 sky130_fd_sc_hd__fill_1 + SOURCE DIST + PLACED ( 275540 70720 ) N ;
- FILLER_22_589 sky130_fd_sc_hd__decap_12 + SOURCE DIST + PLACED ( 276460 70720 ) N ;
- FILLER_22_60 sky130_fd_sc_hd__fill_2 + SOURCE DIST + PLACED ( 33120 70720 ) N ;
- FILLER_22_601 sky130_fd_sc_hd__decap_12 + SOURCE DIST + PLACED ( 281980 70720 ) N ;
- FILLER_22_613 sky130_fd_sc_hd__decap_12 + SOURCE DIST + PLACED ( 287500 70720 ) N ;
- FILLER_22_64 sky130_fd_sc_hd__fill_2 + SOURCE DIST + PLACED ( 34960 70720 ) N ;
- FILLER_22_7 sky130_fd_sc_hd__decap_4 + SOURCE DIST + PLACED ( 8740 70720 ) N ;
- FILLER_22_71 sky130_fd_sc_hd__decap_4 + SOURCE DIST + PLACED ( 38180 70720 ) N ;
- FILLER_22_80 sky130_fd_sc_hd__decap_4 + SOURCE DIST + PLACED ( 42320 70720 ) N ;
- FILLER_22_85 sky130_fd_sc_hd__fill_2 + SOURCE DIST + PLACED ( 44620 70720 ) N ;
- FILLER_22_89 sky130_fd_sc_hd__decap_12 + SOURCE DIST + PLACED ( 46460 70720 ) N ;
- FILLER_23_101 sky130_fd_sc_hd__decap_8 + SOURCE DIST + PLACED ( 51980 73440 ) FS ;
- FILLER_23_109 sky130_fd_sc_hd__decap_3 + SOURCE DIST + PLACED ( 55660 73440 ) FS ;
- FILLER_23_113 sky130_fd_sc_hd__decap_12 + SOURCE DIST + PLACED ( 57500 73440 ) FS ;
- FILLER_23_125 sky130_fd_sc_hd__decap_12 + SOURCE DIST + PLACED ( 63020 73440 ) FS ;
- FILLER_23_137 sky130_fd_sc_hd__decap_12 + SOURCE DIST + PLACED ( 68540 73440 ) FS ;
- FILLER_23_149 sky130_fd_sc_hd__decap_12 + SOURCE DIST + PLACED ( 74060 73440 ) FS ;
- FILLER_23_161 sky130_fd_sc_hd__decap_6 + SOURCE DIST + PLACED ( 79580 73440 ) FS ;
- FILLER_23_167 sky130_fd_sc_hd__fill_1 + SOURCE DIST + PLACED ( 82340 73440 ) FS ;
- FILLER_23_169 sky130_fd_sc_hd__decap_12 + SOURCE DIST + PLACED ( 83260 73440 ) FS ;
- FILLER_23_181 sky130_fd_sc_hd__decap_12 + SOURCE DIST + PLACED ( 88780 73440 ) FS ;
- FILLER_23_193 sky130_fd_sc_hd__decap_12 + SOURCE DIST + PLACED ( 94300 73440 ) FS ;
- FILLER_23_205 sky130_fd_sc_hd__decap_12 + SOURCE DIST + PLACED ( 99820 73440 ) FS ;
- FILLER_23_217 sky130_fd_sc_hd__decap_6 + SOURCE DIST + PLACED ( 105340 73440 ) FS ;
- FILLER_23_223 sky130_fd_sc_hd__fill_1 + SOURCE DIST + PLACED ( 108100 73440 ) FS ;
- FILLER_23_225 sky130_fd_sc_hd__decap_12 + SOURCE DIST + PLACED ( 109020 73440 ) FS ;
- FILLER_23_237 sky130_fd_sc_hd__decap_12 + SOURCE DIST + PLACED ( 114540 73440 ) FS ;
- FILLER_23_249 sky130_fd_sc_hd__decap_12 + SOURCE DIST + PLACED ( 120060 73440 ) FS ;
- FILLER_23_25 sky130_fd_sc_hd__decap_12 + SOURCE DIST + PLACED ( 17020 73440 ) FS ;
- FILLER_23_261 sky130_fd_sc_hd__decap_12 + SOURCE DIST + PLACED ( 125580 73440 ) FS ;
- FILLER_23_273 sky130_fd_sc_hd__decap_6 + SOURCE DIST + PLACED ( 131100 73440 ) FS ;
- FILLER_23_279 sky130_fd_sc_hd__fill_1 + SOURCE DIST + PLACED ( 133860 73440 ) FS ;
- FILLER_23_281 sky130_fd_sc_hd__decap_12 + SOURCE DIST + PLACED ( 134780 73440 ) FS ;
- FILLER_23_293 sky130_fd_sc_hd__decap_12 + SOURCE DIST + PLACED ( 140300 73440 ) FS ;
- FILLER_23_3 sky130_fd_sc_hd__decap_6 + SOURCE DIST + PLACED ( 6900 73440 ) FS ;
- FILLER_23_305 sky130_fd_sc_hd__decap_12 + SOURCE DIST + PLACED ( 145820 73440 ) FS ;
- FILLER_23_317 sky130_fd_sc_hd__decap_12 + SOURCE DIST + PLACED ( 151340 73440 ) FS ;
- FILLER_23_329 sky130_fd_sc_hd__decap_6 + SOURCE DIST + PLACED ( 156860 73440 ) FS ;
- FILLER_23_335 sky130_fd_sc_hd__fill_1 + SOURCE DIST + PLACED ( 159620 73440 ) FS ;
- FILLER_23_337 sky130_fd_sc_hd__decap_12 + SOURCE DIST + PLACED ( 160540 73440 ) FS ;
- FILLER_23_349 sky130_fd_sc_hd__decap_12 + SOURCE DIST + PLACED ( 166060 73440 ) FS ;
- FILLER_23_361 sky130_fd_sc_hd__decap_12 + SOURCE DIST + PLACED ( 171580 73440 ) FS ;
- FILLER_23_37 sky130_fd_sc_hd__decap_12 + SOURCE DIST + PLACED ( 22540 73440 ) FS ;
- FILLER_23_373 sky130_fd_sc_hd__decap_12 + SOURCE DIST + PLACED ( 177100 73440 ) FS ;
- FILLER_23_385 sky130_fd_sc_hd__decap_6 + SOURCE DIST + PLACED ( 182620 73440 ) FS ;
- FILLER_23_391 sky130_fd_sc_hd__fill_1 + SOURCE DIST + PLACED ( 185380 73440 ) FS ;
- FILLER_23_393 sky130_fd_sc_hd__decap_12 + SOURCE DIST + PLACED ( 186300 73440 ) FS ;
- FILLER_23_405 sky130_fd_sc_hd__decap_12 + SOURCE DIST + PLACED ( 191820 73440 ) FS ;
- FILLER_23_417 sky130_fd_sc_hd__decap_12 + SOURCE DIST + PLACED ( 197340 73440 ) FS ;
- FILLER_23_429 sky130_fd_sc_hd__decap_12 + SOURCE DIST + PLACED ( 202860 73440 ) FS ;
- FILLER_23_441 sky130_fd_sc_hd__decap_6 + SOURCE DIST + PLACED ( 208380 73440 ) FS ;
- FILLER_23_447 sky130_fd_sc_hd__fill_1 + SOURCE DIST + PLACED ( 211140 73440 ) FS ;
- FILLER_23_449 sky130_fd_sc_hd__decap_12 + SOURCE DIST + PLACED ( 212060 73440 ) FS ;
- FILLER_23_461 sky130_fd_sc_hd__decap_12 + SOURCE DIST + PLACED ( 217580 73440 ) FS ;
- FILLER_23_473 sky130_fd_sc_hd__decap_12 + SOURCE DIST + PLACED ( 223100 73440 ) FS ;
- FILLER_23_485 sky130_fd_sc_hd__decap_12 + SOURCE DIST + PLACED ( 228620 73440 ) FS ;
- FILLER_23_49 sky130_fd_sc_hd__decap_6 + SOURCE DIST + PLACED ( 28060 73440 ) FS ;
- FILLER_23_497 sky130_fd_sc_hd__decap_6 + SOURCE DIST + PLACED ( 234140 73440 ) FS ;
- FILLER_23_503 sky130_fd_sc_hd__fill_1 + SOURCE DIST + PLACED ( 236900 73440 ) FS ;
- FILLER_23_505 sky130_fd_sc_hd__decap_12 + SOURCE DIST + PLACED ( 237820 73440 ) FS ;
- FILLER_23_517 sky130_fd_sc_hd__decap_12 + SOURCE DIST + PLACED ( 243340 73440 ) FS ;
- FILLER_23_529 sky130_fd_sc_hd__decap_12 + SOURCE DIST + PLACED ( 248860 73440 ) FS ;
- FILLER_23_541 sky130_fd_sc_hd__decap_12 + SOURCE DIST + PLACED ( 254380 73440 ) FS ;
- FILLER_23_55 sky130_fd_sc_hd__fill_1 + SOURCE DIST + PLACED ( 30820 73440 ) FS ;
- FILLER_23_553 sky130_fd_sc_hd__decap_6 + SOURCE DIST + PLACED ( 259900 73440 ) FS ;
- FILLER_23_559 sky130_fd_sc_hd__fill_1 + SOURCE DIST + PLACED ( 262660 73440 ) FS ;
- FILLER_23_561 sky130_fd_sc_hd__decap_12 + SOURCE DIST + PLACED ( 263580 73440 ) FS ;
- FILLER_23_57 sky130_fd_sc_hd__decap_8 + SOURCE DIST + PLACED ( 31740 73440 ) FS ;
- FILLER_23_573 sky130_fd_sc_hd__decap_12 + SOURCE DIST + PLACED ( 269100 73440 ) FS ;
- FILLER_23_585 sky130_fd_sc_hd__decap_12 + SOURCE DIST + PLACED ( 274620 73440 ) FS ;
- FILLER_23_597 sky130_fd_sc_hd__decap_12 + SOURCE DIST + PLACED ( 280140 73440 ) FS ;
- FILLER_23_609 sky130_fd_sc_hd__decap_6 + SOURCE DIST + PLACED ( 285660 73440 ) FS ;
- FILLER_23_615 sky130_fd_sc_hd__fill_1 + SOURCE DIST + PLACED ( 288420 73440 ) FS ;
- FILLER_23_617 sky130_fd_sc_hd__decap_8 + SOURCE DIST + PLACED ( 289340 73440 ) FS ;
- FILLER_23_70 sky130_fd_sc_hd__decap_4 + SOURCE DIST + PLACED ( 37720 73440 ) FS ;
- FILLER_23_77 sky130_fd_sc_hd__decap_12 + SOURCE DIST + PLACED ( 40940 73440 ) FS ;
- FILLER_23_89 sky130_fd_sc_hd__decap_12 + SOURCE DIST + PLACED ( 46460 73440 ) FS ;
- FILLER_24_104 sky130_fd_sc_hd__decap_12 + SOURCE DIST + PLACED ( 53360 76160 ) N ;
- FILLER_24_11 sky130_fd_sc_hd__fill_1 + SOURCE DIST + PLACED ( 10580 76160 ) N ;
- FILLER_24_116 sky130_fd_sc_hd__decap_12 + SOURCE DIST + PLACED ( 58880 76160 ) N ;
- FILLER_24_128 sky130_fd_sc_hd__decap_12 + SOURCE DIST + PLACED ( 64400 76160 ) N ;
- FILLER_24_141 sky130_fd_sc_hd__decap_12 + SOURCE DIST + PLACED ( 70380 76160 ) N ;
- FILLER_24_15 sky130_fd_sc_hd__decap_12 + SOURCE DIST + PLACED ( 12420 76160 ) N ;
- FILLER_24_153 sky130_fd_sc_hd__decap_12 + SOURCE DIST + PLACED ( 75900 76160 ) N ;
- FILLER_24_165 sky130_fd_sc_hd__decap_12 + SOURCE DIST + PLACED ( 81420 76160 ) N ;
- FILLER_24_177 sky130_fd_sc_hd__decap_12 + SOURCE DIST + PLACED ( 86940 76160 ) N ;
- FILLER_24_189 sky130_fd_sc_hd__decap_6 + SOURCE DIST + PLACED ( 92460 76160 ) N ;
- FILLER_24_195 sky130_fd_sc_hd__fill_1 + SOURCE DIST + PLACED ( 95220 76160 ) N ;
- FILLER_24_197 sky130_fd_sc_hd__decap_12 + SOURCE DIST + PLACED ( 96140 76160 ) N ;
- FILLER_24_209 sky130_fd_sc_hd__decap_12 + SOURCE DIST + PLACED ( 101660 76160 ) N ;
- FILLER_24_221 sky130_fd_sc_hd__decap_12 + SOURCE DIST + PLACED ( 107180 76160 ) N ;
- FILLER_24_233 sky130_fd_sc_hd__decap_12 + SOURCE DIST + PLACED ( 112700 76160 ) N ;
- FILLER_24_245 sky130_fd_sc_hd__decap_6 + SOURCE DIST + PLACED ( 118220 76160 ) N ;
- FILLER_24_251 sky130_fd_sc_hd__fill_1 + SOURCE DIST + PLACED ( 120980 76160 ) N ;
- FILLER_24_253 sky130_fd_sc_hd__decap_12 + SOURCE DIST + PLACED ( 121900 76160 ) N ;
- FILLER_24_265 sky130_fd_sc_hd__decap_12 + SOURCE DIST + PLACED ( 127420 76160 ) N ;
- FILLER_24_27 sky130_fd_sc_hd__fill_1 + SOURCE DIST + PLACED ( 17940 76160 ) N ;
- FILLER_24_277 sky130_fd_sc_hd__decap_12 + SOURCE DIST + PLACED ( 132940 76160 ) N ;
- FILLER_24_289 sky130_fd_sc_hd__decap_12 + SOURCE DIST + PLACED ( 138460 76160 ) N ;
- FILLER_24_301 sky130_fd_sc_hd__decap_6 + SOURCE DIST + PLACED ( 143980 76160 ) N ;
- FILLER_24_307 sky130_fd_sc_hd__fill_1 + SOURCE DIST + PLACED ( 146740 76160 ) N ;
- FILLER_24_309 sky130_fd_sc_hd__decap_12 + SOURCE DIST + PLACED ( 147660 76160 ) N ;
- FILLER_24_32 sky130_fd_sc_hd__decap_12 + SOURCE DIST + PLACED ( 20240 76160 ) N ;
- FILLER_24_321 sky130_fd_sc_hd__decap_12 + SOURCE DIST + PLACED ( 153180 76160 ) N ;
- FILLER_24_333 sky130_fd_sc_hd__decap_12 + SOURCE DIST + PLACED ( 158700 76160 ) N ;
- FILLER_24_345 sky130_fd_sc_hd__decap_12 + SOURCE DIST + PLACED ( 164220 76160 ) N ;
- FILLER_24_357 sky130_fd_sc_hd__decap_6 + SOURCE DIST + PLACED ( 169740 76160 ) N ;
- FILLER_24_363 sky130_fd_sc_hd__fill_1 + SOURCE DIST + PLACED ( 172500 76160 ) N ;
- FILLER_24_365 sky130_fd_sc_hd__decap_12 + SOURCE DIST + PLACED ( 173420 76160 ) N ;
- FILLER_24_377 sky130_fd_sc_hd__decap_12 + SOURCE DIST + PLACED ( 178940 76160 ) N ;
- FILLER_24_389 sky130_fd_sc_hd__decap_12 + SOURCE DIST + PLACED ( 184460 76160 ) N ;
- FILLER_24_401 sky130_fd_sc_hd__decap_12 + SOURCE DIST + PLACED ( 189980 76160 ) N ;
- FILLER_24_413 sky130_fd_sc_hd__decap_6 + SOURCE DIST + PLACED ( 195500 76160 ) N ;
- FILLER_24_419 sky130_fd_sc_hd__fill_1 + SOURCE DIST + PLACED ( 198260 76160 ) N ;
- FILLER_24_421 sky130_fd_sc_hd__decap_12 + SOURCE DIST + PLACED ( 199180 76160 ) N ;
- FILLER_24_433 sky130_fd_sc_hd__decap_12 + SOURCE DIST + PLACED ( 204700 76160 ) N ;
- FILLER_24_44 sky130_fd_sc_hd__decap_12 + SOURCE DIST + PLACED ( 25760 76160 ) N ;
- FILLER_24_445 sky130_fd_sc_hd__decap_12 + SOURCE DIST + PLACED ( 210220 76160 ) N ;
- FILLER_24_457 sky130_fd_sc_hd__decap_12 + SOURCE DIST + PLACED ( 215740 76160 ) N ;
- FILLER_24_469 sky130_fd_sc_hd__decap_6 + SOURCE DIST + PLACED ( 221260 76160 ) N ;
- FILLER_24_475 sky130_fd_sc_hd__fill_1 + SOURCE DIST + PLACED ( 224020 76160 ) N ;
- FILLER_24_477 sky130_fd_sc_hd__decap_12 + SOURCE DIST + PLACED ( 224940 76160 ) N ;
- FILLER_24_489 sky130_fd_sc_hd__decap_12 + SOURCE DIST + PLACED ( 230460 76160 ) N ;
- FILLER_24_501 sky130_fd_sc_hd__decap_12 + SOURCE DIST + PLACED ( 235980 76160 ) N ;
- FILLER_24_513 sky130_fd_sc_hd__decap_12 + SOURCE DIST + PLACED ( 241500 76160 ) N ;
- FILLER_24_525 sky130_fd_sc_hd__decap_6 + SOURCE DIST + PLACED ( 247020 76160 ) N ;
- FILLER_24_531 sky130_fd_sc_hd__fill_1 + SOURCE DIST + PLACED ( 249780 76160 ) N ;
- FILLER_24_533 sky130_fd_sc_hd__decap_12 + SOURCE DIST + PLACED ( 250700 76160 ) N ;
- FILLER_24_545 sky130_fd_sc_hd__decap_12 + SOURCE DIST + PLACED ( 256220 76160 ) N ;
- FILLER_24_557 sky130_fd_sc_hd__decap_12 + SOURCE DIST + PLACED ( 261740 76160 ) N ;
- FILLER_24_56 sky130_fd_sc_hd__decap_12 + SOURCE DIST + PLACED ( 31280 76160 ) N ;
- FILLER_24_569 sky130_fd_sc_hd__decap_12 + SOURCE DIST + PLACED ( 267260 76160 ) N ;
- FILLER_24_581 sky130_fd_sc_hd__decap_6 + SOURCE DIST + PLACED ( 272780 76160 ) N ;
- FILLER_24_587 sky130_fd_sc_hd__fill_1 + SOURCE DIST + PLACED ( 275540 76160 ) N ;
- FILLER_24_589 sky130_fd_sc_hd__decap_12 + SOURCE DIST + PLACED ( 276460 76160 ) N ;
- FILLER_24_601 sky130_fd_sc_hd__decap_12 + SOURCE DIST + PLACED ( 281980 76160 ) N ;
- FILLER_24_613 sky130_fd_sc_hd__decap_12 + SOURCE DIST + PLACED ( 287500 76160 ) N ;
- FILLER_24_68 sky130_fd_sc_hd__decap_12 + SOURCE DIST + PLACED ( 36800 76160 ) N ;
- FILLER_24_7 sky130_fd_sc_hd__decap_4 + SOURCE DIST + PLACED ( 8740 76160 ) N ;
- FILLER_24_80 sky130_fd_sc_hd__decap_4 + SOURCE DIST + PLACED ( 42320 76160 ) N ;
- FILLER_24_85 sky130_fd_sc_hd__decap_6 + SOURCE DIST + PLACED ( 44620 76160 ) N ;
- FILLER_24_91 sky130_fd_sc_hd__fill_1 + SOURCE DIST + PLACED ( 47380 76160 ) N ;
- FILLER_24_95 sky130_fd_sc_hd__decap_4 + SOURCE DIST + PLACED ( 49220 76160 ) N ;
- FILLER_25_103 sky130_fd_sc_hd__decap_8 + SOURCE DIST + PLACED ( 52900 78880 ) FS ;
- FILLER_25_111 sky130_fd_sc_hd__fill_1 + SOURCE DIST + PLACED ( 56580 78880 ) FS ;
- FILLER_25_118 sky130_fd_sc_hd__decap_12 + SOURCE DIST + PLACED ( 59800 78880 ) FS ;
- FILLER_25_130 sky130_fd_sc_hd__fill_2 + SOURCE DIST + PLACED ( 65320 78880 ) FS ;
- FILLER_25_135 sky130_fd_sc_hd__decap_12 + SOURCE DIST + PLACED ( 67620 78880 ) FS ;
- FILLER_25_147 sky130_fd_sc_hd__decap_12 + SOURCE DIST + PLACED ( 73140 78880 ) FS ;
- FILLER_25_159 sky130_fd_sc_hd__decap_8 + SOURCE DIST + PLACED ( 78660 78880 ) FS ;
- FILLER_25_167 sky130_fd_sc_hd__fill_1 + SOURCE DIST + PLACED ( 82340 78880 ) FS ;
- FILLER_25_169 sky130_fd_sc_hd__decap_12 + SOURCE DIST + PLACED ( 83260 78880 ) FS ;
- FILLER_25_18 sky130_fd_sc_hd__decap_12 + SOURCE DIST + PLACED ( 13800 78880 ) FS ;
- FILLER_25_181 sky130_fd_sc_hd__decap_12 + SOURCE DIST + PLACED ( 88780 78880 ) FS ;
- FILLER_25_193 sky130_fd_sc_hd__decap_12 + SOURCE DIST + PLACED ( 94300 78880 ) FS ;
- FILLER_25_205 sky130_fd_sc_hd__decap_12 + SOURCE DIST + PLACED ( 99820 78880 ) FS ;
- FILLER_25_217 sky130_fd_sc_hd__decap_6 + SOURCE DIST + PLACED ( 105340 78880 ) FS ;
- FILLER_25_223 sky130_fd_sc_hd__fill_1 + SOURCE DIST + PLACED ( 108100 78880 ) FS ;
- FILLER_25_225 sky130_fd_sc_hd__decap_12 + SOURCE DIST + PLACED ( 109020 78880 ) FS ;
- FILLER_25_237 sky130_fd_sc_hd__decap_12 + SOURCE DIST + PLACED ( 114540 78880 ) FS ;
- FILLER_25_249 sky130_fd_sc_hd__decap_12 + SOURCE DIST + PLACED ( 120060 78880 ) FS ;
- FILLER_25_261 sky130_fd_sc_hd__decap_12 + SOURCE DIST + PLACED ( 125580 78880 ) FS ;
- FILLER_25_273 sky130_fd_sc_hd__decap_6 + SOURCE DIST + PLACED ( 131100 78880 ) FS ;
- FILLER_25_279 sky130_fd_sc_hd__fill_1 + SOURCE DIST + PLACED ( 133860 78880 ) FS ;
- FILLER_25_281 sky130_fd_sc_hd__decap_12 + SOURCE DIST + PLACED ( 134780 78880 ) FS ;
- FILLER_25_293 sky130_fd_sc_hd__decap_12 + SOURCE DIST + PLACED ( 140300 78880 ) FS ;
- FILLER_25_305 sky130_fd_sc_hd__decap_12 + SOURCE DIST + PLACED ( 145820 78880 ) FS ;
- FILLER_25_317 sky130_fd_sc_hd__decap_12 + SOURCE DIST + PLACED ( 151340 78880 ) FS ;
- FILLER_25_329 sky130_fd_sc_hd__decap_6 + SOURCE DIST + PLACED ( 156860 78880 ) FS ;
- FILLER_25_335 sky130_fd_sc_hd__fill_1 + SOURCE DIST + PLACED ( 159620 78880 ) FS ;
- FILLER_25_337 sky130_fd_sc_hd__decap_12 + SOURCE DIST + PLACED ( 160540 78880 ) FS ;
- FILLER_25_349 sky130_fd_sc_hd__decap_12 + SOURCE DIST + PLACED ( 166060 78880 ) FS ;
- FILLER_25_361 sky130_fd_sc_hd__decap_12 + SOURCE DIST + PLACED ( 171580 78880 ) FS ;
- FILLER_25_373 sky130_fd_sc_hd__decap_12 + SOURCE DIST + PLACED ( 177100 78880 ) FS ;
- FILLER_25_385 sky130_fd_sc_hd__decap_6 + SOURCE DIST + PLACED ( 182620 78880 ) FS ;
- FILLER_25_391 sky130_fd_sc_hd__fill_1 + SOURCE DIST + PLACED ( 185380 78880 ) FS ;
- FILLER_25_393 sky130_fd_sc_hd__decap_12 + SOURCE DIST + PLACED ( 186300 78880 ) FS ;
- FILLER_25_405 sky130_fd_sc_hd__decap_12 + SOURCE DIST + PLACED ( 191820 78880 ) FS ;
- FILLER_25_417 sky130_fd_sc_hd__decap_12 + SOURCE DIST + PLACED ( 197340 78880 ) FS ;
- FILLER_25_429 sky130_fd_sc_hd__decap_12 + SOURCE DIST + PLACED ( 202860 78880 ) FS ;
- FILLER_25_441 sky130_fd_sc_hd__decap_6 + SOURCE DIST + PLACED ( 208380 78880 ) FS ;
- FILLER_25_447 sky130_fd_sc_hd__fill_1 + SOURCE DIST + PLACED ( 211140 78880 ) FS ;
- FILLER_25_449 sky130_fd_sc_hd__decap_12 + SOURCE DIST + PLACED ( 212060 78880 ) FS ;
- FILLER_25_46 sky130_fd_sc_hd__decap_8 + SOURCE DIST + PLACED ( 26680 78880 ) FS ;
- FILLER_25_461 sky130_fd_sc_hd__decap_12 + SOURCE DIST + PLACED ( 217580 78880 ) FS ;
- FILLER_25_473 sky130_fd_sc_hd__decap_12 + SOURCE DIST + PLACED ( 223100 78880 ) FS ;
- FILLER_25_485 sky130_fd_sc_hd__decap_12 + SOURCE DIST + PLACED ( 228620 78880 ) FS ;
- FILLER_25_497 sky130_fd_sc_hd__decap_6 + SOURCE DIST + PLACED ( 234140 78880 ) FS ;
- FILLER_25_503 sky130_fd_sc_hd__fill_1 + SOURCE DIST + PLACED ( 236900 78880 ) FS ;
- FILLER_25_505 sky130_fd_sc_hd__decap_12 + SOURCE DIST + PLACED ( 237820 78880 ) FS ;
- FILLER_25_517 sky130_fd_sc_hd__decap_12 + SOURCE DIST + PLACED ( 243340 78880 ) FS ;
- FILLER_25_529 sky130_fd_sc_hd__decap_12 + SOURCE DIST + PLACED ( 248860 78880 ) FS ;
- FILLER_25_54 sky130_fd_sc_hd__fill_2 + SOURCE DIST + PLACED ( 30360 78880 ) FS ;
- FILLER_25_541 sky130_fd_sc_hd__decap_12 + SOURCE DIST + PLACED ( 254380 78880 ) FS ;
- FILLER_25_553 sky130_fd_sc_hd__decap_6 + SOURCE DIST + PLACED ( 259900 78880 ) FS ;
- FILLER_25_559 sky130_fd_sc_hd__fill_1 + SOURCE DIST + PLACED ( 262660 78880 ) FS ;
- FILLER_25_561 sky130_fd_sc_hd__decap_12 + SOURCE DIST + PLACED ( 263580 78880 ) FS ;
- FILLER_25_57 sky130_fd_sc_hd__decap_12 + SOURCE DIST + PLACED ( 31740 78880 ) FS ;
- FILLER_25_573 sky130_fd_sc_hd__decap_12 + SOURCE DIST + PLACED ( 269100 78880 ) FS ;
- FILLER_25_585 sky130_fd_sc_hd__decap_12 + SOURCE DIST + PLACED ( 274620 78880 ) FS ;
- FILLER_25_597 sky130_fd_sc_hd__decap_12 + SOURCE DIST + PLACED ( 280140 78880 ) FS ;
- FILLER_25_6 sky130_fd_sc_hd__decap_12 + SOURCE DIST + PLACED ( 8280 78880 ) FS ;
- FILLER_25_609 sky130_fd_sc_hd__decap_6 + SOURCE DIST + PLACED ( 285660 78880 ) FS ;
- FILLER_25_615 sky130_fd_sc_hd__fill_1 + SOURCE DIST + PLACED ( 288420 78880 ) FS ;
- FILLER_25_617 sky130_fd_sc_hd__decap_8 + SOURCE DIST + PLACED ( 289340 78880 ) FS ;
- FILLER_25_69 sky130_fd_sc_hd__decap_12 + SOURCE DIST + PLACED ( 37260 78880 ) FS ;
- FILLER_25_81 sky130_fd_sc_hd__decap_8 + SOURCE DIST + PLACED ( 42780 78880 ) FS ;
- FILLER_25_94 sky130_fd_sc_hd__decap_4 + SOURCE DIST + PLACED ( 48760 78880 ) FS ;
- FILLER_26_104 sky130_fd_sc_hd__decap_12 + SOURCE DIST + PLACED ( 53360 81600 ) N ;
- FILLER_26_11 sky130_fd_sc_hd__fill_1 + SOURCE DIST + PLACED ( 10580 81600 ) N ;
- FILLER_26_116 sky130_fd_sc_hd__decap_12 + SOURCE DIST + PLACED ( 58880 81600 ) N ;
- FILLER_26_128 sky130_fd_sc_hd__decap_12 + SOURCE DIST + PLACED ( 64400 81600 ) N ;
- FILLER_26_141 sky130_fd_sc_hd__decap_12 + SOURCE DIST + PLACED ( 70380 81600 ) N ;
- FILLER_26_15 sky130_fd_sc_hd__decap_12 + SOURCE DIST + PLACED ( 12420 81600 ) N ;
- FILLER_26_153 sky130_fd_sc_hd__decap_12 + SOURCE DIST + PLACED ( 75900 81600 ) N ;
- FILLER_26_165 sky130_fd_sc_hd__decap_12 + SOURCE DIST + PLACED ( 81420 81600 ) N ;
- FILLER_26_177 sky130_fd_sc_hd__decap_12 + SOURCE DIST + PLACED ( 86940 81600 ) N ;
- FILLER_26_189 sky130_fd_sc_hd__decap_6 + SOURCE DIST + PLACED ( 92460 81600 ) N ;
- FILLER_26_195 sky130_fd_sc_hd__fill_1 + SOURCE DIST + PLACED ( 95220 81600 ) N ;
- FILLER_26_197 sky130_fd_sc_hd__decap_12 + SOURCE DIST + PLACED ( 96140 81600 ) N ;
- FILLER_26_209 sky130_fd_sc_hd__decap_12 + SOURCE DIST + PLACED ( 101660 81600 ) N ;
- FILLER_26_221 sky130_fd_sc_hd__decap_12 + SOURCE DIST + PLACED ( 107180 81600 ) N ;
- FILLER_26_233 sky130_fd_sc_hd__decap_12 + SOURCE DIST + PLACED ( 112700 81600 ) N ;
- FILLER_26_245 sky130_fd_sc_hd__decap_6 + SOURCE DIST + PLACED ( 118220 81600 ) N ;
- FILLER_26_251 sky130_fd_sc_hd__fill_1 + SOURCE DIST + PLACED ( 120980 81600 ) N ;
- FILLER_26_253 sky130_fd_sc_hd__decap_12 + SOURCE DIST + PLACED ( 121900 81600 ) N ;
- FILLER_26_265 sky130_fd_sc_hd__decap_12 + SOURCE DIST + PLACED ( 127420 81600 ) N ;
- FILLER_26_27 sky130_fd_sc_hd__fill_1 + SOURCE DIST + PLACED ( 17940 81600 ) N ;
- FILLER_26_277 sky130_fd_sc_hd__decap_12 + SOURCE DIST + PLACED ( 132940 81600 ) N ;
- FILLER_26_289 sky130_fd_sc_hd__decap_12 + SOURCE DIST + PLACED ( 138460 81600 ) N ;
- FILLER_26_301 sky130_fd_sc_hd__decap_6 + SOURCE DIST + PLACED ( 143980 81600 ) N ;
- FILLER_26_307 sky130_fd_sc_hd__fill_1 + SOURCE DIST + PLACED ( 146740 81600 ) N ;
- FILLER_26_309 sky130_fd_sc_hd__decap_12 + SOURCE DIST + PLACED ( 147660 81600 ) N ;
- FILLER_26_321 sky130_fd_sc_hd__decap_12 + SOURCE DIST + PLACED ( 153180 81600 ) N ;
- FILLER_26_333 sky130_fd_sc_hd__decap_12 + SOURCE DIST + PLACED ( 158700 81600 ) N ;
- FILLER_26_345 sky130_fd_sc_hd__decap_12 + SOURCE DIST + PLACED ( 164220 81600 ) N ;
- FILLER_26_357 sky130_fd_sc_hd__decap_6 + SOURCE DIST + PLACED ( 169740 81600 ) N ;
- FILLER_26_363 sky130_fd_sc_hd__fill_1 + SOURCE DIST + PLACED ( 172500 81600 ) N ;
- FILLER_26_365 sky130_fd_sc_hd__decap_12 + SOURCE DIST + PLACED ( 173420 81600 ) N ;
- FILLER_26_377 sky130_fd_sc_hd__decap_12 + SOURCE DIST + PLACED ( 178940 81600 ) N ;
- FILLER_26_38 sky130_fd_sc_hd__decap_12 + SOURCE DIST + PLACED ( 23000 81600 ) N ;
- FILLER_26_389 sky130_fd_sc_hd__decap_12 + SOURCE DIST + PLACED ( 184460 81600 ) N ;
- FILLER_26_401 sky130_fd_sc_hd__decap_12 + SOURCE DIST + PLACED ( 189980 81600 ) N ;
- FILLER_26_413 sky130_fd_sc_hd__decap_6 + SOURCE DIST + PLACED ( 195500 81600 ) N ;
- FILLER_26_419 sky130_fd_sc_hd__fill_1 + SOURCE DIST + PLACED ( 198260 81600 ) N ;
- FILLER_26_421 sky130_fd_sc_hd__decap_12 + SOURCE DIST + PLACED ( 199180 81600 ) N ;
- FILLER_26_433 sky130_fd_sc_hd__decap_12 + SOURCE DIST + PLACED ( 204700 81600 ) N ;
- FILLER_26_445 sky130_fd_sc_hd__decap_12 + SOURCE DIST + PLACED ( 210220 81600 ) N ;
- FILLER_26_457 sky130_fd_sc_hd__decap_12 + SOURCE DIST + PLACED ( 215740 81600 ) N ;
- FILLER_26_469 sky130_fd_sc_hd__decap_6 + SOURCE DIST + PLACED ( 221260 81600 ) N ;
- FILLER_26_475 sky130_fd_sc_hd__fill_1 + SOURCE DIST + PLACED ( 224020 81600 ) N ;
- FILLER_26_477 sky130_fd_sc_hd__decap_12 + SOURCE DIST + PLACED ( 224940 81600 ) N ;
- FILLER_26_489 sky130_fd_sc_hd__decap_12 + SOURCE DIST + PLACED ( 230460 81600 ) N ;
- FILLER_26_50 sky130_fd_sc_hd__decap_12 + SOURCE DIST + PLACED ( 28520 81600 ) N ;
- FILLER_26_501 sky130_fd_sc_hd__decap_12 + SOURCE DIST + PLACED ( 235980 81600 ) N ;
- FILLER_26_513 sky130_fd_sc_hd__decap_12 + SOURCE DIST + PLACED ( 241500 81600 ) N ;
- FILLER_26_525 sky130_fd_sc_hd__decap_6 + SOURCE DIST + PLACED ( 247020 81600 ) N ;
- FILLER_26_531 sky130_fd_sc_hd__fill_1 + SOURCE DIST + PLACED ( 249780 81600 ) N ;
- FILLER_26_533 sky130_fd_sc_hd__decap_12 + SOURCE DIST + PLACED ( 250700 81600 ) N ;
- FILLER_26_545 sky130_fd_sc_hd__decap_12 + SOURCE DIST + PLACED ( 256220 81600 ) N ;
- FILLER_26_557 sky130_fd_sc_hd__decap_12 + SOURCE DIST + PLACED ( 261740 81600 ) N ;
- FILLER_26_569 sky130_fd_sc_hd__decap_12 + SOURCE DIST + PLACED ( 267260 81600 ) N ;
- FILLER_26_581 sky130_fd_sc_hd__decap_6 + SOURCE DIST + PLACED ( 272780 81600 ) N ;
- FILLER_26_587 sky130_fd_sc_hd__fill_1 + SOURCE DIST + PLACED ( 275540 81600 ) N ;
- FILLER_26_589 sky130_fd_sc_hd__decap_12 + SOURCE DIST + PLACED ( 276460 81600 ) N ;
- FILLER_26_601 sky130_fd_sc_hd__decap_12 + SOURCE DIST + PLACED ( 281980 81600 ) N ;
- FILLER_26_613 sky130_fd_sc_hd__decap_12 + SOURCE DIST + PLACED ( 287500 81600 ) N ;
- FILLER_26_62 sky130_fd_sc_hd__decap_12 + SOURCE DIST + PLACED ( 34040 81600 ) N ;
- FILLER_26_7 sky130_fd_sc_hd__decap_4 + SOURCE DIST + PLACED ( 8740 81600 ) N ;
- FILLER_26_74 sky130_fd_sc_hd__decap_8 + SOURCE DIST + PLACED ( 39560 81600 ) N ;
- FILLER_26_82 sky130_fd_sc_hd__fill_2 + SOURCE DIST + PLACED ( 43240 81600 ) N ;
- FILLER_26_85 sky130_fd_sc_hd__decap_8 + SOURCE DIST + PLACED ( 44620 81600 ) N ;
- FILLER_26_93 sky130_fd_sc_hd__fill_2 + SOURCE DIST + PLACED ( 48300 81600 ) N ;
- FILLER_26_97 sky130_fd_sc_hd__fill_2 + SOURCE DIST + PLACED ( 50140 81600 ) N ;
- FILLER_27_103 sky130_fd_sc_hd__decap_8 + SOURCE DIST + PLACED ( 52900 84320 ) FS ;
- FILLER_27_111 sky130_fd_sc_hd__fill_1 + SOURCE DIST + PLACED ( 56580 84320 ) FS ;
- FILLER_27_113 sky130_fd_sc_hd__decap_12 + SOURCE DIST + PLACED ( 57500 84320 ) FS ;
- FILLER_27_125 sky130_fd_sc_hd__decap_12 + SOURCE DIST + PLACED ( 63020 84320 ) FS ;
- FILLER_27_137 sky130_fd_sc_hd__decap_12 + SOURCE DIST + PLACED ( 68540 84320 ) FS ;
- FILLER_27_149 sky130_fd_sc_hd__decap_12 + SOURCE DIST + PLACED ( 74060 84320 ) FS ;
- FILLER_27_161 sky130_fd_sc_hd__decap_6 + SOURCE DIST + PLACED ( 79580 84320 ) FS ;
- FILLER_27_167 sky130_fd_sc_hd__fill_1 + SOURCE DIST + PLACED ( 82340 84320 ) FS ;
- FILLER_27_169 sky130_fd_sc_hd__decap_12 + SOURCE DIST + PLACED ( 83260 84320 ) FS ;
- FILLER_27_181 sky130_fd_sc_hd__decap_12 + SOURCE DIST + PLACED ( 88780 84320 ) FS ;
- FILLER_27_193 sky130_fd_sc_hd__decap_12 + SOURCE DIST + PLACED ( 94300 84320 ) FS ;
- FILLER_27_205 sky130_fd_sc_hd__decap_12 + SOURCE DIST + PLACED ( 99820 84320 ) FS ;
- FILLER_27_217 sky130_fd_sc_hd__decap_6 + SOURCE DIST + PLACED ( 105340 84320 ) FS ;
- FILLER_27_223 sky130_fd_sc_hd__fill_1 + SOURCE DIST + PLACED ( 108100 84320 ) FS ;
- FILLER_27_225 sky130_fd_sc_hd__decap_12 + SOURCE DIST + PLACED ( 109020 84320 ) FS ;
- FILLER_27_237 sky130_fd_sc_hd__decap_12 + SOURCE DIST + PLACED ( 114540 84320 ) FS ;
- FILLER_27_249 sky130_fd_sc_hd__decap_12 + SOURCE DIST + PLACED ( 120060 84320 ) FS ;
- FILLER_27_261 sky130_fd_sc_hd__decap_12 + SOURCE DIST + PLACED ( 125580 84320 ) FS ;
- FILLER_27_273 sky130_fd_sc_hd__decap_6 + SOURCE DIST + PLACED ( 131100 84320 ) FS ;
- FILLER_27_279 sky130_fd_sc_hd__fill_1 + SOURCE DIST + PLACED ( 133860 84320 ) FS ;
- FILLER_27_281 sky130_fd_sc_hd__decap_12 + SOURCE DIST + PLACED ( 134780 84320 ) FS ;
- FILLER_27_293 sky130_fd_sc_hd__decap_12 + SOURCE DIST + PLACED ( 140300 84320 ) FS ;
- FILLER_27_30 sky130_fd_sc_hd__decap_4 + SOURCE DIST + PLACED ( 19320 84320 ) FS ;
- FILLER_27_305 sky130_fd_sc_hd__decap_12 + SOURCE DIST + PLACED ( 145820 84320 ) FS ;
- FILLER_27_317 sky130_fd_sc_hd__decap_12 + SOURCE DIST + PLACED ( 151340 84320 ) FS ;
- FILLER_27_329 sky130_fd_sc_hd__decap_6 + SOURCE DIST + PLACED ( 156860 84320 ) FS ;
- FILLER_27_335 sky130_fd_sc_hd__fill_1 + SOURCE DIST + PLACED ( 159620 84320 ) FS ;
- FILLER_27_337 sky130_fd_sc_hd__decap_12 + SOURCE DIST + PLACED ( 160540 84320 ) FS ;
- FILLER_27_349 sky130_fd_sc_hd__decap_12 + SOURCE DIST + PLACED ( 166060 84320 ) FS ;
- FILLER_27_361 sky130_fd_sc_hd__decap_12 + SOURCE DIST + PLACED ( 171580 84320 ) FS ;
- FILLER_27_373 sky130_fd_sc_hd__decap_12 + SOURCE DIST + PLACED ( 177100 84320 ) FS ;
- FILLER_27_385 sky130_fd_sc_hd__decap_6 + SOURCE DIST + PLACED ( 182620 84320 ) FS ;
- FILLER_27_391 sky130_fd_sc_hd__fill_1 + SOURCE DIST + PLACED ( 185380 84320 ) FS ;
- FILLER_27_393 sky130_fd_sc_hd__decap_12 + SOURCE DIST + PLACED ( 186300 84320 ) FS ;
- FILLER_27_405 sky130_fd_sc_hd__decap_12 + SOURCE DIST + PLACED ( 191820 84320 ) FS ;
- FILLER_27_417 sky130_fd_sc_hd__decap_12 + SOURCE DIST + PLACED ( 197340 84320 ) FS ;
- FILLER_27_42 sky130_fd_sc_hd__decap_12 + SOURCE DIST + PLACED ( 24840 84320 ) FS ;
- FILLER_27_429 sky130_fd_sc_hd__decap_12 + SOURCE DIST + PLACED ( 202860 84320 ) FS ;
- FILLER_27_441 sky130_fd_sc_hd__decap_6 + SOURCE DIST + PLACED ( 208380 84320 ) FS ;
- FILLER_27_447 sky130_fd_sc_hd__fill_1 + SOURCE DIST + PLACED ( 211140 84320 ) FS ;
- FILLER_27_449 sky130_fd_sc_hd__decap_12 + SOURCE DIST + PLACED ( 212060 84320 ) FS ;
- FILLER_27_461 sky130_fd_sc_hd__decap_12 + SOURCE DIST + PLACED ( 217580 84320 ) FS ;
- FILLER_27_473 sky130_fd_sc_hd__decap_12 + SOURCE DIST + PLACED ( 223100 84320 ) FS ;
- FILLER_27_485 sky130_fd_sc_hd__decap_12 + SOURCE DIST + PLACED ( 228620 84320 ) FS ;
- FILLER_27_497 sky130_fd_sc_hd__decap_6 + SOURCE DIST + PLACED ( 234140 84320 ) FS ;
- FILLER_27_503 sky130_fd_sc_hd__fill_1 + SOURCE DIST + PLACED ( 236900 84320 ) FS ;
- FILLER_27_505 sky130_fd_sc_hd__decap_12 + SOURCE DIST + PLACED ( 237820 84320 ) FS ;
- FILLER_27_517 sky130_fd_sc_hd__decap_12 + SOURCE DIST + PLACED ( 243340 84320 ) FS ;
- FILLER_27_529 sky130_fd_sc_hd__decap_12 + SOURCE DIST + PLACED ( 248860 84320 ) FS ;
- FILLER_27_54 sky130_fd_sc_hd__fill_2 + SOURCE DIST + PLACED ( 30360 84320 ) FS ;
- FILLER_27_541 sky130_fd_sc_hd__decap_12 + SOURCE DIST + PLACED ( 254380 84320 ) FS ;
- FILLER_27_553 sky130_fd_sc_hd__decap_6 + SOURCE DIST + PLACED ( 259900 84320 ) FS ;
- FILLER_27_559 sky130_fd_sc_hd__fill_1 + SOURCE DIST + PLACED ( 262660 84320 ) FS ;
- FILLER_27_561 sky130_fd_sc_hd__decap_12 + SOURCE DIST + PLACED ( 263580 84320 ) FS ;
- FILLER_27_57 sky130_fd_sc_hd__decap_12 + SOURCE DIST + PLACED ( 31740 84320 ) FS ;
- FILLER_27_573 sky130_fd_sc_hd__decap_12 + SOURCE DIST + PLACED ( 269100 84320 ) FS ;
- FILLER_27_585 sky130_fd_sc_hd__decap_12 + SOURCE DIST + PLACED ( 274620 84320 ) FS ;
- FILLER_27_597 sky130_fd_sc_hd__decap_12 + SOURCE DIST + PLACED ( 280140 84320 ) FS ;
- FILLER_27_6 sky130_fd_sc_hd__decap_8 + SOURCE DIST + PLACED ( 8280 84320 ) FS ;
- FILLER_27_609 sky130_fd_sc_hd__decap_6 + SOURCE DIST + PLACED ( 285660 84320 ) FS ;
- FILLER_27_615 sky130_fd_sc_hd__fill_1 + SOURCE DIST + PLACED ( 288420 84320 ) FS ;
- FILLER_27_617 sky130_fd_sc_hd__decap_8 + SOURCE DIST + PLACED ( 289340 84320 ) FS ;
- FILLER_27_69 sky130_fd_sc_hd__decap_12 + SOURCE DIST + PLACED ( 37260 84320 ) FS ;
- FILLER_27_81 sky130_fd_sc_hd__decap_12 + SOURCE DIST + PLACED ( 42780 84320 ) FS ;
- FILLER_28_10 sky130_fd_sc_hd__decap_4 + SOURCE DIST + PLACED ( 10120 87040 ) N ;
- FILLER_28_109 sky130_fd_sc_hd__decap_12 + SOURCE DIST + PLACED ( 55660 87040 ) N ;
- FILLER_28_121 sky130_fd_sc_hd__decap_12 + SOURCE DIST + PLACED ( 61180 87040 ) N ;
- FILLER_28_133 sky130_fd_sc_hd__decap_6 + SOURCE DIST + PLACED ( 66700 87040 ) N ;
- FILLER_28_139 sky130_fd_sc_hd__fill_1 + SOURCE DIST + PLACED ( 69460 87040 ) N ;
- FILLER_28_141 sky130_fd_sc_hd__decap_12 + SOURCE DIST + PLACED ( 70380 87040 ) N ;
- FILLER_28_153 sky130_fd_sc_hd__decap_12 + SOURCE DIST + PLACED ( 75900 87040 ) N ;
- FILLER_28_165 sky130_fd_sc_hd__decap_12 + SOURCE DIST + PLACED ( 81420 87040 ) N ;
- FILLER_28_177 sky130_fd_sc_hd__decap_12 + SOURCE DIST + PLACED ( 86940 87040 ) N ;
- FILLER_28_189 sky130_fd_sc_hd__decap_6 + SOURCE DIST + PLACED ( 92460 87040 ) N ;
- FILLER_28_195 sky130_fd_sc_hd__fill_1 + SOURCE DIST + PLACED ( 95220 87040 ) N ;
- FILLER_28_197 sky130_fd_sc_hd__decap_12 + SOURCE DIST + PLACED ( 96140 87040 ) N ;
- FILLER_28_209 sky130_fd_sc_hd__decap_12 + SOURCE DIST + PLACED ( 101660 87040 ) N ;
- FILLER_28_221 sky130_fd_sc_hd__decap_12 + SOURCE DIST + PLACED ( 107180 87040 ) N ;
- FILLER_28_23 sky130_fd_sc_hd__decap_4 + SOURCE DIST + PLACED ( 16100 87040 ) N ;
- FILLER_28_233 sky130_fd_sc_hd__decap_12 + SOURCE DIST + PLACED ( 112700 87040 ) N ;
- FILLER_28_245 sky130_fd_sc_hd__decap_6 + SOURCE DIST + PLACED ( 118220 87040 ) N ;
- FILLER_28_251 sky130_fd_sc_hd__fill_1 + SOURCE DIST + PLACED ( 120980 87040 ) N ;
- FILLER_28_253 sky130_fd_sc_hd__decap_12 + SOURCE DIST + PLACED ( 121900 87040 ) N ;
- FILLER_28_265 sky130_fd_sc_hd__decap_12 + SOURCE DIST + PLACED ( 127420 87040 ) N ;
- FILLER_28_27 sky130_fd_sc_hd__fill_1 + SOURCE DIST + PLACED ( 17940 87040 ) N ;
- FILLER_28_277 sky130_fd_sc_hd__decap_12 + SOURCE DIST + PLACED ( 132940 87040 ) N ;
- FILLER_28_289 sky130_fd_sc_hd__decap_12 + SOURCE DIST + PLACED ( 138460 87040 ) N ;
- FILLER_28_29 sky130_fd_sc_hd__decap_12 + SOURCE DIST + PLACED ( 18860 87040 ) N ;
- FILLER_28_3 sky130_fd_sc_hd__decap_4 + SOURCE DIST + PLACED ( 6900 87040 ) N ;
- FILLER_28_301 sky130_fd_sc_hd__decap_6 + SOURCE DIST + PLACED ( 143980 87040 ) N ;
- FILLER_28_307 sky130_fd_sc_hd__fill_1 + SOURCE DIST + PLACED ( 146740 87040 ) N ;
- FILLER_28_309 sky130_fd_sc_hd__decap_12 + SOURCE DIST + PLACED ( 147660 87040 ) N ;
- FILLER_28_321 sky130_fd_sc_hd__decap_12 + SOURCE DIST + PLACED ( 153180 87040 ) N ;
- FILLER_28_333 sky130_fd_sc_hd__decap_12 + SOURCE DIST + PLACED ( 158700 87040 ) N ;
- FILLER_28_345 sky130_fd_sc_hd__decap_12 + SOURCE DIST + PLACED ( 164220 87040 ) N ;
- FILLER_28_357 sky130_fd_sc_hd__decap_6 + SOURCE DIST + PLACED ( 169740 87040 ) N ;
- FILLER_28_363 sky130_fd_sc_hd__fill_1 + SOURCE DIST + PLACED ( 172500 87040 ) N ;
- FILLER_28_365 sky130_fd_sc_hd__decap_12 + SOURCE DIST + PLACED ( 173420 87040 ) N ;
- FILLER_28_377 sky130_fd_sc_hd__decap_12 + SOURCE DIST + PLACED ( 178940 87040 ) N ;
- FILLER_28_389 sky130_fd_sc_hd__decap_12 + SOURCE DIST + PLACED ( 184460 87040 ) N ;
- FILLER_28_401 sky130_fd_sc_hd__decap_12 + SOURCE DIST + PLACED ( 189980 87040 ) N ;
- FILLER_28_41 sky130_fd_sc_hd__decap_12 + SOURCE DIST + PLACED ( 24380 87040 ) N ;
- FILLER_28_413 sky130_fd_sc_hd__decap_6 + SOURCE DIST + PLACED ( 195500 87040 ) N ;
- FILLER_28_419 sky130_fd_sc_hd__fill_1 + SOURCE DIST + PLACED ( 198260 87040 ) N ;
- FILLER_28_421 sky130_fd_sc_hd__decap_12 + SOURCE DIST + PLACED ( 199180 87040 ) N ;
- FILLER_28_433 sky130_fd_sc_hd__decap_12 + SOURCE DIST + PLACED ( 204700 87040 ) N ;
- FILLER_28_445 sky130_fd_sc_hd__decap_12 + SOURCE DIST + PLACED ( 210220 87040 ) N ;
- FILLER_28_457 sky130_fd_sc_hd__decap_12 + SOURCE DIST + PLACED ( 215740 87040 ) N ;
- FILLER_28_469 sky130_fd_sc_hd__decap_6 + SOURCE DIST + PLACED ( 221260 87040 ) N ;
- FILLER_28_475 sky130_fd_sc_hd__fill_1 + SOURCE DIST + PLACED ( 224020 87040 ) N ;
- FILLER_28_477 sky130_fd_sc_hd__decap_12 + SOURCE DIST + PLACED ( 224940 87040 ) N ;
- FILLER_28_489 sky130_fd_sc_hd__decap_12 + SOURCE DIST + PLACED ( 230460 87040 ) N ;
- FILLER_28_501 sky130_fd_sc_hd__decap_12 + SOURCE DIST + PLACED ( 235980 87040 ) N ;
- FILLER_28_513 sky130_fd_sc_hd__decap_12 + SOURCE DIST + PLACED ( 241500 87040 ) N ;
- FILLER_28_525 sky130_fd_sc_hd__decap_6 + SOURCE DIST + PLACED ( 247020 87040 ) N ;
- FILLER_28_53 sky130_fd_sc_hd__decap_12 + SOURCE DIST + PLACED ( 29900 87040 ) N ;
- FILLER_28_531 sky130_fd_sc_hd__fill_1 + SOURCE DIST + PLACED ( 249780 87040 ) N ;
- FILLER_28_533 sky130_fd_sc_hd__decap_12 + SOURCE DIST + PLACED ( 250700 87040 ) N ;
- FILLER_28_545 sky130_fd_sc_hd__decap_12 + SOURCE DIST + PLACED ( 256220 87040 ) N ;
- FILLER_28_557 sky130_fd_sc_hd__decap_12 + SOURCE DIST + PLACED ( 261740 87040 ) N ;
- FILLER_28_569 sky130_fd_sc_hd__decap_12 + SOURCE DIST + PLACED ( 267260 87040 ) N ;
- FILLER_28_581 sky130_fd_sc_hd__decap_6 + SOURCE DIST + PLACED ( 272780 87040 ) N ;
- FILLER_28_587 sky130_fd_sc_hd__fill_1 + SOURCE DIST + PLACED ( 275540 87040 ) N ;
- FILLER_28_589 sky130_fd_sc_hd__decap_12 + SOURCE DIST + PLACED ( 276460 87040 ) N ;
- FILLER_28_601 sky130_fd_sc_hd__decap_12 + SOURCE DIST + PLACED ( 281980 87040 ) N ;
- FILLER_28_613 sky130_fd_sc_hd__decap_12 + SOURCE DIST + PLACED ( 287500 87040 ) N ;
- FILLER_28_65 sky130_fd_sc_hd__decap_12 + SOURCE DIST + PLACED ( 35420 87040 ) N ;
- FILLER_28_77 sky130_fd_sc_hd__decap_6 + SOURCE DIST + PLACED ( 40940 87040 ) N ;
- FILLER_28_83 sky130_fd_sc_hd__fill_1 + SOURCE DIST + PLACED ( 43700 87040 ) N ;
- FILLER_28_85 sky130_fd_sc_hd__decap_12 + SOURCE DIST + PLACED ( 44620 87040 ) N ;
- FILLER_28_97 sky130_fd_sc_hd__decap_12 + SOURCE DIST + PLACED ( 50140 87040 ) N ;
- FILLER_29_105 sky130_fd_sc_hd__decap_6 + SOURCE DIST + PLACED ( 53820 89760 ) FS ;
- FILLER_29_11 sky130_fd_sc_hd__fill_1 + SOURCE DIST + PLACED ( 10580 89760 ) FS ;
- FILLER_29_111 sky130_fd_sc_hd__fill_1 + SOURCE DIST + PLACED ( 56580 89760 ) FS ;
- FILLER_29_113 sky130_fd_sc_hd__decap_12 + SOURCE DIST + PLACED ( 57500 89760 ) FS ;
- FILLER_29_125 sky130_fd_sc_hd__decap_12 + SOURCE DIST + PLACED ( 63020 89760 ) FS ;
- FILLER_29_137 sky130_fd_sc_hd__decap_12 + SOURCE DIST + PLACED ( 68540 89760 ) FS ;
- FILLER_29_149 sky130_fd_sc_hd__decap_12 + SOURCE DIST + PLACED ( 74060 89760 ) FS ;
- FILLER_29_15 sky130_fd_sc_hd__decap_12 + SOURCE DIST + PLACED ( 12420 89760 ) FS ;
- FILLER_29_161 sky130_fd_sc_hd__decap_6 + SOURCE DIST + PLACED ( 79580 89760 ) FS ;
- FILLER_29_167 sky130_fd_sc_hd__fill_1 + SOURCE DIST + PLACED ( 82340 89760 ) FS ;
- FILLER_29_169 sky130_fd_sc_hd__decap_12 + SOURCE DIST + PLACED ( 83260 89760 ) FS ;
- FILLER_29_181 sky130_fd_sc_hd__decap_12 + SOURCE DIST + PLACED ( 88780 89760 ) FS ;
- FILLER_29_193 sky130_fd_sc_hd__decap_12 + SOURCE DIST + PLACED ( 94300 89760 ) FS ;
- FILLER_29_205 sky130_fd_sc_hd__decap_12 + SOURCE DIST + PLACED ( 99820 89760 ) FS ;
- FILLER_29_217 sky130_fd_sc_hd__decap_6 + SOURCE DIST + PLACED ( 105340 89760 ) FS ;
- FILLER_29_223 sky130_fd_sc_hd__fill_1 + SOURCE DIST + PLACED ( 108100 89760 ) FS ;
- FILLER_29_225 sky130_fd_sc_hd__decap_12 + SOURCE DIST + PLACED ( 109020 89760 ) FS ;
- FILLER_29_237 sky130_fd_sc_hd__decap_12 + SOURCE DIST + PLACED ( 114540 89760 ) FS ;
- FILLER_29_249 sky130_fd_sc_hd__decap_12 + SOURCE DIST + PLACED ( 120060 89760 ) FS ;
- FILLER_29_261 sky130_fd_sc_hd__decap_12 + SOURCE DIST + PLACED ( 125580 89760 ) FS ;
- FILLER_29_27 sky130_fd_sc_hd__decap_12 + SOURCE DIST + PLACED ( 17940 89760 ) FS ;
- FILLER_29_273 sky130_fd_sc_hd__decap_6 + SOURCE DIST + PLACED ( 131100 89760 ) FS ;
- FILLER_29_279 sky130_fd_sc_hd__fill_1 + SOURCE DIST + PLACED ( 133860 89760 ) FS ;
- FILLER_29_281 sky130_fd_sc_hd__decap_12 + SOURCE DIST + PLACED ( 134780 89760 ) FS ;
- FILLER_29_293 sky130_fd_sc_hd__decap_12 + SOURCE DIST + PLACED ( 140300 89760 ) FS ;
- FILLER_29_305 sky130_fd_sc_hd__decap_12 + SOURCE DIST + PLACED ( 145820 89760 ) FS ;
- FILLER_29_317 sky130_fd_sc_hd__decap_12 + SOURCE DIST + PLACED ( 151340 89760 ) FS ;
- FILLER_29_329 sky130_fd_sc_hd__decap_6 + SOURCE DIST + PLACED ( 156860 89760 ) FS ;
- FILLER_29_335 sky130_fd_sc_hd__fill_1 + SOURCE DIST + PLACED ( 159620 89760 ) FS ;
- FILLER_29_337 sky130_fd_sc_hd__decap_12 + SOURCE DIST + PLACED ( 160540 89760 ) FS ;
- FILLER_29_349 sky130_fd_sc_hd__decap_12 + SOURCE DIST + PLACED ( 166060 89760 ) FS ;
- FILLER_29_361 sky130_fd_sc_hd__decap_12 + SOURCE DIST + PLACED ( 171580 89760 ) FS ;
- FILLER_29_373 sky130_fd_sc_hd__decap_12 + SOURCE DIST + PLACED ( 177100 89760 ) FS ;
- FILLER_29_385 sky130_fd_sc_hd__decap_6 + SOURCE DIST + PLACED ( 182620 89760 ) FS ;
- FILLER_29_39 sky130_fd_sc_hd__decap_12 + SOURCE DIST + PLACED ( 23460 89760 ) FS ;
- FILLER_29_391 sky130_fd_sc_hd__fill_1 + SOURCE DIST + PLACED ( 185380 89760 ) FS ;
- FILLER_29_393 sky130_fd_sc_hd__decap_12 + SOURCE DIST + PLACED ( 186300 89760 ) FS ;
- FILLER_29_405 sky130_fd_sc_hd__decap_12 + SOURCE DIST + PLACED ( 191820 89760 ) FS ;
- FILLER_29_417 sky130_fd_sc_hd__decap_12 + SOURCE DIST + PLACED ( 197340 89760 ) FS ;
- FILLER_29_429 sky130_fd_sc_hd__decap_12 + SOURCE DIST + PLACED ( 202860 89760 ) FS ;
- FILLER_29_441 sky130_fd_sc_hd__decap_6 + SOURCE DIST + PLACED ( 208380 89760 ) FS ;
- FILLER_29_447 sky130_fd_sc_hd__fill_1 + SOURCE DIST + PLACED ( 211140 89760 ) FS ;
- FILLER_29_449 sky130_fd_sc_hd__decap_12 + SOURCE DIST + PLACED ( 212060 89760 ) FS ;
- FILLER_29_461 sky130_fd_sc_hd__decap_12 + SOURCE DIST + PLACED ( 217580 89760 ) FS ;
- FILLER_29_473 sky130_fd_sc_hd__decap_12 + SOURCE DIST + PLACED ( 223100 89760 ) FS ;
- FILLER_29_485 sky130_fd_sc_hd__decap_12 + SOURCE DIST + PLACED ( 228620 89760 ) FS ;
- FILLER_29_497 sky130_fd_sc_hd__decap_6 + SOURCE DIST + PLACED ( 234140 89760 ) FS ;
- FILLER_29_503 sky130_fd_sc_hd__fill_1 + SOURCE DIST + PLACED ( 236900 89760 ) FS ;
- FILLER_29_505 sky130_fd_sc_hd__decap_12 + SOURCE DIST + PLACED ( 237820 89760 ) FS ;
- FILLER_29_51 sky130_fd_sc_hd__decap_4 + SOURCE DIST + PLACED ( 28980 89760 ) FS ;
- FILLER_29_517 sky130_fd_sc_hd__decap_12 + SOURCE DIST + PLACED ( 243340 89760 ) FS ;
- FILLER_29_529 sky130_fd_sc_hd__decap_12 + SOURCE DIST + PLACED ( 248860 89760 ) FS ;
- FILLER_29_541 sky130_fd_sc_hd__decap_12 + SOURCE DIST + PLACED ( 254380 89760 ) FS ;
- FILLER_29_55 sky130_fd_sc_hd__fill_1 + SOURCE DIST + PLACED ( 30820 89760 ) FS ;
- FILLER_29_553 sky130_fd_sc_hd__decap_6 + SOURCE DIST + PLACED ( 259900 89760 ) FS ;
- FILLER_29_559 sky130_fd_sc_hd__fill_1 + SOURCE DIST + PLACED ( 262660 89760 ) FS ;
- FILLER_29_561 sky130_fd_sc_hd__decap_12 + SOURCE DIST + PLACED ( 263580 89760 ) FS ;
- FILLER_29_57 sky130_fd_sc_hd__decap_12 + SOURCE DIST + PLACED ( 31740 89760 ) FS ;
- FILLER_29_573 sky130_fd_sc_hd__decap_12 + SOURCE DIST + PLACED ( 269100 89760 ) FS ;
- FILLER_29_585 sky130_fd_sc_hd__decap_12 + SOURCE DIST + PLACED ( 274620 89760 ) FS ;
- FILLER_29_597 sky130_fd_sc_hd__decap_12 + SOURCE DIST + PLACED ( 280140 89760 ) FS ;
- FILLER_29_609 sky130_fd_sc_hd__decap_6 + SOURCE DIST + PLACED ( 285660 89760 ) FS ;
- FILLER_29_615 sky130_fd_sc_hd__fill_1 + SOURCE DIST + PLACED ( 288420 89760 ) FS ;
- FILLER_29_617 sky130_fd_sc_hd__decap_8 + SOURCE DIST + PLACED ( 289340 89760 ) FS ;
- FILLER_29_69 sky130_fd_sc_hd__decap_12 + SOURCE DIST + PLACED ( 37260 89760 ) FS ;
- FILLER_29_7 sky130_fd_sc_hd__decap_4 + SOURCE DIST + PLACED ( 8740 89760 ) FS ;
- FILLER_29_81 sky130_fd_sc_hd__decap_12 + SOURCE DIST + PLACED ( 42780 89760 ) FS ;
- FILLER_29_93 sky130_fd_sc_hd__decap_12 + SOURCE DIST + PLACED ( 48300 89760 ) FS ;
- FILLER_2_106 sky130_fd_sc_hd__fill_2 + SOURCE DIST + PLACED ( 54280 16320 ) N ;
- FILLER_2_11 sky130_fd_sc_hd__fill_1 + SOURCE DIST + PLACED ( 10580 16320 ) N ;
- FILLER_2_113 sky130_fd_sc_hd__fill_2 + SOURCE DIST + PLACED ( 57500 16320 ) N ;
- FILLER_2_117 sky130_fd_sc_hd__fill_2 + SOURCE DIST + PLACED ( 59340 16320 ) N ;
- FILLER_2_125 sky130_fd_sc_hd__decap_4 + SOURCE DIST + PLACED ( 63020 16320 ) N ;
- FILLER_2_134 sky130_fd_sc_hd__decap_6 + SOURCE DIST + PLACED ( 67160 16320 ) N ;
- FILLER_2_141 sky130_fd_sc_hd__decap_12 + SOURCE DIST + PLACED ( 70380 16320 ) N ;
- FILLER_2_15 sky130_fd_sc_hd__decap_6 + SOURCE DIST + PLACED ( 12420 16320 ) N ;
- FILLER_2_153 sky130_fd_sc_hd__decap_12 + SOURCE DIST + PLACED ( 75900 16320 ) N ;
- FILLER_2_165 sky130_fd_sc_hd__decap_12 + SOURCE DIST + PLACED ( 81420 16320 ) N ;
- FILLER_2_177 sky130_fd_sc_hd__decap_12 + SOURCE DIST + PLACED ( 86940 16320 ) N ;
- FILLER_2_189 sky130_fd_sc_hd__decap_6 + SOURCE DIST + PLACED ( 92460 16320 ) N ;
- FILLER_2_195 sky130_fd_sc_hd__fill_1 + SOURCE DIST + PLACED ( 95220 16320 ) N ;
- FILLER_2_197 sky130_fd_sc_hd__decap_12 + SOURCE DIST + PLACED ( 96140 16320 ) N ;
- FILLER_2_209 sky130_fd_sc_hd__decap_12 + SOURCE DIST + PLACED ( 101660 16320 ) N ;
- FILLER_2_221 sky130_fd_sc_hd__decap_12 + SOURCE DIST + PLACED ( 107180 16320 ) N ;
- FILLER_2_233 sky130_fd_sc_hd__decap_12 + SOURCE DIST + PLACED ( 112700 16320 ) N ;
- FILLER_2_24 sky130_fd_sc_hd__decap_4 + SOURCE DIST + PLACED ( 16560 16320 ) N ;
- FILLER_2_245 sky130_fd_sc_hd__decap_6 + SOURCE DIST + PLACED ( 118220 16320 ) N ;
- FILLER_2_251 sky130_fd_sc_hd__fill_1 + SOURCE DIST + PLACED ( 120980 16320 ) N ;
- FILLER_2_253 sky130_fd_sc_hd__decap_12 + SOURCE DIST + PLACED ( 121900 16320 ) N ;
- FILLER_2_265 sky130_fd_sc_hd__decap_12 + SOURCE DIST + PLACED ( 127420 16320 ) N ;
- FILLER_2_277 sky130_fd_sc_hd__decap_12 + SOURCE DIST + PLACED ( 132940 16320 ) N ;
- FILLER_2_289 sky130_fd_sc_hd__decap_12 + SOURCE DIST + PLACED ( 138460 16320 ) N ;
- FILLER_2_301 sky130_fd_sc_hd__decap_6 + SOURCE DIST + PLACED ( 143980 16320 ) N ;
- FILLER_2_307 sky130_fd_sc_hd__fill_1 + SOURCE DIST + PLACED ( 146740 16320 ) N ;
- FILLER_2_309 sky130_fd_sc_hd__decap_12 + SOURCE DIST + PLACED ( 147660 16320 ) N ;
- FILLER_2_321 sky130_fd_sc_hd__decap_12 + SOURCE DIST + PLACED ( 153180 16320 ) N ;
- FILLER_2_333 sky130_fd_sc_hd__decap_12 + SOURCE DIST + PLACED ( 158700 16320 ) N ;
- FILLER_2_345 sky130_fd_sc_hd__decap_12 + SOURCE DIST + PLACED ( 164220 16320 ) N ;
- FILLER_2_35 sky130_fd_sc_hd__decap_4 + SOURCE DIST + PLACED ( 21620 16320 ) N ;
- FILLER_2_357 sky130_fd_sc_hd__decap_6 + SOURCE DIST + PLACED ( 169740 16320 ) N ;
- FILLER_2_363 sky130_fd_sc_hd__fill_1 + SOURCE DIST + PLACED ( 172500 16320 ) N ;
- FILLER_2_365 sky130_fd_sc_hd__decap_12 + SOURCE DIST + PLACED ( 173420 16320 ) N ;
- FILLER_2_377 sky130_fd_sc_hd__decap_12 + SOURCE DIST + PLACED ( 178940 16320 ) N ;
- FILLER_2_389 sky130_fd_sc_hd__decap_12 + SOURCE DIST + PLACED ( 184460 16320 ) N ;
- FILLER_2_401 sky130_fd_sc_hd__decap_12 + SOURCE DIST + PLACED ( 189980 16320 ) N ;
- FILLER_2_413 sky130_fd_sc_hd__decap_6 + SOURCE DIST + PLACED ( 195500 16320 ) N ;
- FILLER_2_419 sky130_fd_sc_hd__fill_1 + SOURCE DIST + PLACED ( 198260 16320 ) N ;
- FILLER_2_42 sky130_fd_sc_hd__decap_4 + SOURCE DIST + PLACED ( 24840 16320 ) N ;
- FILLER_2_421 sky130_fd_sc_hd__decap_12 + SOURCE DIST + PLACED ( 199180 16320 ) N ;
- FILLER_2_433 sky130_fd_sc_hd__decap_12 + SOURCE DIST + PLACED ( 204700 16320 ) N ;
- FILLER_2_445 sky130_fd_sc_hd__decap_12 + SOURCE DIST + PLACED ( 210220 16320 ) N ;
- FILLER_2_457 sky130_fd_sc_hd__decap_12 + SOURCE DIST + PLACED ( 215740 16320 ) N ;
- FILLER_2_469 sky130_fd_sc_hd__decap_6 + SOURCE DIST + PLACED ( 221260 16320 ) N ;
- FILLER_2_475 sky130_fd_sc_hd__fill_1 + SOURCE DIST + PLACED ( 224020 16320 ) N ;
- FILLER_2_477 sky130_fd_sc_hd__decap_12 + SOURCE DIST + PLACED ( 224940 16320 ) N ;
- FILLER_2_489 sky130_fd_sc_hd__decap_12 + SOURCE DIST + PLACED ( 230460 16320 ) N ;
- FILLER_2_49 sky130_fd_sc_hd__decap_4 + SOURCE DIST + PLACED ( 28060 16320 ) N ;
- FILLER_2_501 sky130_fd_sc_hd__decap_12 + SOURCE DIST + PLACED ( 235980 16320 ) N ;
- FILLER_2_513 sky130_fd_sc_hd__decap_12 + SOURCE DIST + PLACED ( 241500 16320 ) N ;
- FILLER_2_525 sky130_fd_sc_hd__decap_6 + SOURCE DIST + PLACED ( 247020 16320 ) N ;
- FILLER_2_531 sky130_fd_sc_hd__fill_1 + SOURCE DIST + PLACED ( 249780 16320 ) N ;
- FILLER_2_533 sky130_fd_sc_hd__decap_12 + SOURCE DIST + PLACED ( 250700 16320 ) N ;
- FILLER_2_545 sky130_fd_sc_hd__decap_12 + SOURCE DIST + PLACED ( 256220 16320 ) N ;
- FILLER_2_557 sky130_fd_sc_hd__decap_12 + SOURCE DIST + PLACED ( 261740 16320 ) N ;
- FILLER_2_569 sky130_fd_sc_hd__decap_12 + SOURCE DIST + PLACED ( 267260 16320 ) N ;
- FILLER_2_581 sky130_fd_sc_hd__decap_6 + SOURCE DIST + PLACED ( 272780 16320 ) N ;
- FILLER_2_587 sky130_fd_sc_hd__fill_1 + SOURCE DIST + PLACED ( 275540 16320 ) N ;
- FILLER_2_589 sky130_fd_sc_hd__decap_12 + SOURCE DIST + PLACED ( 276460 16320 ) N ;
- FILLER_2_601 sky130_fd_sc_hd__decap_12 + SOURCE DIST + PLACED ( 281980 16320 ) N ;
- FILLER_2_613 sky130_fd_sc_hd__fill_2 + SOURCE DIST + PLACED ( 287500 16320 ) N ;
- FILLER_2_621 sky130_fd_sc_hd__decap_4 + SOURCE DIST + PLACED ( 291180 16320 ) N ;
- FILLER_2_69 sky130_fd_sc_hd__decap_4 + SOURCE DIST + PLACED ( 37260 16320 ) N ;
- FILLER_2_7 sky130_fd_sc_hd__decap_4 + SOURCE DIST + PLACED ( 8740 16320 ) N ;
- FILLER_2_76 sky130_fd_sc_hd__decap_8 + SOURCE DIST + PLACED ( 40480 16320 ) N ;
- FILLER_2_85 sky130_fd_sc_hd__decap_4 + SOURCE DIST + PLACED ( 44620 16320 ) N ;
- FILLER_2_94 sky130_fd_sc_hd__decap_12 + SOURCE DIST + PLACED ( 48760 16320 ) N ;
- FILLER_30_109 sky130_fd_sc_hd__decap_12 + SOURCE DIST + PLACED ( 55660 92480 ) N ;
- FILLER_30_121 sky130_fd_sc_hd__decap_12 + SOURCE DIST + PLACED ( 61180 92480 ) N ;
- FILLER_30_133 sky130_fd_sc_hd__decap_6 + SOURCE DIST + PLACED ( 66700 92480 ) N ;
- FILLER_30_139 sky130_fd_sc_hd__fill_1 + SOURCE DIST + PLACED ( 69460 92480 ) N ;
- FILLER_30_141 sky130_fd_sc_hd__decap_12 + SOURCE DIST + PLACED ( 70380 92480 ) N ;
- FILLER_30_153 sky130_fd_sc_hd__decap_12 + SOURCE DIST + PLACED ( 75900 92480 ) N ;
- FILLER_30_165 sky130_fd_sc_hd__decap_12 + SOURCE DIST + PLACED ( 81420 92480 ) N ;
- FILLER_30_17 sky130_fd_sc_hd__decap_8 + SOURCE DIST + PLACED ( 13340 92480 ) N ;
- FILLER_30_177 sky130_fd_sc_hd__decap_12 + SOURCE DIST + PLACED ( 86940 92480 ) N ;
- FILLER_30_189 sky130_fd_sc_hd__decap_6 + SOURCE DIST + PLACED ( 92460 92480 ) N ;
- FILLER_30_195 sky130_fd_sc_hd__fill_1 + SOURCE DIST + PLACED ( 95220 92480 ) N ;
- FILLER_30_197 sky130_fd_sc_hd__decap_12 + SOURCE DIST + PLACED ( 96140 92480 ) N ;
- FILLER_30_209 sky130_fd_sc_hd__decap_12 + SOURCE DIST + PLACED ( 101660 92480 ) N ;
- FILLER_30_221 sky130_fd_sc_hd__decap_12 + SOURCE DIST + PLACED ( 107180 92480 ) N ;
- FILLER_30_233 sky130_fd_sc_hd__decap_12 + SOURCE DIST + PLACED ( 112700 92480 ) N ;
- FILLER_30_245 sky130_fd_sc_hd__decap_6 + SOURCE DIST + PLACED ( 118220 92480 ) N ;
- FILLER_30_25 sky130_fd_sc_hd__decap_3 + SOURCE DIST + PLACED ( 17020 92480 ) N ;
- FILLER_30_251 sky130_fd_sc_hd__fill_1 + SOURCE DIST + PLACED ( 120980 92480 ) N ;
- FILLER_30_253 sky130_fd_sc_hd__decap_12 + SOURCE DIST + PLACED ( 121900 92480 ) N ;
- FILLER_30_265 sky130_fd_sc_hd__decap_12 + SOURCE DIST + PLACED ( 127420 92480 ) N ;
- FILLER_30_277 sky130_fd_sc_hd__decap_12 + SOURCE DIST + PLACED ( 132940 92480 ) N ;
- FILLER_30_289 sky130_fd_sc_hd__decap_12 + SOURCE DIST + PLACED ( 138460 92480 ) N ;
- FILLER_30_29 sky130_fd_sc_hd__decap_12 + SOURCE DIST + PLACED ( 18860 92480 ) N ;
- FILLER_30_301 sky130_fd_sc_hd__decap_6 + SOURCE DIST + PLACED ( 143980 92480 ) N ;
- FILLER_30_307 sky130_fd_sc_hd__fill_1 + SOURCE DIST + PLACED ( 146740 92480 ) N ;
- FILLER_30_309 sky130_fd_sc_hd__decap_12 + SOURCE DIST + PLACED ( 147660 92480 ) N ;
- FILLER_30_321 sky130_fd_sc_hd__decap_12 + SOURCE DIST + PLACED ( 153180 92480 ) N ;
- FILLER_30_333 sky130_fd_sc_hd__decap_12 + SOURCE DIST + PLACED ( 158700 92480 ) N ;
- FILLER_30_345 sky130_fd_sc_hd__decap_12 + SOURCE DIST + PLACED ( 164220 92480 ) N ;
- FILLER_30_357 sky130_fd_sc_hd__decap_6 + SOURCE DIST + PLACED ( 169740 92480 ) N ;
- FILLER_30_363 sky130_fd_sc_hd__fill_1 + SOURCE DIST + PLACED ( 172500 92480 ) N ;
- FILLER_30_365 sky130_fd_sc_hd__decap_12 + SOURCE DIST + PLACED ( 173420 92480 ) N ;
- FILLER_30_377 sky130_fd_sc_hd__decap_12 + SOURCE DIST + PLACED ( 178940 92480 ) N ;
- FILLER_30_389 sky130_fd_sc_hd__decap_12 + SOURCE DIST + PLACED ( 184460 92480 ) N ;
- FILLER_30_401 sky130_fd_sc_hd__decap_12 + SOURCE DIST + PLACED ( 189980 92480 ) N ;
- FILLER_30_41 sky130_fd_sc_hd__decap_12 + SOURCE DIST + PLACED ( 24380 92480 ) N ;
- FILLER_30_413 sky130_fd_sc_hd__decap_6 + SOURCE DIST + PLACED ( 195500 92480 ) N ;
- FILLER_30_419 sky130_fd_sc_hd__fill_1 + SOURCE DIST + PLACED ( 198260 92480 ) N ;
- FILLER_30_421 sky130_fd_sc_hd__decap_12 + SOURCE DIST + PLACED ( 199180 92480 ) N ;
- FILLER_30_433 sky130_fd_sc_hd__decap_12 + SOURCE DIST + PLACED ( 204700 92480 ) N ;
- FILLER_30_445 sky130_fd_sc_hd__decap_12 + SOURCE DIST + PLACED ( 210220 92480 ) N ;
- FILLER_30_457 sky130_fd_sc_hd__decap_12 + SOURCE DIST + PLACED ( 215740 92480 ) N ;
- FILLER_30_469 sky130_fd_sc_hd__decap_6 + SOURCE DIST + PLACED ( 221260 92480 ) N ;
- FILLER_30_475 sky130_fd_sc_hd__fill_1 + SOURCE DIST + PLACED ( 224020 92480 ) N ;
- FILLER_30_477 sky130_fd_sc_hd__decap_12 + SOURCE DIST + PLACED ( 224940 92480 ) N ;
- FILLER_30_489 sky130_fd_sc_hd__decap_12 + SOURCE DIST + PLACED ( 230460 92480 ) N ;
- FILLER_30_501 sky130_fd_sc_hd__decap_12 + SOURCE DIST + PLACED ( 235980 92480 ) N ;
- FILLER_30_513 sky130_fd_sc_hd__decap_12 + SOURCE DIST + PLACED ( 241500 92480 ) N ;
- FILLER_30_525 sky130_fd_sc_hd__decap_6 + SOURCE DIST + PLACED ( 247020 92480 ) N ;
- FILLER_30_53 sky130_fd_sc_hd__decap_12 + SOURCE DIST + PLACED ( 29900 92480 ) N ;
- FILLER_30_531 sky130_fd_sc_hd__fill_1 + SOURCE DIST + PLACED ( 249780 92480 ) N ;
- FILLER_30_533 sky130_fd_sc_hd__decap_12 + SOURCE DIST + PLACED ( 250700 92480 ) N ;
- FILLER_30_545 sky130_fd_sc_hd__decap_12 + SOURCE DIST + PLACED ( 256220 92480 ) N ;
- FILLER_30_557 sky130_fd_sc_hd__decap_12 + SOURCE DIST + PLACED ( 261740 92480 ) N ;
- FILLER_30_569 sky130_fd_sc_hd__decap_12 + SOURCE DIST + PLACED ( 267260 92480 ) N ;
- FILLER_30_581 sky130_fd_sc_hd__decap_6 + SOURCE DIST + PLACED ( 272780 92480 ) N ;
- FILLER_30_587 sky130_fd_sc_hd__fill_1 + SOURCE DIST + PLACED ( 275540 92480 ) N ;
- FILLER_30_589 sky130_fd_sc_hd__decap_12 + SOURCE DIST + PLACED ( 276460 92480 ) N ;
- FILLER_30_6 sky130_fd_sc_hd__decap_8 + SOURCE DIST + PLACED ( 8280 92480 ) N ;
- FILLER_30_601 sky130_fd_sc_hd__decap_12 + SOURCE DIST + PLACED ( 281980 92480 ) N ;
- FILLER_30_613 sky130_fd_sc_hd__decap_12 + SOURCE DIST + PLACED ( 287500 92480 ) N ;
- FILLER_30_65 sky130_fd_sc_hd__decap_12 + SOURCE DIST + PLACED ( 35420 92480 ) N ;
- FILLER_30_77 sky130_fd_sc_hd__decap_6 + SOURCE DIST + PLACED ( 40940 92480 ) N ;
- FILLER_30_83 sky130_fd_sc_hd__fill_1 + SOURCE DIST + PLACED ( 43700 92480 ) N ;
- FILLER_30_85 sky130_fd_sc_hd__decap_12 + SOURCE DIST + PLACED ( 44620 92480 ) N ;
- FILLER_30_97 sky130_fd_sc_hd__decap_12 + SOURCE DIST + PLACED ( 50140 92480 ) N ;
- FILLER_31_105 sky130_fd_sc_hd__decap_6 + SOURCE DIST + PLACED ( 53820 95200 ) FS ;
- FILLER_31_111 sky130_fd_sc_hd__fill_1 + SOURCE DIST + PLACED ( 56580 95200 ) FS ;
- FILLER_31_116 sky130_fd_sc_hd__decap_12 + SOURCE DIST + PLACED ( 58880 95200 ) FS ;
- FILLER_31_128 sky130_fd_sc_hd__decap_12 + SOURCE DIST + PLACED ( 64400 95200 ) FS ;
- FILLER_31_140 sky130_fd_sc_hd__decap_12 + SOURCE DIST + PLACED ( 69920 95200 ) FS ;
- FILLER_31_152 sky130_fd_sc_hd__decap_12 + SOURCE DIST + PLACED ( 75440 95200 ) FS ;
- FILLER_31_164 sky130_fd_sc_hd__decap_4 + SOURCE DIST + PLACED ( 80960 95200 ) FS ;
- FILLER_31_169 sky130_fd_sc_hd__decap_12 + SOURCE DIST + PLACED ( 83260 95200 ) FS ;
- FILLER_31_181 sky130_fd_sc_hd__decap_12 + SOURCE DIST + PLACED ( 88780 95200 ) FS ;
- FILLER_31_193 sky130_fd_sc_hd__decap_12 + SOURCE DIST + PLACED ( 94300 95200 ) FS ;
- FILLER_31_205 sky130_fd_sc_hd__decap_12 + SOURCE DIST + PLACED ( 99820 95200 ) FS ;
- FILLER_31_217 sky130_fd_sc_hd__decap_6 + SOURCE DIST + PLACED ( 105340 95200 ) FS ;
- FILLER_31_223 sky130_fd_sc_hd__fill_1 + SOURCE DIST + PLACED ( 108100 95200 ) FS ;
- FILLER_31_225 sky130_fd_sc_hd__decap_12 + SOURCE DIST + PLACED ( 109020 95200 ) FS ;
- FILLER_31_237 sky130_fd_sc_hd__decap_12 + SOURCE DIST + PLACED ( 114540 95200 ) FS ;
- FILLER_31_249 sky130_fd_sc_hd__decap_12 + SOURCE DIST + PLACED ( 120060 95200 ) FS ;
- FILLER_31_261 sky130_fd_sc_hd__decap_12 + SOURCE DIST + PLACED ( 125580 95200 ) FS ;
- FILLER_31_273 sky130_fd_sc_hd__decap_6 + SOURCE DIST + PLACED ( 131100 95200 ) FS ;
- FILLER_31_279 sky130_fd_sc_hd__fill_1 + SOURCE DIST + PLACED ( 133860 95200 ) FS ;
- FILLER_31_281 sky130_fd_sc_hd__decap_12 + SOURCE DIST + PLACED ( 134780 95200 ) FS ;
- FILLER_31_29 sky130_fd_sc_hd__decap_12 + SOURCE DIST + PLACED ( 18860 95200 ) FS ;
- FILLER_31_293 sky130_fd_sc_hd__decap_12 + SOURCE DIST + PLACED ( 140300 95200 ) FS ;
- FILLER_31_305 sky130_fd_sc_hd__decap_12 + SOURCE DIST + PLACED ( 145820 95200 ) FS ;
- FILLER_31_317 sky130_fd_sc_hd__decap_12 + SOURCE DIST + PLACED ( 151340 95200 ) FS ;
- FILLER_31_329 sky130_fd_sc_hd__decap_6 + SOURCE DIST + PLACED ( 156860 95200 ) FS ;
- FILLER_31_335 sky130_fd_sc_hd__fill_1 + SOURCE DIST + PLACED ( 159620 95200 ) FS ;
- FILLER_31_337 sky130_fd_sc_hd__decap_12 + SOURCE DIST + PLACED ( 160540 95200 ) FS ;
- FILLER_31_349 sky130_fd_sc_hd__decap_12 + SOURCE DIST + PLACED ( 166060 95200 ) FS ;
- FILLER_31_361 sky130_fd_sc_hd__decap_12 + SOURCE DIST + PLACED ( 171580 95200 ) FS ;
- FILLER_31_373 sky130_fd_sc_hd__decap_12 + SOURCE DIST + PLACED ( 177100 95200 ) FS ;
- FILLER_31_385 sky130_fd_sc_hd__decap_6 + SOURCE DIST + PLACED ( 182620 95200 ) FS ;
- FILLER_31_391 sky130_fd_sc_hd__fill_1 + SOURCE DIST + PLACED ( 185380 95200 ) FS ;
- FILLER_31_393 sky130_fd_sc_hd__decap_12 + SOURCE DIST + PLACED ( 186300 95200 ) FS ;
- FILLER_31_405 sky130_fd_sc_hd__decap_12 + SOURCE DIST + PLACED ( 191820 95200 ) FS ;
- FILLER_31_41 sky130_fd_sc_hd__decap_12 + SOURCE DIST + PLACED ( 24380 95200 ) FS ;
- FILLER_31_417 sky130_fd_sc_hd__decap_12 + SOURCE DIST + PLACED ( 197340 95200 ) FS ;
- FILLER_31_429 sky130_fd_sc_hd__decap_12 + SOURCE DIST + PLACED ( 202860 95200 ) FS ;
- FILLER_31_441 sky130_fd_sc_hd__decap_6 + SOURCE DIST + PLACED ( 208380 95200 ) FS ;
- FILLER_31_447 sky130_fd_sc_hd__fill_1 + SOURCE DIST + PLACED ( 211140 95200 ) FS ;
- FILLER_31_449 sky130_fd_sc_hd__decap_12 + SOURCE DIST + PLACED ( 212060 95200 ) FS ;
- FILLER_31_461 sky130_fd_sc_hd__decap_12 + SOURCE DIST + PLACED ( 217580 95200 ) FS ;
- FILLER_31_473 sky130_fd_sc_hd__decap_12 + SOURCE DIST + PLACED ( 223100 95200 ) FS ;
- FILLER_31_485 sky130_fd_sc_hd__decap_12 + SOURCE DIST + PLACED ( 228620 95200 ) FS ;
- FILLER_31_497 sky130_fd_sc_hd__decap_6 + SOURCE DIST + PLACED ( 234140 95200 ) FS ;
- FILLER_31_503 sky130_fd_sc_hd__fill_1 + SOURCE DIST + PLACED ( 236900 95200 ) FS ;
- FILLER_31_505 sky130_fd_sc_hd__decap_12 + SOURCE DIST + PLACED ( 237820 95200 ) FS ;
- FILLER_31_517 sky130_fd_sc_hd__decap_12 + SOURCE DIST + PLACED ( 243340 95200 ) FS ;
- FILLER_31_529 sky130_fd_sc_hd__decap_12 + SOURCE DIST + PLACED ( 248860 95200 ) FS ;
- FILLER_31_53 sky130_fd_sc_hd__decap_3 + SOURCE DIST + PLACED ( 29900 95200 ) FS ;
- FILLER_31_541 sky130_fd_sc_hd__decap_12 + SOURCE DIST + PLACED ( 254380 95200 ) FS ;
- FILLER_31_553 sky130_fd_sc_hd__decap_6 + SOURCE DIST + PLACED ( 259900 95200 ) FS ;
- FILLER_31_559 sky130_fd_sc_hd__fill_1 + SOURCE DIST + PLACED ( 262660 95200 ) FS ;
- FILLER_31_561 sky130_fd_sc_hd__decap_12 + SOURCE DIST + PLACED ( 263580 95200 ) FS ;
- FILLER_31_57 sky130_fd_sc_hd__decap_12 + SOURCE DIST + PLACED ( 31740 95200 ) FS ;
- FILLER_31_573 sky130_fd_sc_hd__decap_12 + SOURCE DIST + PLACED ( 269100 95200 ) FS ;
- FILLER_31_585 sky130_fd_sc_hd__decap_12 + SOURCE DIST + PLACED ( 274620 95200 ) FS ;
- FILLER_31_597 sky130_fd_sc_hd__decap_12 + SOURCE DIST + PLACED ( 280140 95200 ) FS ;
- FILLER_31_609 sky130_fd_sc_hd__decap_6 + SOURCE DIST + PLACED ( 285660 95200 ) FS ;
- FILLER_31_615 sky130_fd_sc_hd__fill_1 + SOURCE DIST + PLACED ( 288420 95200 ) FS ;
- FILLER_31_617 sky130_fd_sc_hd__decap_8 + SOURCE DIST + PLACED ( 289340 95200 ) FS ;
- FILLER_31_69 sky130_fd_sc_hd__decap_12 + SOURCE DIST + PLACED ( 37260 95200 ) FS ;
- FILLER_31_7 sky130_fd_sc_hd__decap_6 + SOURCE DIST + PLACED ( 8740 95200 ) FS ;
- FILLER_31_81 sky130_fd_sc_hd__decap_12 + SOURCE DIST + PLACED ( 42780 95200 ) FS ;
- FILLER_31_93 sky130_fd_sc_hd__decap_12 + SOURCE DIST + PLACED ( 48300 95200 ) FS ;
- FILLER_32_109 sky130_fd_sc_hd__decap_12 + SOURCE DIST + PLACED ( 55660 97920 ) N ;
- FILLER_32_12 sky130_fd_sc_hd__fill_1 + SOURCE DIST + PLACED ( 11040 97920 ) N ;
- FILLER_32_121 sky130_fd_sc_hd__decap_12 + SOURCE DIST + PLACED ( 61180 97920 ) N ;
- FILLER_32_133 sky130_fd_sc_hd__decap_6 + SOURCE DIST + PLACED ( 66700 97920 ) N ;
- FILLER_32_139 sky130_fd_sc_hd__fill_1 + SOURCE DIST + PLACED ( 69460 97920 ) N ;
- FILLER_32_141 sky130_fd_sc_hd__decap_12 + SOURCE DIST + PLACED ( 70380 97920 ) N ;
- FILLER_32_153 sky130_fd_sc_hd__decap_12 + SOURCE DIST + PLACED ( 75900 97920 ) N ;
- FILLER_32_165 sky130_fd_sc_hd__decap_12 + SOURCE DIST + PLACED ( 81420 97920 ) N ;
- FILLER_32_177 sky130_fd_sc_hd__decap_12 + SOURCE DIST + PLACED ( 86940 97920 ) N ;
- FILLER_32_189 sky130_fd_sc_hd__decap_6 + SOURCE DIST + PLACED ( 92460 97920 ) N ;
- FILLER_32_195 sky130_fd_sc_hd__fill_1 + SOURCE DIST + PLACED ( 95220 97920 ) N ;
- FILLER_32_197 sky130_fd_sc_hd__decap_12 + SOURCE DIST + PLACED ( 96140 97920 ) N ;
- FILLER_32_209 sky130_fd_sc_hd__decap_12 + SOURCE DIST + PLACED ( 101660 97920 ) N ;
- FILLER_32_22 sky130_fd_sc_hd__decap_6 + SOURCE DIST + PLACED ( 15640 97920 ) N ;
- FILLER_32_221 sky130_fd_sc_hd__decap_12 + SOURCE DIST + PLACED ( 107180 97920 ) N ;
- FILLER_32_233 sky130_fd_sc_hd__decap_12 + SOURCE DIST + PLACED ( 112700 97920 ) N ;
- FILLER_32_245 sky130_fd_sc_hd__decap_6 + SOURCE DIST + PLACED ( 118220 97920 ) N ;
- FILLER_32_251 sky130_fd_sc_hd__fill_1 + SOURCE DIST + PLACED ( 120980 97920 ) N ;
- FILLER_32_253 sky130_fd_sc_hd__decap_12 + SOURCE DIST + PLACED ( 121900 97920 ) N ;
- FILLER_32_265 sky130_fd_sc_hd__decap_12 + SOURCE DIST + PLACED ( 127420 97920 ) N ;
- FILLER_32_277 sky130_fd_sc_hd__decap_12 + SOURCE DIST + PLACED ( 132940 97920 ) N ;
- FILLER_32_289 sky130_fd_sc_hd__decap_12 + SOURCE DIST + PLACED ( 138460 97920 ) N ;
- FILLER_32_29 sky130_fd_sc_hd__fill_1 + SOURCE DIST + PLACED ( 18860 97920 ) N ;
- FILLER_32_301 sky130_fd_sc_hd__decap_6 + SOURCE DIST + PLACED ( 143980 97920 ) N ;
- FILLER_32_307 sky130_fd_sc_hd__fill_1 + SOURCE DIST + PLACED ( 146740 97920 ) N ;
- FILLER_32_309 sky130_fd_sc_hd__decap_12 + SOURCE DIST + PLACED ( 147660 97920 ) N ;
- FILLER_32_321 sky130_fd_sc_hd__decap_12 + SOURCE DIST + PLACED ( 153180 97920 ) N ;
- FILLER_32_333 sky130_fd_sc_hd__decap_12 + SOURCE DIST + PLACED ( 158700 97920 ) N ;
- FILLER_32_345 sky130_fd_sc_hd__decap_12 + SOURCE DIST + PLACED ( 164220 97920 ) N ;
- FILLER_32_357 sky130_fd_sc_hd__decap_6 + SOURCE DIST + PLACED ( 169740 97920 ) N ;
- FILLER_32_36 sky130_fd_sc_hd__decap_12 + SOURCE DIST + PLACED ( 22080 97920 ) N ;
- FILLER_32_363 sky130_fd_sc_hd__fill_1 + SOURCE DIST + PLACED ( 172500 97920 ) N ;
- FILLER_32_365 sky130_fd_sc_hd__decap_12 + SOURCE DIST + PLACED ( 173420 97920 ) N ;
- FILLER_32_377 sky130_fd_sc_hd__decap_12 + SOURCE DIST + PLACED ( 178940 97920 ) N ;
- FILLER_32_389 sky130_fd_sc_hd__decap_12 + SOURCE DIST + PLACED ( 184460 97920 ) N ;
- FILLER_32_401 sky130_fd_sc_hd__decap_12 + SOURCE DIST + PLACED ( 189980 97920 ) N ;
- FILLER_32_413 sky130_fd_sc_hd__decap_6 + SOURCE DIST + PLACED ( 195500 97920 ) N ;
- FILLER_32_419 sky130_fd_sc_hd__fill_1 + SOURCE DIST + PLACED ( 198260 97920 ) N ;
- FILLER_32_421 sky130_fd_sc_hd__decap_12 + SOURCE DIST + PLACED ( 199180 97920 ) N ;
- FILLER_32_433 sky130_fd_sc_hd__decap_12 + SOURCE DIST + PLACED ( 204700 97920 ) N ;
- FILLER_32_445 sky130_fd_sc_hd__decap_12 + SOURCE DIST + PLACED ( 210220 97920 ) N ;
- FILLER_32_457 sky130_fd_sc_hd__decap_12 + SOURCE DIST + PLACED ( 215740 97920 ) N ;
- FILLER_32_469 sky130_fd_sc_hd__decap_6 + SOURCE DIST + PLACED ( 221260 97920 ) N ;
- FILLER_32_475 sky130_fd_sc_hd__fill_1 + SOURCE DIST + PLACED ( 224020 97920 ) N ;
- FILLER_32_477 sky130_fd_sc_hd__decap_12 + SOURCE DIST + PLACED ( 224940 97920 ) N ;
- FILLER_32_48 sky130_fd_sc_hd__decap_12 + SOURCE DIST + PLACED ( 27600 97920 ) N ;
- FILLER_32_489 sky130_fd_sc_hd__decap_12 + SOURCE DIST + PLACED ( 230460 97920 ) N ;
- FILLER_32_501 sky130_fd_sc_hd__decap_12 + SOURCE DIST + PLACED ( 235980 97920 ) N ;
- FILLER_32_513 sky130_fd_sc_hd__decap_12 + SOURCE DIST + PLACED ( 241500 97920 ) N ;
- FILLER_32_525 sky130_fd_sc_hd__decap_6 + SOURCE DIST + PLACED ( 247020 97920 ) N ;
- FILLER_32_531 sky130_fd_sc_hd__fill_1 + SOURCE DIST + PLACED ( 249780 97920 ) N ;
- FILLER_32_533 sky130_fd_sc_hd__decap_12 + SOURCE DIST + PLACED ( 250700 97920 ) N ;
- FILLER_32_545 sky130_fd_sc_hd__decap_12 + SOURCE DIST + PLACED ( 256220 97920 ) N ;
- FILLER_32_557 sky130_fd_sc_hd__decap_12 + SOURCE DIST + PLACED ( 261740 97920 ) N ;
- FILLER_32_569 sky130_fd_sc_hd__decap_12 + SOURCE DIST + PLACED ( 267260 97920 ) N ;
- FILLER_32_581 sky130_fd_sc_hd__decap_6 + SOURCE DIST + PLACED ( 272780 97920 ) N ;
- FILLER_32_587 sky130_fd_sc_hd__fill_1 + SOURCE DIST + PLACED ( 275540 97920 ) N ;
- FILLER_32_589 sky130_fd_sc_hd__decap_12 + SOURCE DIST + PLACED ( 276460 97920 ) N ;
- FILLER_32_6 sky130_fd_sc_hd__decap_6 + SOURCE DIST + PLACED ( 8280 97920 ) N ;
- FILLER_32_60 sky130_fd_sc_hd__decap_12 + SOURCE DIST + PLACED ( 33120 97920 ) N ;
- FILLER_32_601 sky130_fd_sc_hd__decap_12 + SOURCE DIST + PLACED ( 281980 97920 ) N ;
- FILLER_32_613 sky130_fd_sc_hd__decap_12 + SOURCE DIST + PLACED ( 287500 97920 ) N ;
- FILLER_32_72 sky130_fd_sc_hd__decap_12 + SOURCE DIST + PLACED ( 38640 97920 ) N ;
- FILLER_32_85 sky130_fd_sc_hd__decap_12 + SOURCE DIST + PLACED ( 44620 97920 ) N ;
- FILLER_32_97 sky130_fd_sc_hd__decap_12 + SOURCE DIST + PLACED ( 50140 97920 ) N ;
- FILLER_33_105 sky130_fd_sc_hd__decap_6 + SOURCE DIST + PLACED ( 53820 100640 ) FS ;
- FILLER_33_111 sky130_fd_sc_hd__fill_1 + SOURCE DIST + PLACED ( 56580 100640 ) FS ;
- FILLER_33_113 sky130_fd_sc_hd__fill_1 + SOURCE DIST + PLACED ( 57500 100640 ) FS ;
- FILLER_33_117 sky130_fd_sc_hd__decap_12 + SOURCE DIST + PLACED ( 59340 100640 ) FS ;
- FILLER_33_129 sky130_fd_sc_hd__decap_12 + SOURCE DIST + PLACED ( 64860 100640 ) FS ;
- FILLER_33_13 sky130_fd_sc_hd__fill_1 + SOURCE DIST + PLACED ( 11500 100640 ) FS ;
- FILLER_33_141 sky130_fd_sc_hd__decap_12 + SOURCE DIST + PLACED ( 70380 100640 ) FS ;
- FILLER_33_153 sky130_fd_sc_hd__decap_12 + SOURCE DIST + PLACED ( 75900 100640 ) FS ;
- FILLER_33_165 sky130_fd_sc_hd__decap_3 + SOURCE DIST + PLACED ( 81420 100640 ) FS ;
- FILLER_33_169 sky130_fd_sc_hd__decap_12 + SOURCE DIST + PLACED ( 83260 100640 ) FS ;
- FILLER_33_181 sky130_fd_sc_hd__decap_12 + SOURCE DIST + PLACED ( 88780 100640 ) FS ;
- FILLER_33_193 sky130_fd_sc_hd__decap_12 + SOURCE DIST + PLACED ( 94300 100640 ) FS ;
- FILLER_33_205 sky130_fd_sc_hd__decap_12 + SOURCE DIST + PLACED ( 99820 100640 ) FS ;
- FILLER_33_217 sky130_fd_sc_hd__decap_6 + SOURCE DIST + PLACED ( 105340 100640 ) FS ;
- FILLER_33_223 sky130_fd_sc_hd__fill_1 + SOURCE DIST + PLACED ( 108100 100640 ) FS ;
- FILLER_33_225 sky130_fd_sc_hd__decap_12 + SOURCE DIST + PLACED ( 109020 100640 ) FS ;
- FILLER_33_23 sky130_fd_sc_hd__decap_4 + SOURCE DIST + PLACED ( 16100 100640 ) FS ;
- FILLER_33_237 sky130_fd_sc_hd__decap_12 + SOURCE DIST + PLACED ( 114540 100640 ) FS ;
- FILLER_33_249 sky130_fd_sc_hd__decap_12 + SOURCE DIST + PLACED ( 120060 100640 ) FS ;
- FILLER_33_261 sky130_fd_sc_hd__decap_12 + SOURCE DIST + PLACED ( 125580 100640 ) FS ;
- FILLER_33_273 sky130_fd_sc_hd__decap_6 + SOURCE DIST + PLACED ( 131100 100640 ) FS ;
- FILLER_33_279 sky130_fd_sc_hd__fill_1 + SOURCE DIST + PLACED ( 133860 100640 ) FS ;
- FILLER_33_281 sky130_fd_sc_hd__decap_12 + SOURCE DIST + PLACED ( 134780 100640 ) FS ;
- FILLER_33_293 sky130_fd_sc_hd__decap_12 + SOURCE DIST + PLACED ( 140300 100640 ) FS ;
- FILLER_33_305 sky130_fd_sc_hd__decap_12 + SOURCE DIST + PLACED ( 145820 100640 ) FS ;
- FILLER_33_317 sky130_fd_sc_hd__decap_12 + SOURCE DIST + PLACED ( 151340 100640 ) FS ;
- FILLER_33_329 sky130_fd_sc_hd__decap_6 + SOURCE DIST + PLACED ( 156860 100640 ) FS ;
- FILLER_33_335 sky130_fd_sc_hd__fill_1 + SOURCE DIST + PLACED ( 159620 100640 ) FS ;
- FILLER_33_337 sky130_fd_sc_hd__decap_12 + SOURCE DIST + PLACED ( 160540 100640 ) FS ;
- FILLER_33_349 sky130_fd_sc_hd__decap_12 + SOURCE DIST + PLACED ( 166060 100640 ) FS ;
- FILLER_33_361 sky130_fd_sc_hd__decap_12 + SOURCE DIST + PLACED ( 171580 100640 ) FS ;
- FILLER_33_373 sky130_fd_sc_hd__decap_12 + SOURCE DIST + PLACED ( 177100 100640 ) FS ;
- FILLER_33_385 sky130_fd_sc_hd__decap_6 + SOURCE DIST + PLACED ( 182620 100640 ) FS ;
- FILLER_33_391 sky130_fd_sc_hd__fill_1 + SOURCE DIST + PLACED ( 185380 100640 ) FS ;
- FILLER_33_393 sky130_fd_sc_hd__decap_12 + SOURCE DIST + PLACED ( 186300 100640 ) FS ;
- FILLER_33_405 sky130_fd_sc_hd__decap_12 + SOURCE DIST + PLACED ( 191820 100640 ) FS ;
- FILLER_33_417 sky130_fd_sc_hd__decap_12 + SOURCE DIST + PLACED ( 197340 100640 ) FS ;
- FILLER_33_429 sky130_fd_sc_hd__decap_12 + SOURCE DIST + PLACED ( 202860 100640 ) FS ;
- FILLER_33_43 sky130_fd_sc_hd__decap_12 + SOURCE DIST + PLACED ( 25300 100640 ) FS ;
- FILLER_33_441 sky130_fd_sc_hd__decap_6 + SOURCE DIST + PLACED ( 208380 100640 ) FS ;
- FILLER_33_447 sky130_fd_sc_hd__fill_1 + SOURCE DIST + PLACED ( 211140 100640 ) FS ;
- FILLER_33_449 sky130_fd_sc_hd__decap_12 + SOURCE DIST + PLACED ( 212060 100640 ) FS ;
- FILLER_33_461 sky130_fd_sc_hd__decap_12 + SOURCE DIST + PLACED ( 217580 100640 ) FS ;
- FILLER_33_473 sky130_fd_sc_hd__decap_12 + SOURCE DIST + PLACED ( 223100 100640 ) FS ;
- FILLER_33_485 sky130_fd_sc_hd__decap_12 + SOURCE DIST + PLACED ( 228620 100640 ) FS ;
- FILLER_33_497 sky130_fd_sc_hd__decap_6 + SOURCE DIST + PLACED ( 234140 100640 ) FS ;
- FILLER_33_503 sky130_fd_sc_hd__fill_1 + SOURCE DIST + PLACED ( 236900 100640 ) FS ;
- FILLER_33_505 sky130_fd_sc_hd__decap_12 + SOURCE DIST + PLACED ( 237820 100640 ) FS ;
- FILLER_33_517 sky130_fd_sc_hd__decap_12 + SOURCE DIST + PLACED ( 243340 100640 ) FS ;
- FILLER_33_529 sky130_fd_sc_hd__decap_12 + SOURCE DIST + PLACED ( 248860 100640 ) FS ;
- FILLER_33_541 sky130_fd_sc_hd__decap_12 + SOURCE DIST + PLACED ( 254380 100640 ) FS ;
- FILLER_33_55 sky130_fd_sc_hd__fill_1 + SOURCE DIST + PLACED ( 30820 100640 ) FS ;
- FILLER_33_553 sky130_fd_sc_hd__decap_6 + SOURCE DIST + PLACED ( 259900 100640 ) FS ;
- FILLER_33_559 sky130_fd_sc_hd__fill_1 + SOURCE DIST + PLACED ( 262660 100640 ) FS ;
- FILLER_33_561 sky130_fd_sc_hd__decap_12 + SOURCE DIST + PLACED ( 263580 100640 ) FS ;
- FILLER_33_57 sky130_fd_sc_hd__decap_12 + SOURCE DIST + PLACED ( 31740 100640 ) FS ;
- FILLER_33_573 sky130_fd_sc_hd__decap_12 + SOURCE DIST + PLACED ( 269100 100640 ) FS ;
- FILLER_33_585 sky130_fd_sc_hd__decap_12 + SOURCE DIST + PLACED ( 274620 100640 ) FS ;
- FILLER_33_597 sky130_fd_sc_hd__decap_12 + SOURCE DIST + PLACED ( 280140 100640 ) FS ;
- FILLER_33_609 sky130_fd_sc_hd__decap_6 + SOURCE DIST + PLACED ( 285660 100640 ) FS ;
- FILLER_33_615 sky130_fd_sc_hd__fill_1 + SOURCE DIST + PLACED ( 288420 100640 ) FS ;
- FILLER_33_617 sky130_fd_sc_hd__decap_8 + SOURCE DIST + PLACED ( 289340 100640 ) FS ;
- FILLER_33_69 sky130_fd_sc_hd__decap_12 + SOURCE DIST + PLACED ( 37260 100640 ) FS ;
- FILLER_33_7 sky130_fd_sc_hd__decap_6 + SOURCE DIST + PLACED ( 8740 100640 ) FS ;
- FILLER_33_81 sky130_fd_sc_hd__decap_12 + SOURCE DIST + PLACED ( 42780 100640 ) FS ;
- FILLER_33_93 sky130_fd_sc_hd__decap_12 + SOURCE DIST + PLACED ( 48300 100640 ) FS ;
- FILLER_34_109 sky130_fd_sc_hd__decap_12 + SOURCE DIST + PLACED ( 55660 103360 ) N ;
- FILLER_34_121 sky130_fd_sc_hd__decap_12 + SOURCE DIST + PLACED ( 61180 103360 ) N ;
- FILLER_34_133 sky130_fd_sc_hd__decap_6 + SOURCE DIST + PLACED ( 66700 103360 ) N ;
- FILLER_34_139 sky130_fd_sc_hd__fill_1 + SOURCE DIST + PLACED ( 69460 103360 ) N ;
- FILLER_34_141 sky130_fd_sc_hd__decap_12 + SOURCE DIST + PLACED ( 70380 103360 ) N ;
- FILLER_34_153 sky130_fd_sc_hd__decap_12 + SOURCE DIST + PLACED ( 75900 103360 ) N ;
- FILLER_34_165 sky130_fd_sc_hd__decap_12 + SOURCE DIST + PLACED ( 81420 103360 ) N ;
- FILLER_34_177 sky130_fd_sc_hd__decap_12 + SOURCE DIST + PLACED ( 86940 103360 ) N ;
- FILLER_34_18 sky130_fd_sc_hd__decap_3 + SOURCE DIST + PLACED ( 13800 103360 ) N ;
- FILLER_34_189 sky130_fd_sc_hd__decap_6 + SOURCE DIST + PLACED ( 92460 103360 ) N ;
- FILLER_34_195 sky130_fd_sc_hd__fill_1 + SOURCE DIST + PLACED ( 95220 103360 ) N ;
- FILLER_34_197 sky130_fd_sc_hd__decap_12 + SOURCE DIST + PLACED ( 96140 103360 ) N ;
- FILLER_34_209 sky130_fd_sc_hd__decap_12 + SOURCE DIST + PLACED ( 101660 103360 ) N ;
- FILLER_34_221 sky130_fd_sc_hd__decap_12 + SOURCE DIST + PLACED ( 107180 103360 ) N ;
- FILLER_34_233 sky130_fd_sc_hd__decap_12 + SOURCE DIST + PLACED ( 112700 103360 ) N ;
- FILLER_34_24 sky130_fd_sc_hd__decap_4 + SOURCE DIST + PLACED ( 16560 103360 ) N ;
- FILLER_34_245 sky130_fd_sc_hd__decap_6 + SOURCE DIST + PLACED ( 118220 103360 ) N ;
- FILLER_34_251 sky130_fd_sc_hd__fill_1 + SOURCE DIST + PLACED ( 120980 103360 ) N ;
- FILLER_34_253 sky130_fd_sc_hd__decap_12 + SOURCE DIST + PLACED ( 121900 103360 ) N ;
- FILLER_34_265 sky130_fd_sc_hd__decap_12 + SOURCE DIST + PLACED ( 127420 103360 ) N ;
- FILLER_34_277 sky130_fd_sc_hd__decap_12 + SOURCE DIST + PLACED ( 132940 103360 ) N ;
- FILLER_34_289 sky130_fd_sc_hd__decap_12 + SOURCE DIST + PLACED ( 138460 103360 ) N ;
- FILLER_34_301 sky130_fd_sc_hd__decap_6 + SOURCE DIST + PLACED ( 143980 103360 ) N ;
- FILLER_34_307 sky130_fd_sc_hd__fill_1 + SOURCE DIST + PLACED ( 146740 103360 ) N ;
- FILLER_34_309 sky130_fd_sc_hd__decap_12 + SOURCE DIST + PLACED ( 147660 103360 ) N ;
- FILLER_34_32 sky130_fd_sc_hd__decap_12 + SOURCE DIST + PLACED ( 20240 103360 ) N ;
- FILLER_34_321 sky130_fd_sc_hd__decap_12 + SOURCE DIST + PLACED ( 153180 103360 ) N ;
- FILLER_34_333 sky130_fd_sc_hd__decap_12 + SOURCE DIST + PLACED ( 158700 103360 ) N ;
- FILLER_34_345 sky130_fd_sc_hd__decap_12 + SOURCE DIST + PLACED ( 164220 103360 ) N ;
- FILLER_34_357 sky130_fd_sc_hd__decap_6 + SOURCE DIST + PLACED ( 169740 103360 ) N ;
- FILLER_34_363 sky130_fd_sc_hd__fill_1 + SOURCE DIST + PLACED ( 172500 103360 ) N ;
- FILLER_34_365 sky130_fd_sc_hd__decap_12 + SOURCE DIST + PLACED ( 173420 103360 ) N ;
- FILLER_34_377 sky130_fd_sc_hd__decap_12 + SOURCE DIST + PLACED ( 178940 103360 ) N ;
- FILLER_34_389 sky130_fd_sc_hd__decap_12 + SOURCE DIST + PLACED ( 184460 103360 ) N ;
- FILLER_34_401 sky130_fd_sc_hd__decap_12 + SOURCE DIST + PLACED ( 189980 103360 ) N ;
- FILLER_34_413 sky130_fd_sc_hd__decap_6 + SOURCE DIST + PLACED ( 195500 103360 ) N ;
- FILLER_34_419 sky130_fd_sc_hd__fill_1 + SOURCE DIST + PLACED ( 198260 103360 ) N ;
- FILLER_34_421 sky130_fd_sc_hd__decap_12 + SOURCE DIST + PLACED ( 199180 103360 ) N ;
- FILLER_34_433 sky130_fd_sc_hd__decap_12 + SOURCE DIST + PLACED ( 204700 103360 ) N ;
- FILLER_34_44 sky130_fd_sc_hd__decap_12 + SOURCE DIST + PLACED ( 25760 103360 ) N ;
- FILLER_34_445 sky130_fd_sc_hd__decap_12 + SOURCE DIST + PLACED ( 210220 103360 ) N ;
- FILLER_34_457 sky130_fd_sc_hd__decap_12 + SOURCE DIST + PLACED ( 215740 103360 ) N ;
- FILLER_34_469 sky130_fd_sc_hd__decap_6 + SOURCE DIST + PLACED ( 221260 103360 ) N ;
- FILLER_34_475 sky130_fd_sc_hd__fill_1 + SOURCE DIST + PLACED ( 224020 103360 ) N ;
- FILLER_34_477 sky130_fd_sc_hd__decap_12 + SOURCE DIST + PLACED ( 224940 103360 ) N ;
- FILLER_34_489 sky130_fd_sc_hd__decap_12 + SOURCE DIST + PLACED ( 230460 103360 ) N ;
- FILLER_34_501 sky130_fd_sc_hd__decap_12 + SOURCE DIST + PLACED ( 235980 103360 ) N ;
- FILLER_34_513 sky130_fd_sc_hd__decap_12 + SOURCE DIST + PLACED ( 241500 103360 ) N ;
- FILLER_34_525 sky130_fd_sc_hd__decap_6 + SOURCE DIST + PLACED ( 247020 103360 ) N ;
- FILLER_34_531 sky130_fd_sc_hd__fill_1 + SOURCE DIST + PLACED ( 249780 103360 ) N ;
- FILLER_34_533 sky130_fd_sc_hd__decap_12 + SOURCE DIST + PLACED ( 250700 103360 ) N ;
- FILLER_34_545 sky130_fd_sc_hd__decap_12 + SOURCE DIST + PLACED ( 256220 103360 ) N ;
- FILLER_34_557 sky130_fd_sc_hd__decap_12 + SOURCE DIST + PLACED ( 261740 103360 ) N ;
- FILLER_34_56 sky130_fd_sc_hd__decap_12 + SOURCE DIST + PLACED ( 31280 103360 ) N ;
- FILLER_34_569 sky130_fd_sc_hd__decap_12 + SOURCE DIST + PLACED ( 267260 103360 ) N ;
- FILLER_34_581 sky130_fd_sc_hd__decap_6 + SOURCE DIST + PLACED ( 272780 103360 ) N ;
- FILLER_34_587 sky130_fd_sc_hd__fill_1 + SOURCE DIST + PLACED ( 275540 103360 ) N ;
- FILLER_34_589 sky130_fd_sc_hd__decap_12 + SOURCE DIST + PLACED ( 276460 103360 ) N ;
- FILLER_34_6 sky130_fd_sc_hd__decap_12 + SOURCE DIST + PLACED ( 8280 103360 ) N ;
- FILLER_34_601 sky130_fd_sc_hd__decap_12 + SOURCE DIST + PLACED ( 281980 103360 ) N ;
- FILLER_34_613 sky130_fd_sc_hd__decap_12 + SOURCE DIST + PLACED ( 287500 103360 ) N ;
- FILLER_34_68 sky130_fd_sc_hd__decap_12 + SOURCE DIST + PLACED ( 36800 103360 ) N ;
- FILLER_34_80 sky130_fd_sc_hd__decap_4 + SOURCE DIST + PLACED ( 42320 103360 ) N ;
- FILLER_34_85 sky130_fd_sc_hd__decap_12 + SOURCE DIST + PLACED ( 44620 103360 ) N ;
- FILLER_34_97 sky130_fd_sc_hd__decap_12 + SOURCE DIST + PLACED ( 50140 103360 ) N ;
- FILLER_35_105 sky130_fd_sc_hd__decap_6 + SOURCE DIST + PLACED ( 53820 106080 ) FS ;
- FILLER_35_111 sky130_fd_sc_hd__fill_1 + SOURCE DIST + PLACED ( 56580 106080 ) FS ;
- FILLER_35_113 sky130_fd_sc_hd__fill_2 + SOURCE DIST + PLACED ( 57500 106080 ) FS ;
- FILLER_35_118 sky130_fd_sc_hd__decap_12 + SOURCE DIST + PLACED ( 59800 106080 ) FS ;
- FILLER_35_130 sky130_fd_sc_hd__decap_12 + SOURCE DIST + PLACED ( 65320 106080 ) FS ;
- FILLER_35_142 sky130_fd_sc_hd__decap_12 + SOURCE DIST + PLACED ( 70840 106080 ) FS ;
- FILLER_35_15 sky130_fd_sc_hd__decap_3 + SOURCE DIST + PLACED ( 12420 106080 ) FS ;
- FILLER_35_154 sky130_fd_sc_hd__decap_12 + SOURCE DIST + PLACED ( 76360 106080 ) FS ;
- FILLER_35_166 sky130_fd_sc_hd__fill_2 + SOURCE DIST + PLACED ( 81880 106080 ) FS ;
- FILLER_35_169 sky130_fd_sc_hd__decap_12 + SOURCE DIST + PLACED ( 83260 106080 ) FS ;
- FILLER_35_181 sky130_fd_sc_hd__decap_12 + SOURCE DIST + PLACED ( 88780 106080 ) FS ;
- FILLER_35_193 sky130_fd_sc_hd__decap_12 + SOURCE DIST + PLACED ( 94300 106080 ) FS ;
- FILLER_35_205 sky130_fd_sc_hd__decap_12 + SOURCE DIST + PLACED ( 99820 106080 ) FS ;
- FILLER_35_217 sky130_fd_sc_hd__decap_6 + SOURCE DIST + PLACED ( 105340 106080 ) FS ;
- FILLER_35_223 sky130_fd_sc_hd__fill_1 + SOURCE DIST + PLACED ( 108100 106080 ) FS ;
- FILLER_35_225 sky130_fd_sc_hd__decap_12 + SOURCE DIST + PLACED ( 109020 106080 ) FS ;
- FILLER_35_237 sky130_fd_sc_hd__decap_12 + SOURCE DIST + PLACED ( 114540 106080 ) FS ;
- FILLER_35_249 sky130_fd_sc_hd__decap_12 + SOURCE DIST + PLACED ( 120060 106080 ) FS ;
- FILLER_35_261 sky130_fd_sc_hd__decap_12 + SOURCE DIST + PLACED ( 125580 106080 ) FS ;
- FILLER_35_27 sky130_fd_sc_hd__decap_4 + SOURCE DIST + PLACED ( 17940 106080 ) FS ;
- FILLER_35_273 sky130_fd_sc_hd__decap_6 + SOURCE DIST + PLACED ( 131100 106080 ) FS ;
- FILLER_35_279 sky130_fd_sc_hd__fill_1 + SOURCE DIST + PLACED ( 133860 106080 ) FS ;
- FILLER_35_281 sky130_fd_sc_hd__decap_12 + SOURCE DIST + PLACED ( 134780 106080 ) FS ;
- FILLER_35_293 sky130_fd_sc_hd__decap_12 + SOURCE DIST + PLACED ( 140300 106080 ) FS ;
- FILLER_35_305 sky130_fd_sc_hd__decap_12 + SOURCE DIST + PLACED ( 145820 106080 ) FS ;
- FILLER_35_317 sky130_fd_sc_hd__decap_12 + SOURCE DIST + PLACED ( 151340 106080 ) FS ;
- FILLER_35_329 sky130_fd_sc_hd__decap_6 + SOURCE DIST + PLACED ( 156860 106080 ) FS ;
- FILLER_35_335 sky130_fd_sc_hd__fill_1 + SOURCE DIST + PLACED ( 159620 106080 ) FS ;
- FILLER_35_337 sky130_fd_sc_hd__decap_12 + SOURCE DIST + PLACED ( 160540 106080 ) FS ;
- FILLER_35_349 sky130_fd_sc_hd__decap_12 + SOURCE DIST + PLACED ( 166060 106080 ) FS ;
- FILLER_35_361 sky130_fd_sc_hd__decap_12 + SOURCE DIST + PLACED ( 171580 106080 ) FS ;
- FILLER_35_373 sky130_fd_sc_hd__decap_12 + SOURCE DIST + PLACED ( 177100 106080 ) FS ;
- FILLER_35_385 sky130_fd_sc_hd__decap_6 + SOURCE DIST + PLACED ( 182620 106080 ) FS ;
- FILLER_35_391 sky130_fd_sc_hd__fill_1 + SOURCE DIST + PLACED ( 185380 106080 ) FS ;
- FILLER_35_393 sky130_fd_sc_hd__decap_12 + SOURCE DIST + PLACED ( 186300 106080 ) FS ;
- FILLER_35_405 sky130_fd_sc_hd__decap_12 + SOURCE DIST + PLACED ( 191820 106080 ) FS ;
- FILLER_35_417 sky130_fd_sc_hd__decap_12 + SOURCE DIST + PLACED ( 197340 106080 ) FS ;
- FILLER_35_429 sky130_fd_sc_hd__decap_12 + SOURCE DIST + PLACED ( 202860 106080 ) FS ;
- FILLER_35_441 sky130_fd_sc_hd__decap_6 + SOURCE DIST + PLACED ( 208380 106080 ) FS ;
- FILLER_35_447 sky130_fd_sc_hd__fill_1 + SOURCE DIST + PLACED ( 211140 106080 ) FS ;
- FILLER_35_449 sky130_fd_sc_hd__decap_12 + SOURCE DIST + PLACED ( 212060 106080 ) FS ;
- FILLER_35_461 sky130_fd_sc_hd__decap_12 + SOURCE DIST + PLACED ( 217580 106080 ) FS ;
- FILLER_35_47 sky130_fd_sc_hd__decap_8 + SOURCE DIST + PLACED ( 27140 106080 ) FS ;
- FILLER_35_473 sky130_fd_sc_hd__decap_12 + SOURCE DIST + PLACED ( 223100 106080 ) FS ;
- FILLER_35_485 sky130_fd_sc_hd__decap_12 + SOURCE DIST + PLACED ( 228620 106080 ) FS ;
- FILLER_35_497 sky130_fd_sc_hd__decap_6 + SOURCE DIST + PLACED ( 234140 106080 ) FS ;
- FILLER_35_503 sky130_fd_sc_hd__fill_1 + SOURCE DIST + PLACED ( 236900 106080 ) FS ;
- FILLER_35_505 sky130_fd_sc_hd__decap_12 + SOURCE DIST + PLACED ( 237820 106080 ) FS ;
- FILLER_35_517 sky130_fd_sc_hd__decap_12 + SOURCE DIST + PLACED ( 243340 106080 ) FS ;
- FILLER_35_529 sky130_fd_sc_hd__decap_12 + SOURCE DIST + PLACED ( 248860 106080 ) FS ;
- FILLER_35_541 sky130_fd_sc_hd__decap_12 + SOURCE DIST + PLACED ( 254380 106080 ) FS ;
- FILLER_35_55 sky130_fd_sc_hd__fill_1 + SOURCE DIST + PLACED ( 30820 106080 ) FS ;
- FILLER_35_553 sky130_fd_sc_hd__decap_6 + SOURCE DIST + PLACED ( 259900 106080 ) FS ;
- FILLER_35_559 sky130_fd_sc_hd__fill_1 + SOURCE DIST + PLACED ( 262660 106080 ) FS ;
- FILLER_35_561 sky130_fd_sc_hd__decap_12 + SOURCE DIST + PLACED ( 263580 106080 ) FS ;
- FILLER_35_57 sky130_fd_sc_hd__decap_12 + SOURCE DIST + PLACED ( 31740 106080 ) FS ;
- FILLER_35_573 sky130_fd_sc_hd__decap_12 + SOURCE DIST + PLACED ( 269100 106080 ) FS ;
- FILLER_35_585 sky130_fd_sc_hd__decap_12 + SOURCE DIST + PLACED ( 274620 106080 ) FS ;
- FILLER_35_597 sky130_fd_sc_hd__decap_12 + SOURCE DIST + PLACED ( 280140 106080 ) FS ;
- FILLER_35_609 sky130_fd_sc_hd__decap_6 + SOURCE DIST + PLACED ( 285660 106080 ) FS ;
- FILLER_35_615 sky130_fd_sc_hd__fill_1 + SOURCE DIST + PLACED ( 288420 106080 ) FS ;
- FILLER_35_617 sky130_fd_sc_hd__decap_8 + SOURCE DIST + PLACED ( 289340 106080 ) FS ;
- FILLER_35_69 sky130_fd_sc_hd__decap_12 + SOURCE DIST + PLACED ( 37260 106080 ) FS ;
- FILLER_35_7 sky130_fd_sc_hd__decap_8 + SOURCE DIST + PLACED ( 8740 106080 ) FS ;
- FILLER_35_81 sky130_fd_sc_hd__decap_12 + SOURCE DIST + PLACED ( 42780 106080 ) FS ;
- FILLER_35_93 sky130_fd_sc_hd__decap_12 + SOURCE DIST + PLACED ( 48300 106080 ) FS ;
- FILLER_36_109 sky130_fd_sc_hd__decap_12 + SOURCE DIST + PLACED ( 55660 108800 ) N ;
- FILLER_36_121 sky130_fd_sc_hd__decap_12 + SOURCE DIST + PLACED ( 61180 108800 ) N ;
- FILLER_36_13 sky130_fd_sc_hd__fill_1 + SOURCE DIST + PLACED ( 11500 108800 ) N ;
- FILLER_36_133 sky130_fd_sc_hd__decap_6 + SOURCE DIST + PLACED ( 66700 108800 ) N ;
- FILLER_36_139 sky130_fd_sc_hd__fill_1 + SOURCE DIST + PLACED ( 69460 108800 ) N ;
- FILLER_36_141 sky130_fd_sc_hd__decap_12 + SOURCE DIST + PLACED ( 70380 108800 ) N ;
- FILLER_36_153 sky130_fd_sc_hd__decap_12 + SOURCE DIST + PLACED ( 75900 108800 ) N ;
- FILLER_36_165 sky130_fd_sc_hd__decap_12 + SOURCE DIST + PLACED ( 81420 108800 ) N ;
- FILLER_36_177 sky130_fd_sc_hd__decap_12 + SOURCE DIST + PLACED ( 86940 108800 ) N ;
- FILLER_36_189 sky130_fd_sc_hd__decap_6 + SOURCE DIST + PLACED ( 92460 108800 ) N ;
- FILLER_36_195 sky130_fd_sc_hd__fill_1 + SOURCE DIST + PLACED ( 95220 108800 ) N ;
- FILLER_36_197 sky130_fd_sc_hd__decap_12 + SOURCE DIST + PLACED ( 96140 108800 ) N ;
- FILLER_36_209 sky130_fd_sc_hd__decap_12 + SOURCE DIST + PLACED ( 101660 108800 ) N ;
- FILLER_36_221 sky130_fd_sc_hd__decap_12 + SOURCE DIST + PLACED ( 107180 108800 ) N ;
- FILLER_36_23 sky130_fd_sc_hd__decap_4 + SOURCE DIST + PLACED ( 16100 108800 ) N ;
- FILLER_36_233 sky130_fd_sc_hd__decap_12 + SOURCE DIST + PLACED ( 112700 108800 ) N ;
- FILLER_36_245 sky130_fd_sc_hd__decap_6 + SOURCE DIST + PLACED ( 118220 108800 ) N ;
- FILLER_36_251 sky130_fd_sc_hd__fill_1 + SOURCE DIST + PLACED ( 120980 108800 ) N ;
- FILLER_36_253 sky130_fd_sc_hd__decap_12 + SOURCE DIST + PLACED ( 121900 108800 ) N ;
- FILLER_36_265 sky130_fd_sc_hd__decap_12 + SOURCE DIST + PLACED ( 127420 108800 ) N ;
- FILLER_36_27 sky130_fd_sc_hd__fill_1 + SOURCE DIST + PLACED ( 17940 108800 ) N ;
- FILLER_36_277 sky130_fd_sc_hd__decap_12 + SOURCE DIST + PLACED ( 132940 108800 ) N ;
- FILLER_36_289 sky130_fd_sc_hd__decap_12 + SOURCE DIST + PLACED ( 138460 108800 ) N ;
- FILLER_36_29 sky130_fd_sc_hd__decap_12 + SOURCE DIST + PLACED ( 18860 108800 ) N ;
- FILLER_36_301 sky130_fd_sc_hd__decap_6 + SOURCE DIST + PLACED ( 143980 108800 ) N ;
- FILLER_36_307 sky130_fd_sc_hd__fill_1 + SOURCE DIST + PLACED ( 146740 108800 ) N ;
- FILLER_36_309 sky130_fd_sc_hd__decap_12 + SOURCE DIST + PLACED ( 147660 108800 ) N ;
- FILLER_36_321 sky130_fd_sc_hd__decap_12 + SOURCE DIST + PLACED ( 153180 108800 ) N ;
- FILLER_36_333 sky130_fd_sc_hd__decap_12 + SOURCE DIST + PLACED ( 158700 108800 ) N ;
- FILLER_36_345 sky130_fd_sc_hd__decap_12 + SOURCE DIST + PLACED ( 164220 108800 ) N ;
- FILLER_36_357 sky130_fd_sc_hd__decap_6 + SOURCE DIST + PLACED ( 169740 108800 ) N ;
- FILLER_36_363 sky130_fd_sc_hd__fill_1 + SOURCE DIST + PLACED ( 172500 108800 ) N ;
- FILLER_36_365 sky130_fd_sc_hd__decap_12 + SOURCE DIST + PLACED ( 173420 108800 ) N ;
- FILLER_36_377 sky130_fd_sc_hd__decap_12 + SOURCE DIST + PLACED ( 178940 108800 ) N ;
- FILLER_36_389 sky130_fd_sc_hd__decap_12 + SOURCE DIST + PLACED ( 184460 108800 ) N ;
- FILLER_36_401 sky130_fd_sc_hd__decap_12 + SOURCE DIST + PLACED ( 189980 108800 ) N ;
- FILLER_36_41 sky130_fd_sc_hd__decap_12 + SOURCE DIST + PLACED ( 24380 108800 ) N ;
- FILLER_36_413 sky130_fd_sc_hd__decap_6 + SOURCE DIST + PLACED ( 195500 108800 ) N ;
- FILLER_36_419 sky130_fd_sc_hd__fill_1 + SOURCE DIST + PLACED ( 198260 108800 ) N ;
- FILLER_36_421 sky130_fd_sc_hd__decap_12 + SOURCE DIST + PLACED ( 199180 108800 ) N ;
- FILLER_36_433 sky130_fd_sc_hd__decap_12 + SOURCE DIST + PLACED ( 204700 108800 ) N ;
- FILLER_36_445 sky130_fd_sc_hd__decap_12 + SOURCE DIST + PLACED ( 210220 108800 ) N ;
- FILLER_36_457 sky130_fd_sc_hd__decap_12 + SOURCE DIST + PLACED ( 215740 108800 ) N ;
- FILLER_36_469 sky130_fd_sc_hd__decap_6 + SOURCE DIST + PLACED ( 221260 108800 ) N ;
- FILLER_36_475 sky130_fd_sc_hd__fill_1 + SOURCE DIST + PLACED ( 224020 108800 ) N ;
- FILLER_36_477 sky130_fd_sc_hd__decap_12 + SOURCE DIST + PLACED ( 224940 108800 ) N ;
- FILLER_36_489 sky130_fd_sc_hd__decap_12 + SOURCE DIST + PLACED ( 230460 108800 ) N ;
- FILLER_36_501 sky130_fd_sc_hd__decap_12 + SOURCE DIST + PLACED ( 235980 108800 ) N ;
- FILLER_36_513 sky130_fd_sc_hd__decap_12 + SOURCE DIST + PLACED ( 241500 108800 ) N ;
- FILLER_36_525 sky130_fd_sc_hd__decap_6 + SOURCE DIST + PLACED ( 247020 108800 ) N ;
- FILLER_36_53 sky130_fd_sc_hd__decap_12 + SOURCE DIST + PLACED ( 29900 108800 ) N ;
- FILLER_36_531 sky130_fd_sc_hd__fill_1 + SOURCE DIST + PLACED ( 249780 108800 ) N ;
- FILLER_36_533 sky130_fd_sc_hd__decap_12 + SOURCE DIST + PLACED ( 250700 108800 ) N ;
- FILLER_36_545 sky130_fd_sc_hd__decap_12 + SOURCE DIST + PLACED ( 256220 108800 ) N ;
- FILLER_36_557 sky130_fd_sc_hd__decap_12 + SOURCE DIST + PLACED ( 261740 108800 ) N ;
- FILLER_36_569 sky130_fd_sc_hd__decap_12 + SOURCE DIST + PLACED ( 267260 108800 ) N ;
- FILLER_36_581 sky130_fd_sc_hd__decap_6 + SOURCE DIST + PLACED ( 272780 108800 ) N ;
- FILLER_36_587 sky130_fd_sc_hd__fill_1 + SOURCE DIST + PLACED ( 275540 108800 ) N ;
- FILLER_36_589 sky130_fd_sc_hd__decap_12 + SOURCE DIST + PLACED ( 276460 108800 ) N ;
- FILLER_36_601 sky130_fd_sc_hd__decap_12 + SOURCE DIST + PLACED ( 281980 108800 ) N ;
- FILLER_36_613 sky130_fd_sc_hd__decap_12 + SOURCE DIST + PLACED ( 287500 108800 ) N ;
- FILLER_36_65 sky130_fd_sc_hd__decap_12 + SOURCE DIST + PLACED ( 35420 108800 ) N ;
- FILLER_36_7 sky130_fd_sc_hd__decap_6 + SOURCE DIST + PLACED ( 8740 108800 ) N ;
- FILLER_36_77 sky130_fd_sc_hd__decap_6 + SOURCE DIST + PLACED ( 40940 108800 ) N ;
- FILLER_36_83 sky130_fd_sc_hd__fill_1 + SOURCE DIST + PLACED ( 43700 108800 ) N ;
- FILLER_36_85 sky130_fd_sc_hd__decap_12 + SOURCE DIST + PLACED ( 44620 108800 ) N ;
- FILLER_36_97 sky130_fd_sc_hd__decap_12 + SOURCE DIST + PLACED ( 50140 108800 ) N ;
- FILLER_37_105 sky130_fd_sc_hd__decap_6 + SOURCE DIST + PLACED ( 53820 111520 ) FS ;
- FILLER_37_111 sky130_fd_sc_hd__fill_1 + SOURCE DIST + PLACED ( 56580 111520 ) FS ;
- FILLER_37_113 sky130_fd_sc_hd__decap_12 + SOURCE DIST + PLACED ( 57500 111520 ) FS ;
- FILLER_37_125 sky130_fd_sc_hd__decap_12 + SOURCE DIST + PLACED ( 63020 111520 ) FS ;
- FILLER_37_137 sky130_fd_sc_hd__decap_12 + SOURCE DIST + PLACED ( 68540 111520 ) FS ;
- FILLER_37_149 sky130_fd_sc_hd__decap_12 + SOURCE DIST + PLACED ( 74060 111520 ) FS ;
- FILLER_37_161 sky130_fd_sc_hd__decap_6 + SOURCE DIST + PLACED ( 79580 111520 ) FS ;
- FILLER_37_167 sky130_fd_sc_hd__fill_1 + SOURCE DIST + PLACED ( 82340 111520 ) FS ;
- FILLER_37_169 sky130_fd_sc_hd__decap_12 + SOURCE DIST + PLACED ( 83260 111520 ) FS ;
- FILLER_37_181 sky130_fd_sc_hd__decap_12 + SOURCE DIST + PLACED ( 88780 111520 ) FS ;
- FILLER_37_193 sky130_fd_sc_hd__decap_12 + SOURCE DIST + PLACED ( 94300 111520 ) FS ;
- FILLER_37_205 sky130_fd_sc_hd__decap_12 + SOURCE DIST + PLACED ( 99820 111520 ) FS ;
- FILLER_37_217 sky130_fd_sc_hd__decap_6 + SOURCE DIST + PLACED ( 105340 111520 ) FS ;
- FILLER_37_223 sky130_fd_sc_hd__fill_1 + SOURCE DIST + PLACED ( 108100 111520 ) FS ;
- FILLER_37_225 sky130_fd_sc_hd__decap_12 + SOURCE DIST + PLACED ( 109020 111520 ) FS ;
- FILLER_37_237 sky130_fd_sc_hd__decap_12 + SOURCE DIST + PLACED ( 114540 111520 ) FS ;
- FILLER_37_249 sky130_fd_sc_hd__decap_12 + SOURCE DIST + PLACED ( 120060 111520 ) FS ;
- FILLER_37_26 sky130_fd_sc_hd__decap_12 + SOURCE DIST + PLACED ( 17480 111520 ) FS ;
- FILLER_37_261 sky130_fd_sc_hd__decap_12 + SOURCE DIST + PLACED ( 125580 111520 ) FS ;
- FILLER_37_273 sky130_fd_sc_hd__decap_6 + SOURCE DIST + PLACED ( 131100 111520 ) FS ;
- FILLER_37_279 sky130_fd_sc_hd__fill_1 + SOURCE DIST + PLACED ( 133860 111520 ) FS ;
- FILLER_37_281 sky130_fd_sc_hd__decap_12 + SOURCE DIST + PLACED ( 134780 111520 ) FS ;
- FILLER_37_293 sky130_fd_sc_hd__decap_12 + SOURCE DIST + PLACED ( 140300 111520 ) FS ;
- FILLER_37_305 sky130_fd_sc_hd__decap_12 + SOURCE DIST + PLACED ( 145820 111520 ) FS ;
- FILLER_37_317 sky130_fd_sc_hd__decap_12 + SOURCE DIST + PLACED ( 151340 111520 ) FS ;
- FILLER_37_329 sky130_fd_sc_hd__decap_6 + SOURCE DIST + PLACED ( 156860 111520 ) FS ;
- FILLER_37_335 sky130_fd_sc_hd__fill_1 + SOURCE DIST + PLACED ( 159620 111520 ) FS ;
- FILLER_37_337 sky130_fd_sc_hd__decap_12 + SOURCE DIST + PLACED ( 160540 111520 ) FS ;
- FILLER_37_349 sky130_fd_sc_hd__decap_12 + SOURCE DIST + PLACED ( 166060 111520 ) FS ;
- FILLER_37_361 sky130_fd_sc_hd__decap_12 + SOURCE DIST + PLACED ( 171580 111520 ) FS ;
- FILLER_37_373 sky130_fd_sc_hd__decap_12 + SOURCE DIST + PLACED ( 177100 111520 ) FS ;
- FILLER_37_38 sky130_fd_sc_hd__decap_12 + SOURCE DIST + PLACED ( 23000 111520 ) FS ;
- FILLER_37_385 sky130_fd_sc_hd__decap_6 + SOURCE DIST + PLACED ( 182620 111520 ) FS ;
- FILLER_37_391 sky130_fd_sc_hd__fill_1 + SOURCE DIST + PLACED ( 185380 111520 ) FS ;
- FILLER_37_393 sky130_fd_sc_hd__decap_12 + SOURCE DIST + PLACED ( 186300 111520 ) FS ;
- FILLER_37_405 sky130_fd_sc_hd__decap_12 + SOURCE DIST + PLACED ( 191820 111520 ) FS ;
- FILLER_37_417 sky130_fd_sc_hd__decap_12 + SOURCE DIST + PLACED ( 197340 111520 ) FS ;
- FILLER_37_429 sky130_fd_sc_hd__decap_12 + SOURCE DIST + PLACED ( 202860 111520 ) FS ;
- FILLER_37_441 sky130_fd_sc_hd__decap_6 + SOURCE DIST + PLACED ( 208380 111520 ) FS ;
- FILLER_37_447 sky130_fd_sc_hd__fill_1 + SOURCE DIST + PLACED ( 211140 111520 ) FS ;
- FILLER_37_449 sky130_fd_sc_hd__decap_12 + SOURCE DIST + PLACED ( 212060 111520 ) FS ;
- FILLER_37_461 sky130_fd_sc_hd__decap_12 + SOURCE DIST + PLACED ( 217580 111520 ) FS ;
- FILLER_37_473 sky130_fd_sc_hd__decap_12 + SOURCE DIST + PLACED ( 223100 111520 ) FS ;
- FILLER_37_485 sky130_fd_sc_hd__decap_12 + SOURCE DIST + PLACED ( 228620 111520 ) FS ;
- FILLER_37_497 sky130_fd_sc_hd__decap_6 + SOURCE DIST + PLACED ( 234140 111520 ) FS ;
- FILLER_37_50 sky130_fd_sc_hd__decap_6 + SOURCE DIST + PLACED ( 28520 111520 ) FS ;
- FILLER_37_503 sky130_fd_sc_hd__fill_1 + SOURCE DIST + PLACED ( 236900 111520 ) FS ;
- FILLER_37_505 sky130_fd_sc_hd__decap_12 + SOURCE DIST + PLACED ( 237820 111520 ) FS ;
- FILLER_37_517 sky130_fd_sc_hd__decap_12 + SOURCE DIST + PLACED ( 243340 111520 ) FS ;
- FILLER_37_529 sky130_fd_sc_hd__decap_12 + SOURCE DIST + PLACED ( 248860 111520 ) FS ;
- FILLER_37_541 sky130_fd_sc_hd__decap_12 + SOURCE DIST + PLACED ( 254380 111520 ) FS ;
- FILLER_37_553 sky130_fd_sc_hd__decap_6 + SOURCE DIST + PLACED ( 259900 111520 ) FS ;
- FILLER_37_559 sky130_fd_sc_hd__fill_1 + SOURCE DIST + PLACED ( 262660 111520 ) FS ;
- FILLER_37_561 sky130_fd_sc_hd__decap_12 + SOURCE DIST + PLACED ( 263580 111520 ) FS ;
- FILLER_37_57 sky130_fd_sc_hd__decap_12 + SOURCE DIST + PLACED ( 31740 111520 ) FS ;
- FILLER_37_573 sky130_fd_sc_hd__decap_12 + SOURCE DIST + PLACED ( 269100 111520 ) FS ;
- FILLER_37_585 sky130_fd_sc_hd__decap_12 + SOURCE DIST + PLACED ( 274620 111520 ) FS ;
- FILLER_37_597 sky130_fd_sc_hd__decap_12 + SOURCE DIST + PLACED ( 280140 111520 ) FS ;
- FILLER_37_6 sky130_fd_sc_hd__decap_4 + SOURCE DIST + PLACED ( 8280 111520 ) FS ;
- FILLER_37_609 sky130_fd_sc_hd__decap_6 + SOURCE DIST + PLACED ( 285660 111520 ) FS ;
- FILLER_37_615 sky130_fd_sc_hd__fill_1 + SOURCE DIST + PLACED ( 288420 111520 ) FS ;
- FILLER_37_617 sky130_fd_sc_hd__decap_8 + SOURCE DIST + PLACED ( 289340 111520 ) FS ;
- FILLER_37_69 sky130_fd_sc_hd__decap_12 + SOURCE DIST + PLACED ( 37260 111520 ) FS ;
- FILLER_37_81 sky130_fd_sc_hd__decap_12 + SOURCE DIST + PLACED ( 42780 111520 ) FS ;
- FILLER_37_93 sky130_fd_sc_hd__decap_12 + SOURCE DIST + PLACED ( 48300 111520 ) FS ;
- FILLER_38_109 sky130_fd_sc_hd__decap_12 + SOURCE DIST + PLACED ( 55660 114240 ) N ;
- FILLER_38_121 sky130_fd_sc_hd__decap_12 + SOURCE DIST + PLACED ( 61180 114240 ) N ;
- FILLER_38_133 sky130_fd_sc_hd__decap_6 + SOURCE DIST + PLACED ( 66700 114240 ) N ;
- FILLER_38_139 sky130_fd_sc_hd__fill_1 + SOURCE DIST + PLACED ( 69460 114240 ) N ;
- FILLER_38_141 sky130_fd_sc_hd__decap_12 + SOURCE DIST + PLACED ( 70380 114240 ) N ;
- FILLER_38_15 sky130_fd_sc_hd__decap_12 + SOURCE DIST + PLACED ( 12420 114240 ) N ;
- FILLER_38_153 sky130_fd_sc_hd__decap_12 + SOURCE DIST + PLACED ( 75900 114240 ) N ;
- FILLER_38_165 sky130_fd_sc_hd__decap_12 + SOURCE DIST + PLACED ( 81420 114240 ) N ;
- FILLER_38_177 sky130_fd_sc_hd__decap_12 + SOURCE DIST + PLACED ( 86940 114240 ) N ;
- FILLER_38_189 sky130_fd_sc_hd__decap_6 + SOURCE DIST + PLACED ( 92460 114240 ) N ;
- FILLER_38_195 sky130_fd_sc_hd__fill_1 + SOURCE DIST + PLACED ( 95220 114240 ) N ;
- FILLER_38_197 sky130_fd_sc_hd__decap_12 + SOURCE DIST + PLACED ( 96140 114240 ) N ;
- FILLER_38_209 sky130_fd_sc_hd__decap_12 + SOURCE DIST + PLACED ( 101660 114240 ) N ;
- FILLER_38_221 sky130_fd_sc_hd__decap_12 + SOURCE DIST + PLACED ( 107180 114240 ) N ;
- FILLER_38_233 sky130_fd_sc_hd__decap_12 + SOURCE DIST + PLACED ( 112700 114240 ) N ;
- FILLER_38_245 sky130_fd_sc_hd__decap_6 + SOURCE DIST + PLACED ( 118220 114240 ) N ;
- FILLER_38_251 sky130_fd_sc_hd__fill_1 + SOURCE DIST + PLACED ( 120980 114240 ) N ;
- FILLER_38_253 sky130_fd_sc_hd__decap_12 + SOURCE DIST + PLACED ( 121900 114240 ) N ;
- FILLER_38_265 sky130_fd_sc_hd__decap_12 + SOURCE DIST + PLACED ( 127420 114240 ) N ;
- FILLER_38_27 sky130_fd_sc_hd__fill_1 + SOURCE DIST + PLACED ( 17940 114240 ) N ;
- FILLER_38_277 sky130_fd_sc_hd__decap_12 + SOURCE DIST + PLACED ( 132940 114240 ) N ;
- FILLER_38_289 sky130_fd_sc_hd__decap_12 + SOURCE DIST + PLACED ( 138460 114240 ) N ;
- FILLER_38_29 sky130_fd_sc_hd__decap_4 + SOURCE DIST + PLACED ( 18860 114240 ) N ;
- FILLER_38_301 sky130_fd_sc_hd__decap_6 + SOURCE DIST + PLACED ( 143980 114240 ) N ;
- FILLER_38_307 sky130_fd_sc_hd__fill_1 + SOURCE DIST + PLACED ( 146740 114240 ) N ;
- FILLER_38_309 sky130_fd_sc_hd__decap_12 + SOURCE DIST + PLACED ( 147660 114240 ) N ;
- FILLER_38_321 sky130_fd_sc_hd__decap_12 + SOURCE DIST + PLACED ( 153180 114240 ) N ;
- FILLER_38_333 sky130_fd_sc_hd__decap_12 + SOURCE DIST + PLACED ( 158700 114240 ) N ;
- FILLER_38_345 sky130_fd_sc_hd__decap_12 + SOURCE DIST + PLACED ( 164220 114240 ) N ;
- FILLER_38_357 sky130_fd_sc_hd__decap_6 + SOURCE DIST + PLACED ( 169740 114240 ) N ;
- FILLER_38_363 sky130_fd_sc_hd__fill_1 + SOURCE DIST + PLACED ( 172500 114240 ) N ;
- FILLER_38_365 sky130_fd_sc_hd__decap_12 + SOURCE DIST + PLACED ( 173420 114240 ) N ;
- FILLER_38_37 sky130_fd_sc_hd__decap_12 + SOURCE DIST + PLACED ( 22540 114240 ) N ;
- FILLER_38_377 sky130_fd_sc_hd__decap_12 + SOURCE DIST + PLACED ( 178940 114240 ) N ;
- FILLER_38_389 sky130_fd_sc_hd__decap_12 + SOURCE DIST + PLACED ( 184460 114240 ) N ;
- FILLER_38_401 sky130_fd_sc_hd__decap_12 + SOURCE DIST + PLACED ( 189980 114240 ) N ;
- FILLER_38_413 sky130_fd_sc_hd__decap_6 + SOURCE DIST + PLACED ( 195500 114240 ) N ;
- FILLER_38_419 sky130_fd_sc_hd__fill_1 + SOURCE DIST + PLACED ( 198260 114240 ) N ;
- FILLER_38_421 sky130_fd_sc_hd__decap_12 + SOURCE DIST + PLACED ( 199180 114240 ) N ;
- FILLER_38_433 sky130_fd_sc_hd__decap_12 + SOURCE DIST + PLACED ( 204700 114240 ) N ;
- FILLER_38_445 sky130_fd_sc_hd__decap_12 + SOURCE DIST + PLACED ( 210220 114240 ) N ;
- FILLER_38_457 sky130_fd_sc_hd__decap_12 + SOURCE DIST + PLACED ( 215740 114240 ) N ;
- FILLER_38_469 sky130_fd_sc_hd__decap_6 + SOURCE DIST + PLACED ( 221260 114240 ) N ;
- FILLER_38_475 sky130_fd_sc_hd__fill_1 + SOURCE DIST + PLACED ( 224020 114240 ) N ;
- FILLER_38_477 sky130_fd_sc_hd__decap_12 + SOURCE DIST + PLACED ( 224940 114240 ) N ;
- FILLER_38_489 sky130_fd_sc_hd__decap_12 + SOURCE DIST + PLACED ( 230460 114240 ) N ;
- FILLER_38_49 sky130_fd_sc_hd__decap_12 + SOURCE DIST + PLACED ( 28060 114240 ) N ;
- FILLER_38_501 sky130_fd_sc_hd__decap_12 + SOURCE DIST + PLACED ( 235980 114240 ) N ;
- FILLER_38_513 sky130_fd_sc_hd__decap_12 + SOURCE DIST + PLACED ( 241500 114240 ) N ;
- FILLER_38_525 sky130_fd_sc_hd__decap_6 + SOURCE DIST + PLACED ( 247020 114240 ) N ;
- FILLER_38_531 sky130_fd_sc_hd__fill_1 + SOURCE DIST + PLACED ( 249780 114240 ) N ;
- FILLER_38_533 sky130_fd_sc_hd__decap_12 + SOURCE DIST + PLACED ( 250700 114240 ) N ;
- FILLER_38_545 sky130_fd_sc_hd__decap_12 + SOURCE DIST + PLACED ( 256220 114240 ) N ;
- FILLER_38_557 sky130_fd_sc_hd__decap_12 + SOURCE DIST + PLACED ( 261740 114240 ) N ;
- FILLER_38_569 sky130_fd_sc_hd__decap_12 + SOURCE DIST + PLACED ( 267260 114240 ) N ;
- FILLER_38_581 sky130_fd_sc_hd__decap_6 + SOURCE DIST + PLACED ( 272780 114240 ) N ;
- FILLER_38_587 sky130_fd_sc_hd__fill_1 + SOURCE DIST + PLACED ( 275540 114240 ) N ;
- FILLER_38_589 sky130_fd_sc_hd__decap_12 + SOURCE DIST + PLACED ( 276460 114240 ) N ;
- FILLER_38_6 sky130_fd_sc_hd__decap_6 + SOURCE DIST + PLACED ( 8280 114240 ) N ;
- FILLER_38_601 sky130_fd_sc_hd__decap_12 + SOURCE DIST + PLACED ( 281980 114240 ) N ;
- FILLER_38_61 sky130_fd_sc_hd__decap_12 + SOURCE DIST + PLACED ( 33580 114240 ) N ;
- FILLER_38_613 sky130_fd_sc_hd__decap_12 + SOURCE DIST + PLACED ( 287500 114240 ) N ;
- FILLER_38_73 sky130_fd_sc_hd__decap_8 + SOURCE DIST + PLACED ( 39100 114240 ) N ;
- FILLER_38_81 sky130_fd_sc_hd__decap_3 + SOURCE DIST + PLACED ( 42780 114240 ) N ;
- FILLER_38_85 sky130_fd_sc_hd__decap_12 + SOURCE DIST + PLACED ( 44620 114240 ) N ;
- FILLER_38_97 sky130_fd_sc_hd__decap_12 + SOURCE DIST + PLACED ( 50140 114240 ) N ;
- FILLER_39_105 sky130_fd_sc_hd__decap_6 + SOURCE DIST + PLACED ( 53820 116960 ) FS ;
- FILLER_39_111 sky130_fd_sc_hd__fill_1 + SOURCE DIST + PLACED ( 56580 116960 ) FS ;
- FILLER_39_113 sky130_fd_sc_hd__decap_12 + SOURCE DIST + PLACED ( 57500 116960 ) FS ;
- FILLER_39_125 sky130_fd_sc_hd__decap_12 + SOURCE DIST + PLACED ( 63020 116960 ) FS ;
- FILLER_39_137 sky130_fd_sc_hd__decap_12 + SOURCE DIST + PLACED ( 68540 116960 ) FS ;
- FILLER_39_149 sky130_fd_sc_hd__decap_12 + SOURCE DIST + PLACED ( 74060 116960 ) FS ;
- FILLER_39_16 sky130_fd_sc_hd__decap_6 + SOURCE DIST + PLACED ( 12880 116960 ) FS ;
- FILLER_39_161 sky130_fd_sc_hd__decap_6 + SOURCE DIST + PLACED ( 79580 116960 ) FS ;
- FILLER_39_167 sky130_fd_sc_hd__fill_1 + SOURCE DIST + PLACED ( 82340 116960 ) FS ;
- FILLER_39_169 sky130_fd_sc_hd__decap_12 + SOURCE DIST + PLACED ( 83260 116960 ) FS ;
- FILLER_39_181 sky130_fd_sc_hd__decap_12 + SOURCE DIST + PLACED ( 88780 116960 ) FS ;
- FILLER_39_193 sky130_fd_sc_hd__decap_12 + SOURCE DIST + PLACED ( 94300 116960 ) FS ;
- FILLER_39_205 sky130_fd_sc_hd__decap_12 + SOURCE DIST + PLACED ( 99820 116960 ) FS ;
- FILLER_39_217 sky130_fd_sc_hd__decap_6 + SOURCE DIST + PLACED ( 105340 116960 ) FS ;
- FILLER_39_22 sky130_fd_sc_hd__fill_1 + SOURCE DIST + PLACED ( 15640 116960 ) FS ;
- FILLER_39_223 sky130_fd_sc_hd__fill_1 + SOURCE DIST + PLACED ( 108100 116960 ) FS ;
- FILLER_39_225 sky130_fd_sc_hd__decap_12 + SOURCE DIST + PLACED ( 109020 116960 ) FS ;
- FILLER_39_237 sky130_fd_sc_hd__decap_12 + SOURCE DIST + PLACED ( 114540 116960 ) FS ;
- FILLER_39_249 sky130_fd_sc_hd__decap_12 + SOURCE DIST + PLACED ( 120060 116960 ) FS ;
- FILLER_39_26 sky130_fd_sc_hd__decap_6 + SOURCE DIST + PLACED ( 17480 116960 ) FS ;
- FILLER_39_261 sky130_fd_sc_hd__decap_12 + SOURCE DIST + PLACED ( 125580 116960 ) FS ;
- FILLER_39_273 sky130_fd_sc_hd__decap_6 + SOURCE DIST + PLACED ( 131100 116960 ) FS ;
- FILLER_39_279 sky130_fd_sc_hd__fill_1 + SOURCE DIST + PLACED ( 133860 116960 ) FS ;
- FILLER_39_281 sky130_fd_sc_hd__decap_12 + SOURCE DIST + PLACED ( 134780 116960 ) FS ;
- FILLER_39_293 sky130_fd_sc_hd__decap_12 + SOURCE DIST + PLACED ( 140300 116960 ) FS ;
- FILLER_39_305 sky130_fd_sc_hd__decap_12 + SOURCE DIST + PLACED ( 145820 116960 ) FS ;
- FILLER_39_317 sky130_fd_sc_hd__decap_12 + SOURCE DIST + PLACED ( 151340 116960 ) FS ;
- FILLER_39_329 sky130_fd_sc_hd__decap_6 + SOURCE DIST + PLACED ( 156860 116960 ) FS ;
- FILLER_39_335 sky130_fd_sc_hd__fill_1 + SOURCE DIST + PLACED ( 159620 116960 ) FS ;
- FILLER_39_337 sky130_fd_sc_hd__decap_12 + SOURCE DIST + PLACED ( 160540 116960 ) FS ;
- FILLER_39_349 sky130_fd_sc_hd__decap_12 + SOURCE DIST + PLACED ( 166060 116960 ) FS ;
- FILLER_39_36 sky130_fd_sc_hd__decap_12 + SOURCE DIST + PLACED ( 22080 116960 ) FS ;
- FILLER_39_361 sky130_fd_sc_hd__decap_12 + SOURCE DIST + PLACED ( 171580 116960 ) FS ;
- FILLER_39_373 sky130_fd_sc_hd__decap_12 + SOURCE DIST + PLACED ( 177100 116960 ) FS ;
- FILLER_39_385 sky130_fd_sc_hd__decap_6 + SOURCE DIST + PLACED ( 182620 116960 ) FS ;
- FILLER_39_391 sky130_fd_sc_hd__fill_1 + SOURCE DIST + PLACED ( 185380 116960 ) FS ;
- FILLER_39_393 sky130_fd_sc_hd__decap_12 + SOURCE DIST + PLACED ( 186300 116960 ) FS ;
- FILLER_39_405 sky130_fd_sc_hd__decap_12 + SOURCE DIST + PLACED ( 191820 116960 ) FS ;
- FILLER_39_417 sky130_fd_sc_hd__decap_12 + SOURCE DIST + PLACED ( 197340 116960 ) FS ;
- FILLER_39_429 sky130_fd_sc_hd__decap_12 + SOURCE DIST + PLACED ( 202860 116960 ) FS ;
- FILLER_39_441 sky130_fd_sc_hd__decap_6 + SOURCE DIST + PLACED ( 208380 116960 ) FS ;
- FILLER_39_447 sky130_fd_sc_hd__fill_1 + SOURCE DIST + PLACED ( 211140 116960 ) FS ;
- FILLER_39_449 sky130_fd_sc_hd__decap_12 + SOURCE DIST + PLACED ( 212060 116960 ) FS ;
- FILLER_39_461 sky130_fd_sc_hd__decap_12 + SOURCE DIST + PLACED ( 217580 116960 ) FS ;
- FILLER_39_473 sky130_fd_sc_hd__decap_12 + SOURCE DIST + PLACED ( 223100 116960 ) FS ;
- FILLER_39_48 sky130_fd_sc_hd__decap_8 + SOURCE DIST + PLACED ( 27600 116960 ) FS ;
- FILLER_39_485 sky130_fd_sc_hd__decap_12 + SOURCE DIST + PLACED ( 228620 116960 ) FS ;
- FILLER_39_497 sky130_fd_sc_hd__decap_6 + SOURCE DIST + PLACED ( 234140 116960 ) FS ;
- FILLER_39_503 sky130_fd_sc_hd__fill_1 + SOURCE DIST + PLACED ( 236900 116960 ) FS ;
- FILLER_39_505 sky130_fd_sc_hd__decap_12 + SOURCE DIST + PLACED ( 237820 116960 ) FS ;
- FILLER_39_517 sky130_fd_sc_hd__decap_12 + SOURCE DIST + PLACED ( 243340 116960 ) FS ;
- FILLER_39_529 sky130_fd_sc_hd__decap_12 + SOURCE DIST + PLACED ( 248860 116960 ) FS ;
- FILLER_39_541 sky130_fd_sc_hd__decap_12 + SOURCE DIST + PLACED ( 254380 116960 ) FS ;
- FILLER_39_553 sky130_fd_sc_hd__decap_6 + SOURCE DIST + PLACED ( 259900 116960 ) FS ;
- FILLER_39_559 sky130_fd_sc_hd__fill_1 + SOURCE DIST + PLACED ( 262660 116960 ) FS ;
- FILLER_39_561 sky130_fd_sc_hd__decap_12 + SOURCE DIST + PLACED ( 263580 116960 ) FS ;
- FILLER_39_57 sky130_fd_sc_hd__decap_12 + SOURCE DIST + PLACED ( 31740 116960 ) FS ;
- FILLER_39_573 sky130_fd_sc_hd__decap_12 + SOURCE DIST + PLACED ( 269100 116960 ) FS ;
- FILLER_39_585 sky130_fd_sc_hd__decap_12 + SOURCE DIST + PLACED ( 274620 116960 ) FS ;
- FILLER_39_597 sky130_fd_sc_hd__decap_12 + SOURCE DIST + PLACED ( 280140 116960 ) FS ;
- FILLER_39_6 sky130_fd_sc_hd__decap_6 + SOURCE DIST + PLACED ( 8280 116960 ) FS ;
- FILLER_39_609 sky130_fd_sc_hd__decap_6 + SOURCE DIST + PLACED ( 285660 116960 ) FS ;
- FILLER_39_615 sky130_fd_sc_hd__fill_1 + SOURCE DIST + PLACED ( 288420 116960 ) FS ;
- FILLER_39_617 sky130_fd_sc_hd__decap_8 + SOURCE DIST + PLACED ( 289340 116960 ) FS ;
- FILLER_39_69 sky130_fd_sc_hd__decap_12 + SOURCE DIST + PLACED ( 37260 116960 ) FS ;
- FILLER_39_81 sky130_fd_sc_hd__decap_12 + SOURCE DIST + PLACED ( 42780 116960 ) FS ;
- FILLER_39_93 sky130_fd_sc_hd__decap_12 + SOURCE DIST + PLACED ( 48300 116960 ) FS ;
- FILLER_3_11 sky130_fd_sc_hd__fill_1 + SOURCE DIST + PLACED ( 10580 19040 ) FS ;
- FILLER_3_110 sky130_fd_sc_hd__fill_2 + SOURCE DIST + PLACED ( 56120 19040 ) FS ;
- FILLER_3_113 sky130_fd_sc_hd__decap_6 + SOURCE DIST + PLACED ( 57500 19040 ) FS ;
- FILLER_3_124 sky130_fd_sc_hd__decap_12 + SOURCE DIST + PLACED ( 62560 19040 ) FS ;
- FILLER_3_136 sky130_fd_sc_hd__decap_12 + SOURCE DIST + PLACED ( 68080 19040 ) FS ;
- FILLER_3_148 sky130_fd_sc_hd__decap_12 + SOURCE DIST + PLACED ( 73600 19040 ) FS ;
- FILLER_3_15 sky130_fd_sc_hd__decap_8 + SOURCE DIST + PLACED ( 12420 19040 ) FS ;
- FILLER_3_160 sky130_fd_sc_hd__decap_8 + SOURCE DIST + PLACED ( 79120 19040 ) FS ;
- FILLER_3_169 sky130_fd_sc_hd__decap_12 + SOURCE DIST + PLACED ( 83260 19040 ) FS ;
- FILLER_3_181 sky130_fd_sc_hd__decap_12 + SOURCE DIST + PLACED ( 88780 19040 ) FS ;
- FILLER_3_193 sky130_fd_sc_hd__decap_12 + SOURCE DIST + PLACED ( 94300 19040 ) FS ;
- FILLER_3_205 sky130_fd_sc_hd__decap_12 + SOURCE DIST + PLACED ( 99820 19040 ) FS ;
- FILLER_3_217 sky130_fd_sc_hd__decap_6 + SOURCE DIST + PLACED ( 105340 19040 ) FS ;
- FILLER_3_223 sky130_fd_sc_hd__fill_1 + SOURCE DIST + PLACED ( 108100 19040 ) FS ;
- FILLER_3_225 sky130_fd_sc_hd__decap_12 + SOURCE DIST + PLACED ( 109020 19040 ) FS ;
- FILLER_3_23 sky130_fd_sc_hd__fill_1 + SOURCE DIST + PLACED ( 16100 19040 ) FS ;
- FILLER_3_237 sky130_fd_sc_hd__decap_12 + SOURCE DIST + PLACED ( 114540 19040 ) FS ;
- FILLER_3_249 sky130_fd_sc_hd__decap_12 + SOURCE DIST + PLACED ( 120060 19040 ) FS ;
- FILLER_3_261 sky130_fd_sc_hd__decap_12 + SOURCE DIST + PLACED ( 125580 19040 ) FS ;
- FILLER_3_273 sky130_fd_sc_hd__decap_6 + SOURCE DIST + PLACED ( 131100 19040 ) FS ;
- FILLER_3_279 sky130_fd_sc_hd__fill_1 + SOURCE DIST + PLACED ( 133860 19040 ) FS ;
- FILLER_3_281 sky130_fd_sc_hd__decap_12 + SOURCE DIST + PLACED ( 134780 19040 ) FS ;
- FILLER_3_293 sky130_fd_sc_hd__decap_12 + SOURCE DIST + PLACED ( 140300 19040 ) FS ;
- FILLER_3_30 sky130_fd_sc_hd__decap_4 + SOURCE DIST + PLACED ( 19320 19040 ) FS ;
- FILLER_3_305 sky130_fd_sc_hd__decap_12 + SOURCE DIST + PLACED ( 145820 19040 ) FS ;
- FILLER_3_317 sky130_fd_sc_hd__decap_12 + SOURCE DIST + PLACED ( 151340 19040 ) FS ;
- FILLER_3_329 sky130_fd_sc_hd__decap_6 + SOURCE DIST + PLACED ( 156860 19040 ) FS ;
- FILLER_3_335 sky130_fd_sc_hd__fill_1 + SOURCE DIST + PLACED ( 159620 19040 ) FS ;
- FILLER_3_337 sky130_fd_sc_hd__decap_12 + SOURCE DIST + PLACED ( 160540 19040 ) FS ;
- FILLER_3_349 sky130_fd_sc_hd__decap_12 + SOURCE DIST + PLACED ( 166060 19040 ) FS ;
- FILLER_3_361 sky130_fd_sc_hd__decap_12 + SOURCE DIST + PLACED ( 171580 19040 ) FS ;
- FILLER_3_37 sky130_fd_sc_hd__decap_4 + SOURCE DIST + PLACED ( 22540 19040 ) FS ;
- FILLER_3_373 sky130_fd_sc_hd__decap_12 + SOURCE DIST + PLACED ( 177100 19040 ) FS ;
- FILLER_3_385 sky130_fd_sc_hd__decap_6 + SOURCE DIST + PLACED ( 182620 19040 ) FS ;
- FILLER_3_391 sky130_fd_sc_hd__fill_1 + SOURCE DIST + PLACED ( 185380 19040 ) FS ;
- FILLER_3_393 sky130_fd_sc_hd__decap_12 + SOURCE DIST + PLACED ( 186300 19040 ) FS ;
- FILLER_3_405 sky130_fd_sc_hd__decap_12 + SOURCE DIST + PLACED ( 191820 19040 ) FS ;
- FILLER_3_417 sky130_fd_sc_hd__decap_12 + SOURCE DIST + PLACED ( 197340 19040 ) FS ;
- FILLER_3_429 sky130_fd_sc_hd__decap_12 + SOURCE DIST + PLACED ( 202860 19040 ) FS ;
- FILLER_3_44 sky130_fd_sc_hd__decap_12 + SOURCE DIST + PLACED ( 25760 19040 ) FS ;
- FILLER_3_441 sky130_fd_sc_hd__decap_6 + SOURCE DIST + PLACED ( 208380 19040 ) FS ;
- FILLER_3_447 sky130_fd_sc_hd__fill_1 + SOURCE DIST + PLACED ( 211140 19040 ) FS ;
- FILLER_3_449 sky130_fd_sc_hd__decap_12 + SOURCE DIST + PLACED ( 212060 19040 ) FS ;
- FILLER_3_461 sky130_fd_sc_hd__decap_12 + SOURCE DIST + PLACED ( 217580 19040 ) FS ;
- FILLER_3_473 sky130_fd_sc_hd__decap_12 + SOURCE DIST + PLACED ( 223100 19040 ) FS ;
- FILLER_3_485 sky130_fd_sc_hd__decap_12 + SOURCE DIST + PLACED ( 228620 19040 ) FS ;
- FILLER_3_497 sky130_fd_sc_hd__decap_6 + SOURCE DIST + PLACED ( 234140 19040 ) FS ;
- FILLER_3_503 sky130_fd_sc_hd__fill_1 + SOURCE DIST + PLACED ( 236900 19040 ) FS ;
- FILLER_3_505 sky130_fd_sc_hd__decap_12 + SOURCE DIST + PLACED ( 237820 19040 ) FS ;
- FILLER_3_517 sky130_fd_sc_hd__decap_12 + SOURCE DIST + PLACED ( 243340 19040 ) FS ;
- FILLER_3_529 sky130_fd_sc_hd__decap_12 + SOURCE DIST + PLACED ( 248860 19040 ) FS ;
- FILLER_3_541 sky130_fd_sc_hd__decap_12 + SOURCE DIST + PLACED ( 254380 19040 ) FS ;
- FILLER_3_553 sky130_fd_sc_hd__decap_6 + SOURCE DIST + PLACED ( 259900 19040 ) FS ;
- FILLER_3_559 sky130_fd_sc_hd__fill_1 + SOURCE DIST + PLACED ( 262660 19040 ) FS ;
- FILLER_3_561 sky130_fd_sc_hd__decap_12 + SOURCE DIST + PLACED ( 263580 19040 ) FS ;
- FILLER_3_57 sky130_fd_sc_hd__decap_12 + SOURCE DIST + PLACED ( 31740 19040 ) FS ;
- FILLER_3_573 sky130_fd_sc_hd__decap_12 + SOURCE DIST + PLACED ( 269100 19040 ) FS ;
- FILLER_3_585 sky130_fd_sc_hd__decap_12 + SOURCE DIST + PLACED ( 274620 19040 ) FS ;
- FILLER_3_597 sky130_fd_sc_hd__decap_12 + SOURCE DIST + PLACED ( 280140 19040 ) FS ;
- FILLER_3_609 sky130_fd_sc_hd__decap_6 + SOURCE DIST + PLACED ( 285660 19040 ) FS ;
- FILLER_3_615 sky130_fd_sc_hd__fill_1 + SOURCE DIST + PLACED ( 288420 19040 ) FS ;
- FILLER_3_617 sky130_fd_sc_hd__decap_8 + SOURCE DIST + PLACED ( 289340 19040 ) FS ;
- FILLER_3_69 sky130_fd_sc_hd__fill_1 + SOURCE DIST + PLACED ( 37260 19040 ) FS ;
- FILLER_3_7 sky130_fd_sc_hd__decap_4 + SOURCE DIST + PLACED ( 8740 19040 ) FS ;
- FILLER_3_75 sky130_fd_sc_hd__decap_12 + SOURCE DIST + PLACED ( 40020 19040 ) FS ;
- FILLER_3_87 sky130_fd_sc_hd__decap_6 + SOURCE DIST + PLACED ( 45540 19040 ) FS ;
- FILLER_3_98 sky130_fd_sc_hd__decap_12 + SOURCE DIST + PLACED ( 50600 19040 ) FS ;
- FILLER_40_107 sky130_fd_sc_hd__decap_12 + SOURCE DIST + PLACED ( 54740 119680 ) N ;
- FILLER_40_119 sky130_fd_sc_hd__decap_12 + SOURCE DIST + PLACED ( 60260 119680 ) N ;
- FILLER_40_131 sky130_fd_sc_hd__decap_8 + SOURCE DIST + PLACED ( 65780 119680 ) N ;
- FILLER_40_139 sky130_fd_sc_hd__fill_1 + SOURCE DIST + PLACED ( 69460 119680 ) N ;
- FILLER_40_141 sky130_fd_sc_hd__decap_12 + SOURCE DIST + PLACED ( 70380 119680 ) N ;
- FILLER_40_153 sky130_fd_sc_hd__decap_12 + SOURCE DIST + PLACED ( 75900 119680 ) N ;
- FILLER_40_165 sky130_fd_sc_hd__decap_12 + SOURCE DIST + PLACED ( 81420 119680 ) N ;
- FILLER_40_177 sky130_fd_sc_hd__decap_12 + SOURCE DIST + PLACED ( 86940 119680 ) N ;
- FILLER_40_189 sky130_fd_sc_hd__decap_6 + SOURCE DIST + PLACED ( 92460 119680 ) N ;
- FILLER_40_195 sky130_fd_sc_hd__fill_1 + SOURCE DIST + PLACED ( 95220 119680 ) N ;
- FILLER_40_197 sky130_fd_sc_hd__decap_12 + SOURCE DIST + PLACED ( 96140 119680 ) N ;
- FILLER_40_209 sky130_fd_sc_hd__decap_12 + SOURCE DIST + PLACED ( 101660 119680 ) N ;
- FILLER_40_221 sky130_fd_sc_hd__decap_12 + SOURCE DIST + PLACED ( 107180 119680 ) N ;
- FILLER_40_233 sky130_fd_sc_hd__decap_12 + SOURCE DIST + PLACED ( 112700 119680 ) N ;
- FILLER_40_24 sky130_fd_sc_hd__decap_4 + SOURCE DIST + PLACED ( 16560 119680 ) N ;
- FILLER_40_245 sky130_fd_sc_hd__decap_6 + SOURCE DIST + PLACED ( 118220 119680 ) N ;
- FILLER_40_251 sky130_fd_sc_hd__fill_1 + SOURCE DIST + PLACED ( 120980 119680 ) N ;
- FILLER_40_253 sky130_fd_sc_hd__decap_12 + SOURCE DIST + PLACED ( 121900 119680 ) N ;
- FILLER_40_265 sky130_fd_sc_hd__decap_12 + SOURCE DIST + PLACED ( 127420 119680 ) N ;
- FILLER_40_277 sky130_fd_sc_hd__decap_12 + SOURCE DIST + PLACED ( 132940 119680 ) N ;
- FILLER_40_289 sky130_fd_sc_hd__decap_12 + SOURCE DIST + PLACED ( 138460 119680 ) N ;
- FILLER_40_301 sky130_fd_sc_hd__decap_6 + SOURCE DIST + PLACED ( 143980 119680 ) N ;
- FILLER_40_307 sky130_fd_sc_hd__fill_1 + SOURCE DIST + PLACED ( 146740 119680 ) N ;
- FILLER_40_309 sky130_fd_sc_hd__decap_12 + SOURCE DIST + PLACED ( 147660 119680 ) N ;
- FILLER_40_321 sky130_fd_sc_hd__decap_12 + SOURCE DIST + PLACED ( 153180 119680 ) N ;
- FILLER_40_333 sky130_fd_sc_hd__decap_12 + SOURCE DIST + PLACED ( 158700 119680 ) N ;
- FILLER_40_345 sky130_fd_sc_hd__decap_12 + SOURCE DIST + PLACED ( 164220 119680 ) N ;
- FILLER_40_357 sky130_fd_sc_hd__decap_6 + SOURCE DIST + PLACED ( 169740 119680 ) N ;
- FILLER_40_363 sky130_fd_sc_hd__fill_1 + SOURCE DIST + PLACED ( 172500 119680 ) N ;
- FILLER_40_365 sky130_fd_sc_hd__decap_12 + SOURCE DIST + PLACED ( 173420 119680 ) N ;
- FILLER_40_377 sky130_fd_sc_hd__decap_12 + SOURCE DIST + PLACED ( 178940 119680 ) N ;
- FILLER_40_389 sky130_fd_sc_hd__decap_12 + SOURCE DIST + PLACED ( 184460 119680 ) N ;
- FILLER_40_401 sky130_fd_sc_hd__decap_12 + SOURCE DIST + PLACED ( 189980 119680 ) N ;
- FILLER_40_413 sky130_fd_sc_hd__decap_6 + SOURCE DIST + PLACED ( 195500 119680 ) N ;
- FILLER_40_419 sky130_fd_sc_hd__fill_1 + SOURCE DIST + PLACED ( 198260 119680 ) N ;
- FILLER_40_421 sky130_fd_sc_hd__decap_12 + SOURCE DIST + PLACED ( 199180 119680 ) N ;
- FILLER_40_433 sky130_fd_sc_hd__decap_12 + SOURCE DIST + PLACED ( 204700 119680 ) N ;
- FILLER_40_445 sky130_fd_sc_hd__decap_12 + SOURCE DIST + PLACED ( 210220 119680 ) N ;
- FILLER_40_45 sky130_fd_sc_hd__decap_12 + SOURCE DIST + PLACED ( 26220 119680 ) N ;
- FILLER_40_457 sky130_fd_sc_hd__decap_12 + SOURCE DIST + PLACED ( 215740 119680 ) N ;
- FILLER_40_469 sky130_fd_sc_hd__decap_6 + SOURCE DIST + PLACED ( 221260 119680 ) N ;
- FILLER_40_475 sky130_fd_sc_hd__fill_1 + SOURCE DIST + PLACED ( 224020 119680 ) N ;
- FILLER_40_477 sky130_fd_sc_hd__decap_12 + SOURCE DIST + PLACED ( 224940 119680 ) N ;
- FILLER_40_489 sky130_fd_sc_hd__decap_12 + SOURCE DIST + PLACED ( 230460 119680 ) N ;
- FILLER_40_501 sky130_fd_sc_hd__decap_12 + SOURCE DIST + PLACED ( 235980 119680 ) N ;
- FILLER_40_513 sky130_fd_sc_hd__decap_12 + SOURCE DIST + PLACED ( 241500 119680 ) N ;
- FILLER_40_525 sky130_fd_sc_hd__decap_6 + SOURCE DIST + PLACED ( 247020 119680 ) N ;
- FILLER_40_531 sky130_fd_sc_hd__fill_1 + SOURCE DIST + PLACED ( 249780 119680 ) N ;
- FILLER_40_533 sky130_fd_sc_hd__decap_12 + SOURCE DIST + PLACED ( 250700 119680 ) N ;
- FILLER_40_545 sky130_fd_sc_hd__decap_12 + SOURCE DIST + PLACED ( 256220 119680 ) N ;
- FILLER_40_557 sky130_fd_sc_hd__decap_12 + SOURCE DIST + PLACED ( 261740 119680 ) N ;
- FILLER_40_569 sky130_fd_sc_hd__decap_12 + SOURCE DIST + PLACED ( 267260 119680 ) N ;
- FILLER_40_57 sky130_fd_sc_hd__decap_12 + SOURCE DIST + PLACED ( 31740 119680 ) N ;
- FILLER_40_581 sky130_fd_sc_hd__decap_6 + SOURCE DIST + PLACED ( 272780 119680 ) N ;
- FILLER_40_587 sky130_fd_sc_hd__fill_1 + SOURCE DIST + PLACED ( 275540 119680 ) N ;
- FILLER_40_589 sky130_fd_sc_hd__decap_12 + SOURCE DIST + PLACED ( 276460 119680 ) N ;
- FILLER_40_601 sky130_fd_sc_hd__decap_12 + SOURCE DIST + PLACED ( 281980 119680 ) N ;
- FILLER_40_613 sky130_fd_sc_hd__decap_12 + SOURCE DIST + PLACED ( 287500 119680 ) N ;
- FILLER_40_69 sky130_fd_sc_hd__decap_12 + SOURCE DIST + PLACED ( 37260 119680 ) N ;
- FILLER_40_7 sky130_fd_sc_hd__decap_8 + SOURCE DIST + PLACED ( 8740 119680 ) N ;
- FILLER_40_81 sky130_fd_sc_hd__decap_3 + SOURCE DIST + PLACED ( 42780 119680 ) N ;
- FILLER_40_85 sky130_fd_sc_hd__decap_6 + SOURCE DIST + PLACED ( 44620 119680 ) N ;
- FILLER_40_91 sky130_fd_sc_hd__fill_1 + SOURCE DIST + PLACED ( 47380 119680 ) N ;
- FILLER_40_95 sky130_fd_sc_hd__decap_12 + SOURCE DIST + PLACED ( 49220 119680 ) N ;
- FILLER_41_105 sky130_fd_sc_hd__decap_6 + SOURCE DIST + PLACED ( 53820 122400 ) FS ;
- FILLER_41_111 sky130_fd_sc_hd__fill_1 + SOURCE DIST + PLACED ( 56580 122400 ) FS ;
- FILLER_41_113 sky130_fd_sc_hd__decap_12 + SOURCE DIST + PLACED ( 57500 122400 ) FS ;
- FILLER_41_125 sky130_fd_sc_hd__decap_12 + SOURCE DIST + PLACED ( 63020 122400 ) FS ;
- FILLER_41_137 sky130_fd_sc_hd__decap_12 + SOURCE DIST + PLACED ( 68540 122400 ) FS ;
- FILLER_41_149 sky130_fd_sc_hd__decap_12 + SOURCE DIST + PLACED ( 74060 122400 ) FS ;
- FILLER_41_15 sky130_fd_sc_hd__decap_12 + SOURCE DIST + PLACED ( 12420 122400 ) FS ;
- FILLER_41_161 sky130_fd_sc_hd__decap_6 + SOURCE DIST + PLACED ( 79580 122400 ) FS ;
- FILLER_41_167 sky130_fd_sc_hd__fill_1 + SOURCE DIST + PLACED ( 82340 122400 ) FS ;
- FILLER_41_169 sky130_fd_sc_hd__decap_12 + SOURCE DIST + PLACED ( 83260 122400 ) FS ;
- FILLER_41_181 sky130_fd_sc_hd__decap_12 + SOURCE DIST + PLACED ( 88780 122400 ) FS ;
- FILLER_41_193 sky130_fd_sc_hd__decap_12 + SOURCE DIST + PLACED ( 94300 122400 ) FS ;
- FILLER_41_205 sky130_fd_sc_hd__decap_12 + SOURCE DIST + PLACED ( 99820 122400 ) FS ;
- FILLER_41_217 sky130_fd_sc_hd__decap_6 + SOURCE DIST + PLACED ( 105340 122400 ) FS ;
- FILLER_41_223 sky130_fd_sc_hd__fill_1 + SOURCE DIST + PLACED ( 108100 122400 ) FS ;
- FILLER_41_225 sky130_fd_sc_hd__decap_12 + SOURCE DIST + PLACED ( 109020 122400 ) FS ;
- FILLER_41_237 sky130_fd_sc_hd__decap_12 + SOURCE DIST + PLACED ( 114540 122400 ) FS ;
- FILLER_41_249 sky130_fd_sc_hd__decap_12 + SOURCE DIST + PLACED ( 120060 122400 ) FS ;
- FILLER_41_261 sky130_fd_sc_hd__decap_12 + SOURCE DIST + PLACED ( 125580 122400 ) FS ;
- FILLER_41_27 sky130_fd_sc_hd__decap_6 + SOURCE DIST + PLACED ( 17940 122400 ) FS ;
- FILLER_41_273 sky130_fd_sc_hd__decap_6 + SOURCE DIST + PLACED ( 131100 122400 ) FS ;
- FILLER_41_279 sky130_fd_sc_hd__fill_1 + SOURCE DIST + PLACED ( 133860 122400 ) FS ;
- FILLER_41_281 sky130_fd_sc_hd__decap_12 + SOURCE DIST + PLACED ( 134780 122400 ) FS ;
- FILLER_41_293 sky130_fd_sc_hd__decap_12 + SOURCE DIST + PLACED ( 140300 122400 ) FS ;
- FILLER_41_3 sky130_fd_sc_hd__decap_12 + SOURCE DIST + PLACED ( 6900 122400 ) FS ;
- FILLER_41_305 sky130_fd_sc_hd__decap_12 + SOURCE DIST + PLACED ( 145820 122400 ) FS ;
- FILLER_41_317 sky130_fd_sc_hd__decap_12 + SOURCE DIST + PLACED ( 151340 122400 ) FS ;
- FILLER_41_329 sky130_fd_sc_hd__decap_6 + SOURCE DIST + PLACED ( 156860 122400 ) FS ;
- FILLER_41_335 sky130_fd_sc_hd__fill_1 + SOURCE DIST + PLACED ( 159620 122400 ) FS ;
- FILLER_41_337 sky130_fd_sc_hd__decap_12 + SOURCE DIST + PLACED ( 160540 122400 ) FS ;
- FILLER_41_349 sky130_fd_sc_hd__decap_12 + SOURCE DIST + PLACED ( 166060 122400 ) FS ;
- FILLER_41_361 sky130_fd_sc_hd__decap_12 + SOURCE DIST + PLACED ( 171580 122400 ) FS ;
- FILLER_41_373 sky130_fd_sc_hd__decap_12 + SOURCE DIST + PLACED ( 177100 122400 ) FS ;
- FILLER_41_38 sky130_fd_sc_hd__decap_4 + SOURCE DIST + PLACED ( 23000 122400 ) FS ;
- FILLER_41_385 sky130_fd_sc_hd__decap_6 + SOURCE DIST + PLACED ( 182620 122400 ) FS ;
- FILLER_41_391 sky130_fd_sc_hd__fill_1 + SOURCE DIST + PLACED ( 185380 122400 ) FS ;
- FILLER_41_393 sky130_fd_sc_hd__decap_12 + SOURCE DIST + PLACED ( 186300 122400 ) FS ;
- FILLER_41_405 sky130_fd_sc_hd__decap_12 + SOURCE DIST + PLACED ( 191820 122400 ) FS ;
- FILLER_41_417 sky130_fd_sc_hd__decap_12 + SOURCE DIST + PLACED ( 197340 122400 ) FS ;
- FILLER_41_429 sky130_fd_sc_hd__decap_12 + SOURCE DIST + PLACED ( 202860 122400 ) FS ;
- FILLER_41_441 sky130_fd_sc_hd__decap_6 + SOURCE DIST + PLACED ( 208380 122400 ) FS ;
- FILLER_41_447 sky130_fd_sc_hd__fill_1 + SOURCE DIST + PLACED ( 211140 122400 ) FS ;
- FILLER_41_449 sky130_fd_sc_hd__decap_12 + SOURCE DIST + PLACED ( 212060 122400 ) FS ;
- FILLER_41_46 sky130_fd_sc_hd__decap_8 + SOURCE DIST + PLACED ( 26680 122400 ) FS ;
- FILLER_41_461 sky130_fd_sc_hd__decap_12 + SOURCE DIST + PLACED ( 217580 122400 ) FS ;
- FILLER_41_473 sky130_fd_sc_hd__decap_12 + SOURCE DIST + PLACED ( 223100 122400 ) FS ;
- FILLER_41_485 sky130_fd_sc_hd__decap_12 + SOURCE DIST + PLACED ( 228620 122400 ) FS ;
- FILLER_41_497 sky130_fd_sc_hd__decap_6 + SOURCE DIST + PLACED ( 234140 122400 ) FS ;
- FILLER_41_503 sky130_fd_sc_hd__fill_1 + SOURCE DIST + PLACED ( 236900 122400 ) FS ;
- FILLER_41_505 sky130_fd_sc_hd__decap_12 + SOURCE DIST + PLACED ( 237820 122400 ) FS ;
- FILLER_41_517 sky130_fd_sc_hd__decap_12 + SOURCE DIST + PLACED ( 243340 122400 ) FS ;
- FILLER_41_529 sky130_fd_sc_hd__decap_12 + SOURCE DIST + PLACED ( 248860 122400 ) FS ;
- FILLER_41_54 sky130_fd_sc_hd__fill_2 + SOURCE DIST + PLACED ( 30360 122400 ) FS ;
- FILLER_41_541 sky130_fd_sc_hd__decap_12 + SOURCE DIST + PLACED ( 254380 122400 ) FS ;
- FILLER_41_553 sky130_fd_sc_hd__decap_6 + SOURCE DIST + PLACED ( 259900 122400 ) FS ;
- FILLER_41_559 sky130_fd_sc_hd__fill_1 + SOURCE DIST + PLACED ( 262660 122400 ) FS ;
- FILLER_41_561 sky130_fd_sc_hd__decap_12 + SOURCE DIST + PLACED ( 263580 122400 ) FS ;
- FILLER_41_57 sky130_fd_sc_hd__decap_12 + SOURCE DIST + PLACED ( 31740 122400 ) FS ;
- FILLER_41_573 sky130_fd_sc_hd__decap_12 + SOURCE DIST + PLACED ( 269100 122400 ) FS ;
- FILLER_41_585 sky130_fd_sc_hd__decap_12 + SOURCE DIST + PLACED ( 274620 122400 ) FS ;
- FILLER_41_597 sky130_fd_sc_hd__decap_12 + SOURCE DIST + PLACED ( 280140 122400 ) FS ;
- FILLER_41_609 sky130_fd_sc_hd__decap_6 + SOURCE DIST + PLACED ( 285660 122400 ) FS ;
- FILLER_41_615 sky130_fd_sc_hd__fill_1 + SOURCE DIST + PLACED ( 288420 122400 ) FS ;
- FILLER_41_617 sky130_fd_sc_hd__decap_8 + SOURCE DIST + PLACED ( 289340 122400 ) FS ;
- FILLER_41_69 sky130_fd_sc_hd__decap_12 + SOURCE DIST + PLACED ( 37260 122400 ) FS ;
- FILLER_41_81 sky130_fd_sc_hd__decap_12 + SOURCE DIST + PLACED ( 42780 122400 ) FS ;
- FILLER_41_93 sky130_fd_sc_hd__decap_12 + SOURCE DIST + PLACED ( 48300 122400 ) FS ;
- FILLER_42_109 sky130_fd_sc_hd__decap_12 + SOURCE DIST + PLACED ( 55660 125120 ) N ;
- FILLER_42_121 sky130_fd_sc_hd__decap_12 + SOURCE DIST + PLACED ( 61180 125120 ) N ;
- FILLER_42_133 sky130_fd_sc_hd__decap_6 + SOURCE DIST + PLACED ( 66700 125120 ) N ;
- FILLER_42_139 sky130_fd_sc_hd__fill_1 + SOURCE DIST + PLACED ( 69460 125120 ) N ;
- FILLER_42_141 sky130_fd_sc_hd__decap_12 + SOURCE DIST + PLACED ( 70380 125120 ) N ;
- FILLER_42_153 sky130_fd_sc_hd__decap_12 + SOURCE DIST + PLACED ( 75900 125120 ) N ;
- FILLER_42_165 sky130_fd_sc_hd__decap_12 + SOURCE DIST + PLACED ( 81420 125120 ) N ;
- FILLER_42_177 sky130_fd_sc_hd__decap_12 + SOURCE DIST + PLACED ( 86940 125120 ) N ;
- FILLER_42_189 sky130_fd_sc_hd__decap_6 + SOURCE DIST + PLACED ( 92460 125120 ) N ;
- FILLER_42_195 sky130_fd_sc_hd__fill_1 + SOURCE DIST + PLACED ( 95220 125120 ) N ;
- FILLER_42_197 sky130_fd_sc_hd__decap_12 + SOURCE DIST + PLACED ( 96140 125120 ) N ;
- FILLER_42_209 sky130_fd_sc_hd__decap_12 + SOURCE DIST + PLACED ( 101660 125120 ) N ;
- FILLER_42_22 sky130_fd_sc_hd__decap_6 + SOURCE DIST + PLACED ( 15640 125120 ) N ;
- FILLER_42_221 sky130_fd_sc_hd__decap_12 + SOURCE DIST + PLACED ( 107180 125120 ) N ;
- FILLER_42_233 sky130_fd_sc_hd__decap_12 + SOURCE DIST + PLACED ( 112700 125120 ) N ;
- FILLER_42_245 sky130_fd_sc_hd__decap_6 + SOURCE DIST + PLACED ( 118220 125120 ) N ;
- FILLER_42_251 sky130_fd_sc_hd__fill_1 + SOURCE DIST + PLACED ( 120980 125120 ) N ;
- FILLER_42_253 sky130_fd_sc_hd__decap_12 + SOURCE DIST + PLACED ( 121900 125120 ) N ;
- FILLER_42_265 sky130_fd_sc_hd__decap_12 + SOURCE DIST + PLACED ( 127420 125120 ) N ;
- FILLER_42_277 sky130_fd_sc_hd__decap_12 + SOURCE DIST + PLACED ( 132940 125120 ) N ;
- FILLER_42_289 sky130_fd_sc_hd__decap_12 + SOURCE DIST + PLACED ( 138460 125120 ) N ;
- FILLER_42_301 sky130_fd_sc_hd__decap_6 + SOURCE DIST + PLACED ( 143980 125120 ) N ;
- FILLER_42_307 sky130_fd_sc_hd__fill_1 + SOURCE DIST + PLACED ( 146740 125120 ) N ;
- FILLER_42_309 sky130_fd_sc_hd__decap_12 + SOURCE DIST + PLACED ( 147660 125120 ) N ;
- FILLER_42_321 sky130_fd_sc_hd__decap_12 + SOURCE DIST + PLACED ( 153180 125120 ) N ;
- FILLER_42_333 sky130_fd_sc_hd__decap_12 + SOURCE DIST + PLACED ( 158700 125120 ) N ;
- FILLER_42_345 sky130_fd_sc_hd__decap_12 + SOURCE DIST + PLACED ( 164220 125120 ) N ;
- FILLER_42_35 sky130_fd_sc_hd__decap_12 + SOURCE DIST + PLACED ( 21620 125120 ) N ;
- FILLER_42_357 sky130_fd_sc_hd__decap_6 + SOURCE DIST + PLACED ( 169740 125120 ) N ;
- FILLER_42_363 sky130_fd_sc_hd__fill_1 + SOURCE DIST + PLACED ( 172500 125120 ) N ;
- FILLER_42_365 sky130_fd_sc_hd__decap_12 + SOURCE DIST + PLACED ( 173420 125120 ) N ;
- FILLER_42_377 sky130_fd_sc_hd__decap_12 + SOURCE DIST + PLACED ( 178940 125120 ) N ;
- FILLER_42_389 sky130_fd_sc_hd__decap_12 + SOURCE DIST + PLACED ( 184460 125120 ) N ;
- FILLER_42_401 sky130_fd_sc_hd__decap_12 + SOURCE DIST + PLACED ( 189980 125120 ) N ;
- FILLER_42_413 sky130_fd_sc_hd__decap_6 + SOURCE DIST + PLACED ( 195500 125120 ) N ;
- FILLER_42_419 sky130_fd_sc_hd__fill_1 + SOURCE DIST + PLACED ( 198260 125120 ) N ;
- FILLER_42_421 sky130_fd_sc_hd__decap_12 + SOURCE DIST + PLACED ( 199180 125120 ) N ;
- FILLER_42_433 sky130_fd_sc_hd__decap_12 + SOURCE DIST + PLACED ( 204700 125120 ) N ;
- FILLER_42_445 sky130_fd_sc_hd__decap_12 + SOURCE DIST + PLACED ( 210220 125120 ) N ;
- FILLER_42_457 sky130_fd_sc_hd__decap_12 + SOURCE DIST + PLACED ( 215740 125120 ) N ;
- FILLER_42_469 sky130_fd_sc_hd__decap_6 + SOURCE DIST + PLACED ( 221260 125120 ) N ;
- FILLER_42_47 sky130_fd_sc_hd__decap_12 + SOURCE DIST + PLACED ( 27140 125120 ) N ;
- FILLER_42_475 sky130_fd_sc_hd__fill_1 + SOURCE DIST + PLACED ( 224020 125120 ) N ;
- FILLER_42_477 sky130_fd_sc_hd__decap_12 + SOURCE DIST + PLACED ( 224940 125120 ) N ;
- FILLER_42_489 sky130_fd_sc_hd__decap_12 + SOURCE DIST + PLACED ( 230460 125120 ) N ;
- FILLER_42_501 sky130_fd_sc_hd__decap_12 + SOURCE DIST + PLACED ( 235980 125120 ) N ;
- FILLER_42_513 sky130_fd_sc_hd__decap_12 + SOURCE DIST + PLACED ( 241500 125120 ) N ;
- FILLER_42_525 sky130_fd_sc_hd__decap_6 + SOURCE DIST + PLACED ( 247020 125120 ) N ;
- FILLER_42_531 sky130_fd_sc_hd__fill_1 + SOURCE DIST + PLACED ( 249780 125120 ) N ;
- FILLER_42_533 sky130_fd_sc_hd__decap_12 + SOURCE DIST + PLACED ( 250700 125120 ) N ;
- FILLER_42_545 sky130_fd_sc_hd__decap_12 + SOURCE DIST + PLACED ( 256220 125120 ) N ;
- FILLER_42_557 sky130_fd_sc_hd__decap_12 + SOURCE DIST + PLACED ( 261740 125120 ) N ;
- FILLER_42_569 sky130_fd_sc_hd__decap_12 + SOURCE DIST + PLACED ( 267260 125120 ) N ;
- FILLER_42_581 sky130_fd_sc_hd__decap_6 + SOURCE DIST + PLACED ( 272780 125120 ) N ;
- FILLER_42_587 sky130_fd_sc_hd__fill_1 + SOURCE DIST + PLACED ( 275540 125120 ) N ;
- FILLER_42_589 sky130_fd_sc_hd__decap_12 + SOURCE DIST + PLACED ( 276460 125120 ) N ;
- FILLER_42_59 sky130_fd_sc_hd__decap_12 + SOURCE DIST + PLACED ( 32660 125120 ) N ;
- FILLER_42_601 sky130_fd_sc_hd__decap_12 + SOURCE DIST + PLACED ( 281980 125120 ) N ;
- FILLER_42_613 sky130_fd_sc_hd__decap_12 + SOURCE DIST + PLACED ( 287500 125120 ) N ;
- FILLER_42_7 sky130_fd_sc_hd__decap_6 + SOURCE DIST + PLACED ( 8740 125120 ) N ;
- FILLER_42_71 sky130_fd_sc_hd__decap_12 + SOURCE DIST + PLACED ( 38180 125120 ) N ;
- FILLER_42_83 sky130_fd_sc_hd__fill_1 + SOURCE DIST + PLACED ( 43700 125120 ) N ;
- FILLER_42_85 sky130_fd_sc_hd__decap_8 + SOURCE DIST + PLACED ( 44620 125120 ) N ;
- FILLER_42_93 sky130_fd_sc_hd__fill_1 + SOURCE DIST + PLACED ( 48300 125120 ) N ;
- FILLER_42_97 sky130_fd_sc_hd__decap_12 + SOURCE DIST + PLACED ( 50140 125120 ) N ;
- FILLER_43_105 sky130_fd_sc_hd__decap_6 + SOURCE DIST + PLACED ( 53820 127840 ) FS ;
- FILLER_43_111 sky130_fd_sc_hd__fill_1 + SOURCE DIST + PLACED ( 56580 127840 ) FS ;
- FILLER_43_113 sky130_fd_sc_hd__decap_12 + SOURCE DIST + PLACED ( 57500 127840 ) FS ;
- FILLER_43_125 sky130_fd_sc_hd__decap_12 + SOURCE DIST + PLACED ( 63020 127840 ) FS ;
- FILLER_43_137 sky130_fd_sc_hd__decap_12 + SOURCE DIST + PLACED ( 68540 127840 ) FS ;
- FILLER_43_149 sky130_fd_sc_hd__decap_12 + SOURCE DIST + PLACED ( 74060 127840 ) FS ;
- FILLER_43_161 sky130_fd_sc_hd__decap_6 + SOURCE DIST + PLACED ( 79580 127840 ) FS ;
- FILLER_43_167 sky130_fd_sc_hd__fill_1 + SOURCE DIST + PLACED ( 82340 127840 ) FS ;
- FILLER_43_169 sky130_fd_sc_hd__decap_12 + SOURCE DIST + PLACED ( 83260 127840 ) FS ;
- FILLER_43_181 sky130_fd_sc_hd__decap_12 + SOURCE DIST + PLACED ( 88780 127840 ) FS ;
- FILLER_43_193 sky130_fd_sc_hd__decap_12 + SOURCE DIST + PLACED ( 94300 127840 ) FS ;
- FILLER_43_205 sky130_fd_sc_hd__decap_12 + SOURCE DIST + PLACED ( 99820 127840 ) FS ;
- FILLER_43_217 sky130_fd_sc_hd__decap_6 + SOURCE DIST + PLACED ( 105340 127840 ) FS ;
- FILLER_43_223 sky130_fd_sc_hd__fill_1 + SOURCE DIST + PLACED ( 108100 127840 ) FS ;
- FILLER_43_225 sky130_fd_sc_hd__decap_12 + SOURCE DIST + PLACED ( 109020 127840 ) FS ;
- FILLER_43_237 sky130_fd_sc_hd__decap_12 + SOURCE DIST + PLACED ( 114540 127840 ) FS ;
- FILLER_43_249 sky130_fd_sc_hd__decap_12 + SOURCE DIST + PLACED ( 120060 127840 ) FS ;
- FILLER_43_26 sky130_fd_sc_hd__decap_6 + SOURCE DIST + PLACED ( 17480 127840 ) FS ;
- FILLER_43_261 sky130_fd_sc_hd__decap_12 + SOURCE DIST + PLACED ( 125580 127840 ) FS ;
- FILLER_43_273 sky130_fd_sc_hd__decap_6 + SOURCE DIST + PLACED ( 131100 127840 ) FS ;
- FILLER_43_279 sky130_fd_sc_hd__fill_1 + SOURCE DIST + PLACED ( 133860 127840 ) FS ;
- FILLER_43_281 sky130_fd_sc_hd__decap_12 + SOURCE DIST + PLACED ( 134780 127840 ) FS ;
- FILLER_43_293 sky130_fd_sc_hd__decap_12 + SOURCE DIST + PLACED ( 140300 127840 ) FS ;
- FILLER_43_305 sky130_fd_sc_hd__decap_12 + SOURCE DIST + PLACED ( 145820 127840 ) FS ;
- FILLER_43_317 sky130_fd_sc_hd__decap_12 + SOURCE DIST + PLACED ( 151340 127840 ) FS ;
- FILLER_43_329 sky130_fd_sc_hd__decap_6 + SOURCE DIST + PLACED ( 156860 127840 ) FS ;
- FILLER_43_335 sky130_fd_sc_hd__fill_1 + SOURCE DIST + PLACED ( 159620 127840 ) FS ;
- FILLER_43_337 sky130_fd_sc_hd__decap_12 + SOURCE DIST + PLACED ( 160540 127840 ) FS ;
- FILLER_43_349 sky130_fd_sc_hd__decap_12 + SOURCE DIST + PLACED ( 166060 127840 ) FS ;
- FILLER_43_361 sky130_fd_sc_hd__decap_12 + SOURCE DIST + PLACED ( 171580 127840 ) FS ;
- FILLER_43_373 sky130_fd_sc_hd__decap_12 + SOURCE DIST + PLACED ( 177100 127840 ) FS ;
- FILLER_43_385 sky130_fd_sc_hd__decap_6 + SOURCE DIST + PLACED ( 182620 127840 ) FS ;
- FILLER_43_391 sky130_fd_sc_hd__fill_1 + SOURCE DIST + PLACED ( 185380 127840 ) FS ;
- FILLER_43_393 sky130_fd_sc_hd__decap_12 + SOURCE DIST + PLACED ( 186300 127840 ) FS ;
- FILLER_43_405 sky130_fd_sc_hd__decap_12 + SOURCE DIST + PLACED ( 191820 127840 ) FS ;
- FILLER_43_41 sky130_fd_sc_hd__decap_12 + SOURCE DIST + PLACED ( 24380 127840 ) FS ;
- FILLER_43_417 sky130_fd_sc_hd__decap_12 + SOURCE DIST + PLACED ( 197340 127840 ) FS ;
- FILLER_43_429 sky130_fd_sc_hd__decap_12 + SOURCE DIST + PLACED ( 202860 127840 ) FS ;
- FILLER_43_441 sky130_fd_sc_hd__decap_6 + SOURCE DIST + PLACED ( 208380 127840 ) FS ;
- FILLER_43_447 sky130_fd_sc_hd__fill_1 + SOURCE DIST + PLACED ( 211140 127840 ) FS ;
- FILLER_43_449 sky130_fd_sc_hd__decap_12 + SOURCE DIST + PLACED ( 212060 127840 ) FS ;
- FILLER_43_461 sky130_fd_sc_hd__decap_12 + SOURCE DIST + PLACED ( 217580 127840 ) FS ;
- FILLER_43_473 sky130_fd_sc_hd__decap_12 + SOURCE DIST + PLACED ( 223100 127840 ) FS ;
- FILLER_43_485 sky130_fd_sc_hd__decap_12 + SOURCE DIST + PLACED ( 228620 127840 ) FS ;
- FILLER_43_497 sky130_fd_sc_hd__decap_6 + SOURCE DIST + PLACED ( 234140 127840 ) FS ;
- FILLER_43_503 sky130_fd_sc_hd__fill_1 + SOURCE DIST + PLACED ( 236900 127840 ) FS ;
- FILLER_43_505 sky130_fd_sc_hd__decap_12 + SOURCE DIST + PLACED ( 237820 127840 ) FS ;
- FILLER_43_517 sky130_fd_sc_hd__decap_12 + SOURCE DIST + PLACED ( 243340 127840 ) FS ;
- FILLER_43_529 sky130_fd_sc_hd__decap_12 + SOURCE DIST + PLACED ( 248860 127840 ) FS ;
- FILLER_43_53 sky130_fd_sc_hd__decap_3 + SOURCE DIST + PLACED ( 29900 127840 ) FS ;
- FILLER_43_541 sky130_fd_sc_hd__decap_12 + SOURCE DIST + PLACED ( 254380 127840 ) FS ;
- FILLER_43_553 sky130_fd_sc_hd__decap_6 + SOURCE DIST + PLACED ( 259900 127840 ) FS ;
- FILLER_43_559 sky130_fd_sc_hd__fill_1 + SOURCE DIST + PLACED ( 262660 127840 ) FS ;
- FILLER_43_561 sky130_fd_sc_hd__decap_12 + SOURCE DIST + PLACED ( 263580 127840 ) FS ;
- FILLER_43_57 sky130_fd_sc_hd__decap_12 + SOURCE DIST + PLACED ( 31740 127840 ) FS ;
- FILLER_43_573 sky130_fd_sc_hd__decap_12 + SOURCE DIST + PLACED ( 269100 127840 ) FS ;
- FILLER_43_585 sky130_fd_sc_hd__decap_12 + SOURCE DIST + PLACED ( 274620 127840 ) FS ;
- FILLER_43_597 sky130_fd_sc_hd__decap_12 + SOURCE DIST + PLACED ( 280140 127840 ) FS ;
- FILLER_43_6 sky130_fd_sc_hd__decap_4 + SOURCE DIST + PLACED ( 8280 127840 ) FS ;
- FILLER_43_609 sky130_fd_sc_hd__decap_6 + SOURCE DIST + PLACED ( 285660 127840 ) FS ;
- FILLER_43_615 sky130_fd_sc_hd__fill_1 + SOURCE DIST + PLACED ( 288420 127840 ) FS ;
- FILLER_43_617 sky130_fd_sc_hd__decap_8 + SOURCE DIST + PLACED ( 289340 127840 ) FS ;
- FILLER_43_69 sky130_fd_sc_hd__decap_12 + SOURCE DIST + PLACED ( 37260 127840 ) FS ;
- FILLER_43_81 sky130_fd_sc_hd__decap_12 + SOURCE DIST + PLACED ( 42780 127840 ) FS ;
- FILLER_43_93 sky130_fd_sc_hd__decap_12 + SOURCE DIST + PLACED ( 48300 127840 ) FS ;
- FILLER_44_109 sky130_fd_sc_hd__decap_12 + SOURCE DIST + PLACED ( 55660 130560 ) N ;
- FILLER_44_11 sky130_fd_sc_hd__fill_1 + SOURCE DIST + PLACED ( 10580 130560 ) N ;
- FILLER_44_121 sky130_fd_sc_hd__decap_12 + SOURCE DIST + PLACED ( 61180 130560 ) N ;
- FILLER_44_133 sky130_fd_sc_hd__decap_6 + SOURCE DIST + PLACED ( 66700 130560 ) N ;
- FILLER_44_139 sky130_fd_sc_hd__fill_1 + SOURCE DIST + PLACED ( 69460 130560 ) N ;
- FILLER_44_141 sky130_fd_sc_hd__decap_12 + SOURCE DIST + PLACED ( 70380 130560 ) N ;
- FILLER_44_15 sky130_fd_sc_hd__decap_12 + SOURCE DIST + PLACED ( 12420 130560 ) N ;
- FILLER_44_153 sky130_fd_sc_hd__decap_12 + SOURCE DIST + PLACED ( 75900 130560 ) N ;
- FILLER_44_165 sky130_fd_sc_hd__decap_12 + SOURCE DIST + PLACED ( 81420 130560 ) N ;
- FILLER_44_177 sky130_fd_sc_hd__decap_12 + SOURCE DIST + PLACED ( 86940 130560 ) N ;
- FILLER_44_189 sky130_fd_sc_hd__decap_6 + SOURCE DIST + PLACED ( 92460 130560 ) N ;
- FILLER_44_195 sky130_fd_sc_hd__fill_1 + SOURCE DIST + PLACED ( 95220 130560 ) N ;
- FILLER_44_197 sky130_fd_sc_hd__decap_12 + SOURCE DIST + PLACED ( 96140 130560 ) N ;
- FILLER_44_209 sky130_fd_sc_hd__decap_12 + SOURCE DIST + PLACED ( 101660 130560 ) N ;
- FILLER_44_221 sky130_fd_sc_hd__decap_12 + SOURCE DIST + PLACED ( 107180 130560 ) N ;
- FILLER_44_233 sky130_fd_sc_hd__decap_12 + SOURCE DIST + PLACED ( 112700 130560 ) N ;
- FILLER_44_245 sky130_fd_sc_hd__decap_6 + SOURCE DIST + PLACED ( 118220 130560 ) N ;
- FILLER_44_251 sky130_fd_sc_hd__fill_1 + SOURCE DIST + PLACED ( 120980 130560 ) N ;
- FILLER_44_253 sky130_fd_sc_hd__decap_12 + SOURCE DIST + PLACED ( 121900 130560 ) N ;
- FILLER_44_265 sky130_fd_sc_hd__decap_12 + SOURCE DIST + PLACED ( 127420 130560 ) N ;
- FILLER_44_27 sky130_fd_sc_hd__fill_1 + SOURCE DIST + PLACED ( 17940 130560 ) N ;
- FILLER_44_277 sky130_fd_sc_hd__decap_12 + SOURCE DIST + PLACED ( 132940 130560 ) N ;
- FILLER_44_289 sky130_fd_sc_hd__decap_12 + SOURCE DIST + PLACED ( 138460 130560 ) N ;
- FILLER_44_29 sky130_fd_sc_hd__decap_8 + SOURCE DIST + PLACED ( 18860 130560 ) N ;
- FILLER_44_301 sky130_fd_sc_hd__decap_6 + SOURCE DIST + PLACED ( 143980 130560 ) N ;
- FILLER_44_307 sky130_fd_sc_hd__fill_1 + SOURCE DIST + PLACED ( 146740 130560 ) N ;
- FILLER_44_309 sky130_fd_sc_hd__decap_12 + SOURCE DIST + PLACED ( 147660 130560 ) N ;
- FILLER_44_321 sky130_fd_sc_hd__decap_12 + SOURCE DIST + PLACED ( 153180 130560 ) N ;
- FILLER_44_333 sky130_fd_sc_hd__decap_12 + SOURCE DIST + PLACED ( 158700 130560 ) N ;
- FILLER_44_345 sky130_fd_sc_hd__decap_12 + SOURCE DIST + PLACED ( 164220 130560 ) N ;
- FILLER_44_357 sky130_fd_sc_hd__decap_6 + SOURCE DIST + PLACED ( 169740 130560 ) N ;
- FILLER_44_363 sky130_fd_sc_hd__fill_1 + SOURCE DIST + PLACED ( 172500 130560 ) N ;
- FILLER_44_365 sky130_fd_sc_hd__decap_12 + SOURCE DIST + PLACED ( 173420 130560 ) N ;
- FILLER_44_37 sky130_fd_sc_hd__fill_1 + SOURCE DIST + PLACED ( 22540 130560 ) N ;
- FILLER_44_377 sky130_fd_sc_hd__decap_12 + SOURCE DIST + PLACED ( 178940 130560 ) N ;
- FILLER_44_389 sky130_fd_sc_hd__decap_12 + SOURCE DIST + PLACED ( 184460 130560 ) N ;
- FILLER_44_401 sky130_fd_sc_hd__decap_12 + SOURCE DIST + PLACED ( 189980 130560 ) N ;
- FILLER_44_413 sky130_fd_sc_hd__decap_6 + SOURCE DIST + PLACED ( 195500 130560 ) N ;
- FILLER_44_419 sky130_fd_sc_hd__fill_1 + SOURCE DIST + PLACED ( 198260 130560 ) N ;
- FILLER_44_421 sky130_fd_sc_hd__decap_12 + SOURCE DIST + PLACED ( 199180 130560 ) N ;
- FILLER_44_433 sky130_fd_sc_hd__decap_12 + SOURCE DIST + PLACED ( 204700 130560 ) N ;
- FILLER_44_445 sky130_fd_sc_hd__decap_12 + SOURCE DIST + PLACED ( 210220 130560 ) N ;
- FILLER_44_457 sky130_fd_sc_hd__decap_12 + SOURCE DIST + PLACED ( 215740 130560 ) N ;
- FILLER_44_469 sky130_fd_sc_hd__decap_6 + SOURCE DIST + PLACED ( 221260 130560 ) N ;
- FILLER_44_475 sky130_fd_sc_hd__fill_1 + SOURCE DIST + PLACED ( 224020 130560 ) N ;
- FILLER_44_477 sky130_fd_sc_hd__decap_12 + SOURCE DIST + PLACED ( 224940 130560 ) N ;
- FILLER_44_489 sky130_fd_sc_hd__decap_12 + SOURCE DIST + PLACED ( 230460 130560 ) N ;
- FILLER_44_501 sky130_fd_sc_hd__decap_12 + SOURCE DIST + PLACED ( 235980 130560 ) N ;
- FILLER_44_513 sky130_fd_sc_hd__decap_12 + SOURCE DIST + PLACED ( 241500 130560 ) N ;
- FILLER_44_525 sky130_fd_sc_hd__decap_6 + SOURCE DIST + PLACED ( 247020 130560 ) N ;
- FILLER_44_531 sky130_fd_sc_hd__fill_1 + SOURCE DIST + PLACED ( 249780 130560 ) N ;
- FILLER_44_533 sky130_fd_sc_hd__decap_12 + SOURCE DIST + PLACED ( 250700 130560 ) N ;
- FILLER_44_54 sky130_fd_sc_hd__decap_12 + SOURCE DIST + PLACED ( 30360 130560 ) N ;
- FILLER_44_545 sky130_fd_sc_hd__decap_12 + SOURCE DIST + PLACED ( 256220 130560 ) N ;
- FILLER_44_557 sky130_fd_sc_hd__decap_12 + SOURCE DIST + PLACED ( 261740 130560 ) N ;
- FILLER_44_569 sky130_fd_sc_hd__decap_12 + SOURCE DIST + PLACED ( 267260 130560 ) N ;
- FILLER_44_581 sky130_fd_sc_hd__decap_6 + SOURCE DIST + PLACED ( 272780 130560 ) N ;
- FILLER_44_587 sky130_fd_sc_hd__fill_1 + SOURCE DIST + PLACED ( 275540 130560 ) N ;
- FILLER_44_589 sky130_fd_sc_hd__decap_12 + SOURCE DIST + PLACED ( 276460 130560 ) N ;
- FILLER_44_601 sky130_fd_sc_hd__decap_12 + SOURCE DIST + PLACED ( 281980 130560 ) N ;
- FILLER_44_613 sky130_fd_sc_hd__decap_12 + SOURCE DIST + PLACED ( 287500 130560 ) N ;
- FILLER_44_66 sky130_fd_sc_hd__decap_12 + SOURCE DIST + PLACED ( 35880 130560 ) N ;
- FILLER_44_7 sky130_fd_sc_hd__decap_4 + SOURCE DIST + PLACED ( 8740 130560 ) N ;
- FILLER_44_78 sky130_fd_sc_hd__decap_6 + SOURCE DIST + PLACED ( 41400 130560 ) N ;
- FILLER_44_85 sky130_fd_sc_hd__decap_8 + SOURCE DIST + PLACED ( 44620 130560 ) N ;
- FILLER_44_93 sky130_fd_sc_hd__fill_1 + SOURCE DIST + PLACED ( 48300 130560 ) N ;
- FILLER_44_97 sky130_fd_sc_hd__decap_12 + SOURCE DIST + PLACED ( 50140 130560 ) N ;
- FILLER_45_105 sky130_fd_sc_hd__decap_6 + SOURCE DIST + PLACED ( 53820 133280 ) FS ;
- FILLER_45_111 sky130_fd_sc_hd__fill_1 + SOURCE DIST + PLACED ( 56580 133280 ) FS ;
- FILLER_45_113 sky130_fd_sc_hd__decap_12 + SOURCE DIST + PLACED ( 57500 133280 ) FS ;
- FILLER_45_125 sky130_fd_sc_hd__decap_12 + SOURCE DIST + PLACED ( 63020 133280 ) FS ;
- FILLER_45_137 sky130_fd_sc_hd__decap_12 + SOURCE DIST + PLACED ( 68540 133280 ) FS ;
- FILLER_45_149 sky130_fd_sc_hd__decap_12 + SOURCE DIST + PLACED ( 74060 133280 ) FS ;
- FILLER_45_161 sky130_fd_sc_hd__decap_6 + SOURCE DIST + PLACED ( 79580 133280 ) FS ;
- FILLER_45_167 sky130_fd_sc_hd__fill_1 + SOURCE DIST + PLACED ( 82340 133280 ) FS ;
- FILLER_45_169 sky130_fd_sc_hd__decap_12 + SOURCE DIST + PLACED ( 83260 133280 ) FS ;
- FILLER_45_181 sky130_fd_sc_hd__decap_12 + SOURCE DIST + PLACED ( 88780 133280 ) FS ;
- FILLER_45_193 sky130_fd_sc_hd__decap_12 + SOURCE DIST + PLACED ( 94300 133280 ) FS ;
- FILLER_45_205 sky130_fd_sc_hd__decap_12 + SOURCE DIST + PLACED ( 99820 133280 ) FS ;
- FILLER_45_217 sky130_fd_sc_hd__decap_6 + SOURCE DIST + PLACED ( 105340 133280 ) FS ;
- FILLER_45_22 sky130_fd_sc_hd__decap_12 + SOURCE DIST + PLACED ( 15640 133280 ) FS ;
- FILLER_45_223 sky130_fd_sc_hd__fill_1 + SOURCE DIST + PLACED ( 108100 133280 ) FS ;
- FILLER_45_225 sky130_fd_sc_hd__decap_12 + SOURCE DIST + PLACED ( 109020 133280 ) FS ;
- FILLER_45_237 sky130_fd_sc_hd__decap_12 + SOURCE DIST + PLACED ( 114540 133280 ) FS ;
- FILLER_45_249 sky130_fd_sc_hd__decap_12 + SOURCE DIST + PLACED ( 120060 133280 ) FS ;
- FILLER_45_261 sky130_fd_sc_hd__decap_12 + SOURCE DIST + PLACED ( 125580 133280 ) FS ;
- FILLER_45_273 sky130_fd_sc_hd__decap_6 + SOURCE DIST + PLACED ( 131100 133280 ) FS ;
- FILLER_45_279 sky130_fd_sc_hd__fill_1 + SOURCE DIST + PLACED ( 133860 133280 ) FS ;
- FILLER_45_281 sky130_fd_sc_hd__decap_12 + SOURCE DIST + PLACED ( 134780 133280 ) FS ;
- FILLER_45_293 sky130_fd_sc_hd__decap_12 + SOURCE DIST + PLACED ( 140300 133280 ) FS ;
- FILLER_45_305 sky130_fd_sc_hd__decap_12 + SOURCE DIST + PLACED ( 145820 133280 ) FS ;
- FILLER_45_317 sky130_fd_sc_hd__decap_12 + SOURCE DIST + PLACED ( 151340 133280 ) FS ;
- FILLER_45_329 sky130_fd_sc_hd__decap_6 + SOURCE DIST + PLACED ( 156860 133280 ) FS ;
- FILLER_45_335 sky130_fd_sc_hd__fill_1 + SOURCE DIST + PLACED ( 159620 133280 ) FS ;
- FILLER_45_337 sky130_fd_sc_hd__decap_12 + SOURCE DIST + PLACED ( 160540 133280 ) FS ;
- FILLER_45_34 sky130_fd_sc_hd__decap_3 + SOURCE DIST + PLACED ( 21160 133280 ) FS ;
- FILLER_45_349 sky130_fd_sc_hd__decap_12 + SOURCE DIST + PLACED ( 166060 133280 ) FS ;
- FILLER_45_361 sky130_fd_sc_hd__decap_12 + SOURCE DIST + PLACED ( 171580 133280 ) FS ;
- FILLER_45_373 sky130_fd_sc_hd__decap_12 + SOURCE DIST + PLACED ( 177100 133280 ) FS ;
- FILLER_45_385 sky130_fd_sc_hd__decap_6 + SOURCE DIST + PLACED ( 182620 133280 ) FS ;
- FILLER_45_391 sky130_fd_sc_hd__fill_1 + SOURCE DIST + PLACED ( 185380 133280 ) FS ;
- FILLER_45_393 sky130_fd_sc_hd__decap_12 + SOURCE DIST + PLACED ( 186300 133280 ) FS ;
- FILLER_45_40 sky130_fd_sc_hd__decap_12 + SOURCE DIST + PLACED ( 23920 133280 ) FS ;
- FILLER_45_405 sky130_fd_sc_hd__decap_12 + SOURCE DIST + PLACED ( 191820 133280 ) FS ;
- FILLER_45_417 sky130_fd_sc_hd__decap_12 + SOURCE DIST + PLACED ( 197340 133280 ) FS ;
- FILLER_45_429 sky130_fd_sc_hd__decap_12 + SOURCE DIST + PLACED ( 202860 133280 ) FS ;
- FILLER_45_441 sky130_fd_sc_hd__decap_6 + SOURCE DIST + PLACED ( 208380 133280 ) FS ;
- FILLER_45_447 sky130_fd_sc_hd__fill_1 + SOURCE DIST + PLACED ( 211140 133280 ) FS ;
- FILLER_45_449 sky130_fd_sc_hd__decap_12 + SOURCE DIST + PLACED ( 212060 133280 ) FS ;
- FILLER_45_461 sky130_fd_sc_hd__decap_12 + SOURCE DIST + PLACED ( 217580 133280 ) FS ;
- FILLER_45_473 sky130_fd_sc_hd__decap_12 + SOURCE DIST + PLACED ( 223100 133280 ) FS ;
- FILLER_45_485 sky130_fd_sc_hd__decap_12 + SOURCE DIST + PLACED ( 228620 133280 ) FS ;
- FILLER_45_497 sky130_fd_sc_hd__decap_6 + SOURCE DIST + PLACED ( 234140 133280 ) FS ;
- FILLER_45_503 sky130_fd_sc_hd__fill_1 + SOURCE DIST + PLACED ( 236900 133280 ) FS ;
- FILLER_45_505 sky130_fd_sc_hd__decap_12 + SOURCE DIST + PLACED ( 237820 133280 ) FS ;
- FILLER_45_517 sky130_fd_sc_hd__decap_12 + SOURCE DIST + PLACED ( 243340 133280 ) FS ;
- FILLER_45_52 sky130_fd_sc_hd__decap_4 + SOURCE DIST + PLACED ( 29440 133280 ) FS ;
- FILLER_45_529 sky130_fd_sc_hd__decap_12 + SOURCE DIST + PLACED ( 248860 133280 ) FS ;
- FILLER_45_541 sky130_fd_sc_hd__decap_12 + SOURCE DIST + PLACED ( 254380 133280 ) FS ;
- FILLER_45_553 sky130_fd_sc_hd__decap_6 + SOURCE DIST + PLACED ( 259900 133280 ) FS ;
- FILLER_45_559 sky130_fd_sc_hd__fill_1 + SOURCE DIST + PLACED ( 262660 133280 ) FS ;
- FILLER_45_561 sky130_fd_sc_hd__decap_12 + SOURCE DIST + PLACED ( 263580 133280 ) FS ;
- FILLER_45_57 sky130_fd_sc_hd__decap_12 + SOURCE DIST + PLACED ( 31740 133280 ) FS ;
- FILLER_45_573 sky130_fd_sc_hd__decap_12 + SOURCE DIST + PLACED ( 269100 133280 ) FS ;
- FILLER_45_585 sky130_fd_sc_hd__decap_12 + SOURCE DIST + PLACED ( 274620 133280 ) FS ;
- FILLER_45_597 sky130_fd_sc_hd__decap_12 + SOURCE DIST + PLACED ( 280140 133280 ) FS ;
- FILLER_45_609 sky130_fd_sc_hd__decap_6 + SOURCE DIST + PLACED ( 285660 133280 ) FS ;
- FILLER_45_615 sky130_fd_sc_hd__fill_1 + SOURCE DIST + PLACED ( 288420 133280 ) FS ;
- FILLER_45_617 sky130_fd_sc_hd__decap_8 + SOURCE DIST + PLACED ( 289340 133280 ) FS ;
- FILLER_45_69 sky130_fd_sc_hd__decap_12 + SOURCE DIST + PLACED ( 37260 133280 ) FS ;
- FILLER_45_7 sky130_fd_sc_hd__decap_6 + SOURCE DIST + PLACED ( 8740 133280 ) FS ;
- FILLER_45_81 sky130_fd_sc_hd__decap_12 + SOURCE DIST + PLACED ( 42780 133280 ) FS ;
- FILLER_45_93 sky130_fd_sc_hd__decap_12 + SOURCE DIST + PLACED ( 48300 133280 ) FS ;
- FILLER_46_109 sky130_fd_sc_hd__decap_12 + SOURCE DIST + PLACED ( 55660 136000 ) N ;
- FILLER_46_121 sky130_fd_sc_hd__decap_12 + SOURCE DIST + PLACED ( 61180 136000 ) N ;
- FILLER_46_133 sky130_fd_sc_hd__decap_6 + SOURCE DIST + PLACED ( 66700 136000 ) N ;
- FILLER_46_139 sky130_fd_sc_hd__fill_1 + SOURCE DIST + PLACED ( 69460 136000 ) N ;
- FILLER_46_141 sky130_fd_sc_hd__decap_12 + SOURCE DIST + PLACED ( 70380 136000 ) N ;
- FILLER_46_153 sky130_fd_sc_hd__decap_12 + SOURCE DIST + PLACED ( 75900 136000 ) N ;
- FILLER_46_165 sky130_fd_sc_hd__decap_12 + SOURCE DIST + PLACED ( 81420 136000 ) N ;
- FILLER_46_177 sky130_fd_sc_hd__decap_12 + SOURCE DIST + PLACED ( 86940 136000 ) N ;
- FILLER_46_189 sky130_fd_sc_hd__decap_6 + SOURCE DIST + PLACED ( 92460 136000 ) N ;
- FILLER_46_195 sky130_fd_sc_hd__fill_1 + SOURCE DIST + PLACED ( 95220 136000 ) N ;
- FILLER_46_197 sky130_fd_sc_hd__decap_12 + SOURCE DIST + PLACED ( 96140 136000 ) N ;
- FILLER_46_209 sky130_fd_sc_hd__decap_12 + SOURCE DIST + PLACED ( 101660 136000 ) N ;
- FILLER_46_221 sky130_fd_sc_hd__decap_12 + SOURCE DIST + PLACED ( 107180 136000 ) N ;
- FILLER_46_233 sky130_fd_sc_hd__decap_12 + SOURCE DIST + PLACED ( 112700 136000 ) N ;
- FILLER_46_24 sky130_fd_sc_hd__decap_4 + SOURCE DIST + PLACED ( 16560 136000 ) N ;
- FILLER_46_245 sky130_fd_sc_hd__decap_6 + SOURCE DIST + PLACED ( 118220 136000 ) N ;
- FILLER_46_251 sky130_fd_sc_hd__fill_1 + SOURCE DIST + PLACED ( 120980 136000 ) N ;
- FILLER_46_253 sky130_fd_sc_hd__decap_12 + SOURCE DIST + PLACED ( 121900 136000 ) N ;
- FILLER_46_265 sky130_fd_sc_hd__decap_12 + SOURCE DIST + PLACED ( 127420 136000 ) N ;
- FILLER_46_277 sky130_fd_sc_hd__decap_12 + SOURCE DIST + PLACED ( 132940 136000 ) N ;
- FILLER_46_289 sky130_fd_sc_hd__decap_12 + SOURCE DIST + PLACED ( 138460 136000 ) N ;
- FILLER_46_29 sky130_fd_sc_hd__decap_12 + SOURCE DIST + PLACED ( 18860 136000 ) N ;
- FILLER_46_3 sky130_fd_sc_hd__decap_4 + SOURCE DIST + PLACED ( 6900 136000 ) N ;
- FILLER_46_301 sky130_fd_sc_hd__decap_6 + SOURCE DIST + PLACED ( 143980 136000 ) N ;
- FILLER_46_307 sky130_fd_sc_hd__fill_1 + SOURCE DIST + PLACED ( 146740 136000 ) N ;
- FILLER_46_309 sky130_fd_sc_hd__decap_12 + SOURCE DIST + PLACED ( 147660 136000 ) N ;
- FILLER_46_321 sky130_fd_sc_hd__decap_12 + SOURCE DIST + PLACED ( 153180 136000 ) N ;
- FILLER_46_333 sky130_fd_sc_hd__decap_12 + SOURCE DIST + PLACED ( 158700 136000 ) N ;
- FILLER_46_345 sky130_fd_sc_hd__decap_12 + SOURCE DIST + PLACED ( 164220 136000 ) N ;
- FILLER_46_357 sky130_fd_sc_hd__decap_6 + SOURCE DIST + PLACED ( 169740 136000 ) N ;
- FILLER_46_363 sky130_fd_sc_hd__fill_1 + SOURCE DIST + PLACED ( 172500 136000 ) N ;
- FILLER_46_365 sky130_fd_sc_hd__decap_12 + SOURCE DIST + PLACED ( 173420 136000 ) N ;
- FILLER_46_377 sky130_fd_sc_hd__decap_12 + SOURCE DIST + PLACED ( 178940 136000 ) N ;
- FILLER_46_389 sky130_fd_sc_hd__decap_12 + SOURCE DIST + PLACED ( 184460 136000 ) N ;
- FILLER_46_401 sky130_fd_sc_hd__decap_12 + SOURCE DIST + PLACED ( 189980 136000 ) N ;
- FILLER_46_41 sky130_fd_sc_hd__decap_12 + SOURCE DIST + PLACED ( 24380 136000 ) N ;
- FILLER_46_413 sky130_fd_sc_hd__decap_6 + SOURCE DIST + PLACED ( 195500 136000 ) N ;
- FILLER_46_419 sky130_fd_sc_hd__fill_1 + SOURCE DIST + PLACED ( 198260 136000 ) N ;
- FILLER_46_421 sky130_fd_sc_hd__decap_12 + SOURCE DIST + PLACED ( 199180 136000 ) N ;
- FILLER_46_433 sky130_fd_sc_hd__decap_12 + SOURCE DIST + PLACED ( 204700 136000 ) N ;
- FILLER_46_445 sky130_fd_sc_hd__decap_12 + SOURCE DIST + PLACED ( 210220 136000 ) N ;
- FILLER_46_457 sky130_fd_sc_hd__decap_12 + SOURCE DIST + PLACED ( 215740 136000 ) N ;
- FILLER_46_469 sky130_fd_sc_hd__decap_6 + SOURCE DIST + PLACED ( 221260 136000 ) N ;
- FILLER_46_475 sky130_fd_sc_hd__fill_1 + SOURCE DIST + PLACED ( 224020 136000 ) N ;
- FILLER_46_477 sky130_fd_sc_hd__decap_12 + SOURCE DIST + PLACED ( 224940 136000 ) N ;
- FILLER_46_489 sky130_fd_sc_hd__decap_12 + SOURCE DIST + PLACED ( 230460 136000 ) N ;
- FILLER_46_501 sky130_fd_sc_hd__decap_12 + SOURCE DIST + PLACED ( 235980 136000 ) N ;
- FILLER_46_513 sky130_fd_sc_hd__decap_12 + SOURCE DIST + PLACED ( 241500 136000 ) N ;
- FILLER_46_525 sky130_fd_sc_hd__decap_6 + SOURCE DIST + PLACED ( 247020 136000 ) N ;
- FILLER_46_53 sky130_fd_sc_hd__decap_12 + SOURCE DIST + PLACED ( 29900 136000 ) N ;
- FILLER_46_531 sky130_fd_sc_hd__fill_1 + SOURCE DIST + PLACED ( 249780 136000 ) N ;
- FILLER_46_533 sky130_fd_sc_hd__decap_12 + SOURCE DIST + PLACED ( 250700 136000 ) N ;
- FILLER_46_545 sky130_fd_sc_hd__decap_12 + SOURCE DIST + PLACED ( 256220 136000 ) N ;
- FILLER_46_557 sky130_fd_sc_hd__decap_12 + SOURCE DIST + PLACED ( 261740 136000 ) N ;
- FILLER_46_569 sky130_fd_sc_hd__decap_12 + SOURCE DIST + PLACED ( 267260 136000 ) N ;
- FILLER_46_581 sky130_fd_sc_hd__decap_6 + SOURCE DIST + PLACED ( 272780 136000 ) N ;
- FILLER_46_587 sky130_fd_sc_hd__fill_1 + SOURCE DIST + PLACED ( 275540 136000 ) N ;
- FILLER_46_589 sky130_fd_sc_hd__decap_12 + SOURCE DIST + PLACED ( 276460 136000 ) N ;
- FILLER_46_601 sky130_fd_sc_hd__decap_12 + SOURCE DIST + PLACED ( 281980 136000 ) N ;
- FILLER_46_613 sky130_fd_sc_hd__decap_12 + SOURCE DIST + PLACED ( 287500 136000 ) N ;
- FILLER_46_65 sky130_fd_sc_hd__decap_12 + SOURCE DIST + PLACED ( 35420 136000 ) N ;
- FILLER_46_7 sky130_fd_sc_hd__fill_1 + SOURCE DIST + PLACED ( 8740 136000 ) N ;
- FILLER_46_77 sky130_fd_sc_hd__decap_6 + SOURCE DIST + PLACED ( 40940 136000 ) N ;
- FILLER_46_83 sky130_fd_sc_hd__fill_1 + SOURCE DIST + PLACED ( 43700 136000 ) N ;
- FILLER_46_85 sky130_fd_sc_hd__decap_8 + SOURCE DIST + PLACED ( 44620 136000 ) N ;
- FILLER_46_93 sky130_fd_sc_hd__fill_1 + SOURCE DIST + PLACED ( 48300 136000 ) N ;
- FILLER_46_97 sky130_fd_sc_hd__decap_12 + SOURCE DIST + PLACED ( 50140 136000 ) N ;
- FILLER_47_105 sky130_fd_sc_hd__decap_6 + SOURCE DIST + PLACED ( 53820 138720 ) FS ;
- FILLER_47_111 sky130_fd_sc_hd__fill_1 + SOURCE DIST + PLACED ( 56580 138720 ) FS ;
- FILLER_47_113 sky130_fd_sc_hd__decap_12 + SOURCE DIST + PLACED ( 57500 138720 ) FS ;
- FILLER_47_125 sky130_fd_sc_hd__decap_12 + SOURCE DIST + PLACED ( 63020 138720 ) FS ;
- FILLER_47_137 sky130_fd_sc_hd__decap_12 + SOURCE DIST + PLACED ( 68540 138720 ) FS ;
- FILLER_47_149 sky130_fd_sc_hd__decap_12 + SOURCE DIST + PLACED ( 74060 138720 ) FS ;
- FILLER_47_15 sky130_fd_sc_hd__decap_4 + SOURCE DIST + PLACED ( 12420 138720 ) FS ;
- FILLER_47_161 sky130_fd_sc_hd__decap_6 + SOURCE DIST + PLACED ( 79580 138720 ) FS ;
- FILLER_47_167 sky130_fd_sc_hd__fill_1 + SOURCE DIST + PLACED ( 82340 138720 ) FS ;
- FILLER_47_169 sky130_fd_sc_hd__decap_12 + SOURCE DIST + PLACED ( 83260 138720 ) FS ;
- FILLER_47_181 sky130_fd_sc_hd__decap_12 + SOURCE DIST + PLACED ( 88780 138720 ) FS ;
- FILLER_47_193 sky130_fd_sc_hd__decap_12 + SOURCE DIST + PLACED ( 94300 138720 ) FS ;
- FILLER_47_205 sky130_fd_sc_hd__decap_12 + SOURCE DIST + PLACED ( 99820 138720 ) FS ;
- FILLER_47_217 sky130_fd_sc_hd__decap_6 + SOURCE DIST + PLACED ( 105340 138720 ) FS ;
- FILLER_47_22 sky130_fd_sc_hd__decap_8 + SOURCE DIST + PLACED ( 15640 138720 ) FS ;
- FILLER_47_223 sky130_fd_sc_hd__fill_1 + SOURCE DIST + PLACED ( 108100 138720 ) FS ;
- FILLER_47_225 sky130_fd_sc_hd__decap_12 + SOURCE DIST + PLACED ( 109020 138720 ) FS ;
- FILLER_47_237 sky130_fd_sc_hd__decap_12 + SOURCE DIST + PLACED ( 114540 138720 ) FS ;
- FILLER_47_249 sky130_fd_sc_hd__decap_12 + SOURCE DIST + PLACED ( 120060 138720 ) FS ;
- FILLER_47_261 sky130_fd_sc_hd__decap_12 + SOURCE DIST + PLACED ( 125580 138720 ) FS ;
- FILLER_47_273 sky130_fd_sc_hd__decap_6 + SOURCE DIST + PLACED ( 131100 138720 ) FS ;
- FILLER_47_279 sky130_fd_sc_hd__fill_1 + SOURCE DIST + PLACED ( 133860 138720 ) FS ;
- FILLER_47_281 sky130_fd_sc_hd__decap_12 + SOURCE DIST + PLACED ( 134780 138720 ) FS ;
- FILLER_47_293 sky130_fd_sc_hd__decap_12 + SOURCE DIST + PLACED ( 140300 138720 ) FS ;
- FILLER_47_30 sky130_fd_sc_hd__fill_2 + SOURCE DIST + PLACED ( 19320 138720 ) FS ;
- FILLER_47_305 sky130_fd_sc_hd__decap_12 + SOURCE DIST + PLACED ( 145820 138720 ) FS ;
- FILLER_47_317 sky130_fd_sc_hd__decap_12 + SOURCE DIST + PLACED ( 151340 138720 ) FS ;
- FILLER_47_329 sky130_fd_sc_hd__decap_6 + SOURCE DIST + PLACED ( 156860 138720 ) FS ;
- FILLER_47_335 sky130_fd_sc_hd__fill_1 + SOURCE DIST + PLACED ( 159620 138720 ) FS ;
- FILLER_47_337 sky130_fd_sc_hd__decap_12 + SOURCE DIST + PLACED ( 160540 138720 ) FS ;
- FILLER_47_349 sky130_fd_sc_hd__decap_12 + SOURCE DIST + PLACED ( 166060 138720 ) FS ;
- FILLER_47_361 sky130_fd_sc_hd__decap_12 + SOURCE DIST + PLACED ( 171580 138720 ) FS ;
- FILLER_47_373 sky130_fd_sc_hd__decap_12 + SOURCE DIST + PLACED ( 177100 138720 ) FS ;
- FILLER_47_385 sky130_fd_sc_hd__decap_6 + SOURCE DIST + PLACED ( 182620 138720 ) FS ;
- FILLER_47_391 sky130_fd_sc_hd__fill_1 + SOURCE DIST + PLACED ( 185380 138720 ) FS ;
- FILLER_47_393 sky130_fd_sc_hd__decap_12 + SOURCE DIST + PLACED ( 186300 138720 ) FS ;
- FILLER_47_405 sky130_fd_sc_hd__decap_12 + SOURCE DIST + PLACED ( 191820 138720 ) FS ;
- FILLER_47_41 sky130_fd_sc_hd__decap_12 + SOURCE DIST + PLACED ( 24380 138720 ) FS ;
- FILLER_47_417 sky130_fd_sc_hd__decap_12 + SOURCE DIST + PLACED ( 197340 138720 ) FS ;
- FILLER_47_429 sky130_fd_sc_hd__decap_12 + SOURCE DIST + PLACED ( 202860 138720 ) FS ;
- FILLER_47_441 sky130_fd_sc_hd__decap_6 + SOURCE DIST + PLACED ( 208380 138720 ) FS ;
- FILLER_47_447 sky130_fd_sc_hd__fill_1 + SOURCE DIST + PLACED ( 211140 138720 ) FS ;
- FILLER_47_449 sky130_fd_sc_hd__decap_12 + SOURCE DIST + PLACED ( 212060 138720 ) FS ;
- FILLER_47_461 sky130_fd_sc_hd__decap_12 + SOURCE DIST + PLACED ( 217580 138720 ) FS ;
- FILLER_47_473 sky130_fd_sc_hd__decap_12 + SOURCE DIST + PLACED ( 223100 138720 ) FS ;
- FILLER_47_485 sky130_fd_sc_hd__decap_12 + SOURCE DIST + PLACED ( 228620 138720 ) FS ;
- FILLER_47_497 sky130_fd_sc_hd__decap_6 + SOURCE DIST + PLACED ( 234140 138720 ) FS ;
- FILLER_47_503 sky130_fd_sc_hd__fill_1 + SOURCE DIST + PLACED ( 236900 138720 ) FS ;
- FILLER_47_505 sky130_fd_sc_hd__decap_12 + SOURCE DIST + PLACED ( 237820 138720 ) FS ;
- FILLER_47_517 sky130_fd_sc_hd__decap_12 + SOURCE DIST + PLACED ( 243340 138720 ) FS ;
- FILLER_47_529 sky130_fd_sc_hd__decap_12 + SOURCE DIST + PLACED ( 248860 138720 ) FS ;
- FILLER_47_53 sky130_fd_sc_hd__decap_3 + SOURCE DIST + PLACED ( 29900 138720 ) FS ;
- FILLER_47_541 sky130_fd_sc_hd__decap_12 + SOURCE DIST + PLACED ( 254380 138720 ) FS ;
- FILLER_47_553 sky130_fd_sc_hd__decap_6 + SOURCE DIST + PLACED ( 259900 138720 ) FS ;
- FILLER_47_559 sky130_fd_sc_hd__fill_1 + SOURCE DIST + PLACED ( 262660 138720 ) FS ;
- FILLER_47_561 sky130_fd_sc_hd__decap_12 + SOURCE DIST + PLACED ( 263580 138720 ) FS ;
- FILLER_47_57 sky130_fd_sc_hd__decap_12 + SOURCE DIST + PLACED ( 31740 138720 ) FS ;
- FILLER_47_573 sky130_fd_sc_hd__decap_12 + SOURCE DIST + PLACED ( 269100 138720 ) FS ;
- FILLER_47_585 sky130_fd_sc_hd__decap_12 + SOURCE DIST + PLACED ( 274620 138720 ) FS ;
- FILLER_47_597 sky130_fd_sc_hd__decap_12 + SOURCE DIST + PLACED ( 280140 138720 ) FS ;
- FILLER_47_6 sky130_fd_sc_hd__decap_6 + SOURCE DIST + PLACED ( 8280 138720 ) FS ;
- FILLER_47_609 sky130_fd_sc_hd__decap_6 + SOURCE DIST + PLACED ( 285660 138720 ) FS ;
- FILLER_47_615 sky130_fd_sc_hd__fill_1 + SOURCE DIST + PLACED ( 288420 138720 ) FS ;
- FILLER_47_617 sky130_fd_sc_hd__decap_8 + SOURCE DIST + PLACED ( 289340 138720 ) FS ;
- FILLER_47_69 sky130_fd_sc_hd__decap_12 + SOURCE DIST + PLACED ( 37260 138720 ) FS ;
- FILLER_47_81 sky130_fd_sc_hd__decap_12 + SOURCE DIST + PLACED ( 42780 138720 ) FS ;
- FILLER_47_93 sky130_fd_sc_hd__decap_12 + SOURCE DIST + PLACED ( 48300 138720 ) FS ;
- FILLER_48_109 sky130_fd_sc_hd__decap_12 + SOURCE DIST + PLACED ( 55660 141440 ) N ;
- FILLER_48_121 sky130_fd_sc_hd__decap_12 + SOURCE DIST + PLACED ( 61180 141440 ) N ;
- FILLER_48_133 sky130_fd_sc_hd__decap_6 + SOURCE DIST + PLACED ( 66700 141440 ) N ;
- FILLER_48_139 sky130_fd_sc_hd__fill_1 + SOURCE DIST + PLACED ( 69460 141440 ) N ;
- FILLER_48_141 sky130_fd_sc_hd__decap_12 + SOURCE DIST + PLACED ( 70380 141440 ) N ;
- FILLER_48_153 sky130_fd_sc_hd__decap_12 + SOURCE DIST + PLACED ( 75900 141440 ) N ;
- FILLER_48_165 sky130_fd_sc_hd__decap_12 + SOURCE DIST + PLACED ( 81420 141440 ) N ;
- FILLER_48_177 sky130_fd_sc_hd__decap_12 + SOURCE DIST + PLACED ( 86940 141440 ) N ;
- FILLER_48_189 sky130_fd_sc_hd__decap_6 + SOURCE DIST + PLACED ( 92460 141440 ) N ;
- FILLER_48_19 sky130_fd_sc_hd__decap_8 + SOURCE DIST + PLACED ( 14260 141440 ) N ;
- FILLER_48_195 sky130_fd_sc_hd__fill_1 + SOURCE DIST + PLACED ( 95220 141440 ) N ;
- FILLER_48_197 sky130_fd_sc_hd__decap_12 + SOURCE DIST + PLACED ( 96140 141440 ) N ;
- FILLER_48_209 sky130_fd_sc_hd__decap_12 + SOURCE DIST + PLACED ( 101660 141440 ) N ;
- FILLER_48_221 sky130_fd_sc_hd__decap_12 + SOURCE DIST + PLACED ( 107180 141440 ) N ;
- FILLER_48_233 sky130_fd_sc_hd__decap_12 + SOURCE DIST + PLACED ( 112700 141440 ) N ;
- FILLER_48_245 sky130_fd_sc_hd__decap_6 + SOURCE DIST + PLACED ( 118220 141440 ) N ;
- FILLER_48_251 sky130_fd_sc_hd__fill_1 + SOURCE DIST + PLACED ( 120980 141440 ) N ;
- FILLER_48_253 sky130_fd_sc_hd__decap_12 + SOURCE DIST + PLACED ( 121900 141440 ) N ;
- FILLER_48_265 sky130_fd_sc_hd__decap_12 + SOURCE DIST + PLACED ( 127420 141440 ) N ;
- FILLER_48_27 sky130_fd_sc_hd__fill_1 + SOURCE DIST + PLACED ( 17940 141440 ) N ;
- FILLER_48_277 sky130_fd_sc_hd__decap_12 + SOURCE DIST + PLACED ( 132940 141440 ) N ;
- FILLER_48_289 sky130_fd_sc_hd__decap_12 + SOURCE DIST + PLACED ( 138460 141440 ) N ;
- FILLER_48_29 sky130_fd_sc_hd__decap_6 + SOURCE DIST + PLACED ( 18860 141440 ) N ;
- FILLER_48_301 sky130_fd_sc_hd__decap_6 + SOURCE DIST + PLACED ( 143980 141440 ) N ;
- FILLER_48_307 sky130_fd_sc_hd__fill_1 + SOURCE DIST + PLACED ( 146740 141440 ) N ;
- FILLER_48_309 sky130_fd_sc_hd__decap_12 + SOURCE DIST + PLACED ( 147660 141440 ) N ;
- FILLER_48_321 sky130_fd_sc_hd__decap_12 + SOURCE DIST + PLACED ( 153180 141440 ) N ;
- FILLER_48_333 sky130_fd_sc_hd__decap_12 + SOURCE DIST + PLACED ( 158700 141440 ) N ;
- FILLER_48_345 sky130_fd_sc_hd__decap_12 + SOURCE DIST + PLACED ( 164220 141440 ) N ;
- FILLER_48_35 sky130_fd_sc_hd__fill_1 + SOURCE DIST + PLACED ( 21620 141440 ) N ;
- FILLER_48_357 sky130_fd_sc_hd__decap_6 + SOURCE DIST + PLACED ( 169740 141440 ) N ;
- FILLER_48_363 sky130_fd_sc_hd__fill_1 + SOURCE DIST + PLACED ( 172500 141440 ) N ;
- FILLER_48_365 sky130_fd_sc_hd__decap_12 + SOURCE DIST + PLACED ( 173420 141440 ) N ;
- FILLER_48_377 sky130_fd_sc_hd__decap_12 + SOURCE DIST + PLACED ( 178940 141440 ) N ;
- FILLER_48_389 sky130_fd_sc_hd__decap_12 + SOURCE DIST + PLACED ( 184460 141440 ) N ;
- FILLER_48_401 sky130_fd_sc_hd__decap_12 + SOURCE DIST + PLACED ( 189980 141440 ) N ;
- FILLER_48_413 sky130_fd_sc_hd__decap_6 + SOURCE DIST + PLACED ( 195500 141440 ) N ;
- FILLER_48_419 sky130_fd_sc_hd__fill_1 + SOURCE DIST + PLACED ( 198260 141440 ) N ;
- FILLER_48_421 sky130_fd_sc_hd__decap_12 + SOURCE DIST + PLACED ( 199180 141440 ) N ;
- FILLER_48_433 sky130_fd_sc_hd__decap_12 + SOURCE DIST + PLACED ( 204700 141440 ) N ;
- FILLER_48_445 sky130_fd_sc_hd__decap_12 + SOURCE DIST + PLACED ( 210220 141440 ) N ;
- FILLER_48_457 sky130_fd_sc_hd__decap_12 + SOURCE DIST + PLACED ( 215740 141440 ) N ;
- FILLER_48_469 sky130_fd_sc_hd__decap_6 + SOURCE DIST + PLACED ( 221260 141440 ) N ;
- FILLER_48_475 sky130_fd_sc_hd__fill_1 + SOURCE DIST + PLACED ( 224020 141440 ) N ;
- FILLER_48_477 sky130_fd_sc_hd__decap_12 + SOURCE DIST + PLACED ( 224940 141440 ) N ;
- FILLER_48_489 sky130_fd_sc_hd__decap_12 + SOURCE DIST + PLACED ( 230460 141440 ) N ;
- FILLER_48_501 sky130_fd_sc_hd__decap_12 + SOURCE DIST + PLACED ( 235980 141440 ) N ;
- FILLER_48_513 sky130_fd_sc_hd__decap_12 + SOURCE DIST + PLACED ( 241500 141440 ) N ;
- FILLER_48_52 sky130_fd_sc_hd__decap_12 + SOURCE DIST + PLACED ( 29440 141440 ) N ;
- FILLER_48_525 sky130_fd_sc_hd__decap_6 + SOURCE DIST + PLACED ( 247020 141440 ) N ;
- FILLER_48_531 sky130_fd_sc_hd__fill_1 + SOURCE DIST + PLACED ( 249780 141440 ) N ;
- FILLER_48_533 sky130_fd_sc_hd__decap_12 + SOURCE DIST + PLACED ( 250700 141440 ) N ;
- FILLER_48_545 sky130_fd_sc_hd__decap_12 + SOURCE DIST + PLACED ( 256220 141440 ) N ;
- FILLER_48_557 sky130_fd_sc_hd__decap_12 + SOURCE DIST + PLACED ( 261740 141440 ) N ;
- FILLER_48_569 sky130_fd_sc_hd__decap_12 + SOURCE DIST + PLACED ( 267260 141440 ) N ;
- FILLER_48_581 sky130_fd_sc_hd__decap_6 + SOURCE DIST + PLACED ( 272780 141440 ) N ;
- FILLER_48_587 sky130_fd_sc_hd__fill_1 + SOURCE DIST + PLACED ( 275540 141440 ) N ;
- FILLER_48_589 sky130_fd_sc_hd__decap_12 + SOURCE DIST + PLACED ( 276460 141440 ) N ;
- FILLER_48_601 sky130_fd_sc_hd__decap_12 + SOURCE DIST + PLACED ( 281980 141440 ) N ;
- FILLER_48_613 sky130_fd_sc_hd__decap_12 + SOURCE DIST + PLACED ( 287500 141440 ) N ;
- FILLER_48_64 sky130_fd_sc_hd__decap_12 + SOURCE DIST + PLACED ( 34960 141440 ) N ;
- FILLER_48_7 sky130_fd_sc_hd__decap_12 + SOURCE DIST + PLACED ( 8740 141440 ) N ;
- FILLER_48_76 sky130_fd_sc_hd__decap_8 + SOURCE DIST + PLACED ( 40480 141440 ) N ;
- FILLER_48_85 sky130_fd_sc_hd__decap_12 + SOURCE DIST + PLACED ( 44620 141440 ) N ;
- FILLER_48_97 sky130_fd_sc_hd__decap_12 + SOURCE DIST + PLACED ( 50140 141440 ) N ;
- FILLER_49_102 sky130_fd_sc_hd__decap_8 + SOURCE DIST + PLACED ( 52440 144160 ) FS ;
- FILLER_49_110 sky130_fd_sc_hd__fill_2 + SOURCE DIST + PLACED ( 56120 144160 ) FS ;
- FILLER_49_113 sky130_fd_sc_hd__decap_12 + SOURCE DIST + PLACED ( 57500 144160 ) FS ;
- FILLER_49_12 sky130_fd_sc_hd__fill_1 + SOURCE DIST + PLACED ( 11040 144160 ) FS ;
- FILLER_49_125 sky130_fd_sc_hd__decap_12 + SOURCE DIST + PLACED ( 63020 144160 ) FS ;
- FILLER_49_137 sky130_fd_sc_hd__decap_12 + SOURCE DIST + PLACED ( 68540 144160 ) FS ;
- FILLER_49_149 sky130_fd_sc_hd__decap_12 + SOURCE DIST + PLACED ( 74060 144160 ) FS ;
- FILLER_49_161 sky130_fd_sc_hd__decap_6 + SOURCE DIST + PLACED ( 79580 144160 ) FS ;
- FILLER_49_167 sky130_fd_sc_hd__fill_1 + SOURCE DIST + PLACED ( 82340 144160 ) FS ;
- FILLER_49_169 sky130_fd_sc_hd__decap_12 + SOURCE DIST + PLACED ( 83260 144160 ) FS ;
- FILLER_49_181 sky130_fd_sc_hd__decap_12 + SOURCE DIST + PLACED ( 88780 144160 ) FS ;
- FILLER_49_193 sky130_fd_sc_hd__decap_12 + SOURCE DIST + PLACED ( 94300 144160 ) FS ;
- FILLER_49_205 sky130_fd_sc_hd__decap_12 + SOURCE DIST + PLACED ( 99820 144160 ) FS ;
- FILLER_49_217 sky130_fd_sc_hd__decap_6 + SOURCE DIST + PLACED ( 105340 144160 ) FS ;
- FILLER_49_22 sky130_fd_sc_hd__decap_12 + SOURCE DIST + PLACED ( 15640 144160 ) FS ;
- FILLER_49_223 sky130_fd_sc_hd__fill_1 + SOURCE DIST + PLACED ( 108100 144160 ) FS ;
- FILLER_49_225 sky130_fd_sc_hd__decap_12 + SOURCE DIST + PLACED ( 109020 144160 ) FS ;
- FILLER_49_237 sky130_fd_sc_hd__decap_12 + SOURCE DIST + PLACED ( 114540 144160 ) FS ;
- FILLER_49_249 sky130_fd_sc_hd__decap_12 + SOURCE DIST + PLACED ( 120060 144160 ) FS ;
- FILLER_49_261 sky130_fd_sc_hd__decap_12 + SOURCE DIST + PLACED ( 125580 144160 ) FS ;
- FILLER_49_273 sky130_fd_sc_hd__decap_6 + SOURCE DIST + PLACED ( 131100 144160 ) FS ;
- FILLER_49_279 sky130_fd_sc_hd__fill_1 + SOURCE DIST + PLACED ( 133860 144160 ) FS ;
- FILLER_49_281 sky130_fd_sc_hd__decap_12 + SOURCE DIST + PLACED ( 134780 144160 ) FS ;
- FILLER_49_293 sky130_fd_sc_hd__decap_12 + SOURCE DIST + PLACED ( 140300 144160 ) FS ;
- FILLER_49_305 sky130_fd_sc_hd__decap_12 + SOURCE DIST + PLACED ( 145820 144160 ) FS ;
- FILLER_49_317 sky130_fd_sc_hd__decap_12 + SOURCE DIST + PLACED ( 151340 144160 ) FS ;
- FILLER_49_329 sky130_fd_sc_hd__decap_6 + SOURCE DIST + PLACED ( 156860 144160 ) FS ;
- FILLER_49_335 sky130_fd_sc_hd__fill_1 + SOURCE DIST + PLACED ( 159620 144160 ) FS ;
- FILLER_49_337 sky130_fd_sc_hd__decap_12 + SOURCE DIST + PLACED ( 160540 144160 ) FS ;
- FILLER_49_34 sky130_fd_sc_hd__fill_2 + SOURCE DIST + PLACED ( 21160 144160 ) FS ;
- FILLER_49_349 sky130_fd_sc_hd__decap_12 + SOURCE DIST + PLACED ( 166060 144160 ) FS ;
- FILLER_49_361 sky130_fd_sc_hd__decap_12 + SOURCE DIST + PLACED ( 171580 144160 ) FS ;
- FILLER_49_373 sky130_fd_sc_hd__decap_12 + SOURCE DIST + PLACED ( 177100 144160 ) FS ;
- FILLER_49_385 sky130_fd_sc_hd__decap_6 + SOURCE DIST + PLACED ( 182620 144160 ) FS ;
- FILLER_49_39 sky130_fd_sc_hd__decap_12 + SOURCE DIST + PLACED ( 23460 144160 ) FS ;
- FILLER_49_391 sky130_fd_sc_hd__fill_1 + SOURCE DIST + PLACED ( 185380 144160 ) FS ;
- FILLER_49_393 sky130_fd_sc_hd__decap_12 + SOURCE DIST + PLACED ( 186300 144160 ) FS ;
- FILLER_49_405 sky130_fd_sc_hd__decap_12 + SOURCE DIST + PLACED ( 191820 144160 ) FS ;
- FILLER_49_417 sky130_fd_sc_hd__decap_12 + SOURCE DIST + PLACED ( 197340 144160 ) FS ;
- FILLER_49_429 sky130_fd_sc_hd__decap_12 + SOURCE DIST + PLACED ( 202860 144160 ) FS ;
- FILLER_49_441 sky130_fd_sc_hd__decap_6 + SOURCE DIST + PLACED ( 208380 144160 ) FS ;
- FILLER_49_447 sky130_fd_sc_hd__fill_1 + SOURCE DIST + PLACED ( 211140 144160 ) FS ;
- FILLER_49_449 sky130_fd_sc_hd__decap_12 + SOURCE DIST + PLACED ( 212060 144160 ) FS ;
- FILLER_49_461 sky130_fd_sc_hd__decap_12 + SOURCE DIST + PLACED ( 217580 144160 ) FS ;
- FILLER_49_473 sky130_fd_sc_hd__decap_12 + SOURCE DIST + PLACED ( 223100 144160 ) FS ;
- FILLER_49_485 sky130_fd_sc_hd__decap_12 + SOURCE DIST + PLACED ( 228620 144160 ) FS ;
- FILLER_49_497 sky130_fd_sc_hd__decap_6 + SOURCE DIST + PLACED ( 234140 144160 ) FS ;
- FILLER_49_503 sky130_fd_sc_hd__fill_1 + SOURCE DIST + PLACED ( 236900 144160 ) FS ;
- FILLER_49_505 sky130_fd_sc_hd__decap_12 + SOURCE DIST + PLACED ( 237820 144160 ) FS ;
- FILLER_49_51 sky130_fd_sc_hd__decap_4 + SOURCE DIST + PLACED ( 28980 144160 ) FS ;
- FILLER_49_517 sky130_fd_sc_hd__decap_12 + SOURCE DIST + PLACED ( 243340 144160 ) FS ;
- FILLER_49_529 sky130_fd_sc_hd__decap_12 + SOURCE DIST + PLACED ( 248860 144160 ) FS ;
- FILLER_49_541 sky130_fd_sc_hd__decap_12 + SOURCE DIST + PLACED ( 254380 144160 ) FS ;
- FILLER_49_55 sky130_fd_sc_hd__fill_1 + SOURCE DIST + PLACED ( 30820 144160 ) FS ;
- FILLER_49_553 sky130_fd_sc_hd__decap_6 + SOURCE DIST + PLACED ( 259900 144160 ) FS ;
- FILLER_49_559 sky130_fd_sc_hd__fill_1 + SOURCE DIST + PLACED ( 262660 144160 ) FS ;
- FILLER_49_561 sky130_fd_sc_hd__decap_12 + SOURCE DIST + PLACED ( 263580 144160 ) FS ;
- FILLER_49_57 sky130_fd_sc_hd__decap_6 + SOURCE DIST + PLACED ( 31740 144160 ) FS ;
- FILLER_49_573 sky130_fd_sc_hd__decap_12 + SOURCE DIST + PLACED ( 269100 144160 ) FS ;
- FILLER_49_585 sky130_fd_sc_hd__decap_12 + SOURCE DIST + PLACED ( 274620 144160 ) FS ;
- FILLER_49_597 sky130_fd_sc_hd__decap_12 + SOURCE DIST + PLACED ( 280140 144160 ) FS ;
- FILLER_49_6 sky130_fd_sc_hd__decap_6 + SOURCE DIST + PLACED ( 8280 144160 ) FS ;
- FILLER_49_609 sky130_fd_sc_hd__decap_6 + SOURCE DIST + PLACED ( 285660 144160 ) FS ;
- FILLER_49_615 sky130_fd_sc_hd__fill_1 + SOURCE DIST + PLACED ( 288420 144160 ) FS ;
- FILLER_49_617 sky130_fd_sc_hd__decap_8 + SOURCE DIST + PLACED ( 289340 144160 ) FS ;
- FILLER_49_66 sky130_fd_sc_hd__decap_12 + SOURCE DIST + PLACED ( 35880 144160 ) FS ;
- FILLER_49_78 sky130_fd_sc_hd__decap_12 + SOURCE DIST + PLACED ( 41400 144160 ) FS ;
- FILLER_49_90 sky130_fd_sc_hd__decap_12 + SOURCE DIST + PLACED ( 46920 144160 ) FS ;
- FILLER_4_101 sky130_fd_sc_hd__decap_4 + SOURCE DIST + PLACED ( 51980 21760 ) N ;
- FILLER_4_105 sky130_fd_sc_hd__fill_1 + SOURCE DIST + PLACED ( 53820 21760 ) N ;
- FILLER_4_111 sky130_fd_sc_hd__decap_6 + SOURCE DIST + PLACED ( 56580 21760 ) N ;
- FILLER_4_120 sky130_fd_sc_hd__decap_8 + SOURCE DIST + PLACED ( 60720 21760 ) N ;
- FILLER_4_128 sky130_fd_sc_hd__decap_3 + SOURCE DIST + PLACED ( 64400 21760 ) N ;
- FILLER_4_136 sky130_fd_sc_hd__decap_4 + SOURCE DIST + PLACED ( 68080 21760 ) N ;
- FILLER_4_146 sky130_fd_sc_hd__decap_12 + SOURCE DIST + PLACED ( 72680 21760 ) N ;
- FILLER_4_15 sky130_fd_sc_hd__fill_2 + SOURCE DIST + PLACED ( 12420 21760 ) N ;
- FILLER_4_158 sky130_fd_sc_hd__decap_12 + SOURCE DIST + PLACED ( 78200 21760 ) N ;
- FILLER_4_170 sky130_fd_sc_hd__decap_12 + SOURCE DIST + PLACED ( 83720 21760 ) N ;
- FILLER_4_182 sky130_fd_sc_hd__decap_12 + SOURCE DIST + PLACED ( 89240 21760 ) N ;
- FILLER_4_194 sky130_fd_sc_hd__fill_2 + SOURCE DIST + PLACED ( 94760 21760 ) N ;
- FILLER_4_197 sky130_fd_sc_hd__decap_12 + SOURCE DIST + PLACED ( 96140 21760 ) N ;
- FILLER_4_20 sky130_fd_sc_hd__decap_8 + SOURCE DIST + PLACED ( 14720 21760 ) N ;
- FILLER_4_209 sky130_fd_sc_hd__decap_12 + SOURCE DIST + PLACED ( 101660 21760 ) N ;
- FILLER_4_221 sky130_fd_sc_hd__decap_12 + SOURCE DIST + PLACED ( 107180 21760 ) N ;
- FILLER_4_233 sky130_fd_sc_hd__decap_12 + SOURCE DIST + PLACED ( 112700 21760 ) N ;
- FILLER_4_245 sky130_fd_sc_hd__decap_6 + SOURCE DIST + PLACED ( 118220 21760 ) N ;
- FILLER_4_251 sky130_fd_sc_hd__fill_1 + SOURCE DIST + PLACED ( 120980 21760 ) N ;
- FILLER_4_253 sky130_fd_sc_hd__decap_12 + SOURCE DIST + PLACED ( 121900 21760 ) N ;
- FILLER_4_265 sky130_fd_sc_hd__decap_12 + SOURCE DIST + PLACED ( 127420 21760 ) N ;
- FILLER_4_277 sky130_fd_sc_hd__decap_12 + SOURCE DIST + PLACED ( 132940 21760 ) N ;
- FILLER_4_289 sky130_fd_sc_hd__decap_12 + SOURCE DIST + PLACED ( 138460 21760 ) N ;
- FILLER_4_301 sky130_fd_sc_hd__decap_6 + SOURCE DIST + PLACED ( 143980 21760 ) N ;
- FILLER_4_307 sky130_fd_sc_hd__fill_1 + SOURCE DIST + PLACED ( 146740 21760 ) N ;
- FILLER_4_309 sky130_fd_sc_hd__decap_12 + SOURCE DIST + PLACED ( 147660 21760 ) N ;
- FILLER_4_321 sky130_fd_sc_hd__decap_12 + SOURCE DIST + PLACED ( 153180 21760 ) N ;
- FILLER_4_333 sky130_fd_sc_hd__decap_12 + SOURCE DIST + PLACED ( 158700 21760 ) N ;
- FILLER_4_345 sky130_fd_sc_hd__decap_12 + SOURCE DIST + PLACED ( 164220 21760 ) N ;
- FILLER_4_357 sky130_fd_sc_hd__decap_6 + SOURCE DIST + PLACED ( 169740 21760 ) N ;
- FILLER_4_363 sky130_fd_sc_hd__fill_1 + SOURCE DIST + PLACED ( 172500 21760 ) N ;
- FILLER_4_365 sky130_fd_sc_hd__decap_12 + SOURCE DIST + PLACED ( 173420 21760 ) N ;
- FILLER_4_377 sky130_fd_sc_hd__decap_12 + SOURCE DIST + PLACED ( 178940 21760 ) N ;
- FILLER_4_38 sky130_fd_sc_hd__decap_4 + SOURCE DIST + PLACED ( 23000 21760 ) N ;
- FILLER_4_389 sky130_fd_sc_hd__decap_12 + SOURCE DIST + PLACED ( 184460 21760 ) N ;
- FILLER_4_401 sky130_fd_sc_hd__decap_12 + SOURCE DIST + PLACED ( 189980 21760 ) N ;
- FILLER_4_413 sky130_fd_sc_hd__decap_6 + SOURCE DIST + PLACED ( 195500 21760 ) N ;
- FILLER_4_419 sky130_fd_sc_hd__fill_1 + SOURCE DIST + PLACED ( 198260 21760 ) N ;
- FILLER_4_42 sky130_fd_sc_hd__fill_1 + SOURCE DIST + PLACED ( 24840 21760 ) N ;
- FILLER_4_421 sky130_fd_sc_hd__decap_12 + SOURCE DIST + PLACED ( 199180 21760 ) N ;
- FILLER_4_433 sky130_fd_sc_hd__decap_12 + SOURCE DIST + PLACED ( 204700 21760 ) N ;
- FILLER_4_445 sky130_fd_sc_hd__decap_12 + SOURCE DIST + PLACED ( 210220 21760 ) N ;
- FILLER_4_457 sky130_fd_sc_hd__decap_12 + SOURCE DIST + PLACED ( 215740 21760 ) N ;
- FILLER_4_46 sky130_fd_sc_hd__decap_12 + SOURCE DIST + PLACED ( 26680 21760 ) N ;
- FILLER_4_469 sky130_fd_sc_hd__decap_6 + SOURCE DIST + PLACED ( 221260 21760 ) N ;
- FILLER_4_475 sky130_fd_sc_hd__fill_1 + SOURCE DIST + PLACED ( 224020 21760 ) N ;
- FILLER_4_477 sky130_fd_sc_hd__decap_12 + SOURCE DIST + PLACED ( 224940 21760 ) N ;
- FILLER_4_489 sky130_fd_sc_hd__decap_12 + SOURCE DIST + PLACED ( 230460 21760 ) N ;
- FILLER_4_501 sky130_fd_sc_hd__decap_12 + SOURCE DIST + PLACED ( 235980 21760 ) N ;
- FILLER_4_513 sky130_fd_sc_hd__decap_12 + SOURCE DIST + PLACED ( 241500 21760 ) N ;
- FILLER_4_525 sky130_fd_sc_hd__decap_6 + SOURCE DIST + PLACED ( 247020 21760 ) N ;
- FILLER_4_531 sky130_fd_sc_hd__fill_1 + SOURCE DIST + PLACED ( 249780 21760 ) N ;
- FILLER_4_533 sky130_fd_sc_hd__decap_12 + SOURCE DIST + PLACED ( 250700 21760 ) N ;
- FILLER_4_545 sky130_fd_sc_hd__decap_12 + SOURCE DIST + PLACED ( 256220 21760 ) N ;
- FILLER_4_557 sky130_fd_sc_hd__decap_12 + SOURCE DIST + PLACED ( 261740 21760 ) N ;
- FILLER_4_569 sky130_fd_sc_hd__decap_12 + SOURCE DIST + PLACED ( 267260 21760 ) N ;
- FILLER_4_58 sky130_fd_sc_hd__decap_12 + SOURCE DIST + PLACED ( 32200 21760 ) N ;
- FILLER_4_581 sky130_fd_sc_hd__decap_6 + SOURCE DIST + PLACED ( 272780 21760 ) N ;
- FILLER_4_587 sky130_fd_sc_hd__fill_1 + SOURCE DIST + PLACED ( 275540 21760 ) N ;
- FILLER_4_589 sky130_fd_sc_hd__decap_12 + SOURCE DIST + PLACED ( 276460 21760 ) N ;
- FILLER_4_601 sky130_fd_sc_hd__decap_12 + SOURCE DIST + PLACED ( 281980 21760 ) N ;
- FILLER_4_613 sky130_fd_sc_hd__decap_12 + SOURCE DIST + PLACED ( 287500 21760 ) N ;
- FILLER_4_7 sky130_fd_sc_hd__decap_8 + SOURCE DIST + PLACED ( 8740 21760 ) N ;
- FILLER_4_70 sky130_fd_sc_hd__decap_12 + SOURCE DIST + PLACED ( 37720 21760 ) N ;
- FILLER_4_82 sky130_fd_sc_hd__fill_2 + SOURCE DIST + PLACED ( 43240 21760 ) N ;
- FILLER_4_85 sky130_fd_sc_hd__decap_12 + SOURCE DIST + PLACED ( 44620 21760 ) N ;
- FILLER_4_97 sky130_fd_sc_hd__fill_1 + SOURCE DIST + PLACED ( 50140 21760 ) N ;
- FILLER_50_109 sky130_fd_sc_hd__decap_12 + SOURCE DIST + PLACED ( 55660 146880 ) N ;
- FILLER_50_121 sky130_fd_sc_hd__decap_12 + SOURCE DIST + PLACED ( 61180 146880 ) N ;
- FILLER_50_133 sky130_fd_sc_hd__decap_6 + SOURCE DIST + PLACED ( 66700 146880 ) N ;
- FILLER_50_139 sky130_fd_sc_hd__fill_1 + SOURCE DIST + PLACED ( 69460 146880 ) N ;
- FILLER_50_141 sky130_fd_sc_hd__decap_12 + SOURCE DIST + PLACED ( 70380 146880 ) N ;
- FILLER_50_153 sky130_fd_sc_hd__decap_12 + SOURCE DIST + PLACED ( 75900 146880 ) N ;
- FILLER_50_165 sky130_fd_sc_hd__decap_12 + SOURCE DIST + PLACED ( 81420 146880 ) N ;
- FILLER_50_177 sky130_fd_sc_hd__decap_12 + SOURCE DIST + PLACED ( 86940 146880 ) N ;
- FILLER_50_189 sky130_fd_sc_hd__decap_6 + SOURCE DIST + PLACED ( 92460 146880 ) N ;
- FILLER_50_195 sky130_fd_sc_hd__fill_1 + SOURCE DIST + PLACED ( 95220 146880 ) N ;
- FILLER_50_197 sky130_fd_sc_hd__decap_12 + SOURCE DIST + PLACED ( 96140 146880 ) N ;
- FILLER_50_209 sky130_fd_sc_hd__decap_12 + SOURCE DIST + PLACED ( 101660 146880 ) N ;
- FILLER_50_221 sky130_fd_sc_hd__decap_12 + SOURCE DIST + PLACED ( 107180 146880 ) N ;
- FILLER_50_233 sky130_fd_sc_hd__decap_12 + SOURCE DIST + PLACED ( 112700 146880 ) N ;
- FILLER_50_24 sky130_fd_sc_hd__decap_4 + SOURCE DIST + PLACED ( 16560 146880 ) N ;
- FILLER_50_245 sky130_fd_sc_hd__decap_6 + SOURCE DIST + PLACED ( 118220 146880 ) N ;
- FILLER_50_251 sky130_fd_sc_hd__fill_1 + SOURCE DIST + PLACED ( 120980 146880 ) N ;
- FILLER_50_253 sky130_fd_sc_hd__decap_12 + SOURCE DIST + PLACED ( 121900 146880 ) N ;
- FILLER_50_265 sky130_fd_sc_hd__decap_12 + SOURCE DIST + PLACED ( 127420 146880 ) N ;
- FILLER_50_277 sky130_fd_sc_hd__decap_12 + SOURCE DIST + PLACED ( 132940 146880 ) N ;
- FILLER_50_289 sky130_fd_sc_hd__decap_12 + SOURCE DIST + PLACED ( 138460 146880 ) N ;
- FILLER_50_29 sky130_fd_sc_hd__decap_3 + SOURCE DIST + PLACED ( 18860 146880 ) N ;
- FILLER_50_3 sky130_fd_sc_hd__decap_4 + SOURCE DIST + PLACED ( 6900 146880 ) N ;
- FILLER_50_301 sky130_fd_sc_hd__decap_6 + SOURCE DIST + PLACED ( 143980 146880 ) N ;
- FILLER_50_307 sky130_fd_sc_hd__fill_1 + SOURCE DIST + PLACED ( 146740 146880 ) N ;
- FILLER_50_309 sky130_fd_sc_hd__decap_12 + SOURCE DIST + PLACED ( 147660 146880 ) N ;
- FILLER_50_321 sky130_fd_sc_hd__decap_12 + SOURCE DIST + PLACED ( 153180 146880 ) N ;
- FILLER_50_333 sky130_fd_sc_hd__decap_12 + SOURCE DIST + PLACED ( 158700 146880 ) N ;
- FILLER_50_345 sky130_fd_sc_hd__decap_12 + SOURCE DIST + PLACED ( 164220 146880 ) N ;
- FILLER_50_357 sky130_fd_sc_hd__decap_6 + SOURCE DIST + PLACED ( 169740 146880 ) N ;
- FILLER_50_363 sky130_fd_sc_hd__fill_1 + SOURCE DIST + PLACED ( 172500 146880 ) N ;
- FILLER_50_365 sky130_fd_sc_hd__decap_12 + SOURCE DIST + PLACED ( 173420 146880 ) N ;
- FILLER_50_377 sky130_fd_sc_hd__decap_12 + SOURCE DIST + PLACED ( 178940 146880 ) N ;
- FILLER_50_38 sky130_fd_sc_hd__decap_12 + SOURCE DIST + PLACED ( 23000 146880 ) N ;
- FILLER_50_389 sky130_fd_sc_hd__decap_12 + SOURCE DIST + PLACED ( 184460 146880 ) N ;
- FILLER_50_401 sky130_fd_sc_hd__decap_12 + SOURCE DIST + PLACED ( 189980 146880 ) N ;
- FILLER_50_413 sky130_fd_sc_hd__decap_6 + SOURCE DIST + PLACED ( 195500 146880 ) N ;
- FILLER_50_419 sky130_fd_sc_hd__fill_1 + SOURCE DIST + PLACED ( 198260 146880 ) N ;
- FILLER_50_421 sky130_fd_sc_hd__decap_12 + SOURCE DIST + PLACED ( 199180 146880 ) N ;
- FILLER_50_433 sky130_fd_sc_hd__decap_12 + SOURCE DIST + PLACED ( 204700 146880 ) N ;
- FILLER_50_445 sky130_fd_sc_hd__decap_12 + SOURCE DIST + PLACED ( 210220 146880 ) N ;
- FILLER_50_457 sky130_fd_sc_hd__decap_12 + SOURCE DIST + PLACED ( 215740 146880 ) N ;
- FILLER_50_469 sky130_fd_sc_hd__decap_6 + SOURCE DIST + PLACED ( 221260 146880 ) N ;
- FILLER_50_475 sky130_fd_sc_hd__fill_1 + SOURCE DIST + PLACED ( 224020 146880 ) N ;
- FILLER_50_477 sky130_fd_sc_hd__decap_12 + SOURCE DIST + PLACED ( 224940 146880 ) N ;
- FILLER_50_489 sky130_fd_sc_hd__decap_12 + SOURCE DIST + PLACED ( 230460 146880 ) N ;
- FILLER_50_50 sky130_fd_sc_hd__decap_12 + SOURCE DIST + PLACED ( 28520 146880 ) N ;
- FILLER_50_501 sky130_fd_sc_hd__decap_12 + SOURCE DIST + PLACED ( 235980 146880 ) N ;
- FILLER_50_513 sky130_fd_sc_hd__decap_12 + SOURCE DIST + PLACED ( 241500 146880 ) N ;
- FILLER_50_525 sky130_fd_sc_hd__decap_6 + SOURCE DIST + PLACED ( 247020 146880 ) N ;
- FILLER_50_531 sky130_fd_sc_hd__fill_1 + SOURCE DIST + PLACED ( 249780 146880 ) N ;
- FILLER_50_533 sky130_fd_sc_hd__decap_12 + SOURCE DIST + PLACED ( 250700 146880 ) N ;
- FILLER_50_545 sky130_fd_sc_hd__decap_12 + SOURCE DIST + PLACED ( 256220 146880 ) N ;
- FILLER_50_557 sky130_fd_sc_hd__decap_12 + SOURCE DIST + PLACED ( 261740 146880 ) N ;
- FILLER_50_569 sky130_fd_sc_hd__decap_12 + SOURCE DIST + PLACED ( 267260 146880 ) N ;
- FILLER_50_581 sky130_fd_sc_hd__decap_6 + SOURCE DIST + PLACED ( 272780 146880 ) N ;
- FILLER_50_587 sky130_fd_sc_hd__fill_1 + SOURCE DIST + PLACED ( 275540 146880 ) N ;
- FILLER_50_589 sky130_fd_sc_hd__decap_12 + SOURCE DIST + PLACED ( 276460 146880 ) N ;
- FILLER_50_601 sky130_fd_sc_hd__decap_12 + SOURCE DIST + PLACED ( 281980 146880 ) N ;
- FILLER_50_613 sky130_fd_sc_hd__decap_12 + SOURCE DIST + PLACED ( 287500 146880 ) N ;
- FILLER_50_62 sky130_fd_sc_hd__decap_12 + SOURCE DIST + PLACED ( 34040 146880 ) N ;
- FILLER_50_7 sky130_fd_sc_hd__fill_1 + SOURCE DIST + PLACED ( 8740 146880 ) N ;
- FILLER_50_74 sky130_fd_sc_hd__decap_8 + SOURCE DIST + PLACED ( 39560 146880 ) N ;
- FILLER_50_82 sky130_fd_sc_hd__fill_2 + SOURCE DIST + PLACED ( 43240 146880 ) N ;
- FILLER_50_85 sky130_fd_sc_hd__decap_12 + SOURCE DIST + PLACED ( 44620 146880 ) N ;
- FILLER_50_97 sky130_fd_sc_hd__decap_12 + SOURCE DIST + PLACED ( 50140 146880 ) N ;
- FILLER_51_102 sky130_fd_sc_hd__decap_8 + SOURCE DIST + PLACED ( 52440 149600 ) FS ;
- FILLER_51_11 sky130_fd_sc_hd__fill_1 + SOURCE DIST + PLACED ( 10580 149600 ) FS ;
- FILLER_51_110 sky130_fd_sc_hd__fill_2 + SOURCE DIST + PLACED ( 56120 149600 ) FS ;
- FILLER_51_113 sky130_fd_sc_hd__decap_12 + SOURCE DIST + PLACED ( 57500 149600 ) FS ;
- FILLER_51_125 sky130_fd_sc_hd__decap_12 + SOURCE DIST + PLACED ( 63020 149600 ) FS ;
- FILLER_51_137 sky130_fd_sc_hd__decap_12 + SOURCE DIST + PLACED ( 68540 149600 ) FS ;
- FILLER_51_149 sky130_fd_sc_hd__decap_12 + SOURCE DIST + PLACED ( 74060 149600 ) FS ;
- FILLER_51_15 sky130_fd_sc_hd__decap_12 + SOURCE DIST + PLACED ( 12420 149600 ) FS ;
- FILLER_51_161 sky130_fd_sc_hd__decap_6 + SOURCE DIST + PLACED ( 79580 149600 ) FS ;
- FILLER_51_167 sky130_fd_sc_hd__fill_1 + SOURCE DIST + PLACED ( 82340 149600 ) FS ;
- FILLER_51_169 sky130_fd_sc_hd__decap_12 + SOURCE DIST + PLACED ( 83260 149600 ) FS ;
- FILLER_51_181 sky130_fd_sc_hd__decap_12 + SOURCE DIST + PLACED ( 88780 149600 ) FS ;
- FILLER_51_193 sky130_fd_sc_hd__decap_12 + SOURCE DIST + PLACED ( 94300 149600 ) FS ;
- FILLER_51_205 sky130_fd_sc_hd__decap_12 + SOURCE DIST + PLACED ( 99820 149600 ) FS ;
- FILLER_51_217 sky130_fd_sc_hd__decap_6 + SOURCE DIST + PLACED ( 105340 149600 ) FS ;
- FILLER_51_223 sky130_fd_sc_hd__fill_1 + SOURCE DIST + PLACED ( 108100 149600 ) FS ;
- FILLER_51_225 sky130_fd_sc_hd__decap_12 + SOURCE DIST + PLACED ( 109020 149600 ) FS ;
- FILLER_51_237 sky130_fd_sc_hd__decap_12 + SOURCE DIST + PLACED ( 114540 149600 ) FS ;
- FILLER_51_249 sky130_fd_sc_hd__decap_12 + SOURCE DIST + PLACED ( 120060 149600 ) FS ;
- FILLER_51_261 sky130_fd_sc_hd__decap_12 + SOURCE DIST + PLACED ( 125580 149600 ) FS ;
- FILLER_51_27 sky130_fd_sc_hd__decap_12 + SOURCE DIST + PLACED ( 17940 149600 ) FS ;
- FILLER_51_273 sky130_fd_sc_hd__decap_6 + SOURCE DIST + PLACED ( 131100 149600 ) FS ;
- FILLER_51_279 sky130_fd_sc_hd__fill_1 + SOURCE DIST + PLACED ( 133860 149600 ) FS ;
- FILLER_51_281 sky130_fd_sc_hd__decap_12 + SOURCE DIST + PLACED ( 134780 149600 ) FS ;
- FILLER_51_293 sky130_fd_sc_hd__decap_12 + SOURCE DIST + PLACED ( 140300 149600 ) FS ;
- FILLER_51_305 sky130_fd_sc_hd__decap_12 + SOURCE DIST + PLACED ( 145820 149600 ) FS ;
- FILLER_51_317 sky130_fd_sc_hd__decap_12 + SOURCE DIST + PLACED ( 151340 149600 ) FS ;
- FILLER_51_329 sky130_fd_sc_hd__decap_6 + SOURCE DIST + PLACED ( 156860 149600 ) FS ;
- FILLER_51_335 sky130_fd_sc_hd__fill_1 + SOURCE DIST + PLACED ( 159620 149600 ) FS ;
- FILLER_51_337 sky130_fd_sc_hd__decap_12 + SOURCE DIST + PLACED ( 160540 149600 ) FS ;
- FILLER_51_349 sky130_fd_sc_hd__decap_12 + SOURCE DIST + PLACED ( 166060 149600 ) FS ;
- FILLER_51_361 sky130_fd_sc_hd__decap_12 + SOURCE DIST + PLACED ( 171580 149600 ) FS ;
- FILLER_51_373 sky130_fd_sc_hd__decap_12 + SOURCE DIST + PLACED ( 177100 149600 ) FS ;
- FILLER_51_385 sky130_fd_sc_hd__decap_6 + SOURCE DIST + PLACED ( 182620 149600 ) FS ;
- FILLER_51_39 sky130_fd_sc_hd__decap_12 + SOURCE DIST + PLACED ( 23460 149600 ) FS ;
- FILLER_51_391 sky130_fd_sc_hd__fill_1 + SOURCE DIST + PLACED ( 185380 149600 ) FS ;
- FILLER_51_393 sky130_fd_sc_hd__decap_12 + SOURCE DIST + PLACED ( 186300 149600 ) FS ;
- FILLER_51_405 sky130_fd_sc_hd__decap_12 + SOURCE DIST + PLACED ( 191820 149600 ) FS ;
- FILLER_51_417 sky130_fd_sc_hd__decap_12 + SOURCE DIST + PLACED ( 197340 149600 ) FS ;
- FILLER_51_429 sky130_fd_sc_hd__decap_12 + SOURCE DIST + PLACED ( 202860 149600 ) FS ;
- FILLER_51_441 sky130_fd_sc_hd__decap_6 + SOURCE DIST + PLACED ( 208380 149600 ) FS ;
- FILLER_51_447 sky130_fd_sc_hd__fill_1 + SOURCE DIST + PLACED ( 211140 149600 ) FS ;
- FILLER_51_449 sky130_fd_sc_hd__decap_12 + SOURCE DIST + PLACED ( 212060 149600 ) FS ;
- FILLER_51_461 sky130_fd_sc_hd__decap_12 + SOURCE DIST + PLACED ( 217580 149600 ) FS ;
- FILLER_51_473 sky130_fd_sc_hd__decap_12 + SOURCE DIST + PLACED ( 223100 149600 ) FS ;
- FILLER_51_485 sky130_fd_sc_hd__decap_12 + SOURCE DIST + PLACED ( 228620 149600 ) FS ;
- FILLER_51_497 sky130_fd_sc_hd__decap_6 + SOURCE DIST + PLACED ( 234140 149600 ) FS ;
- FILLER_51_503 sky130_fd_sc_hd__fill_1 + SOURCE DIST + PLACED ( 236900 149600 ) FS ;
- FILLER_51_505 sky130_fd_sc_hd__decap_12 + SOURCE DIST + PLACED ( 237820 149600 ) FS ;
- FILLER_51_51 sky130_fd_sc_hd__decap_4 + SOURCE DIST + PLACED ( 28980 149600 ) FS ;
- FILLER_51_517 sky130_fd_sc_hd__decap_12 + SOURCE DIST + PLACED ( 243340 149600 ) FS ;
- FILLER_51_529 sky130_fd_sc_hd__decap_12 + SOURCE DIST + PLACED ( 248860 149600 ) FS ;
- FILLER_51_541 sky130_fd_sc_hd__decap_12 + SOURCE DIST + PLACED ( 254380 149600 ) FS ;
- FILLER_51_55 sky130_fd_sc_hd__fill_1 + SOURCE DIST + PLACED ( 30820 149600 ) FS ;
- FILLER_51_553 sky130_fd_sc_hd__decap_6 + SOURCE DIST + PLACED ( 259900 149600 ) FS ;
- FILLER_51_559 sky130_fd_sc_hd__fill_1 + SOURCE DIST + PLACED ( 262660 149600 ) FS ;
- FILLER_51_561 sky130_fd_sc_hd__decap_12 + SOURCE DIST + PLACED ( 263580 149600 ) FS ;
- FILLER_51_57 sky130_fd_sc_hd__decap_6 + SOURCE DIST + PLACED ( 31740 149600 ) FS ;
- FILLER_51_573 sky130_fd_sc_hd__decap_12 + SOURCE DIST + PLACED ( 269100 149600 ) FS ;
- FILLER_51_585 sky130_fd_sc_hd__decap_12 + SOURCE DIST + PLACED ( 274620 149600 ) FS ;
- FILLER_51_597 sky130_fd_sc_hd__decap_12 + SOURCE DIST + PLACED ( 280140 149600 ) FS ;
- FILLER_51_609 sky130_fd_sc_hd__decap_6 + SOURCE DIST + PLACED ( 285660 149600 ) FS ;
- FILLER_51_615 sky130_fd_sc_hd__fill_1 + SOURCE DIST + PLACED ( 288420 149600 ) FS ;
- FILLER_51_617 sky130_fd_sc_hd__decap_8 + SOURCE DIST + PLACED ( 289340 149600 ) FS ;
- FILLER_51_66 sky130_fd_sc_hd__decap_12 + SOURCE DIST + PLACED ( 35880 149600 ) FS ;
- FILLER_51_7 sky130_fd_sc_hd__decap_4 + SOURCE DIST + PLACED ( 8740 149600 ) FS ;
- FILLER_51_78 sky130_fd_sc_hd__decap_12 + SOURCE DIST + PLACED ( 41400 149600 ) FS ;
- FILLER_51_90 sky130_fd_sc_hd__decap_12 + SOURCE DIST + PLACED ( 46920 149600 ) FS ;
- FILLER_52_109 sky130_fd_sc_hd__decap_12 + SOURCE DIST + PLACED ( 55660 152320 ) N ;
- FILLER_52_121 sky130_fd_sc_hd__decap_12 + SOURCE DIST + PLACED ( 61180 152320 ) N ;
- FILLER_52_133 sky130_fd_sc_hd__decap_6 + SOURCE DIST + PLACED ( 66700 152320 ) N ;
- FILLER_52_139 sky130_fd_sc_hd__fill_1 + SOURCE DIST + PLACED ( 69460 152320 ) N ;
- FILLER_52_14 sky130_fd_sc_hd__fill_1 + SOURCE DIST + PLACED ( 11960 152320 ) N ;
- FILLER_52_141 sky130_fd_sc_hd__decap_12 + SOURCE DIST + PLACED ( 70380 152320 ) N ;
- FILLER_52_153 sky130_fd_sc_hd__decap_12 + SOURCE DIST + PLACED ( 75900 152320 ) N ;
- FILLER_52_165 sky130_fd_sc_hd__decap_12 + SOURCE DIST + PLACED ( 81420 152320 ) N ;
- FILLER_52_177 sky130_fd_sc_hd__decap_12 + SOURCE DIST + PLACED ( 86940 152320 ) N ;
- FILLER_52_189 sky130_fd_sc_hd__decap_6 + SOURCE DIST + PLACED ( 92460 152320 ) N ;
- FILLER_52_195 sky130_fd_sc_hd__fill_1 + SOURCE DIST + PLACED ( 95220 152320 ) N ;
- FILLER_52_197 sky130_fd_sc_hd__decap_12 + SOURCE DIST + PLACED ( 96140 152320 ) N ;
- FILLER_52_209 sky130_fd_sc_hd__decap_12 + SOURCE DIST + PLACED ( 101660 152320 ) N ;
- FILLER_52_221 sky130_fd_sc_hd__decap_12 + SOURCE DIST + PLACED ( 107180 152320 ) N ;
- FILLER_52_233 sky130_fd_sc_hd__decap_12 + SOURCE DIST + PLACED ( 112700 152320 ) N ;
- FILLER_52_24 sky130_fd_sc_hd__decap_4 + SOURCE DIST + PLACED ( 16560 152320 ) N ;
- FILLER_52_245 sky130_fd_sc_hd__decap_6 + SOURCE DIST + PLACED ( 118220 152320 ) N ;
- FILLER_52_251 sky130_fd_sc_hd__fill_1 + SOURCE DIST + PLACED ( 120980 152320 ) N ;
- FILLER_52_253 sky130_fd_sc_hd__decap_12 + SOURCE DIST + PLACED ( 121900 152320 ) N ;
- FILLER_52_265 sky130_fd_sc_hd__decap_12 + SOURCE DIST + PLACED ( 127420 152320 ) N ;
- FILLER_52_277 sky130_fd_sc_hd__decap_12 + SOURCE DIST + PLACED ( 132940 152320 ) N ;
- FILLER_52_289 sky130_fd_sc_hd__decap_12 + SOURCE DIST + PLACED ( 138460 152320 ) N ;
- FILLER_52_29 sky130_fd_sc_hd__decap_4 + SOURCE DIST + PLACED ( 18860 152320 ) N ;
- FILLER_52_301 sky130_fd_sc_hd__decap_6 + SOURCE DIST + PLACED ( 143980 152320 ) N ;
- FILLER_52_307 sky130_fd_sc_hd__fill_1 + SOURCE DIST + PLACED ( 146740 152320 ) N ;
- FILLER_52_309 sky130_fd_sc_hd__decap_12 + SOURCE DIST + PLACED ( 147660 152320 ) N ;
- FILLER_52_321 sky130_fd_sc_hd__decap_12 + SOURCE DIST + PLACED ( 153180 152320 ) N ;
- FILLER_52_333 sky130_fd_sc_hd__decap_12 + SOURCE DIST + PLACED ( 158700 152320 ) N ;
- FILLER_52_345 sky130_fd_sc_hd__decap_12 + SOURCE DIST + PLACED ( 164220 152320 ) N ;
- FILLER_52_357 sky130_fd_sc_hd__decap_6 + SOURCE DIST + PLACED ( 169740 152320 ) N ;
- FILLER_52_36 sky130_fd_sc_hd__decap_4 + SOURCE DIST + PLACED ( 22080 152320 ) N ;
- FILLER_52_363 sky130_fd_sc_hd__fill_1 + SOURCE DIST + PLACED ( 172500 152320 ) N ;
- FILLER_52_365 sky130_fd_sc_hd__decap_12 + SOURCE DIST + PLACED ( 173420 152320 ) N ;
- FILLER_52_377 sky130_fd_sc_hd__decap_12 + SOURCE DIST + PLACED ( 178940 152320 ) N ;
- FILLER_52_389 sky130_fd_sc_hd__decap_12 + SOURCE DIST + PLACED ( 184460 152320 ) N ;
- FILLER_52_401 sky130_fd_sc_hd__decap_12 + SOURCE DIST + PLACED ( 189980 152320 ) N ;
- FILLER_52_413 sky130_fd_sc_hd__decap_6 + SOURCE DIST + PLACED ( 195500 152320 ) N ;
- FILLER_52_419 sky130_fd_sc_hd__fill_1 + SOURCE DIST + PLACED ( 198260 152320 ) N ;
- FILLER_52_421 sky130_fd_sc_hd__decap_12 + SOURCE DIST + PLACED ( 199180 152320 ) N ;
- FILLER_52_433 sky130_fd_sc_hd__decap_12 + SOURCE DIST + PLACED ( 204700 152320 ) N ;
- FILLER_52_445 sky130_fd_sc_hd__decap_12 + SOURCE DIST + PLACED ( 210220 152320 ) N ;
- FILLER_52_457 sky130_fd_sc_hd__decap_12 + SOURCE DIST + PLACED ( 215740 152320 ) N ;
- FILLER_52_469 sky130_fd_sc_hd__decap_6 + SOURCE DIST + PLACED ( 221260 152320 ) N ;
- FILLER_52_475 sky130_fd_sc_hd__fill_1 + SOURCE DIST + PLACED ( 224020 152320 ) N ;
- FILLER_52_477 sky130_fd_sc_hd__decap_12 + SOURCE DIST + PLACED ( 224940 152320 ) N ;
- FILLER_52_489 sky130_fd_sc_hd__decap_12 + SOURCE DIST + PLACED ( 230460 152320 ) N ;
- FILLER_52_501 sky130_fd_sc_hd__decap_12 + SOURCE DIST + PLACED ( 235980 152320 ) N ;
- FILLER_52_513 sky130_fd_sc_hd__decap_12 + SOURCE DIST + PLACED ( 241500 152320 ) N ;
- FILLER_52_525 sky130_fd_sc_hd__decap_6 + SOURCE DIST + PLACED ( 247020 152320 ) N ;
- FILLER_52_531 sky130_fd_sc_hd__fill_1 + SOURCE DIST + PLACED ( 249780 152320 ) N ;
- FILLER_52_533 sky130_fd_sc_hd__decap_12 + SOURCE DIST + PLACED ( 250700 152320 ) N ;
- FILLER_52_545 sky130_fd_sc_hd__decap_12 + SOURCE DIST + PLACED ( 256220 152320 ) N ;
- FILLER_52_557 sky130_fd_sc_hd__decap_12 + SOURCE DIST + PLACED ( 261740 152320 ) N ;
- FILLER_52_56 sky130_fd_sc_hd__decap_12 + SOURCE DIST + PLACED ( 31280 152320 ) N ;
- FILLER_52_569 sky130_fd_sc_hd__decap_12 + SOURCE DIST + PLACED ( 267260 152320 ) N ;
- FILLER_52_581 sky130_fd_sc_hd__decap_6 + SOURCE DIST + PLACED ( 272780 152320 ) N ;
- FILLER_52_587 sky130_fd_sc_hd__fill_1 + SOURCE DIST + PLACED ( 275540 152320 ) N ;
- FILLER_52_589 sky130_fd_sc_hd__decap_12 + SOURCE DIST + PLACED ( 276460 152320 ) N ;
- FILLER_52_6 sky130_fd_sc_hd__decap_8 + SOURCE DIST + PLACED ( 8280 152320 ) N ;
- FILLER_52_601 sky130_fd_sc_hd__decap_12 + SOURCE DIST + PLACED ( 281980 152320 ) N ;
- FILLER_52_613 sky130_fd_sc_hd__decap_12 + SOURCE DIST + PLACED ( 287500 152320 ) N ;
- FILLER_52_68 sky130_fd_sc_hd__decap_12 + SOURCE DIST + PLACED ( 36800 152320 ) N ;
- FILLER_52_80 sky130_fd_sc_hd__decap_4 + SOURCE DIST + PLACED ( 42320 152320 ) N ;
- FILLER_52_85 sky130_fd_sc_hd__decap_12 + SOURCE DIST + PLACED ( 44620 152320 ) N ;
- FILLER_52_97 sky130_fd_sc_hd__decap_12 + SOURCE DIST + PLACED ( 50140 152320 ) N ;
- FILLER_53_102 sky130_fd_sc_hd__decap_8 + SOURCE DIST + PLACED ( 52440 155040 ) FS ;
- FILLER_53_11 sky130_fd_sc_hd__fill_1 + SOURCE DIST + PLACED ( 10580 155040 ) FS ;
- FILLER_53_110 sky130_fd_sc_hd__fill_2 + SOURCE DIST + PLACED ( 56120 155040 ) FS ;
- FILLER_53_113 sky130_fd_sc_hd__decap_12 + SOURCE DIST + PLACED ( 57500 155040 ) FS ;
- FILLER_53_125 sky130_fd_sc_hd__decap_12 + SOURCE DIST + PLACED ( 63020 155040 ) FS ;
- FILLER_53_137 sky130_fd_sc_hd__decap_12 + SOURCE DIST + PLACED ( 68540 155040 ) FS ;
- FILLER_53_149 sky130_fd_sc_hd__decap_12 + SOURCE DIST + PLACED ( 74060 155040 ) FS ;
- FILLER_53_161 sky130_fd_sc_hd__decap_6 + SOURCE DIST + PLACED ( 79580 155040 ) FS ;
- FILLER_53_167 sky130_fd_sc_hd__fill_1 + SOURCE DIST + PLACED ( 82340 155040 ) FS ;
- FILLER_53_169 sky130_fd_sc_hd__decap_12 + SOURCE DIST + PLACED ( 83260 155040 ) FS ;
- FILLER_53_181 sky130_fd_sc_hd__decap_12 + SOURCE DIST + PLACED ( 88780 155040 ) FS ;
- FILLER_53_193 sky130_fd_sc_hd__decap_12 + SOURCE DIST + PLACED ( 94300 155040 ) FS ;
- FILLER_53_205 sky130_fd_sc_hd__decap_12 + SOURCE DIST + PLACED ( 99820 155040 ) FS ;
- FILLER_53_217 sky130_fd_sc_hd__decap_6 + SOURCE DIST + PLACED ( 105340 155040 ) FS ;
- FILLER_53_223 sky130_fd_sc_hd__fill_1 + SOURCE DIST + PLACED ( 108100 155040 ) FS ;
- FILLER_53_225 sky130_fd_sc_hd__decap_12 + SOURCE DIST + PLACED ( 109020 155040 ) FS ;
- FILLER_53_237 sky130_fd_sc_hd__decap_12 + SOURCE DIST + PLACED ( 114540 155040 ) FS ;
- FILLER_53_249 sky130_fd_sc_hd__decap_12 + SOURCE DIST + PLACED ( 120060 155040 ) FS ;
- FILLER_53_261 sky130_fd_sc_hd__decap_12 + SOURCE DIST + PLACED ( 125580 155040 ) FS ;
- FILLER_53_273 sky130_fd_sc_hd__decap_6 + SOURCE DIST + PLACED ( 131100 155040 ) FS ;
- FILLER_53_279 sky130_fd_sc_hd__fill_1 + SOURCE DIST + PLACED ( 133860 155040 ) FS ;
- FILLER_53_28 sky130_fd_sc_hd__decap_4 + SOURCE DIST + PLACED ( 18400 155040 ) FS ;
- FILLER_53_281 sky130_fd_sc_hd__decap_12 + SOURCE DIST + PLACED ( 134780 155040 ) FS ;
- FILLER_53_293 sky130_fd_sc_hd__decap_12 + SOURCE DIST + PLACED ( 140300 155040 ) FS ;
- FILLER_53_305 sky130_fd_sc_hd__decap_12 + SOURCE DIST + PLACED ( 145820 155040 ) FS ;
- FILLER_53_317 sky130_fd_sc_hd__decap_12 + SOURCE DIST + PLACED ( 151340 155040 ) FS ;
- FILLER_53_329 sky130_fd_sc_hd__decap_6 + SOURCE DIST + PLACED ( 156860 155040 ) FS ;
- FILLER_53_335 sky130_fd_sc_hd__fill_1 + SOURCE DIST + PLACED ( 159620 155040 ) FS ;
- FILLER_53_337 sky130_fd_sc_hd__decap_12 + SOURCE DIST + PLACED ( 160540 155040 ) FS ;
- FILLER_53_349 sky130_fd_sc_hd__decap_12 + SOURCE DIST + PLACED ( 166060 155040 ) FS ;
- FILLER_53_361 sky130_fd_sc_hd__decap_12 + SOURCE DIST + PLACED ( 171580 155040 ) FS ;
- FILLER_53_373 sky130_fd_sc_hd__decap_12 + SOURCE DIST + PLACED ( 177100 155040 ) FS ;
- FILLER_53_385 sky130_fd_sc_hd__decap_6 + SOURCE DIST + PLACED ( 182620 155040 ) FS ;
- FILLER_53_391 sky130_fd_sc_hd__fill_1 + SOURCE DIST + PLACED ( 185380 155040 ) FS ;
- FILLER_53_393 sky130_fd_sc_hd__decap_12 + SOURCE DIST + PLACED ( 186300 155040 ) FS ;
- FILLER_53_405 sky130_fd_sc_hd__decap_12 + SOURCE DIST + PLACED ( 191820 155040 ) FS ;
- FILLER_53_41 sky130_fd_sc_hd__decap_12 + SOURCE DIST + PLACED ( 24380 155040 ) FS ;
- FILLER_53_417 sky130_fd_sc_hd__decap_12 + SOURCE DIST + PLACED ( 197340 155040 ) FS ;
- FILLER_53_429 sky130_fd_sc_hd__decap_12 + SOURCE DIST + PLACED ( 202860 155040 ) FS ;
- FILLER_53_441 sky130_fd_sc_hd__decap_6 + SOURCE DIST + PLACED ( 208380 155040 ) FS ;
- FILLER_53_447 sky130_fd_sc_hd__fill_1 + SOURCE DIST + PLACED ( 211140 155040 ) FS ;
- FILLER_53_449 sky130_fd_sc_hd__decap_12 + SOURCE DIST + PLACED ( 212060 155040 ) FS ;
- FILLER_53_461 sky130_fd_sc_hd__decap_12 + SOURCE DIST + PLACED ( 217580 155040 ) FS ;
- FILLER_53_473 sky130_fd_sc_hd__decap_12 + SOURCE DIST + PLACED ( 223100 155040 ) FS ;
- FILLER_53_485 sky130_fd_sc_hd__decap_12 + SOURCE DIST + PLACED ( 228620 155040 ) FS ;
- FILLER_53_497 sky130_fd_sc_hd__decap_6 + SOURCE DIST + PLACED ( 234140 155040 ) FS ;
- FILLER_53_503 sky130_fd_sc_hd__fill_1 + SOURCE DIST + PLACED ( 236900 155040 ) FS ;
- FILLER_53_505 sky130_fd_sc_hd__decap_12 + SOURCE DIST + PLACED ( 237820 155040 ) FS ;
- FILLER_53_517 sky130_fd_sc_hd__decap_12 + SOURCE DIST + PLACED ( 243340 155040 ) FS ;
- FILLER_53_529 sky130_fd_sc_hd__decap_12 + SOURCE DIST + PLACED ( 248860 155040 ) FS ;
- FILLER_53_53 sky130_fd_sc_hd__decap_3 + SOURCE DIST + PLACED ( 29900 155040 ) FS ;
- FILLER_53_541 sky130_fd_sc_hd__decap_12 + SOURCE DIST + PLACED ( 254380 155040 ) FS ;
- FILLER_53_553 sky130_fd_sc_hd__decap_6 + SOURCE DIST + PLACED ( 259900 155040 ) FS ;
- FILLER_53_559 sky130_fd_sc_hd__fill_1 + SOURCE DIST + PLACED ( 262660 155040 ) FS ;
- FILLER_53_561 sky130_fd_sc_hd__decap_12 + SOURCE DIST + PLACED ( 263580 155040 ) FS ;
- FILLER_53_57 sky130_fd_sc_hd__decap_6 + SOURCE DIST + PLACED ( 31740 155040 ) FS ;
- FILLER_53_573 sky130_fd_sc_hd__decap_12 + SOURCE DIST + PLACED ( 269100 155040 ) FS ;
- FILLER_53_585 sky130_fd_sc_hd__decap_12 + SOURCE DIST + PLACED ( 274620 155040 ) FS ;
- FILLER_53_597 sky130_fd_sc_hd__decap_12 + SOURCE DIST + PLACED ( 280140 155040 ) FS ;
- FILLER_53_609 sky130_fd_sc_hd__decap_6 + SOURCE DIST + PLACED ( 285660 155040 ) FS ;
- FILLER_53_615 sky130_fd_sc_hd__fill_1 + SOURCE DIST + PLACED ( 288420 155040 ) FS ;
- FILLER_53_617 sky130_fd_sc_hd__decap_8 + SOURCE DIST + PLACED ( 289340 155040 ) FS ;
- FILLER_53_66 sky130_fd_sc_hd__decap_12 + SOURCE DIST + PLACED ( 35880 155040 ) FS ;
- FILLER_53_7 sky130_fd_sc_hd__decap_4 + SOURCE DIST + PLACED ( 8740 155040 ) FS ;
- FILLER_53_78 sky130_fd_sc_hd__decap_12 + SOURCE DIST + PLACED ( 41400 155040 ) FS ;
- FILLER_53_90 sky130_fd_sc_hd__decap_12 + SOURCE DIST + PLACED ( 46920 155040 ) FS ;
- FILLER_54_109 sky130_fd_sc_hd__decap_12 + SOURCE DIST + PLACED ( 55660 157760 ) N ;
- FILLER_54_121 sky130_fd_sc_hd__decap_12 + SOURCE DIST + PLACED ( 61180 157760 ) N ;
- FILLER_54_133 sky130_fd_sc_hd__decap_6 + SOURCE DIST + PLACED ( 66700 157760 ) N ;
- FILLER_54_139 sky130_fd_sc_hd__fill_1 + SOURCE DIST + PLACED ( 69460 157760 ) N ;
- FILLER_54_14 sky130_fd_sc_hd__fill_1 + SOURCE DIST + PLACED ( 11960 157760 ) N ;
- FILLER_54_141 sky130_fd_sc_hd__decap_12 + SOURCE DIST + PLACED ( 70380 157760 ) N ;
- FILLER_54_153 sky130_fd_sc_hd__decap_12 + SOURCE DIST + PLACED ( 75900 157760 ) N ;
- FILLER_54_165 sky130_fd_sc_hd__decap_12 + SOURCE DIST + PLACED ( 81420 157760 ) N ;
- FILLER_54_177 sky130_fd_sc_hd__decap_12 + SOURCE DIST + PLACED ( 86940 157760 ) N ;
- FILLER_54_18 sky130_fd_sc_hd__decap_8 + SOURCE DIST + PLACED ( 13800 157760 ) N ;
- FILLER_54_189 sky130_fd_sc_hd__decap_6 + SOURCE DIST + PLACED ( 92460 157760 ) N ;
- FILLER_54_195 sky130_fd_sc_hd__fill_1 + SOURCE DIST + PLACED ( 95220 157760 ) N ;
- FILLER_54_197 sky130_fd_sc_hd__decap_12 + SOURCE DIST + PLACED ( 96140 157760 ) N ;
- FILLER_54_209 sky130_fd_sc_hd__decap_12 + SOURCE DIST + PLACED ( 101660 157760 ) N ;
- FILLER_54_221 sky130_fd_sc_hd__decap_12 + SOURCE DIST + PLACED ( 107180 157760 ) N ;
- FILLER_54_233 sky130_fd_sc_hd__decap_12 + SOURCE DIST + PLACED ( 112700 157760 ) N ;
- FILLER_54_245 sky130_fd_sc_hd__decap_6 + SOURCE DIST + PLACED ( 118220 157760 ) N ;
- FILLER_54_251 sky130_fd_sc_hd__fill_1 + SOURCE DIST + PLACED ( 120980 157760 ) N ;
- FILLER_54_253 sky130_fd_sc_hd__decap_12 + SOURCE DIST + PLACED ( 121900 157760 ) N ;
- FILLER_54_26 sky130_fd_sc_hd__fill_2 + SOURCE DIST + PLACED ( 17480 157760 ) N ;
- FILLER_54_265 sky130_fd_sc_hd__decap_12 + SOURCE DIST + PLACED ( 127420 157760 ) N ;
- FILLER_54_277 sky130_fd_sc_hd__decap_12 + SOURCE DIST + PLACED ( 132940 157760 ) N ;
- FILLER_54_289 sky130_fd_sc_hd__decap_12 + SOURCE DIST + PLACED ( 138460 157760 ) N ;
- FILLER_54_29 sky130_fd_sc_hd__decap_12 + SOURCE DIST + PLACED ( 18860 157760 ) N ;
- FILLER_54_301 sky130_fd_sc_hd__decap_6 + SOURCE DIST + PLACED ( 143980 157760 ) N ;
- FILLER_54_307 sky130_fd_sc_hd__fill_1 + SOURCE DIST + PLACED ( 146740 157760 ) N ;
- FILLER_54_309 sky130_fd_sc_hd__decap_12 + SOURCE DIST + PLACED ( 147660 157760 ) N ;
- FILLER_54_321 sky130_fd_sc_hd__decap_12 + SOURCE DIST + PLACED ( 153180 157760 ) N ;
- FILLER_54_333 sky130_fd_sc_hd__decap_12 + SOURCE DIST + PLACED ( 158700 157760 ) N ;
- FILLER_54_345 sky130_fd_sc_hd__decap_12 + SOURCE DIST + PLACED ( 164220 157760 ) N ;
- FILLER_54_357 sky130_fd_sc_hd__decap_6 + SOURCE DIST + PLACED ( 169740 157760 ) N ;
- FILLER_54_363 sky130_fd_sc_hd__fill_1 + SOURCE DIST + PLACED ( 172500 157760 ) N ;
- FILLER_54_365 sky130_fd_sc_hd__decap_12 + SOURCE DIST + PLACED ( 173420 157760 ) N ;
- FILLER_54_377 sky130_fd_sc_hd__decap_12 + SOURCE DIST + PLACED ( 178940 157760 ) N ;
- FILLER_54_389 sky130_fd_sc_hd__decap_12 + SOURCE DIST + PLACED ( 184460 157760 ) N ;
- FILLER_54_401 sky130_fd_sc_hd__decap_12 + SOURCE DIST + PLACED ( 189980 157760 ) N ;
- FILLER_54_41 sky130_fd_sc_hd__decap_12 + SOURCE DIST + PLACED ( 24380 157760 ) N ;
- FILLER_54_413 sky130_fd_sc_hd__decap_6 + SOURCE DIST + PLACED ( 195500 157760 ) N ;
- FILLER_54_419 sky130_fd_sc_hd__fill_1 + SOURCE DIST + PLACED ( 198260 157760 ) N ;
- FILLER_54_421 sky130_fd_sc_hd__decap_12 + SOURCE DIST + PLACED ( 199180 157760 ) N ;
- FILLER_54_433 sky130_fd_sc_hd__decap_12 + SOURCE DIST + PLACED ( 204700 157760 ) N ;
- FILLER_54_445 sky130_fd_sc_hd__decap_12 + SOURCE DIST + PLACED ( 210220 157760 ) N ;
- FILLER_54_457 sky130_fd_sc_hd__decap_12 + SOURCE DIST + PLACED ( 215740 157760 ) N ;
- FILLER_54_469 sky130_fd_sc_hd__decap_6 + SOURCE DIST + PLACED ( 221260 157760 ) N ;
- FILLER_54_475 sky130_fd_sc_hd__fill_1 + SOURCE DIST + PLACED ( 224020 157760 ) N ;
- FILLER_54_477 sky130_fd_sc_hd__decap_12 + SOURCE DIST + PLACED ( 224940 157760 ) N ;
- FILLER_54_489 sky130_fd_sc_hd__decap_12 + SOURCE DIST + PLACED ( 230460 157760 ) N ;
- FILLER_54_501 sky130_fd_sc_hd__decap_12 + SOURCE DIST + PLACED ( 235980 157760 ) N ;
- FILLER_54_513 sky130_fd_sc_hd__decap_12 + SOURCE DIST + PLACED ( 241500 157760 ) N ;
- FILLER_54_525 sky130_fd_sc_hd__decap_6 + SOURCE DIST + PLACED ( 247020 157760 ) N ;
- FILLER_54_53 sky130_fd_sc_hd__decap_12 + SOURCE DIST + PLACED ( 29900 157760 ) N ;
- FILLER_54_531 sky130_fd_sc_hd__fill_1 + SOURCE DIST + PLACED ( 249780 157760 ) N ;
- FILLER_54_533 sky130_fd_sc_hd__decap_12 + SOURCE DIST + PLACED ( 250700 157760 ) N ;
- FILLER_54_545 sky130_fd_sc_hd__decap_12 + SOURCE DIST + PLACED ( 256220 157760 ) N ;
- FILLER_54_557 sky130_fd_sc_hd__decap_12 + SOURCE DIST + PLACED ( 261740 157760 ) N ;
- FILLER_54_569 sky130_fd_sc_hd__decap_12 + SOURCE DIST + PLACED ( 267260 157760 ) N ;
- FILLER_54_581 sky130_fd_sc_hd__decap_6 + SOURCE DIST + PLACED ( 272780 157760 ) N ;
- FILLER_54_587 sky130_fd_sc_hd__fill_1 + SOURCE DIST + PLACED ( 275540 157760 ) N ;
- FILLER_54_589 sky130_fd_sc_hd__decap_12 + SOURCE DIST + PLACED ( 276460 157760 ) N ;
- FILLER_54_6 sky130_fd_sc_hd__decap_8 + SOURCE DIST + PLACED ( 8280 157760 ) N ;
- FILLER_54_601 sky130_fd_sc_hd__decap_12 + SOURCE DIST + PLACED ( 281980 157760 ) N ;
- FILLER_54_613 sky130_fd_sc_hd__decap_12 + SOURCE DIST + PLACED ( 287500 157760 ) N ;
- FILLER_54_65 sky130_fd_sc_hd__decap_12 + SOURCE DIST + PLACED ( 35420 157760 ) N ;
- FILLER_54_77 sky130_fd_sc_hd__decap_6 + SOURCE DIST + PLACED ( 40940 157760 ) N ;
- FILLER_54_83 sky130_fd_sc_hd__fill_1 + SOURCE DIST + PLACED ( 43700 157760 ) N ;
- FILLER_54_85 sky130_fd_sc_hd__decap_12 + SOURCE DIST + PLACED ( 44620 157760 ) N ;
- FILLER_54_97 sky130_fd_sc_hd__decap_12 + SOURCE DIST + PLACED ( 50140 157760 ) N ;
- FILLER_55_105 sky130_fd_sc_hd__decap_6 + SOURCE DIST + PLACED ( 53820 160480 ) FS ;
- FILLER_55_111 sky130_fd_sc_hd__fill_1 + SOURCE DIST + PLACED ( 56580 160480 ) FS ;
- FILLER_55_113 sky130_fd_sc_hd__decap_12 + SOURCE DIST + PLACED ( 57500 160480 ) FS ;
- FILLER_55_125 sky130_fd_sc_hd__decap_12 + SOURCE DIST + PLACED ( 63020 160480 ) FS ;
- FILLER_55_137 sky130_fd_sc_hd__decap_12 + SOURCE DIST + PLACED ( 68540 160480 ) FS ;
- FILLER_55_149 sky130_fd_sc_hd__decap_12 + SOURCE DIST + PLACED ( 74060 160480 ) FS ;
- FILLER_55_161 sky130_fd_sc_hd__decap_6 + SOURCE DIST + PLACED ( 79580 160480 ) FS ;
- FILLER_55_167 sky130_fd_sc_hd__fill_1 + SOURCE DIST + PLACED ( 82340 160480 ) FS ;
- FILLER_55_169 sky130_fd_sc_hd__decap_12 + SOURCE DIST + PLACED ( 83260 160480 ) FS ;
- FILLER_55_181 sky130_fd_sc_hd__decap_12 + SOURCE DIST + PLACED ( 88780 160480 ) FS ;
- FILLER_55_19 sky130_fd_sc_hd__decap_12 + SOURCE DIST + PLACED ( 14260 160480 ) FS ;
- FILLER_55_193 sky130_fd_sc_hd__decap_12 + SOURCE DIST + PLACED ( 94300 160480 ) FS ;
- FILLER_55_205 sky130_fd_sc_hd__decap_12 + SOURCE DIST + PLACED ( 99820 160480 ) FS ;
- FILLER_55_217 sky130_fd_sc_hd__decap_6 + SOURCE DIST + PLACED ( 105340 160480 ) FS ;
- FILLER_55_223 sky130_fd_sc_hd__fill_1 + SOURCE DIST + PLACED ( 108100 160480 ) FS ;
- FILLER_55_225 sky130_fd_sc_hd__decap_12 + SOURCE DIST + PLACED ( 109020 160480 ) FS ;
- FILLER_55_237 sky130_fd_sc_hd__decap_12 + SOURCE DIST + PLACED ( 114540 160480 ) FS ;
- FILLER_55_249 sky130_fd_sc_hd__decap_12 + SOURCE DIST + PLACED ( 120060 160480 ) FS ;
- FILLER_55_261 sky130_fd_sc_hd__decap_12 + SOURCE DIST + PLACED ( 125580 160480 ) FS ;
- FILLER_55_273 sky130_fd_sc_hd__decap_6 + SOURCE DIST + PLACED ( 131100 160480 ) FS ;
- FILLER_55_279 sky130_fd_sc_hd__fill_1 + SOURCE DIST + PLACED ( 133860 160480 ) FS ;
- FILLER_55_281 sky130_fd_sc_hd__decap_12 + SOURCE DIST + PLACED ( 134780 160480 ) FS ;
- FILLER_55_293 sky130_fd_sc_hd__decap_12 + SOURCE DIST + PLACED ( 140300 160480 ) FS ;
- FILLER_55_305 sky130_fd_sc_hd__decap_12 + SOURCE DIST + PLACED ( 145820 160480 ) FS ;
- FILLER_55_31 sky130_fd_sc_hd__decap_12 + SOURCE DIST + PLACED ( 19780 160480 ) FS ;
- FILLER_55_317 sky130_fd_sc_hd__decap_12 + SOURCE DIST + PLACED ( 151340 160480 ) FS ;
- FILLER_55_329 sky130_fd_sc_hd__decap_6 + SOURCE DIST + PLACED ( 156860 160480 ) FS ;
- FILLER_55_335 sky130_fd_sc_hd__fill_1 + SOURCE DIST + PLACED ( 159620 160480 ) FS ;
- FILLER_55_337 sky130_fd_sc_hd__decap_12 + SOURCE DIST + PLACED ( 160540 160480 ) FS ;
- FILLER_55_349 sky130_fd_sc_hd__decap_12 + SOURCE DIST + PLACED ( 166060 160480 ) FS ;
- FILLER_55_361 sky130_fd_sc_hd__decap_12 + SOURCE DIST + PLACED ( 171580 160480 ) FS ;
- FILLER_55_373 sky130_fd_sc_hd__decap_12 + SOURCE DIST + PLACED ( 177100 160480 ) FS ;
- FILLER_55_385 sky130_fd_sc_hd__decap_6 + SOURCE DIST + PLACED ( 182620 160480 ) FS ;
- FILLER_55_391 sky130_fd_sc_hd__fill_1 + SOURCE DIST + PLACED ( 185380 160480 ) FS ;
- FILLER_55_393 sky130_fd_sc_hd__decap_12 + SOURCE DIST + PLACED ( 186300 160480 ) FS ;
- FILLER_55_405 sky130_fd_sc_hd__decap_12 + SOURCE DIST + PLACED ( 191820 160480 ) FS ;
- FILLER_55_417 sky130_fd_sc_hd__decap_12 + SOURCE DIST + PLACED ( 197340 160480 ) FS ;
- FILLER_55_429 sky130_fd_sc_hd__decap_12 + SOURCE DIST + PLACED ( 202860 160480 ) FS ;
- FILLER_55_43 sky130_fd_sc_hd__decap_12 + SOURCE DIST + PLACED ( 25300 160480 ) FS ;
- FILLER_55_441 sky130_fd_sc_hd__decap_6 + SOURCE DIST + PLACED ( 208380 160480 ) FS ;
- FILLER_55_447 sky130_fd_sc_hd__fill_1 + SOURCE DIST + PLACED ( 211140 160480 ) FS ;
- FILLER_55_449 sky130_fd_sc_hd__decap_12 + SOURCE DIST + PLACED ( 212060 160480 ) FS ;
- FILLER_55_461 sky130_fd_sc_hd__decap_12 + SOURCE DIST + PLACED ( 217580 160480 ) FS ;
- FILLER_55_473 sky130_fd_sc_hd__decap_12 + SOURCE DIST + PLACED ( 223100 160480 ) FS ;
- FILLER_55_485 sky130_fd_sc_hd__decap_12 + SOURCE DIST + PLACED ( 228620 160480 ) FS ;
- FILLER_55_497 sky130_fd_sc_hd__decap_6 + SOURCE DIST + PLACED ( 234140 160480 ) FS ;
- FILLER_55_503 sky130_fd_sc_hd__fill_1 + SOURCE DIST + PLACED ( 236900 160480 ) FS ;
- FILLER_55_505 sky130_fd_sc_hd__decap_12 + SOURCE DIST + PLACED ( 237820 160480 ) FS ;
- FILLER_55_517 sky130_fd_sc_hd__decap_12 + SOURCE DIST + PLACED ( 243340 160480 ) FS ;
- FILLER_55_529 sky130_fd_sc_hd__decap_12 + SOURCE DIST + PLACED ( 248860 160480 ) FS ;
- FILLER_55_541 sky130_fd_sc_hd__decap_12 + SOURCE DIST + PLACED ( 254380 160480 ) FS ;
- FILLER_55_55 sky130_fd_sc_hd__fill_1 + SOURCE DIST + PLACED ( 30820 160480 ) FS ;
- FILLER_55_553 sky130_fd_sc_hd__decap_6 + SOURCE DIST + PLACED ( 259900 160480 ) FS ;
- FILLER_55_559 sky130_fd_sc_hd__fill_1 + SOURCE DIST + PLACED ( 262660 160480 ) FS ;
- FILLER_55_561 sky130_fd_sc_hd__decap_12 + SOURCE DIST + PLACED ( 263580 160480 ) FS ;
- FILLER_55_57 sky130_fd_sc_hd__decap_12 + SOURCE DIST + PLACED ( 31740 160480 ) FS ;
- FILLER_55_573 sky130_fd_sc_hd__decap_12 + SOURCE DIST + PLACED ( 269100 160480 ) FS ;
- FILLER_55_585 sky130_fd_sc_hd__decap_12 + SOURCE DIST + PLACED ( 274620 160480 ) FS ;
- FILLER_55_597 sky130_fd_sc_hd__decap_12 + SOURCE DIST + PLACED ( 280140 160480 ) FS ;
- FILLER_55_609 sky130_fd_sc_hd__decap_6 + SOURCE DIST + PLACED ( 285660 160480 ) FS ;
- FILLER_55_615 sky130_fd_sc_hd__fill_1 + SOURCE DIST + PLACED ( 288420 160480 ) FS ;
- FILLER_55_617 sky130_fd_sc_hd__decap_8 + SOURCE DIST + PLACED ( 289340 160480 ) FS ;
- FILLER_55_69 sky130_fd_sc_hd__decap_12 + SOURCE DIST + PLACED ( 37260 160480 ) FS ;
- FILLER_55_7 sky130_fd_sc_hd__decap_12 + SOURCE DIST + PLACED ( 8740 160480 ) FS ;
- FILLER_55_81 sky130_fd_sc_hd__decap_12 + SOURCE DIST + PLACED ( 42780 160480 ) FS ;
- FILLER_55_93 sky130_fd_sc_hd__decap_12 + SOURCE DIST + PLACED ( 48300 160480 ) FS ;
- FILLER_56_109 sky130_fd_sc_hd__decap_12 + SOURCE DIST + PLACED ( 55660 163200 ) N ;
- FILLER_56_121 sky130_fd_sc_hd__decap_12 + SOURCE DIST + PLACED ( 61180 163200 ) N ;
- FILLER_56_133 sky130_fd_sc_hd__decap_6 + SOURCE DIST + PLACED ( 66700 163200 ) N ;
- FILLER_56_139 sky130_fd_sc_hd__fill_1 + SOURCE DIST + PLACED ( 69460 163200 ) N ;
- FILLER_56_141 sky130_fd_sc_hd__decap_12 + SOURCE DIST + PLACED ( 70380 163200 ) N ;
- FILLER_56_15 sky130_fd_sc_hd__decap_12 + SOURCE DIST + PLACED ( 12420 163200 ) N ;
- FILLER_56_153 sky130_fd_sc_hd__decap_12 + SOURCE DIST + PLACED ( 75900 163200 ) N ;
- FILLER_56_165 sky130_fd_sc_hd__decap_12 + SOURCE DIST + PLACED ( 81420 163200 ) N ;
- FILLER_56_177 sky130_fd_sc_hd__decap_12 + SOURCE DIST + PLACED ( 86940 163200 ) N ;
- FILLER_56_189 sky130_fd_sc_hd__decap_6 + SOURCE DIST + PLACED ( 92460 163200 ) N ;
- FILLER_56_195 sky130_fd_sc_hd__fill_1 + SOURCE DIST + PLACED ( 95220 163200 ) N ;
- FILLER_56_197 sky130_fd_sc_hd__decap_12 + SOURCE DIST + PLACED ( 96140 163200 ) N ;
- FILLER_56_209 sky130_fd_sc_hd__decap_12 + SOURCE DIST + PLACED ( 101660 163200 ) N ;
- FILLER_56_221 sky130_fd_sc_hd__decap_12 + SOURCE DIST + PLACED ( 107180 163200 ) N ;
- FILLER_56_233 sky130_fd_sc_hd__decap_12 + SOURCE DIST + PLACED ( 112700 163200 ) N ;
- FILLER_56_245 sky130_fd_sc_hd__decap_6 + SOURCE DIST + PLACED ( 118220 163200 ) N ;
- FILLER_56_251 sky130_fd_sc_hd__fill_1 + SOURCE DIST + PLACED ( 120980 163200 ) N ;
- FILLER_56_253 sky130_fd_sc_hd__decap_12 + SOURCE DIST + PLACED ( 121900 163200 ) N ;
- FILLER_56_265 sky130_fd_sc_hd__decap_12 + SOURCE DIST + PLACED ( 127420 163200 ) N ;
- FILLER_56_27 sky130_fd_sc_hd__fill_1 + SOURCE DIST + PLACED ( 17940 163200 ) N ;
- FILLER_56_277 sky130_fd_sc_hd__decap_12 + SOURCE DIST + PLACED ( 132940 163200 ) N ;
- FILLER_56_289 sky130_fd_sc_hd__decap_12 + SOURCE DIST + PLACED ( 138460 163200 ) N ;
- FILLER_56_29 sky130_fd_sc_hd__decap_12 + SOURCE DIST + PLACED ( 18860 163200 ) N ;
- FILLER_56_301 sky130_fd_sc_hd__decap_6 + SOURCE DIST + PLACED ( 143980 163200 ) N ;
- FILLER_56_307 sky130_fd_sc_hd__fill_1 + SOURCE DIST + PLACED ( 146740 163200 ) N ;
- FILLER_56_309 sky130_fd_sc_hd__decap_12 + SOURCE DIST + PLACED ( 147660 163200 ) N ;
- FILLER_56_321 sky130_fd_sc_hd__decap_12 + SOURCE DIST + PLACED ( 153180 163200 ) N ;
- FILLER_56_333 sky130_fd_sc_hd__decap_12 + SOURCE DIST + PLACED ( 158700 163200 ) N ;
- FILLER_56_345 sky130_fd_sc_hd__decap_12 + SOURCE DIST + PLACED ( 164220 163200 ) N ;
- FILLER_56_357 sky130_fd_sc_hd__decap_6 + SOURCE DIST + PLACED ( 169740 163200 ) N ;
- FILLER_56_363 sky130_fd_sc_hd__fill_1 + SOURCE DIST + PLACED ( 172500 163200 ) N ;
- FILLER_56_365 sky130_fd_sc_hd__decap_12 + SOURCE DIST + PLACED ( 173420 163200 ) N ;
- FILLER_56_377 sky130_fd_sc_hd__decap_12 + SOURCE DIST + PLACED ( 178940 163200 ) N ;
- FILLER_56_389 sky130_fd_sc_hd__decap_12 + SOURCE DIST + PLACED ( 184460 163200 ) N ;
- FILLER_56_401 sky130_fd_sc_hd__decap_12 + SOURCE DIST + PLACED ( 189980 163200 ) N ;
- FILLER_56_41 sky130_fd_sc_hd__decap_12 + SOURCE DIST + PLACED ( 24380 163200 ) N ;
- FILLER_56_413 sky130_fd_sc_hd__decap_6 + SOURCE DIST + PLACED ( 195500 163200 ) N ;
- FILLER_56_419 sky130_fd_sc_hd__fill_1 + SOURCE DIST + PLACED ( 198260 163200 ) N ;
- FILLER_56_421 sky130_fd_sc_hd__decap_12 + SOURCE DIST + PLACED ( 199180 163200 ) N ;
- FILLER_56_433 sky130_fd_sc_hd__decap_12 + SOURCE DIST + PLACED ( 204700 163200 ) N ;
- FILLER_56_445 sky130_fd_sc_hd__decap_12 + SOURCE DIST + PLACED ( 210220 163200 ) N ;
- FILLER_56_457 sky130_fd_sc_hd__decap_12 + SOURCE DIST + PLACED ( 215740 163200 ) N ;
- FILLER_56_469 sky130_fd_sc_hd__decap_6 + SOURCE DIST + PLACED ( 221260 163200 ) N ;
- FILLER_56_475 sky130_fd_sc_hd__fill_1 + SOURCE DIST + PLACED ( 224020 163200 ) N ;
- FILLER_56_477 sky130_fd_sc_hd__decap_12 + SOURCE DIST + PLACED ( 224940 163200 ) N ;
- FILLER_56_489 sky130_fd_sc_hd__decap_12 + SOURCE DIST + PLACED ( 230460 163200 ) N ;
- FILLER_56_501 sky130_fd_sc_hd__decap_12 + SOURCE DIST + PLACED ( 235980 163200 ) N ;
- FILLER_56_513 sky130_fd_sc_hd__decap_12 + SOURCE DIST + PLACED ( 241500 163200 ) N ;
- FILLER_56_525 sky130_fd_sc_hd__decap_6 + SOURCE DIST + PLACED ( 247020 163200 ) N ;
- FILLER_56_53 sky130_fd_sc_hd__decap_12 + SOURCE DIST + PLACED ( 29900 163200 ) N ;
- FILLER_56_531 sky130_fd_sc_hd__fill_1 + SOURCE DIST + PLACED ( 249780 163200 ) N ;
- FILLER_56_533 sky130_fd_sc_hd__decap_12 + SOURCE DIST + PLACED ( 250700 163200 ) N ;
- FILLER_56_545 sky130_fd_sc_hd__decap_12 + SOURCE DIST + PLACED ( 256220 163200 ) N ;
- FILLER_56_557 sky130_fd_sc_hd__decap_12 + SOURCE DIST + PLACED ( 261740 163200 ) N ;
- FILLER_56_569 sky130_fd_sc_hd__decap_12 + SOURCE DIST + PLACED ( 267260 163200 ) N ;
- FILLER_56_581 sky130_fd_sc_hd__decap_6 + SOURCE DIST + PLACED ( 272780 163200 ) N ;
- FILLER_56_587 sky130_fd_sc_hd__fill_1 + SOURCE DIST + PLACED ( 275540 163200 ) N ;
- FILLER_56_589 sky130_fd_sc_hd__decap_12 + SOURCE DIST + PLACED ( 276460 163200 ) N ;
- FILLER_56_6 sky130_fd_sc_hd__decap_6 + SOURCE DIST + PLACED ( 8280 163200 ) N ;
- FILLER_56_601 sky130_fd_sc_hd__decap_12 + SOURCE DIST + PLACED ( 281980 163200 ) N ;
- FILLER_56_613 sky130_fd_sc_hd__decap_12 + SOURCE DIST + PLACED ( 287500 163200 ) N ;
- FILLER_56_65 sky130_fd_sc_hd__decap_12 + SOURCE DIST + PLACED ( 35420 163200 ) N ;
- FILLER_56_77 sky130_fd_sc_hd__decap_6 + SOURCE DIST + PLACED ( 40940 163200 ) N ;
- FILLER_56_83 sky130_fd_sc_hd__fill_1 + SOURCE DIST + PLACED ( 43700 163200 ) N ;
- FILLER_56_85 sky130_fd_sc_hd__decap_12 + SOURCE DIST + PLACED ( 44620 163200 ) N ;
- FILLER_56_97 sky130_fd_sc_hd__decap_12 + SOURCE DIST + PLACED ( 50140 163200 ) N ;
- FILLER_57_105 sky130_fd_sc_hd__decap_6 + SOURCE DIST + PLACED ( 53820 165920 ) FS ;
- FILLER_57_11 sky130_fd_sc_hd__fill_1 + SOURCE DIST + PLACED ( 10580 165920 ) FS ;
- FILLER_57_111 sky130_fd_sc_hd__fill_1 + SOURCE DIST + PLACED ( 56580 165920 ) FS ;
- FILLER_57_113 sky130_fd_sc_hd__decap_12 + SOURCE DIST + PLACED ( 57500 165920 ) FS ;
- FILLER_57_125 sky130_fd_sc_hd__decap_12 + SOURCE DIST + PLACED ( 63020 165920 ) FS ;
- FILLER_57_137 sky130_fd_sc_hd__decap_12 + SOURCE DIST + PLACED ( 68540 165920 ) FS ;
- FILLER_57_149 sky130_fd_sc_hd__decap_12 + SOURCE DIST + PLACED ( 74060 165920 ) FS ;
- FILLER_57_15 sky130_fd_sc_hd__decap_12 + SOURCE DIST + PLACED ( 12420 165920 ) FS ;
- FILLER_57_161 sky130_fd_sc_hd__decap_6 + SOURCE DIST + PLACED ( 79580 165920 ) FS ;
- FILLER_57_167 sky130_fd_sc_hd__fill_1 + SOURCE DIST + PLACED ( 82340 165920 ) FS ;
- FILLER_57_169 sky130_fd_sc_hd__decap_12 + SOURCE DIST + PLACED ( 83260 165920 ) FS ;
- FILLER_57_181 sky130_fd_sc_hd__decap_12 + SOURCE DIST + PLACED ( 88780 165920 ) FS ;
- FILLER_57_193 sky130_fd_sc_hd__decap_12 + SOURCE DIST + PLACED ( 94300 165920 ) FS ;
- FILLER_57_205 sky130_fd_sc_hd__decap_12 + SOURCE DIST + PLACED ( 99820 165920 ) FS ;
- FILLER_57_217 sky130_fd_sc_hd__decap_6 + SOURCE DIST + PLACED ( 105340 165920 ) FS ;
- FILLER_57_223 sky130_fd_sc_hd__fill_1 + SOURCE DIST + PLACED ( 108100 165920 ) FS ;
- FILLER_57_225 sky130_fd_sc_hd__decap_12 + SOURCE DIST + PLACED ( 109020 165920 ) FS ;
- FILLER_57_237 sky130_fd_sc_hd__decap_12 + SOURCE DIST + PLACED ( 114540 165920 ) FS ;
- FILLER_57_249 sky130_fd_sc_hd__decap_12 + SOURCE DIST + PLACED ( 120060 165920 ) FS ;
- FILLER_57_261 sky130_fd_sc_hd__decap_12 + SOURCE DIST + PLACED ( 125580 165920 ) FS ;
- FILLER_57_27 sky130_fd_sc_hd__decap_12 + SOURCE DIST + PLACED ( 17940 165920 ) FS ;
- FILLER_57_273 sky130_fd_sc_hd__decap_6 + SOURCE DIST + PLACED ( 131100 165920 ) FS ;
- FILLER_57_279 sky130_fd_sc_hd__fill_1 + SOURCE DIST + PLACED ( 133860 165920 ) FS ;
- FILLER_57_281 sky130_fd_sc_hd__decap_12 + SOURCE DIST + PLACED ( 134780 165920 ) FS ;
- FILLER_57_293 sky130_fd_sc_hd__decap_12 + SOURCE DIST + PLACED ( 140300 165920 ) FS ;
- FILLER_57_305 sky130_fd_sc_hd__decap_12 + SOURCE DIST + PLACED ( 145820 165920 ) FS ;
- FILLER_57_317 sky130_fd_sc_hd__decap_12 + SOURCE DIST + PLACED ( 151340 165920 ) FS ;
- FILLER_57_329 sky130_fd_sc_hd__decap_6 + SOURCE DIST + PLACED ( 156860 165920 ) FS ;
- FILLER_57_335 sky130_fd_sc_hd__fill_1 + SOURCE DIST + PLACED ( 159620 165920 ) FS ;
- FILLER_57_337 sky130_fd_sc_hd__decap_12 + SOURCE DIST + PLACED ( 160540 165920 ) FS ;
- FILLER_57_349 sky130_fd_sc_hd__decap_12 + SOURCE DIST + PLACED ( 166060 165920 ) FS ;
- FILLER_57_361 sky130_fd_sc_hd__decap_12 + SOURCE DIST + PLACED ( 171580 165920 ) FS ;
- FILLER_57_373 sky130_fd_sc_hd__decap_12 + SOURCE DIST + PLACED ( 177100 165920 ) FS ;
- FILLER_57_385 sky130_fd_sc_hd__decap_6 + SOURCE DIST + PLACED ( 182620 165920 ) FS ;
- FILLER_57_39 sky130_fd_sc_hd__decap_12 + SOURCE DIST + PLACED ( 23460 165920 ) FS ;
- FILLER_57_391 sky130_fd_sc_hd__fill_1 + SOURCE DIST + PLACED ( 185380 165920 ) FS ;
- FILLER_57_393 sky130_fd_sc_hd__decap_12 + SOURCE DIST + PLACED ( 186300 165920 ) FS ;
- FILLER_57_405 sky130_fd_sc_hd__decap_12 + SOURCE DIST + PLACED ( 191820 165920 ) FS ;
- FILLER_57_417 sky130_fd_sc_hd__decap_12 + SOURCE DIST + PLACED ( 197340 165920 ) FS ;
- FILLER_57_429 sky130_fd_sc_hd__decap_12 + SOURCE DIST + PLACED ( 202860 165920 ) FS ;
- FILLER_57_441 sky130_fd_sc_hd__decap_6 + SOURCE DIST + PLACED ( 208380 165920 ) FS ;
- FILLER_57_447 sky130_fd_sc_hd__fill_1 + SOURCE DIST + PLACED ( 211140 165920 ) FS ;
- FILLER_57_449 sky130_fd_sc_hd__decap_12 + SOURCE DIST + PLACED ( 212060 165920 ) FS ;
- FILLER_57_461 sky130_fd_sc_hd__decap_12 + SOURCE DIST + PLACED ( 217580 165920 ) FS ;
- FILLER_57_473 sky130_fd_sc_hd__decap_12 + SOURCE DIST + PLACED ( 223100 165920 ) FS ;
- FILLER_57_485 sky130_fd_sc_hd__decap_12 + SOURCE DIST + PLACED ( 228620 165920 ) FS ;
- FILLER_57_497 sky130_fd_sc_hd__decap_6 + SOURCE DIST + PLACED ( 234140 165920 ) FS ;
- FILLER_57_503 sky130_fd_sc_hd__fill_1 + SOURCE DIST + PLACED ( 236900 165920 ) FS ;
- FILLER_57_505 sky130_fd_sc_hd__decap_12 + SOURCE DIST + PLACED ( 237820 165920 ) FS ;
- FILLER_57_51 sky130_fd_sc_hd__decap_4 + SOURCE DIST + PLACED ( 28980 165920 ) FS ;
- FILLER_57_517 sky130_fd_sc_hd__decap_12 + SOURCE DIST + PLACED ( 243340 165920 ) FS ;
- FILLER_57_529 sky130_fd_sc_hd__decap_12 + SOURCE DIST + PLACED ( 248860 165920 ) FS ;
- FILLER_57_541 sky130_fd_sc_hd__decap_12 + SOURCE DIST + PLACED ( 254380 165920 ) FS ;
- FILLER_57_55 sky130_fd_sc_hd__fill_1 + SOURCE DIST + PLACED ( 30820 165920 ) FS ;
- FILLER_57_553 sky130_fd_sc_hd__decap_6 + SOURCE DIST + PLACED ( 259900 165920 ) FS ;
- FILLER_57_559 sky130_fd_sc_hd__fill_1 + SOURCE DIST + PLACED ( 262660 165920 ) FS ;
- FILLER_57_561 sky130_fd_sc_hd__decap_12 + SOURCE DIST + PLACED ( 263580 165920 ) FS ;
- FILLER_57_57 sky130_fd_sc_hd__decap_12 + SOURCE DIST + PLACED ( 31740 165920 ) FS ;
- FILLER_57_573 sky130_fd_sc_hd__decap_12 + SOURCE DIST + PLACED ( 269100 165920 ) FS ;
- FILLER_57_585 sky130_fd_sc_hd__decap_12 + SOURCE DIST + PLACED ( 274620 165920 ) FS ;
- FILLER_57_597 sky130_fd_sc_hd__decap_12 + SOURCE DIST + PLACED ( 280140 165920 ) FS ;
- FILLER_57_609 sky130_fd_sc_hd__decap_6 + SOURCE DIST + PLACED ( 285660 165920 ) FS ;
- FILLER_57_615 sky130_fd_sc_hd__fill_1 + SOURCE DIST + PLACED ( 288420 165920 ) FS ;
- FILLER_57_617 sky130_fd_sc_hd__decap_8 + SOURCE DIST + PLACED ( 289340 165920 ) FS ;
- FILLER_57_69 sky130_fd_sc_hd__decap_12 + SOURCE DIST + PLACED ( 37260 165920 ) FS ;
- FILLER_57_7 sky130_fd_sc_hd__decap_4 + SOURCE DIST + PLACED ( 8740 165920 ) FS ;
- FILLER_57_81 sky130_fd_sc_hd__decap_12 + SOURCE DIST + PLACED ( 42780 165920 ) FS ;
- FILLER_57_93 sky130_fd_sc_hd__decap_12 + SOURCE DIST + PLACED ( 48300 165920 ) FS ;
- FILLER_58_109 sky130_fd_sc_hd__decap_12 + SOURCE DIST + PLACED ( 55660 168640 ) N ;
- FILLER_58_121 sky130_fd_sc_hd__decap_12 + SOURCE DIST + PLACED ( 61180 168640 ) N ;
- FILLER_58_133 sky130_fd_sc_hd__decap_6 + SOURCE DIST + PLACED ( 66700 168640 ) N ;
- FILLER_58_139 sky130_fd_sc_hd__fill_1 + SOURCE DIST + PLACED ( 69460 168640 ) N ;
- FILLER_58_141 sky130_fd_sc_hd__decap_12 + SOURCE DIST + PLACED ( 70380 168640 ) N ;
- FILLER_58_15 sky130_fd_sc_hd__decap_12 + SOURCE DIST + PLACED ( 12420 168640 ) N ;
- FILLER_58_153 sky130_fd_sc_hd__decap_12 + SOURCE DIST + PLACED ( 75900 168640 ) N ;
- FILLER_58_165 sky130_fd_sc_hd__decap_12 + SOURCE DIST + PLACED ( 81420 168640 ) N ;
- FILLER_58_177 sky130_fd_sc_hd__decap_12 + SOURCE DIST + PLACED ( 86940 168640 ) N ;
- FILLER_58_189 sky130_fd_sc_hd__decap_6 + SOURCE DIST + PLACED ( 92460 168640 ) N ;
- FILLER_58_195 sky130_fd_sc_hd__fill_1 + SOURCE DIST + PLACED ( 95220 168640 ) N ;
- FILLER_58_197 sky130_fd_sc_hd__decap_12 + SOURCE DIST + PLACED ( 96140 168640 ) N ;
- FILLER_58_209 sky130_fd_sc_hd__decap_12 + SOURCE DIST + PLACED ( 101660 168640 ) N ;
- FILLER_58_221 sky130_fd_sc_hd__decap_12 + SOURCE DIST + PLACED ( 107180 168640 ) N ;
- FILLER_58_233 sky130_fd_sc_hd__decap_12 + SOURCE DIST + PLACED ( 112700 168640 ) N ;
- FILLER_58_245 sky130_fd_sc_hd__decap_6 + SOURCE DIST + PLACED ( 118220 168640 ) N ;
- FILLER_58_251 sky130_fd_sc_hd__fill_1 + SOURCE DIST + PLACED ( 120980 168640 ) N ;
- FILLER_58_253 sky130_fd_sc_hd__decap_12 + SOURCE DIST + PLACED ( 121900 168640 ) N ;
- FILLER_58_265 sky130_fd_sc_hd__decap_12 + SOURCE DIST + PLACED ( 127420 168640 ) N ;
- FILLER_58_27 sky130_fd_sc_hd__fill_1 + SOURCE DIST + PLACED ( 17940 168640 ) N ;
- FILLER_58_277 sky130_fd_sc_hd__decap_12 + SOURCE DIST + PLACED ( 132940 168640 ) N ;
- FILLER_58_289 sky130_fd_sc_hd__decap_12 + SOURCE DIST + PLACED ( 138460 168640 ) N ;
- FILLER_58_29 sky130_fd_sc_hd__decap_12 + SOURCE DIST + PLACED ( 18860 168640 ) N ;
- FILLER_58_3 sky130_fd_sc_hd__decap_12 + SOURCE DIST + PLACED ( 6900 168640 ) N ;
- FILLER_58_301 sky130_fd_sc_hd__decap_6 + SOURCE DIST + PLACED ( 143980 168640 ) N ;
- FILLER_58_307 sky130_fd_sc_hd__fill_1 + SOURCE DIST + PLACED ( 146740 168640 ) N ;
- FILLER_58_309 sky130_fd_sc_hd__decap_12 + SOURCE DIST + PLACED ( 147660 168640 ) N ;
- FILLER_58_321 sky130_fd_sc_hd__decap_12 + SOURCE DIST + PLACED ( 153180 168640 ) N ;
- FILLER_58_333 sky130_fd_sc_hd__decap_12 + SOURCE DIST + PLACED ( 158700 168640 ) N ;
- FILLER_58_345 sky130_fd_sc_hd__decap_12 + SOURCE DIST + PLACED ( 164220 168640 ) N ;
- FILLER_58_357 sky130_fd_sc_hd__decap_6 + SOURCE DIST + PLACED ( 169740 168640 ) N ;
- FILLER_58_363 sky130_fd_sc_hd__fill_1 + SOURCE DIST + PLACED ( 172500 168640 ) N ;
- FILLER_58_365 sky130_fd_sc_hd__decap_12 + SOURCE DIST + PLACED ( 173420 168640 ) N ;
- FILLER_58_377 sky130_fd_sc_hd__decap_12 + SOURCE DIST + PLACED ( 178940 168640 ) N ;
- FILLER_58_389 sky130_fd_sc_hd__decap_12 + SOURCE DIST + PLACED ( 184460 168640 ) N ;
- FILLER_58_401 sky130_fd_sc_hd__decap_12 + SOURCE DIST + PLACED ( 189980 168640 ) N ;
- FILLER_58_41 sky130_fd_sc_hd__decap_12 + SOURCE DIST + PLACED ( 24380 168640 ) N ;
- FILLER_58_413 sky130_fd_sc_hd__decap_6 + SOURCE DIST + PLACED ( 195500 168640 ) N ;
- FILLER_58_419 sky130_fd_sc_hd__fill_1 + SOURCE DIST + PLACED ( 198260 168640 ) N ;
- FILLER_58_421 sky130_fd_sc_hd__decap_12 + SOURCE DIST + PLACED ( 199180 168640 ) N ;
- FILLER_58_433 sky130_fd_sc_hd__decap_12 + SOURCE DIST + PLACED ( 204700 168640 ) N ;
- FILLER_58_445 sky130_fd_sc_hd__decap_12 + SOURCE DIST + PLACED ( 210220 168640 ) N ;
- FILLER_58_457 sky130_fd_sc_hd__decap_12 + SOURCE DIST + PLACED ( 215740 168640 ) N ;
- FILLER_58_469 sky130_fd_sc_hd__decap_6 + SOURCE DIST + PLACED ( 221260 168640 ) N ;
- FILLER_58_475 sky130_fd_sc_hd__fill_1 + SOURCE DIST + PLACED ( 224020 168640 ) N ;
- FILLER_58_477 sky130_fd_sc_hd__decap_12 + SOURCE DIST + PLACED ( 224940 168640 ) N ;
- FILLER_58_489 sky130_fd_sc_hd__decap_12 + SOURCE DIST + PLACED ( 230460 168640 ) N ;
- FILLER_58_501 sky130_fd_sc_hd__decap_12 + SOURCE DIST + PLACED ( 235980 168640 ) N ;
- FILLER_58_513 sky130_fd_sc_hd__decap_12 + SOURCE DIST + PLACED ( 241500 168640 ) N ;
- FILLER_58_525 sky130_fd_sc_hd__decap_6 + SOURCE DIST + PLACED ( 247020 168640 ) N ;
- FILLER_58_53 sky130_fd_sc_hd__decap_12 + SOURCE DIST + PLACED ( 29900 168640 ) N ;
- FILLER_58_531 sky130_fd_sc_hd__fill_1 + SOURCE DIST + PLACED ( 249780 168640 ) N ;
- FILLER_58_533 sky130_fd_sc_hd__decap_12 + SOURCE DIST + PLACED ( 250700 168640 ) N ;
- FILLER_58_545 sky130_fd_sc_hd__decap_12 + SOURCE DIST + PLACED ( 256220 168640 ) N ;
- FILLER_58_557 sky130_fd_sc_hd__decap_12 + SOURCE DIST + PLACED ( 261740 168640 ) N ;
- FILLER_58_569 sky130_fd_sc_hd__decap_12 + SOURCE DIST + PLACED ( 267260 168640 ) N ;
- FILLER_58_581 sky130_fd_sc_hd__decap_6 + SOURCE DIST + PLACED ( 272780 168640 ) N ;
- FILLER_58_587 sky130_fd_sc_hd__fill_1 + SOURCE DIST + PLACED ( 275540 168640 ) N ;
- FILLER_58_589 sky130_fd_sc_hd__decap_12 + SOURCE DIST + PLACED ( 276460 168640 ) N ;
- FILLER_58_601 sky130_fd_sc_hd__decap_12 + SOURCE DIST + PLACED ( 281980 168640 ) N ;
- FILLER_58_613 sky130_fd_sc_hd__decap_12 + SOURCE DIST + PLACED ( 287500 168640 ) N ;
- FILLER_58_65 sky130_fd_sc_hd__decap_12 + SOURCE DIST + PLACED ( 35420 168640 ) N ;
- FILLER_58_77 sky130_fd_sc_hd__decap_6 + SOURCE DIST + PLACED ( 40940 168640 ) N ;
- FILLER_58_83 sky130_fd_sc_hd__fill_1 + SOURCE DIST + PLACED ( 43700 168640 ) N ;
- FILLER_58_85 sky130_fd_sc_hd__decap_12 + SOURCE DIST + PLACED ( 44620 168640 ) N ;
- FILLER_58_97 sky130_fd_sc_hd__decap_12 + SOURCE DIST + PLACED ( 50140 168640 ) N ;
- FILLER_59_105 sky130_fd_sc_hd__decap_6 + SOURCE DIST + PLACED ( 53820 171360 ) FS ;
- FILLER_59_111 sky130_fd_sc_hd__fill_1 + SOURCE DIST + PLACED ( 56580 171360 ) FS ;
- FILLER_59_113 sky130_fd_sc_hd__decap_12 + SOURCE DIST + PLACED ( 57500 171360 ) FS ;
- FILLER_59_125 sky130_fd_sc_hd__decap_12 + SOURCE DIST + PLACED ( 63020 171360 ) FS ;
- FILLER_59_137 sky130_fd_sc_hd__decap_12 + SOURCE DIST + PLACED ( 68540 171360 ) FS ;
- FILLER_59_149 sky130_fd_sc_hd__decap_12 + SOURCE DIST + PLACED ( 74060 171360 ) FS ;
- FILLER_59_161 sky130_fd_sc_hd__decap_6 + SOURCE DIST + PLACED ( 79580 171360 ) FS ;
- FILLER_59_167 sky130_fd_sc_hd__fill_1 + SOURCE DIST + PLACED ( 82340 171360 ) FS ;
- FILLER_59_169 sky130_fd_sc_hd__decap_12 + SOURCE DIST + PLACED ( 83260 171360 ) FS ;
- FILLER_59_181 sky130_fd_sc_hd__decap_12 + SOURCE DIST + PLACED ( 88780 171360 ) FS ;
- FILLER_59_19 sky130_fd_sc_hd__decap_12 + SOURCE DIST + PLACED ( 14260 171360 ) FS ;
- FILLER_59_193 sky130_fd_sc_hd__decap_12 + SOURCE DIST + PLACED ( 94300 171360 ) FS ;
- FILLER_59_205 sky130_fd_sc_hd__decap_12 + SOURCE DIST + PLACED ( 99820 171360 ) FS ;
- FILLER_59_217 sky130_fd_sc_hd__decap_6 + SOURCE DIST + PLACED ( 105340 171360 ) FS ;
- FILLER_59_223 sky130_fd_sc_hd__fill_1 + SOURCE DIST + PLACED ( 108100 171360 ) FS ;
- FILLER_59_225 sky130_fd_sc_hd__decap_12 + SOURCE DIST + PLACED ( 109020 171360 ) FS ;
- FILLER_59_237 sky130_fd_sc_hd__decap_12 + SOURCE DIST + PLACED ( 114540 171360 ) FS ;
- FILLER_59_249 sky130_fd_sc_hd__decap_12 + SOURCE DIST + PLACED ( 120060 171360 ) FS ;
- FILLER_59_261 sky130_fd_sc_hd__decap_12 + SOURCE DIST + PLACED ( 125580 171360 ) FS ;
- FILLER_59_273 sky130_fd_sc_hd__decap_6 + SOURCE DIST + PLACED ( 131100 171360 ) FS ;
- FILLER_59_279 sky130_fd_sc_hd__fill_1 + SOURCE DIST + PLACED ( 133860 171360 ) FS ;
- FILLER_59_281 sky130_fd_sc_hd__decap_12 + SOURCE DIST + PLACED ( 134780 171360 ) FS ;
- FILLER_59_293 sky130_fd_sc_hd__decap_12 + SOURCE DIST + PLACED ( 140300 171360 ) FS ;
- FILLER_59_305 sky130_fd_sc_hd__decap_12 + SOURCE DIST + PLACED ( 145820 171360 ) FS ;
- FILLER_59_31 sky130_fd_sc_hd__decap_12 + SOURCE DIST + PLACED ( 19780 171360 ) FS ;
- FILLER_59_317 sky130_fd_sc_hd__decap_12 + SOURCE DIST + PLACED ( 151340 171360 ) FS ;
- FILLER_59_329 sky130_fd_sc_hd__decap_6 + SOURCE DIST + PLACED ( 156860 171360 ) FS ;
- FILLER_59_335 sky130_fd_sc_hd__fill_1 + SOURCE DIST + PLACED ( 159620 171360 ) FS ;
- FILLER_59_337 sky130_fd_sc_hd__decap_12 + SOURCE DIST + PLACED ( 160540 171360 ) FS ;
- FILLER_59_349 sky130_fd_sc_hd__decap_12 + SOURCE DIST + PLACED ( 166060 171360 ) FS ;
- FILLER_59_361 sky130_fd_sc_hd__decap_12 + SOURCE DIST + PLACED ( 171580 171360 ) FS ;
- FILLER_59_373 sky130_fd_sc_hd__decap_12 + SOURCE DIST + PLACED ( 177100 171360 ) FS ;
- FILLER_59_385 sky130_fd_sc_hd__decap_6 + SOURCE DIST + PLACED ( 182620 171360 ) FS ;
- FILLER_59_391 sky130_fd_sc_hd__fill_1 + SOURCE DIST + PLACED ( 185380 171360 ) FS ;
- FILLER_59_393 sky130_fd_sc_hd__decap_12 + SOURCE DIST + PLACED ( 186300 171360 ) FS ;
- FILLER_59_405 sky130_fd_sc_hd__decap_12 + SOURCE DIST + PLACED ( 191820 171360 ) FS ;
- FILLER_59_417 sky130_fd_sc_hd__decap_12 + SOURCE DIST + PLACED ( 197340 171360 ) FS ;
- FILLER_59_429 sky130_fd_sc_hd__decap_12 + SOURCE DIST + PLACED ( 202860 171360 ) FS ;
- FILLER_59_43 sky130_fd_sc_hd__decap_12 + SOURCE DIST + PLACED ( 25300 171360 ) FS ;
- FILLER_59_441 sky130_fd_sc_hd__decap_6 + SOURCE DIST + PLACED ( 208380 171360 ) FS ;
- FILLER_59_447 sky130_fd_sc_hd__fill_1 + SOURCE DIST + PLACED ( 211140 171360 ) FS ;
- FILLER_59_449 sky130_fd_sc_hd__decap_12 + SOURCE DIST + PLACED ( 212060 171360 ) FS ;
- FILLER_59_461 sky130_fd_sc_hd__decap_12 + SOURCE DIST + PLACED ( 217580 171360 ) FS ;
- FILLER_59_473 sky130_fd_sc_hd__decap_12 + SOURCE DIST + PLACED ( 223100 171360 ) FS ;
- FILLER_59_485 sky130_fd_sc_hd__decap_12 + SOURCE DIST + PLACED ( 228620 171360 ) FS ;
- FILLER_59_497 sky130_fd_sc_hd__decap_6 + SOURCE DIST + PLACED ( 234140 171360 ) FS ;
- FILLER_59_503 sky130_fd_sc_hd__fill_1 + SOURCE DIST + PLACED ( 236900 171360 ) FS ;
- FILLER_59_505 sky130_fd_sc_hd__decap_12 + SOURCE DIST + PLACED ( 237820 171360 ) FS ;
- FILLER_59_517 sky130_fd_sc_hd__decap_12 + SOURCE DIST + PLACED ( 243340 171360 ) FS ;
- FILLER_59_529 sky130_fd_sc_hd__decap_12 + SOURCE DIST + PLACED ( 248860 171360 ) FS ;
- FILLER_59_541 sky130_fd_sc_hd__decap_12 + SOURCE DIST + PLACED ( 254380 171360 ) FS ;
- FILLER_59_55 sky130_fd_sc_hd__fill_1 + SOURCE DIST + PLACED ( 30820 171360 ) FS ;
- FILLER_59_553 sky130_fd_sc_hd__decap_6 + SOURCE DIST + PLACED ( 259900 171360 ) FS ;
- FILLER_59_559 sky130_fd_sc_hd__fill_1 + SOURCE DIST + PLACED ( 262660 171360 ) FS ;
- FILLER_59_561 sky130_fd_sc_hd__decap_12 + SOURCE DIST + PLACED ( 263580 171360 ) FS ;
- FILLER_59_57 sky130_fd_sc_hd__decap_12 + SOURCE DIST + PLACED ( 31740 171360 ) FS ;
- FILLER_59_573 sky130_fd_sc_hd__decap_12 + SOURCE DIST + PLACED ( 269100 171360 ) FS ;
- FILLER_59_585 sky130_fd_sc_hd__decap_12 + SOURCE DIST + PLACED ( 274620 171360 ) FS ;
- FILLER_59_597 sky130_fd_sc_hd__decap_12 + SOURCE DIST + PLACED ( 280140 171360 ) FS ;
- FILLER_59_609 sky130_fd_sc_hd__decap_6 + SOURCE DIST + PLACED ( 285660 171360 ) FS ;
- FILLER_59_615 sky130_fd_sc_hd__fill_1 + SOURCE DIST + PLACED ( 288420 171360 ) FS ;
- FILLER_59_617 sky130_fd_sc_hd__decap_8 + SOURCE DIST + PLACED ( 289340 171360 ) FS ;
- FILLER_59_69 sky130_fd_sc_hd__decap_12 + SOURCE DIST + PLACED ( 37260 171360 ) FS ;
- FILLER_59_7 sky130_fd_sc_hd__decap_12 + SOURCE DIST + PLACED ( 8740 171360 ) FS ;
- FILLER_59_81 sky130_fd_sc_hd__decap_12 + SOURCE DIST + PLACED ( 42780 171360 ) FS ;
- FILLER_59_93 sky130_fd_sc_hd__decap_12 + SOURCE DIST + PLACED ( 48300 171360 ) FS ;
- FILLER_5_104 sky130_fd_sc_hd__decap_8 + SOURCE DIST + PLACED ( 53360 24480 ) FS ;
- FILLER_5_118 sky130_fd_sc_hd__decap_4 + SOURCE DIST + PLACED ( 59800 24480 ) FS ;
- FILLER_5_122 sky130_fd_sc_hd__fill_1 + SOURCE DIST + PLACED ( 61640 24480 ) FS ;
- FILLER_5_126 sky130_fd_sc_hd__decap_4 + SOURCE DIST + PLACED ( 63480 24480 ) FS ;
- FILLER_5_135 sky130_fd_sc_hd__decap_4 + SOURCE DIST + PLACED ( 67620 24480 ) FS ;
- FILLER_5_144 sky130_fd_sc_hd__decap_4 + SOURCE DIST + PLACED ( 71760 24480 ) FS ;
- FILLER_5_15 sky130_fd_sc_hd__decap_4 + SOURCE DIST + PLACED ( 12420 24480 ) FS ;
- FILLER_5_153 sky130_fd_sc_hd__decap_12 + SOURCE DIST + PLACED ( 75900 24480 ) FS ;
- FILLER_5_165 sky130_fd_sc_hd__decap_3 + SOURCE DIST + PLACED ( 81420 24480 ) FS ;
- FILLER_5_169 sky130_fd_sc_hd__decap_12 + SOURCE DIST + PLACED ( 83260 24480 ) FS ;
- FILLER_5_181 sky130_fd_sc_hd__decap_12 + SOURCE DIST + PLACED ( 88780 24480 ) FS ;
- FILLER_5_19 sky130_fd_sc_hd__fill_1 + SOURCE DIST + PLACED ( 14260 24480 ) FS ;
- FILLER_5_193 sky130_fd_sc_hd__decap_12 + SOURCE DIST + PLACED ( 94300 24480 ) FS ;
- FILLER_5_205 sky130_fd_sc_hd__decap_12 + SOURCE DIST + PLACED ( 99820 24480 ) FS ;
- FILLER_5_217 sky130_fd_sc_hd__decap_6 + SOURCE DIST + PLACED ( 105340 24480 ) FS ;
- FILLER_5_223 sky130_fd_sc_hd__fill_1 + SOURCE DIST + PLACED ( 108100 24480 ) FS ;
- FILLER_5_225 sky130_fd_sc_hd__decap_12 + SOURCE DIST + PLACED ( 109020 24480 ) FS ;
- FILLER_5_23 sky130_fd_sc_hd__decap_4 + SOURCE DIST + PLACED ( 16100 24480 ) FS ;
- FILLER_5_237 sky130_fd_sc_hd__decap_12 + SOURCE DIST + PLACED ( 114540 24480 ) FS ;
- FILLER_5_249 sky130_fd_sc_hd__decap_12 + SOURCE DIST + PLACED ( 120060 24480 ) FS ;
- FILLER_5_261 sky130_fd_sc_hd__decap_12 + SOURCE DIST + PLACED ( 125580 24480 ) FS ;
- FILLER_5_273 sky130_fd_sc_hd__decap_6 + SOURCE DIST + PLACED ( 131100 24480 ) FS ;
- FILLER_5_279 sky130_fd_sc_hd__fill_1 + SOURCE DIST + PLACED ( 133860 24480 ) FS ;
- FILLER_5_281 sky130_fd_sc_hd__decap_12 + SOURCE DIST + PLACED ( 134780 24480 ) FS ;
- FILLER_5_293 sky130_fd_sc_hd__decap_12 + SOURCE DIST + PLACED ( 140300 24480 ) FS ;
- FILLER_5_305 sky130_fd_sc_hd__decap_12 + SOURCE DIST + PLACED ( 145820 24480 ) FS ;
- FILLER_5_317 sky130_fd_sc_hd__decap_12 + SOURCE DIST + PLACED ( 151340 24480 ) FS ;
- FILLER_5_329 sky130_fd_sc_hd__decap_6 + SOURCE DIST + PLACED ( 156860 24480 ) FS ;
- FILLER_5_33 sky130_fd_sc_hd__decap_12 + SOURCE DIST + PLACED ( 20700 24480 ) FS ;
- FILLER_5_335 sky130_fd_sc_hd__fill_1 + SOURCE DIST + PLACED ( 159620 24480 ) FS ;
- FILLER_5_337 sky130_fd_sc_hd__decap_12 + SOURCE DIST + PLACED ( 160540 24480 ) FS ;
- FILLER_5_349 sky130_fd_sc_hd__decap_12 + SOURCE DIST + PLACED ( 166060 24480 ) FS ;
- FILLER_5_361 sky130_fd_sc_hd__decap_12 + SOURCE DIST + PLACED ( 171580 24480 ) FS ;
- FILLER_5_373 sky130_fd_sc_hd__decap_12 + SOURCE DIST + PLACED ( 177100 24480 ) FS ;
- FILLER_5_385 sky130_fd_sc_hd__decap_6 + SOURCE DIST + PLACED ( 182620 24480 ) FS ;
- FILLER_5_391 sky130_fd_sc_hd__fill_1 + SOURCE DIST + PLACED ( 185380 24480 ) FS ;
- FILLER_5_393 sky130_fd_sc_hd__decap_12 + SOURCE DIST + PLACED ( 186300 24480 ) FS ;
- FILLER_5_405 sky130_fd_sc_hd__decap_12 + SOURCE DIST + PLACED ( 191820 24480 ) FS ;
- FILLER_5_417 sky130_fd_sc_hd__decap_12 + SOURCE DIST + PLACED ( 197340 24480 ) FS ;
- FILLER_5_429 sky130_fd_sc_hd__decap_12 + SOURCE DIST + PLACED ( 202860 24480 ) FS ;
- FILLER_5_441 sky130_fd_sc_hd__decap_6 + SOURCE DIST + PLACED ( 208380 24480 ) FS ;
- FILLER_5_447 sky130_fd_sc_hd__fill_1 + SOURCE DIST + PLACED ( 211140 24480 ) FS ;
- FILLER_5_449 sky130_fd_sc_hd__decap_12 + SOURCE DIST + PLACED ( 212060 24480 ) FS ;
- FILLER_5_45 sky130_fd_sc_hd__decap_8 + SOURCE DIST + PLACED ( 26220 24480 ) FS ;
- FILLER_5_461 sky130_fd_sc_hd__decap_12 + SOURCE DIST + PLACED ( 217580 24480 ) FS ;
- FILLER_5_473 sky130_fd_sc_hd__decap_12 + SOURCE DIST + PLACED ( 223100 24480 ) FS ;
- FILLER_5_485 sky130_fd_sc_hd__decap_12 + SOURCE DIST + PLACED ( 228620 24480 ) FS ;
- FILLER_5_497 sky130_fd_sc_hd__decap_6 + SOURCE DIST + PLACED ( 234140 24480 ) FS ;
- FILLER_5_503 sky130_fd_sc_hd__fill_1 + SOURCE DIST + PLACED ( 236900 24480 ) FS ;
- FILLER_5_505 sky130_fd_sc_hd__decap_12 + SOURCE DIST + PLACED ( 237820 24480 ) FS ;
- FILLER_5_517 sky130_fd_sc_hd__decap_12 + SOURCE DIST + PLACED ( 243340 24480 ) FS ;
- FILLER_5_529 sky130_fd_sc_hd__decap_12 + SOURCE DIST + PLACED ( 248860 24480 ) FS ;
- FILLER_5_53 sky130_fd_sc_hd__decap_3 + SOURCE DIST + PLACED ( 29900 24480 ) FS ;
- FILLER_5_541 sky130_fd_sc_hd__decap_12 + SOURCE DIST + PLACED ( 254380 24480 ) FS ;
- FILLER_5_553 sky130_fd_sc_hd__decap_6 + SOURCE DIST + PLACED ( 259900 24480 ) FS ;
- FILLER_5_559 sky130_fd_sc_hd__fill_1 + SOURCE DIST + PLACED ( 262660 24480 ) FS ;
- FILLER_5_561 sky130_fd_sc_hd__decap_12 + SOURCE DIST + PLACED ( 263580 24480 ) FS ;
- FILLER_5_57 sky130_fd_sc_hd__decap_8 + SOURCE DIST + PLACED ( 31740 24480 ) FS ;
- FILLER_5_573 sky130_fd_sc_hd__decap_12 + SOURCE DIST + PLACED ( 269100 24480 ) FS ;
- FILLER_5_585 sky130_fd_sc_hd__decap_12 + SOURCE DIST + PLACED ( 274620 24480 ) FS ;
- FILLER_5_597 sky130_fd_sc_hd__decap_12 + SOURCE DIST + PLACED ( 280140 24480 ) FS ;
- FILLER_5_6 sky130_fd_sc_hd__decap_6 + SOURCE DIST + PLACED ( 8280 24480 ) FS ;
- FILLER_5_609 sky130_fd_sc_hd__decap_6 + SOURCE DIST + PLACED ( 285660 24480 ) FS ;
- FILLER_5_615 sky130_fd_sc_hd__fill_1 + SOURCE DIST + PLACED ( 288420 24480 ) FS ;
- FILLER_5_617 sky130_fd_sc_hd__decap_8 + SOURCE DIST + PLACED ( 289340 24480 ) FS ;
- FILLER_5_72 sky130_fd_sc_hd__decap_4 + SOURCE DIST + PLACED ( 38640 24480 ) FS ;
- FILLER_5_79 sky130_fd_sc_hd__decap_8 + SOURCE DIST + PLACED ( 41860 24480 ) FS ;
- FILLER_5_90 sky130_fd_sc_hd__decap_4 + SOURCE DIST + PLACED ( 46920 24480 ) FS ;
- FILLER_60_109 sky130_fd_sc_hd__decap_12 + SOURCE DIST + PLACED ( 55660 174080 ) N ;
- FILLER_60_121 sky130_fd_sc_hd__decap_12 + SOURCE DIST + PLACED ( 61180 174080 ) N ;
- FILLER_60_133 sky130_fd_sc_hd__decap_6 + SOURCE DIST + PLACED ( 66700 174080 ) N ;
- FILLER_60_139 sky130_fd_sc_hd__fill_1 + SOURCE DIST + PLACED ( 69460 174080 ) N ;
- FILLER_60_141 sky130_fd_sc_hd__decap_12 + SOURCE DIST + PLACED ( 70380 174080 ) N ;
- FILLER_60_153 sky130_fd_sc_hd__decap_12 + SOURCE DIST + PLACED ( 75900 174080 ) N ;
- FILLER_60_165 sky130_fd_sc_hd__decap_12 + SOURCE DIST + PLACED ( 81420 174080 ) N ;
- FILLER_60_177 sky130_fd_sc_hd__decap_12 + SOURCE DIST + PLACED ( 86940 174080 ) N ;
- FILLER_60_189 sky130_fd_sc_hd__decap_6 + SOURCE DIST + PLACED ( 92460 174080 ) N ;
- FILLER_60_19 sky130_fd_sc_hd__decap_8 + SOURCE DIST + PLACED ( 14260 174080 ) N ;
- FILLER_60_195 sky130_fd_sc_hd__fill_1 + SOURCE DIST + PLACED ( 95220 174080 ) N ;
- FILLER_60_197 sky130_fd_sc_hd__decap_12 + SOURCE DIST + PLACED ( 96140 174080 ) N ;
- FILLER_60_209 sky130_fd_sc_hd__decap_12 + SOURCE DIST + PLACED ( 101660 174080 ) N ;
- FILLER_60_221 sky130_fd_sc_hd__decap_12 + SOURCE DIST + PLACED ( 107180 174080 ) N ;
- FILLER_60_233 sky130_fd_sc_hd__decap_12 + SOURCE DIST + PLACED ( 112700 174080 ) N ;
- FILLER_60_245 sky130_fd_sc_hd__decap_6 + SOURCE DIST + PLACED ( 118220 174080 ) N ;
- FILLER_60_251 sky130_fd_sc_hd__fill_1 + SOURCE DIST + PLACED ( 120980 174080 ) N ;
- FILLER_60_253 sky130_fd_sc_hd__decap_12 + SOURCE DIST + PLACED ( 121900 174080 ) N ;
- FILLER_60_265 sky130_fd_sc_hd__decap_12 + SOURCE DIST + PLACED ( 127420 174080 ) N ;
- FILLER_60_27 sky130_fd_sc_hd__fill_1 + SOURCE DIST + PLACED ( 17940 174080 ) N ;
- FILLER_60_277 sky130_fd_sc_hd__decap_12 + SOURCE DIST + PLACED ( 132940 174080 ) N ;
- FILLER_60_289 sky130_fd_sc_hd__decap_12 + SOURCE DIST + PLACED ( 138460 174080 ) N ;
- FILLER_60_29 sky130_fd_sc_hd__decap_12 + SOURCE DIST + PLACED ( 18860 174080 ) N ;
- FILLER_60_301 sky130_fd_sc_hd__decap_6 + SOURCE DIST + PLACED ( 143980 174080 ) N ;
- FILLER_60_307 sky130_fd_sc_hd__fill_1 + SOURCE DIST + PLACED ( 146740 174080 ) N ;
- FILLER_60_309 sky130_fd_sc_hd__decap_12 + SOURCE DIST + PLACED ( 147660 174080 ) N ;
- FILLER_60_321 sky130_fd_sc_hd__decap_12 + SOURCE DIST + PLACED ( 153180 174080 ) N ;
- FILLER_60_333 sky130_fd_sc_hd__decap_12 + SOURCE DIST + PLACED ( 158700 174080 ) N ;
- FILLER_60_345 sky130_fd_sc_hd__decap_12 + SOURCE DIST + PLACED ( 164220 174080 ) N ;
- FILLER_60_357 sky130_fd_sc_hd__decap_6 + SOURCE DIST + PLACED ( 169740 174080 ) N ;
- FILLER_60_363 sky130_fd_sc_hd__fill_1 + SOURCE DIST + PLACED ( 172500 174080 ) N ;
- FILLER_60_365 sky130_fd_sc_hd__decap_12 + SOURCE DIST + PLACED ( 173420 174080 ) N ;
- FILLER_60_377 sky130_fd_sc_hd__decap_12 + SOURCE DIST + PLACED ( 178940 174080 ) N ;
- FILLER_60_389 sky130_fd_sc_hd__decap_12 + SOURCE DIST + PLACED ( 184460 174080 ) N ;
- FILLER_60_401 sky130_fd_sc_hd__decap_12 + SOURCE DIST + PLACED ( 189980 174080 ) N ;
- FILLER_60_41 sky130_fd_sc_hd__decap_12 + SOURCE DIST + PLACED ( 24380 174080 ) N ;
- FILLER_60_413 sky130_fd_sc_hd__decap_6 + SOURCE DIST + PLACED ( 195500 174080 ) N ;
- FILLER_60_419 sky130_fd_sc_hd__fill_1 + SOURCE DIST + PLACED ( 198260 174080 ) N ;
- FILLER_60_421 sky130_fd_sc_hd__decap_12 + SOURCE DIST + PLACED ( 199180 174080 ) N ;
- FILLER_60_433 sky130_fd_sc_hd__decap_12 + SOURCE DIST + PLACED ( 204700 174080 ) N ;
- FILLER_60_445 sky130_fd_sc_hd__decap_12 + SOURCE DIST + PLACED ( 210220 174080 ) N ;
- FILLER_60_457 sky130_fd_sc_hd__decap_12 + SOURCE DIST + PLACED ( 215740 174080 ) N ;
- FILLER_60_469 sky130_fd_sc_hd__decap_6 + SOURCE DIST + PLACED ( 221260 174080 ) N ;
- FILLER_60_475 sky130_fd_sc_hd__fill_1 + SOURCE DIST + PLACED ( 224020 174080 ) N ;
- FILLER_60_477 sky130_fd_sc_hd__decap_12 + SOURCE DIST + PLACED ( 224940 174080 ) N ;
- FILLER_60_489 sky130_fd_sc_hd__decap_12 + SOURCE DIST + PLACED ( 230460 174080 ) N ;
- FILLER_60_501 sky130_fd_sc_hd__decap_12 + SOURCE DIST + PLACED ( 235980 174080 ) N ;
- FILLER_60_513 sky130_fd_sc_hd__decap_12 + SOURCE DIST + PLACED ( 241500 174080 ) N ;
- FILLER_60_525 sky130_fd_sc_hd__decap_6 + SOURCE DIST + PLACED ( 247020 174080 ) N ;
- FILLER_60_53 sky130_fd_sc_hd__decap_12 + SOURCE DIST + PLACED ( 29900 174080 ) N ;
- FILLER_60_531 sky130_fd_sc_hd__fill_1 + SOURCE DIST + PLACED ( 249780 174080 ) N ;
- FILLER_60_533 sky130_fd_sc_hd__decap_12 + SOURCE DIST + PLACED ( 250700 174080 ) N ;
- FILLER_60_545 sky130_fd_sc_hd__decap_12 + SOURCE DIST + PLACED ( 256220 174080 ) N ;
- FILLER_60_557 sky130_fd_sc_hd__decap_12 + SOURCE DIST + PLACED ( 261740 174080 ) N ;
- FILLER_60_569 sky130_fd_sc_hd__decap_12 + SOURCE DIST + PLACED ( 267260 174080 ) N ;
- FILLER_60_581 sky130_fd_sc_hd__decap_6 + SOURCE DIST + PLACED ( 272780 174080 ) N ;
- FILLER_60_587 sky130_fd_sc_hd__fill_1 + SOURCE DIST + PLACED ( 275540 174080 ) N ;
- FILLER_60_589 sky130_fd_sc_hd__decap_12 + SOURCE DIST + PLACED ( 276460 174080 ) N ;
- FILLER_60_601 sky130_fd_sc_hd__decap_12 + SOURCE DIST + PLACED ( 281980 174080 ) N ;
- FILLER_60_613 sky130_fd_sc_hd__decap_12 + SOURCE DIST + PLACED ( 287500 174080 ) N ;
- FILLER_60_65 sky130_fd_sc_hd__decap_12 + SOURCE DIST + PLACED ( 35420 174080 ) N ;
- FILLER_60_7 sky130_fd_sc_hd__decap_12 + SOURCE DIST + PLACED ( 8740 174080 ) N ;
- FILLER_60_77 sky130_fd_sc_hd__decap_6 + SOURCE DIST + PLACED ( 40940 174080 ) N ;
- FILLER_60_83 sky130_fd_sc_hd__fill_1 + SOURCE DIST + PLACED ( 43700 174080 ) N ;
- FILLER_60_85 sky130_fd_sc_hd__decap_12 + SOURCE DIST + PLACED ( 44620 174080 ) N ;
- FILLER_60_97 sky130_fd_sc_hd__decap_12 + SOURCE DIST + PLACED ( 50140 174080 ) N ;
- FILLER_61_105 sky130_fd_sc_hd__decap_6 + SOURCE DIST + PLACED ( 53820 176800 ) FS ;
- FILLER_61_111 sky130_fd_sc_hd__fill_1 + SOURCE DIST + PLACED ( 56580 176800 ) FS ;
- FILLER_61_113 sky130_fd_sc_hd__decap_12 + SOURCE DIST + PLACED ( 57500 176800 ) FS ;
- FILLER_61_125 sky130_fd_sc_hd__decap_12 + SOURCE DIST + PLACED ( 63020 176800 ) FS ;
- FILLER_61_137 sky130_fd_sc_hd__decap_12 + SOURCE DIST + PLACED ( 68540 176800 ) FS ;
- FILLER_61_149 sky130_fd_sc_hd__decap_12 + SOURCE DIST + PLACED ( 74060 176800 ) FS ;
- FILLER_61_15 sky130_fd_sc_hd__decap_12 + SOURCE DIST + PLACED ( 12420 176800 ) FS ;
- FILLER_61_161 sky130_fd_sc_hd__decap_6 + SOURCE DIST + PLACED ( 79580 176800 ) FS ;
- FILLER_61_167 sky130_fd_sc_hd__fill_1 + SOURCE DIST + PLACED ( 82340 176800 ) FS ;
- FILLER_61_169 sky130_fd_sc_hd__decap_12 + SOURCE DIST + PLACED ( 83260 176800 ) FS ;
- FILLER_61_181 sky130_fd_sc_hd__decap_12 + SOURCE DIST + PLACED ( 88780 176800 ) FS ;
- FILLER_61_193 sky130_fd_sc_hd__decap_12 + SOURCE DIST + PLACED ( 94300 176800 ) FS ;
- FILLER_61_205 sky130_fd_sc_hd__decap_12 + SOURCE DIST + PLACED ( 99820 176800 ) FS ;
- FILLER_61_217 sky130_fd_sc_hd__decap_6 + SOURCE DIST + PLACED ( 105340 176800 ) FS ;
- FILLER_61_223 sky130_fd_sc_hd__fill_1 + SOURCE DIST + PLACED ( 108100 176800 ) FS ;
- FILLER_61_225 sky130_fd_sc_hd__decap_12 + SOURCE DIST + PLACED ( 109020 176800 ) FS ;
- FILLER_61_237 sky130_fd_sc_hd__decap_12 + SOURCE DIST + PLACED ( 114540 176800 ) FS ;
- FILLER_61_249 sky130_fd_sc_hd__decap_12 + SOURCE DIST + PLACED ( 120060 176800 ) FS ;
- FILLER_61_261 sky130_fd_sc_hd__decap_12 + SOURCE DIST + PLACED ( 125580 176800 ) FS ;
- FILLER_61_27 sky130_fd_sc_hd__decap_12 + SOURCE DIST + PLACED ( 17940 176800 ) FS ;
- FILLER_61_273 sky130_fd_sc_hd__decap_6 + SOURCE DIST + PLACED ( 131100 176800 ) FS ;
- FILLER_61_279 sky130_fd_sc_hd__fill_1 + SOURCE DIST + PLACED ( 133860 176800 ) FS ;
- FILLER_61_281 sky130_fd_sc_hd__decap_12 + SOURCE DIST + PLACED ( 134780 176800 ) FS ;
- FILLER_61_293 sky130_fd_sc_hd__decap_12 + SOURCE DIST + PLACED ( 140300 176800 ) FS ;
- FILLER_61_3 sky130_fd_sc_hd__decap_12 + SOURCE DIST + PLACED ( 6900 176800 ) FS ;
- FILLER_61_305 sky130_fd_sc_hd__decap_12 + SOURCE DIST + PLACED ( 145820 176800 ) FS ;
- FILLER_61_317 sky130_fd_sc_hd__decap_12 + SOURCE DIST + PLACED ( 151340 176800 ) FS ;
- FILLER_61_329 sky130_fd_sc_hd__decap_6 + SOURCE DIST + PLACED ( 156860 176800 ) FS ;
- FILLER_61_335 sky130_fd_sc_hd__fill_1 + SOURCE DIST + PLACED ( 159620 176800 ) FS ;
- FILLER_61_337 sky130_fd_sc_hd__decap_12 + SOURCE DIST + PLACED ( 160540 176800 ) FS ;
- FILLER_61_349 sky130_fd_sc_hd__decap_12 + SOURCE DIST + PLACED ( 166060 176800 ) FS ;
- FILLER_61_361 sky130_fd_sc_hd__decap_12 + SOURCE DIST + PLACED ( 171580 176800 ) FS ;
- FILLER_61_373 sky130_fd_sc_hd__decap_12 + SOURCE DIST + PLACED ( 177100 176800 ) FS ;
- FILLER_61_385 sky130_fd_sc_hd__decap_6 + SOURCE DIST + PLACED ( 182620 176800 ) FS ;
- FILLER_61_39 sky130_fd_sc_hd__decap_12 + SOURCE DIST + PLACED ( 23460 176800 ) FS ;
- FILLER_61_391 sky130_fd_sc_hd__fill_1 + SOURCE DIST + PLACED ( 185380 176800 ) FS ;
- FILLER_61_393 sky130_fd_sc_hd__decap_12 + SOURCE DIST + PLACED ( 186300 176800 ) FS ;
- FILLER_61_405 sky130_fd_sc_hd__decap_12 + SOURCE DIST + PLACED ( 191820 176800 ) FS ;
- FILLER_61_417 sky130_fd_sc_hd__decap_12 + SOURCE DIST + PLACED ( 197340 176800 ) FS ;
- FILLER_61_429 sky130_fd_sc_hd__decap_12 + SOURCE DIST + PLACED ( 202860 176800 ) FS ;
- FILLER_61_441 sky130_fd_sc_hd__decap_6 + SOURCE DIST + PLACED ( 208380 176800 ) FS ;
- FILLER_61_447 sky130_fd_sc_hd__fill_1 + SOURCE DIST + PLACED ( 211140 176800 ) FS ;
- FILLER_61_449 sky130_fd_sc_hd__decap_12 + SOURCE DIST + PLACED ( 212060 176800 ) FS ;
- FILLER_61_461 sky130_fd_sc_hd__decap_12 + SOURCE DIST + PLACED ( 217580 176800 ) FS ;
- FILLER_61_473 sky130_fd_sc_hd__decap_12 + SOURCE DIST + PLACED ( 223100 176800 ) FS ;
- FILLER_61_485 sky130_fd_sc_hd__decap_12 + SOURCE DIST + PLACED ( 228620 176800 ) FS ;
- FILLER_61_497 sky130_fd_sc_hd__decap_6 + SOURCE DIST + PLACED ( 234140 176800 ) FS ;
- FILLER_61_503 sky130_fd_sc_hd__fill_1 + SOURCE DIST + PLACED ( 236900 176800 ) FS ;
- FILLER_61_505 sky130_fd_sc_hd__decap_12 + SOURCE DIST + PLACED ( 237820 176800 ) FS ;
- FILLER_61_51 sky130_fd_sc_hd__decap_4 + SOURCE DIST + PLACED ( 28980 176800 ) FS ;
- FILLER_61_517 sky130_fd_sc_hd__decap_12 + SOURCE DIST + PLACED ( 243340 176800 ) FS ;
- FILLER_61_529 sky130_fd_sc_hd__decap_12 + SOURCE DIST + PLACED ( 248860 176800 ) FS ;
- FILLER_61_541 sky130_fd_sc_hd__decap_12 + SOURCE DIST + PLACED ( 254380 176800 ) FS ;
- FILLER_61_55 sky130_fd_sc_hd__fill_1 + SOURCE DIST + PLACED ( 30820 176800 ) FS ;
- FILLER_61_553 sky130_fd_sc_hd__decap_6 + SOURCE DIST + PLACED ( 259900 176800 ) FS ;
- FILLER_61_559 sky130_fd_sc_hd__fill_1 + SOURCE DIST + PLACED ( 262660 176800 ) FS ;
- FILLER_61_561 sky130_fd_sc_hd__decap_12 + SOURCE DIST + PLACED ( 263580 176800 ) FS ;
- FILLER_61_57 sky130_fd_sc_hd__decap_12 + SOURCE DIST + PLACED ( 31740 176800 ) FS ;
- FILLER_61_573 sky130_fd_sc_hd__decap_12 + SOURCE DIST + PLACED ( 269100 176800 ) FS ;
- FILLER_61_585 sky130_fd_sc_hd__decap_12 + SOURCE DIST + PLACED ( 274620 176800 ) FS ;
- FILLER_61_597 sky130_fd_sc_hd__decap_12 + SOURCE DIST + PLACED ( 280140 176800 ) FS ;
- FILLER_61_609 sky130_fd_sc_hd__decap_6 + SOURCE DIST + PLACED ( 285660 176800 ) FS ;
- FILLER_61_615 sky130_fd_sc_hd__fill_1 + SOURCE DIST + PLACED ( 288420 176800 ) FS ;
- FILLER_61_617 sky130_fd_sc_hd__decap_8 + SOURCE DIST + PLACED ( 289340 176800 ) FS ;
- FILLER_61_69 sky130_fd_sc_hd__decap_12 + SOURCE DIST + PLACED ( 37260 176800 ) FS ;
- FILLER_61_81 sky130_fd_sc_hd__decap_12 + SOURCE DIST + PLACED ( 42780 176800 ) FS ;
- FILLER_61_93 sky130_fd_sc_hd__decap_12 + SOURCE DIST + PLACED ( 48300 176800 ) FS ;
- FILLER_62_109 sky130_fd_sc_hd__decap_12 + SOURCE DIST + PLACED ( 55660 179520 ) N ;
- FILLER_62_121 sky130_fd_sc_hd__decap_12 + SOURCE DIST + PLACED ( 61180 179520 ) N ;
- FILLER_62_133 sky130_fd_sc_hd__decap_6 + SOURCE DIST + PLACED ( 66700 179520 ) N ;
- FILLER_62_139 sky130_fd_sc_hd__fill_1 + SOURCE DIST + PLACED ( 69460 179520 ) N ;
- FILLER_62_141 sky130_fd_sc_hd__decap_12 + SOURCE DIST + PLACED ( 70380 179520 ) N ;
- FILLER_62_153 sky130_fd_sc_hd__decap_12 + SOURCE DIST + PLACED ( 75900 179520 ) N ;
- FILLER_62_165 sky130_fd_sc_hd__decap_12 + SOURCE DIST + PLACED ( 81420 179520 ) N ;
- FILLER_62_177 sky130_fd_sc_hd__decap_12 + SOURCE DIST + PLACED ( 86940 179520 ) N ;
- FILLER_62_189 sky130_fd_sc_hd__decap_6 + SOURCE DIST + PLACED ( 92460 179520 ) N ;
- FILLER_62_19 sky130_fd_sc_hd__decap_8 + SOURCE DIST + PLACED ( 14260 179520 ) N ;
- FILLER_62_195 sky130_fd_sc_hd__fill_1 + SOURCE DIST + PLACED ( 95220 179520 ) N ;
- FILLER_62_197 sky130_fd_sc_hd__decap_12 + SOURCE DIST + PLACED ( 96140 179520 ) N ;
- FILLER_62_209 sky130_fd_sc_hd__decap_12 + SOURCE DIST + PLACED ( 101660 179520 ) N ;
- FILLER_62_221 sky130_fd_sc_hd__decap_12 + SOURCE DIST + PLACED ( 107180 179520 ) N ;
- FILLER_62_233 sky130_fd_sc_hd__decap_12 + SOURCE DIST + PLACED ( 112700 179520 ) N ;
- FILLER_62_245 sky130_fd_sc_hd__decap_6 + SOURCE DIST + PLACED ( 118220 179520 ) N ;
- FILLER_62_251 sky130_fd_sc_hd__fill_1 + SOURCE DIST + PLACED ( 120980 179520 ) N ;
- FILLER_62_253 sky130_fd_sc_hd__decap_12 + SOURCE DIST + PLACED ( 121900 179520 ) N ;
- FILLER_62_265 sky130_fd_sc_hd__decap_12 + SOURCE DIST + PLACED ( 127420 179520 ) N ;
- FILLER_62_27 sky130_fd_sc_hd__fill_1 + SOURCE DIST + PLACED ( 17940 179520 ) N ;
- FILLER_62_277 sky130_fd_sc_hd__decap_12 + SOURCE DIST + PLACED ( 132940 179520 ) N ;
- FILLER_62_289 sky130_fd_sc_hd__decap_12 + SOURCE DIST + PLACED ( 138460 179520 ) N ;
- FILLER_62_29 sky130_fd_sc_hd__decap_12 + SOURCE DIST + PLACED ( 18860 179520 ) N ;
- FILLER_62_301 sky130_fd_sc_hd__decap_6 + SOURCE DIST + PLACED ( 143980 179520 ) N ;
- FILLER_62_307 sky130_fd_sc_hd__fill_1 + SOURCE DIST + PLACED ( 146740 179520 ) N ;
- FILLER_62_309 sky130_fd_sc_hd__decap_12 + SOURCE DIST + PLACED ( 147660 179520 ) N ;
- FILLER_62_321 sky130_fd_sc_hd__decap_12 + SOURCE DIST + PLACED ( 153180 179520 ) N ;
- FILLER_62_333 sky130_fd_sc_hd__decap_12 + SOURCE DIST + PLACED ( 158700 179520 ) N ;
- FILLER_62_345 sky130_fd_sc_hd__decap_12 + SOURCE DIST + PLACED ( 164220 179520 ) N ;
- FILLER_62_357 sky130_fd_sc_hd__decap_6 + SOURCE DIST + PLACED ( 169740 179520 ) N ;
- FILLER_62_363 sky130_fd_sc_hd__fill_1 + SOURCE DIST + PLACED ( 172500 179520 ) N ;
- FILLER_62_365 sky130_fd_sc_hd__decap_12 + SOURCE DIST + PLACED ( 173420 179520 ) N ;
- FILLER_62_377 sky130_fd_sc_hd__decap_12 + SOURCE DIST + PLACED ( 178940 179520 ) N ;
- FILLER_62_389 sky130_fd_sc_hd__decap_12 + SOURCE DIST + PLACED ( 184460 179520 ) N ;
- FILLER_62_401 sky130_fd_sc_hd__decap_12 + SOURCE DIST + PLACED ( 189980 179520 ) N ;
- FILLER_62_41 sky130_fd_sc_hd__decap_12 + SOURCE DIST + PLACED ( 24380 179520 ) N ;
- FILLER_62_413 sky130_fd_sc_hd__decap_6 + SOURCE DIST + PLACED ( 195500 179520 ) N ;
- FILLER_62_419 sky130_fd_sc_hd__fill_1 + SOURCE DIST + PLACED ( 198260 179520 ) N ;
- FILLER_62_421 sky130_fd_sc_hd__decap_12 + SOURCE DIST + PLACED ( 199180 179520 ) N ;
- FILLER_62_433 sky130_fd_sc_hd__decap_12 + SOURCE DIST + PLACED ( 204700 179520 ) N ;
- FILLER_62_445 sky130_fd_sc_hd__decap_12 + SOURCE DIST + PLACED ( 210220 179520 ) N ;
- FILLER_62_457 sky130_fd_sc_hd__decap_12 + SOURCE DIST + PLACED ( 215740 179520 ) N ;
- FILLER_62_469 sky130_fd_sc_hd__decap_6 + SOURCE DIST + PLACED ( 221260 179520 ) N ;
- FILLER_62_475 sky130_fd_sc_hd__fill_1 + SOURCE DIST + PLACED ( 224020 179520 ) N ;
- FILLER_62_477 sky130_fd_sc_hd__decap_12 + SOURCE DIST + PLACED ( 224940 179520 ) N ;
- FILLER_62_489 sky130_fd_sc_hd__decap_12 + SOURCE DIST + PLACED ( 230460 179520 ) N ;
- FILLER_62_501 sky130_fd_sc_hd__decap_12 + SOURCE DIST + PLACED ( 235980 179520 ) N ;
- FILLER_62_513 sky130_fd_sc_hd__decap_12 + SOURCE DIST + PLACED ( 241500 179520 ) N ;
- FILLER_62_525 sky130_fd_sc_hd__decap_6 + SOURCE DIST + PLACED ( 247020 179520 ) N ;
- FILLER_62_53 sky130_fd_sc_hd__decap_12 + SOURCE DIST + PLACED ( 29900 179520 ) N ;
- FILLER_62_531 sky130_fd_sc_hd__fill_1 + SOURCE DIST + PLACED ( 249780 179520 ) N ;
- FILLER_62_533 sky130_fd_sc_hd__decap_12 + SOURCE DIST + PLACED ( 250700 179520 ) N ;
- FILLER_62_545 sky130_fd_sc_hd__decap_12 + SOURCE DIST + PLACED ( 256220 179520 ) N ;
- FILLER_62_557 sky130_fd_sc_hd__decap_12 + SOURCE DIST + PLACED ( 261740 179520 ) N ;
- FILLER_62_569 sky130_fd_sc_hd__decap_12 + SOURCE DIST + PLACED ( 267260 179520 ) N ;
- FILLER_62_581 sky130_fd_sc_hd__decap_6 + SOURCE DIST + PLACED ( 272780 179520 ) N ;
- FILLER_62_587 sky130_fd_sc_hd__fill_1 + SOURCE DIST + PLACED ( 275540 179520 ) N ;
- FILLER_62_589 sky130_fd_sc_hd__decap_12 + SOURCE DIST + PLACED ( 276460 179520 ) N ;
- FILLER_62_601 sky130_fd_sc_hd__decap_12 + SOURCE DIST + PLACED ( 281980 179520 ) N ;
- FILLER_62_613 sky130_fd_sc_hd__decap_12 + SOURCE DIST + PLACED ( 287500 179520 ) N ;
- FILLER_62_65 sky130_fd_sc_hd__decap_12 + SOURCE DIST + PLACED ( 35420 179520 ) N ;
- FILLER_62_7 sky130_fd_sc_hd__decap_12 + SOURCE DIST + PLACED ( 8740 179520 ) N ;
- FILLER_62_77 sky130_fd_sc_hd__decap_6 + SOURCE DIST + PLACED ( 40940 179520 ) N ;
- FILLER_62_83 sky130_fd_sc_hd__fill_1 + SOURCE DIST + PLACED ( 43700 179520 ) N ;
- FILLER_62_85 sky130_fd_sc_hd__decap_12 + SOURCE DIST + PLACED ( 44620 179520 ) N ;
- FILLER_62_97 sky130_fd_sc_hd__decap_12 + SOURCE DIST + PLACED ( 50140 179520 ) N ;
- FILLER_63_105 sky130_fd_sc_hd__decap_6 + SOURCE DIST + PLACED ( 53820 182240 ) FS ;
- FILLER_63_111 sky130_fd_sc_hd__fill_1 + SOURCE DIST + PLACED ( 56580 182240 ) FS ;
- FILLER_63_113 sky130_fd_sc_hd__decap_12 + SOURCE DIST + PLACED ( 57500 182240 ) FS ;
- FILLER_63_125 sky130_fd_sc_hd__decap_12 + SOURCE DIST + PLACED ( 63020 182240 ) FS ;
- FILLER_63_137 sky130_fd_sc_hd__decap_12 + SOURCE DIST + PLACED ( 68540 182240 ) FS ;
- FILLER_63_149 sky130_fd_sc_hd__decap_12 + SOURCE DIST + PLACED ( 74060 182240 ) FS ;
- FILLER_63_15 sky130_fd_sc_hd__decap_12 + SOURCE DIST + PLACED ( 12420 182240 ) FS ;
- FILLER_63_161 sky130_fd_sc_hd__decap_6 + SOURCE DIST + PLACED ( 79580 182240 ) FS ;
- FILLER_63_167 sky130_fd_sc_hd__fill_1 + SOURCE DIST + PLACED ( 82340 182240 ) FS ;
- FILLER_63_169 sky130_fd_sc_hd__decap_12 + SOURCE DIST + PLACED ( 83260 182240 ) FS ;
- FILLER_63_181 sky130_fd_sc_hd__decap_12 + SOURCE DIST + PLACED ( 88780 182240 ) FS ;
- FILLER_63_193 sky130_fd_sc_hd__decap_12 + SOURCE DIST + PLACED ( 94300 182240 ) FS ;
- FILLER_63_205 sky130_fd_sc_hd__decap_12 + SOURCE DIST + PLACED ( 99820 182240 ) FS ;
- FILLER_63_217 sky130_fd_sc_hd__decap_6 + SOURCE DIST + PLACED ( 105340 182240 ) FS ;
- FILLER_63_223 sky130_fd_sc_hd__fill_1 + SOURCE DIST + PLACED ( 108100 182240 ) FS ;
- FILLER_63_225 sky130_fd_sc_hd__decap_12 + SOURCE DIST + PLACED ( 109020 182240 ) FS ;
- FILLER_63_237 sky130_fd_sc_hd__decap_12 + SOURCE DIST + PLACED ( 114540 182240 ) FS ;
- FILLER_63_249 sky130_fd_sc_hd__decap_12 + SOURCE DIST + PLACED ( 120060 182240 ) FS ;
- FILLER_63_261 sky130_fd_sc_hd__decap_12 + SOURCE DIST + PLACED ( 125580 182240 ) FS ;
- FILLER_63_27 sky130_fd_sc_hd__decap_12 + SOURCE DIST + PLACED ( 17940 182240 ) FS ;
- FILLER_63_273 sky130_fd_sc_hd__decap_6 + SOURCE DIST + PLACED ( 131100 182240 ) FS ;
- FILLER_63_279 sky130_fd_sc_hd__fill_1 + SOURCE DIST + PLACED ( 133860 182240 ) FS ;
- FILLER_63_281 sky130_fd_sc_hd__decap_12 + SOURCE DIST + PLACED ( 134780 182240 ) FS ;
- FILLER_63_293 sky130_fd_sc_hd__decap_12 + SOURCE DIST + PLACED ( 140300 182240 ) FS ;
- FILLER_63_305 sky130_fd_sc_hd__decap_12 + SOURCE DIST + PLACED ( 145820 182240 ) FS ;
- FILLER_63_317 sky130_fd_sc_hd__decap_12 + SOURCE DIST + PLACED ( 151340 182240 ) FS ;
- FILLER_63_329 sky130_fd_sc_hd__decap_6 + SOURCE DIST + PLACED ( 156860 182240 ) FS ;
- FILLER_63_335 sky130_fd_sc_hd__fill_1 + SOURCE DIST + PLACED ( 159620 182240 ) FS ;
- FILLER_63_337 sky130_fd_sc_hd__decap_12 + SOURCE DIST + PLACED ( 160540 182240 ) FS ;
- FILLER_63_349 sky130_fd_sc_hd__decap_12 + SOURCE DIST + PLACED ( 166060 182240 ) FS ;
- FILLER_63_361 sky130_fd_sc_hd__decap_12 + SOURCE DIST + PLACED ( 171580 182240 ) FS ;
- FILLER_63_373 sky130_fd_sc_hd__decap_12 + SOURCE DIST + PLACED ( 177100 182240 ) FS ;
- FILLER_63_385 sky130_fd_sc_hd__decap_6 + SOURCE DIST + PLACED ( 182620 182240 ) FS ;
- FILLER_63_39 sky130_fd_sc_hd__decap_12 + SOURCE DIST + PLACED ( 23460 182240 ) FS ;
- FILLER_63_391 sky130_fd_sc_hd__fill_1 + SOURCE DIST + PLACED ( 185380 182240 ) FS ;
- FILLER_63_393 sky130_fd_sc_hd__decap_12 + SOURCE DIST + PLACED ( 186300 182240 ) FS ;
- FILLER_63_405 sky130_fd_sc_hd__decap_12 + SOURCE DIST + PLACED ( 191820 182240 ) FS ;
- FILLER_63_417 sky130_fd_sc_hd__decap_12 + SOURCE DIST + PLACED ( 197340 182240 ) FS ;
- FILLER_63_429 sky130_fd_sc_hd__decap_12 + SOURCE DIST + PLACED ( 202860 182240 ) FS ;
- FILLER_63_441 sky130_fd_sc_hd__decap_6 + SOURCE DIST + PLACED ( 208380 182240 ) FS ;
- FILLER_63_447 sky130_fd_sc_hd__fill_1 + SOURCE DIST + PLACED ( 211140 182240 ) FS ;
- FILLER_63_449 sky130_fd_sc_hd__decap_12 + SOURCE DIST + PLACED ( 212060 182240 ) FS ;
- FILLER_63_461 sky130_fd_sc_hd__decap_12 + SOURCE DIST + PLACED ( 217580 182240 ) FS ;
- FILLER_63_473 sky130_fd_sc_hd__decap_12 + SOURCE DIST + PLACED ( 223100 182240 ) FS ;
- FILLER_63_485 sky130_fd_sc_hd__decap_12 + SOURCE DIST + PLACED ( 228620 182240 ) FS ;
- FILLER_63_497 sky130_fd_sc_hd__decap_6 + SOURCE DIST + PLACED ( 234140 182240 ) FS ;
- FILLER_63_503 sky130_fd_sc_hd__fill_1 + SOURCE DIST + PLACED ( 236900 182240 ) FS ;
- FILLER_63_505 sky130_fd_sc_hd__decap_12 + SOURCE DIST + PLACED ( 237820 182240 ) FS ;
- FILLER_63_51 sky130_fd_sc_hd__decap_4 + SOURCE DIST + PLACED ( 28980 182240 ) FS ;
- FILLER_63_517 sky130_fd_sc_hd__decap_12 + SOURCE DIST + PLACED ( 243340 182240 ) FS ;
- FILLER_63_529 sky130_fd_sc_hd__decap_12 + SOURCE DIST + PLACED ( 248860 182240 ) FS ;
- FILLER_63_541 sky130_fd_sc_hd__decap_12 + SOURCE DIST + PLACED ( 254380 182240 ) FS ;
- FILLER_63_55 sky130_fd_sc_hd__fill_1 + SOURCE DIST + PLACED ( 30820 182240 ) FS ;
- FILLER_63_553 sky130_fd_sc_hd__decap_6 + SOURCE DIST + PLACED ( 259900 182240 ) FS ;
- FILLER_63_559 sky130_fd_sc_hd__fill_1 + SOURCE DIST + PLACED ( 262660 182240 ) FS ;
- FILLER_63_561 sky130_fd_sc_hd__decap_12 + SOURCE DIST + PLACED ( 263580 182240 ) FS ;
- FILLER_63_57 sky130_fd_sc_hd__decap_12 + SOURCE DIST + PLACED ( 31740 182240 ) FS ;
- FILLER_63_573 sky130_fd_sc_hd__decap_12 + SOURCE DIST + PLACED ( 269100 182240 ) FS ;
- FILLER_63_585 sky130_fd_sc_hd__decap_12 + SOURCE DIST + PLACED ( 274620 182240 ) FS ;
- FILLER_63_597 sky130_fd_sc_hd__decap_12 + SOURCE DIST + PLACED ( 280140 182240 ) FS ;
- FILLER_63_609 sky130_fd_sc_hd__decap_6 + SOURCE DIST + PLACED ( 285660 182240 ) FS ;
- FILLER_63_615 sky130_fd_sc_hd__fill_1 + SOURCE DIST + PLACED ( 288420 182240 ) FS ;
- FILLER_63_617 sky130_fd_sc_hd__decap_8 + SOURCE DIST + PLACED ( 289340 182240 ) FS ;
- FILLER_63_69 sky130_fd_sc_hd__decap_12 + SOURCE DIST + PLACED ( 37260 182240 ) FS ;
- FILLER_63_7 sky130_fd_sc_hd__decap_4 + SOURCE DIST + PLACED ( 8740 182240 ) FS ;
- FILLER_63_81 sky130_fd_sc_hd__decap_12 + SOURCE DIST + PLACED ( 42780 182240 ) FS ;
- FILLER_63_93 sky130_fd_sc_hd__decap_12 + SOURCE DIST + PLACED ( 48300 182240 ) FS ;
- FILLER_64_109 sky130_fd_sc_hd__decap_3 + SOURCE DIST + PLACED ( 55660 184960 ) N ;
- FILLER_64_113 sky130_fd_sc_hd__decap_12 + SOURCE DIST + PLACED ( 57500 184960 ) N ;
- FILLER_64_125 sky130_fd_sc_hd__decap_12 + SOURCE DIST + PLACED ( 63020 184960 ) N ;
- FILLER_64_137 sky130_fd_sc_hd__decap_3 + SOURCE DIST + PLACED ( 68540 184960 ) N ;
- FILLER_64_141 sky130_fd_sc_hd__decap_12 + SOURCE DIST + PLACED ( 70380 184960 ) N ;
- FILLER_64_15 sky130_fd_sc_hd__decap_4 + SOURCE DIST + PLACED ( 12420 184960 ) N ;
- FILLER_64_153 sky130_fd_sc_hd__decap_12 + SOURCE DIST + PLACED ( 75900 184960 ) N ;
- FILLER_64_165 sky130_fd_sc_hd__decap_3 + SOURCE DIST + PLACED ( 81420 184960 ) N ;
- FILLER_64_169 sky130_fd_sc_hd__decap_12 + SOURCE DIST + PLACED ( 83260 184960 ) N ;
- FILLER_64_181 sky130_fd_sc_hd__decap_12 + SOURCE DIST + PLACED ( 88780 184960 ) N ;
- FILLER_64_193 sky130_fd_sc_hd__decap_3 + SOURCE DIST + PLACED ( 94300 184960 ) N ;
- FILLER_64_200 sky130_fd_sc_hd__decap_12 + SOURCE DIST + PLACED ( 97520 184960 ) N ;
- FILLER_64_212 sky130_fd_sc_hd__decap_12 + SOURCE DIST + PLACED ( 103040 184960 ) N ;
- FILLER_64_225 sky130_fd_sc_hd__decap_12 + SOURCE DIST + PLACED ( 109020 184960 ) N ;
- FILLER_64_23 sky130_fd_sc_hd__decap_4 + SOURCE DIST + PLACED ( 16100 184960 ) N ;
- FILLER_64_237 sky130_fd_sc_hd__decap_12 + SOURCE DIST + PLACED ( 114540 184960 ) N ;
- FILLER_64_249 sky130_fd_sc_hd__decap_3 + SOURCE DIST + PLACED ( 120060 184960 ) N ;
- FILLER_64_253 sky130_fd_sc_hd__decap_12 + SOURCE DIST + PLACED ( 121900 184960 ) N ;
- FILLER_64_265 sky130_fd_sc_hd__decap_8 + SOURCE DIST + PLACED ( 127420 184960 ) N ;
- FILLER_64_27 sky130_fd_sc_hd__fill_1 + SOURCE DIST + PLACED ( 17940 184960 ) N ;
- FILLER_64_276 sky130_fd_sc_hd__decap_4 + SOURCE DIST + PLACED ( 132480 184960 ) N ;
- FILLER_64_281 sky130_fd_sc_hd__decap_12 + SOURCE DIST + PLACED ( 134780 184960 ) N ;
- FILLER_64_293 sky130_fd_sc_hd__decap_12 + SOURCE DIST + PLACED ( 140300 184960 ) N ;
- FILLER_64_305 sky130_fd_sc_hd__decap_3 + SOURCE DIST + PLACED ( 145820 184960 ) N ;
- FILLER_64_309 sky130_fd_sc_hd__decap_12 + SOURCE DIST + PLACED ( 147660 184960 ) N ;
- FILLER_64_32 sky130_fd_sc_hd__decap_12 + SOURCE DIST + PLACED ( 20240 184960 ) N ;
- FILLER_64_321 sky130_fd_sc_hd__decap_12 + SOURCE DIST + PLACED ( 153180 184960 ) N ;
- FILLER_64_333 sky130_fd_sc_hd__decap_3 + SOURCE DIST + PLACED ( 158700 184960 ) N ;
- FILLER_64_337 sky130_fd_sc_hd__decap_12 + SOURCE DIST + PLACED ( 160540 184960 ) N ;
- FILLER_64_349 sky130_fd_sc_hd__decap_12 + SOURCE DIST + PLACED ( 166060 184960 ) N ;
- FILLER_64_361 sky130_fd_sc_hd__decap_3 + SOURCE DIST + PLACED ( 171580 184960 ) N ;
- FILLER_64_365 sky130_fd_sc_hd__decap_12 + SOURCE DIST + PLACED ( 173420 184960 ) N ;
- FILLER_64_377 sky130_fd_sc_hd__decap_12 + SOURCE DIST + PLACED ( 178940 184960 ) N ;
- FILLER_64_389 sky130_fd_sc_hd__decap_3 + SOURCE DIST + PLACED ( 184460 184960 ) N ;
- FILLER_64_393 sky130_fd_sc_hd__decap_12 + SOURCE DIST + PLACED ( 186300 184960 ) N ;
- FILLER_64_405 sky130_fd_sc_hd__decap_12 + SOURCE DIST + PLACED ( 191820 184960 ) N ;
- FILLER_64_417 sky130_fd_sc_hd__decap_3 + SOURCE DIST + PLACED ( 197340 184960 ) N ;
- FILLER_64_421 sky130_fd_sc_hd__decap_12 + SOURCE DIST + PLACED ( 199180 184960 ) N ;
- FILLER_64_433 sky130_fd_sc_hd__decap_3 + SOURCE DIST + PLACED ( 204700 184960 ) N ;
- FILLER_64_439 sky130_fd_sc_hd__decap_8 + SOURCE DIST + PLACED ( 207460 184960 ) N ;
- FILLER_64_44 sky130_fd_sc_hd__decap_12 + SOURCE DIST + PLACED ( 25760 184960 ) N ;
- FILLER_64_447 sky130_fd_sc_hd__fill_1 + SOURCE DIST + PLACED ( 211140 184960 ) N ;
- FILLER_64_449 sky130_fd_sc_hd__decap_12 + SOURCE DIST + PLACED ( 212060 184960 ) N ;
- FILLER_64_461 sky130_fd_sc_hd__decap_12 + SOURCE DIST + PLACED ( 217580 184960 ) N ;
- FILLER_64_473 sky130_fd_sc_hd__decap_3 + SOURCE DIST + PLACED ( 223100 184960 ) N ;
- FILLER_64_477 sky130_fd_sc_hd__decap_12 + SOURCE DIST + PLACED ( 224940 184960 ) N ;
- FILLER_64_489 sky130_fd_sc_hd__decap_12 + SOURCE DIST + PLACED ( 230460 184960 ) N ;
- FILLER_64_501 sky130_fd_sc_hd__decap_3 + SOURCE DIST + PLACED ( 235980 184960 ) N ;
- FILLER_64_505 sky130_fd_sc_hd__decap_12 + SOURCE DIST + PLACED ( 237820 184960 ) N ;
- FILLER_64_517 sky130_fd_sc_hd__fill_1 + SOURCE DIST + PLACED ( 243340 184960 ) N ;
- FILLER_64_521 sky130_fd_sc_hd__decap_8 + SOURCE DIST + PLACED ( 245180 184960 ) N ;
- FILLER_64_529 sky130_fd_sc_hd__decap_3 + SOURCE DIST + PLACED ( 248860 184960 ) N ;
- FILLER_64_533 sky130_fd_sc_hd__decap_12 + SOURCE DIST + PLACED ( 250700 184960 ) N ;
- FILLER_64_545 sky130_fd_sc_hd__decap_12 + SOURCE DIST + PLACED ( 256220 184960 ) N ;
- FILLER_64_557 sky130_fd_sc_hd__decap_3 + SOURCE DIST + PLACED ( 261740 184960 ) N ;
- FILLER_64_561 sky130_fd_sc_hd__decap_12 + SOURCE DIST + PLACED ( 263580 184960 ) N ;
- FILLER_64_57 sky130_fd_sc_hd__decap_12 + SOURCE DIST + PLACED ( 31740 184960 ) N ;
- FILLER_64_573 sky130_fd_sc_hd__decap_12 + SOURCE DIST + PLACED ( 269100 184960 ) N ;
- FILLER_64_585 sky130_fd_sc_hd__decap_3 + SOURCE DIST + PLACED ( 274620 184960 ) N ;
- FILLER_64_589 sky130_fd_sc_hd__decap_8 + SOURCE DIST + PLACED ( 276460 184960 ) N ;
- FILLER_64_597 sky130_fd_sc_hd__fill_2 + SOURCE DIST + PLACED ( 280140 184960 ) N ;
- FILLER_64_602 sky130_fd_sc_hd__decap_12 + SOURCE DIST + PLACED ( 282440 184960 ) N ;
- FILLER_64_614 sky130_fd_sc_hd__fill_2 + SOURCE DIST + PLACED ( 287960 184960 ) N ;
- FILLER_64_617 sky130_fd_sc_hd__decap_8 + SOURCE DIST + PLACED ( 289340 184960 ) N ;
- FILLER_64_69 sky130_fd_sc_hd__decap_12 + SOURCE DIST + PLACED ( 37260 184960 ) N ;
- FILLER_64_7 sky130_fd_sc_hd__decap_4 + SOURCE DIST + PLACED ( 8740 184960 ) N ;
- FILLER_64_81 sky130_fd_sc_hd__decap_3 + SOURCE DIST + PLACED ( 42780 184960 ) N ;
- FILLER_64_85 sky130_fd_sc_hd__decap_12 + SOURCE DIST + PLACED ( 44620 184960 ) N ;
- FILLER_64_97 sky130_fd_sc_hd__decap_12 + SOURCE DIST + PLACED ( 50140 184960 ) N ;
- FILLER_6_110 sky130_fd_sc_hd__decap_12 + SOURCE DIST + PLACED ( 56120 27200 ) N ;
- FILLER_6_122 sky130_fd_sc_hd__decap_12 + SOURCE DIST + PLACED ( 61640 27200 ) N ;
- FILLER_6_13 sky130_fd_sc_hd__decap_4 + SOURCE DIST + PLACED ( 11500 27200 ) N ;
- FILLER_6_134 sky130_fd_sc_hd__decap_6 + SOURCE DIST + PLACED ( 67160 27200 ) N ;
- FILLER_6_151 sky130_fd_sc_hd__decap_12 + SOURCE DIST + PLACED ( 74980 27200 ) N ;
- FILLER_6_163 sky130_fd_sc_hd__decap_12 + SOURCE DIST + PLACED ( 80500 27200 ) N ;
- FILLER_6_175 sky130_fd_sc_hd__decap_12 + SOURCE DIST + PLACED ( 86020 27200 ) N ;
- FILLER_6_187 sky130_fd_sc_hd__decap_8 + SOURCE DIST + PLACED ( 91540 27200 ) N ;
- FILLER_6_195 sky130_fd_sc_hd__fill_1 + SOURCE DIST + PLACED ( 95220 27200 ) N ;
- FILLER_6_197 sky130_fd_sc_hd__decap_12 + SOURCE DIST + PLACED ( 96140 27200 ) N ;
- FILLER_6_20 sky130_fd_sc_hd__decap_8 + SOURCE DIST + PLACED ( 14720 27200 ) N ;
- FILLER_6_209 sky130_fd_sc_hd__decap_12 + SOURCE DIST + PLACED ( 101660 27200 ) N ;
- FILLER_6_221 sky130_fd_sc_hd__decap_12 + SOURCE DIST + PLACED ( 107180 27200 ) N ;
- FILLER_6_233 sky130_fd_sc_hd__decap_12 + SOURCE DIST + PLACED ( 112700 27200 ) N ;
- FILLER_6_245 sky130_fd_sc_hd__decap_6 + SOURCE DIST + PLACED ( 118220 27200 ) N ;
- FILLER_6_251 sky130_fd_sc_hd__fill_1 + SOURCE DIST + PLACED ( 120980 27200 ) N ;
- FILLER_6_253 sky130_fd_sc_hd__decap_12 + SOURCE DIST + PLACED ( 121900 27200 ) N ;
- FILLER_6_265 sky130_fd_sc_hd__decap_12 + SOURCE DIST + PLACED ( 127420 27200 ) N ;
- FILLER_6_277 sky130_fd_sc_hd__decap_12 + SOURCE DIST + PLACED ( 132940 27200 ) N ;
- FILLER_6_289 sky130_fd_sc_hd__decap_12 + SOURCE DIST + PLACED ( 138460 27200 ) N ;
- FILLER_6_29 sky130_fd_sc_hd__fill_1 + SOURCE DIST + PLACED ( 18860 27200 ) N ;
- FILLER_6_301 sky130_fd_sc_hd__decap_6 + SOURCE DIST + PLACED ( 143980 27200 ) N ;
- FILLER_6_307 sky130_fd_sc_hd__fill_1 + SOURCE DIST + PLACED ( 146740 27200 ) N ;
- FILLER_6_309 sky130_fd_sc_hd__decap_12 + SOURCE DIST + PLACED ( 147660 27200 ) N ;
- FILLER_6_321 sky130_fd_sc_hd__decap_12 + SOURCE DIST + PLACED ( 153180 27200 ) N ;
- FILLER_6_333 sky130_fd_sc_hd__decap_12 + SOURCE DIST + PLACED ( 158700 27200 ) N ;
- FILLER_6_345 sky130_fd_sc_hd__decap_12 + SOURCE DIST + PLACED ( 164220 27200 ) N ;
- FILLER_6_357 sky130_fd_sc_hd__decap_6 + SOURCE DIST + PLACED ( 169740 27200 ) N ;
- FILLER_6_36 sky130_fd_sc_hd__decap_4 + SOURCE DIST + PLACED ( 22080 27200 ) N ;
- FILLER_6_363 sky130_fd_sc_hd__fill_1 + SOURCE DIST + PLACED ( 172500 27200 ) N ;
- FILLER_6_365 sky130_fd_sc_hd__decap_12 + SOURCE DIST + PLACED ( 173420 27200 ) N ;
- FILLER_6_377 sky130_fd_sc_hd__decap_12 + SOURCE DIST + PLACED ( 178940 27200 ) N ;
- FILLER_6_389 sky130_fd_sc_hd__decap_12 + SOURCE DIST + PLACED ( 184460 27200 ) N ;
- FILLER_6_401 sky130_fd_sc_hd__decap_12 + SOURCE DIST + PLACED ( 189980 27200 ) N ;
- FILLER_6_413 sky130_fd_sc_hd__decap_6 + SOURCE DIST + PLACED ( 195500 27200 ) N ;
- FILLER_6_419 sky130_fd_sc_hd__fill_1 + SOURCE DIST + PLACED ( 198260 27200 ) N ;
- FILLER_6_421 sky130_fd_sc_hd__decap_12 + SOURCE DIST + PLACED ( 199180 27200 ) N ;
- FILLER_6_43 sky130_fd_sc_hd__decap_12 + SOURCE DIST + PLACED ( 25300 27200 ) N ;
- FILLER_6_433 sky130_fd_sc_hd__decap_12 + SOURCE DIST + PLACED ( 204700 27200 ) N ;
- FILLER_6_445 sky130_fd_sc_hd__decap_12 + SOURCE DIST + PLACED ( 210220 27200 ) N ;
- FILLER_6_457 sky130_fd_sc_hd__decap_12 + SOURCE DIST + PLACED ( 215740 27200 ) N ;
- FILLER_6_469 sky130_fd_sc_hd__decap_6 + SOURCE DIST + PLACED ( 221260 27200 ) N ;
- FILLER_6_475 sky130_fd_sc_hd__fill_1 + SOURCE DIST + PLACED ( 224020 27200 ) N ;
- FILLER_6_477 sky130_fd_sc_hd__decap_12 + SOURCE DIST + PLACED ( 224940 27200 ) N ;
- FILLER_6_489 sky130_fd_sc_hd__decap_12 + SOURCE DIST + PLACED ( 230460 27200 ) N ;
- FILLER_6_501 sky130_fd_sc_hd__decap_12 + SOURCE DIST + PLACED ( 235980 27200 ) N ;
- FILLER_6_513 sky130_fd_sc_hd__decap_12 + SOURCE DIST + PLACED ( 241500 27200 ) N ;
- FILLER_6_525 sky130_fd_sc_hd__decap_6 + SOURCE DIST + PLACED ( 247020 27200 ) N ;
- FILLER_6_531 sky130_fd_sc_hd__fill_1 + SOURCE DIST + PLACED ( 249780 27200 ) N ;
- FILLER_6_533 sky130_fd_sc_hd__decap_12 + SOURCE DIST + PLACED ( 250700 27200 ) N ;
- FILLER_6_545 sky130_fd_sc_hd__decap_12 + SOURCE DIST + PLACED ( 256220 27200 ) N ;
- FILLER_6_557 sky130_fd_sc_hd__decap_12 + SOURCE DIST + PLACED ( 261740 27200 ) N ;
- FILLER_6_569 sky130_fd_sc_hd__decap_12 + SOURCE DIST + PLACED ( 267260 27200 ) N ;
- FILLER_6_581 sky130_fd_sc_hd__decap_6 + SOURCE DIST + PLACED ( 272780 27200 ) N ;
- FILLER_6_587 sky130_fd_sc_hd__fill_1 + SOURCE DIST + PLACED ( 275540 27200 ) N ;
- FILLER_6_589 sky130_fd_sc_hd__decap_12 + SOURCE DIST + PLACED ( 276460 27200 ) N ;
- FILLER_6_6 sky130_fd_sc_hd__decap_4 + SOURCE DIST + PLACED ( 8280 27200 ) N ;
- FILLER_6_601 sky130_fd_sc_hd__decap_12 + SOURCE DIST + PLACED ( 281980 27200 ) N ;
- FILLER_6_613 sky130_fd_sc_hd__decap_12 + SOURCE DIST + PLACED ( 287500 27200 ) N ;
- FILLER_6_71 sky130_fd_sc_hd__decap_12 + SOURCE DIST + PLACED ( 38180 27200 ) N ;
- FILLER_6_83 sky130_fd_sc_hd__fill_1 + SOURCE DIST + PLACED ( 43700 27200 ) N ;
- FILLER_6_85 sky130_fd_sc_hd__decap_6 + SOURCE DIST + PLACED ( 44620 27200 ) N ;
- FILLER_6_91 sky130_fd_sc_hd__fill_1 + SOURCE DIST + PLACED ( 47380 27200 ) N ;
- FILLER_6_96 sky130_fd_sc_hd__decap_4 + SOURCE DIST + PLACED ( 49680 27200 ) N ;
- FILLER_7_101 sky130_fd_sc_hd__fill_1 + SOURCE DIST + PLACED ( 51980 29920 ) FS ;
- FILLER_7_106 sky130_fd_sc_hd__decap_6 + SOURCE DIST + PLACED ( 54280 29920 ) FS ;
- FILLER_7_113 sky130_fd_sc_hd__decap_12 + SOURCE DIST + PLACED ( 57500 29920 ) FS ;
- FILLER_7_125 sky130_fd_sc_hd__decap_8 + SOURCE DIST + PLACED ( 63020 29920 ) FS ;
- FILLER_7_13 sky130_fd_sc_hd__fill_1 + SOURCE DIST + PLACED ( 11500 29920 ) FS ;
- FILLER_7_133 sky130_fd_sc_hd__decap_3 + SOURCE DIST + PLACED ( 66700 29920 ) FS ;
- FILLER_7_146 sky130_fd_sc_hd__decap_12 + SOURCE DIST + PLACED ( 72680 29920 ) FS ;
- FILLER_7_158 sky130_fd_sc_hd__decap_8 + SOURCE DIST + PLACED ( 78200 29920 ) FS ;
- FILLER_7_166 sky130_fd_sc_hd__fill_2 + SOURCE DIST + PLACED ( 81880 29920 ) FS ;
- FILLER_7_169 sky130_fd_sc_hd__decap_12 + SOURCE DIST + PLACED ( 83260 29920 ) FS ;
- FILLER_7_181 sky130_fd_sc_hd__decap_12 + SOURCE DIST + PLACED ( 88780 29920 ) FS ;
- FILLER_7_193 sky130_fd_sc_hd__decap_12 + SOURCE DIST + PLACED ( 94300 29920 ) FS ;
- FILLER_7_205 sky130_fd_sc_hd__decap_12 + SOURCE DIST + PLACED ( 99820 29920 ) FS ;
- FILLER_7_217 sky130_fd_sc_hd__decap_6 + SOURCE DIST + PLACED ( 105340 29920 ) FS ;
- FILLER_7_223 sky130_fd_sc_hd__fill_1 + SOURCE DIST + PLACED ( 108100 29920 ) FS ;
- FILLER_7_225 sky130_fd_sc_hd__decap_12 + SOURCE DIST + PLACED ( 109020 29920 ) FS ;
- FILLER_7_237 sky130_fd_sc_hd__decap_12 + SOURCE DIST + PLACED ( 114540 29920 ) FS ;
- FILLER_7_249 sky130_fd_sc_hd__decap_12 + SOURCE DIST + PLACED ( 120060 29920 ) FS ;
- FILLER_7_261 sky130_fd_sc_hd__decap_12 + SOURCE DIST + PLACED ( 125580 29920 ) FS ;
- FILLER_7_273 sky130_fd_sc_hd__decap_6 + SOURCE DIST + PLACED ( 131100 29920 ) FS ;
- FILLER_7_279 sky130_fd_sc_hd__fill_1 + SOURCE DIST + PLACED ( 133860 29920 ) FS ;
- FILLER_7_281 sky130_fd_sc_hd__decap_12 + SOURCE DIST + PLACED ( 134780 29920 ) FS ;
- FILLER_7_293 sky130_fd_sc_hd__decap_12 + SOURCE DIST + PLACED ( 140300 29920 ) FS ;
- FILLER_7_30 sky130_fd_sc_hd__decap_4 + SOURCE DIST + PLACED ( 19320 29920 ) FS ;
- FILLER_7_305 sky130_fd_sc_hd__decap_12 + SOURCE DIST + PLACED ( 145820 29920 ) FS ;
- FILLER_7_317 sky130_fd_sc_hd__decap_12 + SOURCE DIST + PLACED ( 151340 29920 ) FS ;
- FILLER_7_329 sky130_fd_sc_hd__decap_6 + SOURCE DIST + PLACED ( 156860 29920 ) FS ;
- FILLER_7_335 sky130_fd_sc_hd__fill_1 + SOURCE DIST + PLACED ( 159620 29920 ) FS ;
- FILLER_7_337 sky130_fd_sc_hd__decap_12 + SOURCE DIST + PLACED ( 160540 29920 ) FS ;
- FILLER_7_349 sky130_fd_sc_hd__decap_12 + SOURCE DIST + PLACED ( 166060 29920 ) FS ;
- FILLER_7_361 sky130_fd_sc_hd__decap_12 + SOURCE DIST + PLACED ( 171580 29920 ) FS ;
- FILLER_7_373 sky130_fd_sc_hd__decap_12 + SOURCE DIST + PLACED ( 177100 29920 ) FS ;
- FILLER_7_385 sky130_fd_sc_hd__decap_6 + SOURCE DIST + PLACED ( 182620 29920 ) FS ;
- FILLER_7_391 sky130_fd_sc_hd__fill_1 + SOURCE DIST + PLACED ( 185380 29920 ) FS ;
- FILLER_7_393 sky130_fd_sc_hd__decap_12 + SOURCE DIST + PLACED ( 186300 29920 ) FS ;
- FILLER_7_40 sky130_fd_sc_hd__decap_12 + SOURCE DIST + PLACED ( 23920 29920 ) FS ;
- FILLER_7_405 sky130_fd_sc_hd__decap_12 + SOURCE DIST + PLACED ( 191820 29920 ) FS ;
- FILLER_7_417 sky130_fd_sc_hd__decap_12 + SOURCE DIST + PLACED ( 197340 29920 ) FS ;
- FILLER_7_429 sky130_fd_sc_hd__decap_12 + SOURCE DIST + PLACED ( 202860 29920 ) FS ;
- FILLER_7_441 sky130_fd_sc_hd__decap_6 + SOURCE DIST + PLACED ( 208380 29920 ) FS ;
- FILLER_7_447 sky130_fd_sc_hd__fill_1 + SOURCE DIST + PLACED ( 211140 29920 ) FS ;
- FILLER_7_449 sky130_fd_sc_hd__decap_12 + SOURCE DIST + PLACED ( 212060 29920 ) FS ;
- FILLER_7_461 sky130_fd_sc_hd__decap_12 + SOURCE DIST + PLACED ( 217580 29920 ) FS ;
- FILLER_7_473 sky130_fd_sc_hd__decap_12 + SOURCE DIST + PLACED ( 223100 29920 ) FS ;
- FILLER_7_485 sky130_fd_sc_hd__decap_12 + SOURCE DIST + PLACED ( 228620 29920 ) FS ;
- FILLER_7_497 sky130_fd_sc_hd__decap_6 + SOURCE DIST + PLACED ( 234140 29920 ) FS ;
- FILLER_7_503 sky130_fd_sc_hd__fill_1 + SOURCE DIST + PLACED ( 236900 29920 ) FS ;
- FILLER_7_505 sky130_fd_sc_hd__decap_12 + SOURCE DIST + PLACED ( 237820 29920 ) FS ;
- FILLER_7_517 sky130_fd_sc_hd__decap_12 + SOURCE DIST + PLACED ( 243340 29920 ) FS ;
- FILLER_7_52 sky130_fd_sc_hd__decap_4 + SOURCE DIST + PLACED ( 29440 29920 ) FS ;
- FILLER_7_529 sky130_fd_sc_hd__decap_12 + SOURCE DIST + PLACED ( 248860 29920 ) FS ;
- FILLER_7_541 sky130_fd_sc_hd__decap_12 + SOURCE DIST + PLACED ( 254380 29920 ) FS ;
- FILLER_7_553 sky130_fd_sc_hd__decap_6 + SOURCE DIST + PLACED ( 259900 29920 ) FS ;
- FILLER_7_559 sky130_fd_sc_hd__fill_1 + SOURCE DIST + PLACED ( 262660 29920 ) FS ;
- FILLER_7_561 sky130_fd_sc_hd__decap_12 + SOURCE DIST + PLACED ( 263580 29920 ) FS ;
- FILLER_7_57 sky130_fd_sc_hd__decap_6 + SOURCE DIST + PLACED ( 31740 29920 ) FS ;
- FILLER_7_573 sky130_fd_sc_hd__decap_12 + SOURCE DIST + PLACED ( 269100 29920 ) FS ;
- FILLER_7_585 sky130_fd_sc_hd__decap_12 + SOURCE DIST + PLACED ( 274620 29920 ) FS ;
- FILLER_7_597 sky130_fd_sc_hd__decap_12 + SOURCE DIST + PLACED ( 280140 29920 ) FS ;
- FILLER_7_609 sky130_fd_sc_hd__decap_6 + SOURCE DIST + PLACED ( 285660 29920 ) FS ;
- FILLER_7_615 sky130_fd_sc_hd__fill_1 + SOURCE DIST + PLACED ( 288420 29920 ) FS ;
- FILLER_7_617 sky130_fd_sc_hd__decap_8 + SOURCE DIST + PLACED ( 289340 29920 ) FS ;
- FILLER_7_63 sky130_fd_sc_hd__fill_1 + SOURCE DIST + PLACED ( 34500 29920 ) FS ;
- FILLER_7_69 sky130_fd_sc_hd__decap_4 + SOURCE DIST + PLACED ( 37260 29920 ) FS ;
- FILLER_7_7 sky130_fd_sc_hd__decap_6 + SOURCE DIST + PLACED ( 8740 29920 ) FS ;
- FILLER_7_78 sky130_fd_sc_hd__decap_4 + SOURCE DIST + PLACED ( 41400 29920 ) FS ;
- FILLER_7_85 sky130_fd_sc_hd__decap_12 + SOURCE DIST + PLACED ( 44620 29920 ) FS ;
- FILLER_7_97 sky130_fd_sc_hd__decap_4 + SOURCE DIST + PLACED ( 50140 29920 ) FS ;
- FILLER_8_104 sky130_fd_sc_hd__fill_2 + SOURCE DIST + PLACED ( 53360 32640 ) N ;
- FILLER_8_108 sky130_fd_sc_hd__fill_2 + SOURCE DIST + PLACED ( 55200 32640 ) N ;
- FILLER_8_115 sky130_fd_sc_hd__decap_12 + SOURCE DIST + PLACED ( 58420 32640 ) N ;
- FILLER_8_127 sky130_fd_sc_hd__decap_12 + SOURCE DIST + PLACED ( 63940 32640 ) N ;
- FILLER_8_139 sky130_fd_sc_hd__fill_1 + SOURCE DIST + PLACED ( 69460 32640 ) N ;
- FILLER_8_151 sky130_fd_sc_hd__decap_12 + SOURCE DIST + PLACED ( 74980 32640 ) N ;
- FILLER_8_163 sky130_fd_sc_hd__decap_12 + SOURCE DIST + PLACED ( 80500 32640 ) N ;
- FILLER_8_175 sky130_fd_sc_hd__decap_12 + SOURCE DIST + PLACED ( 86020 32640 ) N ;
- FILLER_8_18 sky130_fd_sc_hd__decap_3 + SOURCE DIST + PLACED ( 13800 32640 ) N ;
- FILLER_8_187 sky130_fd_sc_hd__decap_8 + SOURCE DIST + PLACED ( 91540 32640 ) N ;
- FILLER_8_195 sky130_fd_sc_hd__fill_1 + SOURCE DIST + PLACED ( 95220 32640 ) N ;
- FILLER_8_197 sky130_fd_sc_hd__decap_12 + SOURCE DIST + PLACED ( 96140 32640 ) N ;
- FILLER_8_209 sky130_fd_sc_hd__decap_12 + SOURCE DIST + PLACED ( 101660 32640 ) N ;
- FILLER_8_221 sky130_fd_sc_hd__decap_12 + SOURCE DIST + PLACED ( 107180 32640 ) N ;
- FILLER_8_233 sky130_fd_sc_hd__decap_12 + SOURCE DIST + PLACED ( 112700 32640 ) N ;
- FILLER_8_24 sky130_fd_sc_hd__decap_4 + SOURCE DIST + PLACED ( 16560 32640 ) N ;
- FILLER_8_245 sky130_fd_sc_hd__decap_6 + SOURCE DIST + PLACED ( 118220 32640 ) N ;
- FILLER_8_251 sky130_fd_sc_hd__fill_1 + SOURCE DIST + PLACED ( 120980 32640 ) N ;
- FILLER_8_253 sky130_fd_sc_hd__decap_12 + SOURCE DIST + PLACED ( 121900 32640 ) N ;
- FILLER_8_265 sky130_fd_sc_hd__decap_12 + SOURCE DIST + PLACED ( 127420 32640 ) N ;
- FILLER_8_277 sky130_fd_sc_hd__decap_12 + SOURCE DIST + PLACED ( 132940 32640 ) N ;
- FILLER_8_289 sky130_fd_sc_hd__decap_12 + SOURCE DIST + PLACED ( 138460 32640 ) N ;
- FILLER_8_29 sky130_fd_sc_hd__decap_12 + SOURCE DIST + PLACED ( 18860 32640 ) N ;
- FILLER_8_301 sky130_fd_sc_hd__decap_6 + SOURCE DIST + PLACED ( 143980 32640 ) N ;
- FILLER_8_307 sky130_fd_sc_hd__fill_1 + SOURCE DIST + PLACED ( 146740 32640 ) N ;
- FILLER_8_309 sky130_fd_sc_hd__decap_12 + SOURCE DIST + PLACED ( 147660 32640 ) N ;
- FILLER_8_321 sky130_fd_sc_hd__decap_12 + SOURCE DIST + PLACED ( 153180 32640 ) N ;
- FILLER_8_333 sky130_fd_sc_hd__decap_12 + SOURCE DIST + PLACED ( 158700 32640 ) N ;
- FILLER_8_345 sky130_fd_sc_hd__decap_12 + SOURCE DIST + PLACED ( 164220 32640 ) N ;
- FILLER_8_357 sky130_fd_sc_hd__decap_6 + SOURCE DIST + PLACED ( 169740 32640 ) N ;
- FILLER_8_363 sky130_fd_sc_hd__fill_1 + SOURCE DIST + PLACED ( 172500 32640 ) N ;
- FILLER_8_365 sky130_fd_sc_hd__decap_12 + SOURCE DIST + PLACED ( 173420 32640 ) N ;
- FILLER_8_377 sky130_fd_sc_hd__decap_12 + SOURCE DIST + PLACED ( 178940 32640 ) N ;
- FILLER_8_389 sky130_fd_sc_hd__decap_12 + SOURCE DIST + PLACED ( 184460 32640 ) N ;
- FILLER_8_401 sky130_fd_sc_hd__decap_12 + SOURCE DIST + PLACED ( 189980 32640 ) N ;
- FILLER_8_41 sky130_fd_sc_hd__decap_8 + SOURCE DIST + PLACED ( 24380 32640 ) N ;
- FILLER_8_413 sky130_fd_sc_hd__decap_6 + SOURCE DIST + PLACED ( 195500 32640 ) N ;
- FILLER_8_419 sky130_fd_sc_hd__fill_1 + SOURCE DIST + PLACED ( 198260 32640 ) N ;
- FILLER_8_421 sky130_fd_sc_hd__decap_12 + SOURCE DIST + PLACED ( 199180 32640 ) N ;
- FILLER_8_433 sky130_fd_sc_hd__decap_12 + SOURCE DIST + PLACED ( 204700 32640 ) N ;
- FILLER_8_445 sky130_fd_sc_hd__decap_12 + SOURCE DIST + PLACED ( 210220 32640 ) N ;
- FILLER_8_457 sky130_fd_sc_hd__decap_12 + SOURCE DIST + PLACED ( 215740 32640 ) N ;
- FILLER_8_469 sky130_fd_sc_hd__decap_6 + SOURCE DIST + PLACED ( 221260 32640 ) N ;
- FILLER_8_475 sky130_fd_sc_hd__fill_1 + SOURCE DIST + PLACED ( 224020 32640 ) N ;
- FILLER_8_477 sky130_fd_sc_hd__decap_12 + SOURCE DIST + PLACED ( 224940 32640 ) N ;
- FILLER_8_489 sky130_fd_sc_hd__decap_12 + SOURCE DIST + PLACED ( 230460 32640 ) N ;
- FILLER_8_49 sky130_fd_sc_hd__decap_3 + SOURCE DIST + PLACED ( 28060 32640 ) N ;
- FILLER_8_501 sky130_fd_sc_hd__decap_12 + SOURCE DIST + PLACED ( 235980 32640 ) N ;
- FILLER_8_513 sky130_fd_sc_hd__decap_12 + SOURCE DIST + PLACED ( 241500 32640 ) N ;
- FILLER_8_525 sky130_fd_sc_hd__decap_6 + SOURCE DIST + PLACED ( 247020 32640 ) N ;
- FILLER_8_531 sky130_fd_sc_hd__fill_1 + SOURCE DIST + PLACED ( 249780 32640 ) N ;
- FILLER_8_533 sky130_fd_sc_hd__decap_12 + SOURCE DIST + PLACED ( 250700 32640 ) N ;
- FILLER_8_545 sky130_fd_sc_hd__decap_12 + SOURCE DIST + PLACED ( 256220 32640 ) N ;
- FILLER_8_55 sky130_fd_sc_hd__decap_12 + SOURCE DIST + PLACED ( 30820 32640 ) N ;
- FILLER_8_557 sky130_fd_sc_hd__decap_12 + SOURCE DIST + PLACED ( 261740 32640 ) N ;
- FILLER_8_569 sky130_fd_sc_hd__decap_12 + SOURCE DIST + PLACED ( 267260 32640 ) N ;
- FILLER_8_581 sky130_fd_sc_hd__decap_6 + SOURCE DIST + PLACED ( 272780 32640 ) N ;
- FILLER_8_587 sky130_fd_sc_hd__fill_1 + SOURCE DIST + PLACED ( 275540 32640 ) N ;
- FILLER_8_589 sky130_fd_sc_hd__decap_12 + SOURCE DIST + PLACED ( 276460 32640 ) N ;
- FILLER_8_6 sky130_fd_sc_hd__decap_12 + SOURCE DIST + PLACED ( 8280 32640 ) N ;
- FILLER_8_601 sky130_fd_sc_hd__decap_12 + SOURCE DIST + PLACED ( 281980 32640 ) N ;
- FILLER_8_613 sky130_fd_sc_hd__decap_12 + SOURCE DIST + PLACED ( 287500 32640 ) N ;
- FILLER_8_67 sky130_fd_sc_hd__decap_3 + SOURCE DIST + PLACED ( 36340 32640 ) N ;
- FILLER_8_80 sky130_fd_sc_hd__decap_4 + SOURCE DIST + PLACED ( 42320 32640 ) N ;
- FILLER_8_85 sky130_fd_sc_hd__decap_12 + SOURCE DIST + PLACED ( 44620 32640 ) N ;
- FILLER_8_97 sky130_fd_sc_hd__decap_4 + SOURCE DIST + PLACED ( 50140 32640 ) N ;
- FILLER_9_102 sky130_fd_sc_hd__fill_1 + SOURCE DIST + PLACED ( 52440 35360 ) FS ;
- FILLER_9_108 sky130_fd_sc_hd__decap_4 + SOURCE DIST + PLACED ( 55200 35360 ) FS ;
- FILLER_9_118 sky130_fd_sc_hd__decap_4 + SOURCE DIST + PLACED ( 59800 35360 ) FS ;
- FILLER_9_127 sky130_fd_sc_hd__decap_12 + SOURCE DIST + PLACED ( 63940 35360 ) FS ;
- FILLER_9_139 sky130_fd_sc_hd__decap_12 + SOURCE DIST + PLACED ( 69460 35360 ) FS ;
- FILLER_9_151 sky130_fd_sc_hd__decap_12 + SOURCE DIST + PLACED ( 74980 35360 ) FS ;
- FILLER_9_163 sky130_fd_sc_hd__decap_4 + SOURCE DIST + PLACED ( 80500 35360 ) FS ;
- FILLER_9_167 sky130_fd_sc_hd__fill_1 + SOURCE DIST + PLACED ( 82340 35360 ) FS ;
- FILLER_9_169 sky130_fd_sc_hd__decap_12 + SOURCE DIST + PLACED ( 83260 35360 ) FS ;
- FILLER_9_181 sky130_fd_sc_hd__decap_12 + SOURCE DIST + PLACED ( 88780 35360 ) FS ;
- FILLER_9_19 sky130_fd_sc_hd__fill_1 + SOURCE DIST + PLACED ( 14260 35360 ) FS ;
- FILLER_9_193 sky130_fd_sc_hd__decap_12 + SOURCE DIST + PLACED ( 94300 35360 ) FS ;
- FILLER_9_205 sky130_fd_sc_hd__decap_12 + SOURCE DIST + PLACED ( 99820 35360 ) FS ;
- FILLER_9_217 sky130_fd_sc_hd__decap_6 + SOURCE DIST + PLACED ( 105340 35360 ) FS ;
- FILLER_9_223 sky130_fd_sc_hd__fill_1 + SOURCE DIST + PLACED ( 108100 35360 ) FS ;
- FILLER_9_225 sky130_fd_sc_hd__decap_12 + SOURCE DIST + PLACED ( 109020 35360 ) FS ;
- FILLER_9_237 sky130_fd_sc_hd__decap_12 + SOURCE DIST + PLACED ( 114540 35360 ) FS ;
- FILLER_9_249 sky130_fd_sc_hd__decap_12 + SOURCE DIST + PLACED ( 120060 35360 ) FS ;
- FILLER_9_25 sky130_fd_sc_hd__decap_12 + SOURCE DIST + PLACED ( 17020 35360 ) FS ;
- FILLER_9_261 sky130_fd_sc_hd__decap_12 + SOURCE DIST + PLACED ( 125580 35360 ) FS ;
- FILLER_9_273 sky130_fd_sc_hd__decap_6 + SOURCE DIST + PLACED ( 131100 35360 ) FS ;
- FILLER_9_279 sky130_fd_sc_hd__fill_1 + SOURCE DIST + PLACED ( 133860 35360 ) FS ;
- FILLER_9_281 sky130_fd_sc_hd__decap_12 + SOURCE DIST + PLACED ( 134780 35360 ) FS ;
- FILLER_9_293 sky130_fd_sc_hd__decap_12 + SOURCE DIST + PLACED ( 140300 35360 ) FS ;
- FILLER_9_305 sky130_fd_sc_hd__decap_12 + SOURCE DIST + PLACED ( 145820 35360 ) FS ;
- FILLER_9_317 sky130_fd_sc_hd__decap_12 + SOURCE DIST + PLACED ( 151340 35360 ) FS ;
- FILLER_9_329 sky130_fd_sc_hd__decap_6 + SOURCE DIST + PLACED ( 156860 35360 ) FS ;
- FILLER_9_335 sky130_fd_sc_hd__fill_1 + SOURCE DIST + PLACED ( 159620 35360 ) FS ;
- FILLER_9_337 sky130_fd_sc_hd__decap_12 + SOURCE DIST + PLACED ( 160540 35360 ) FS ;
- FILLER_9_349 sky130_fd_sc_hd__decap_12 + SOURCE DIST + PLACED ( 166060 35360 ) FS ;
- FILLER_9_361 sky130_fd_sc_hd__decap_12 + SOURCE DIST + PLACED ( 171580 35360 ) FS ;
- FILLER_9_37 sky130_fd_sc_hd__fill_1 + SOURCE DIST + PLACED ( 22540 35360 ) FS ;
- FILLER_9_373 sky130_fd_sc_hd__decap_12 + SOURCE DIST + PLACED ( 177100 35360 ) FS ;
- FILLER_9_385 sky130_fd_sc_hd__decap_6 + SOURCE DIST + PLACED ( 182620 35360 ) FS ;
- FILLER_9_391 sky130_fd_sc_hd__fill_1 + SOURCE DIST + PLACED ( 185380 35360 ) FS ;
- FILLER_9_393 sky130_fd_sc_hd__decap_12 + SOURCE DIST + PLACED ( 186300 35360 ) FS ;
- FILLER_9_405 sky130_fd_sc_hd__decap_12 + SOURCE DIST + PLACED ( 191820 35360 ) FS ;
- FILLER_9_41 sky130_fd_sc_hd__decap_12 + SOURCE DIST + PLACED ( 24380 35360 ) FS ;
- FILLER_9_417 sky130_fd_sc_hd__decap_12 + SOURCE DIST + PLACED ( 197340 35360 ) FS ;
- FILLER_9_429 sky130_fd_sc_hd__decap_12 + SOURCE DIST + PLACED ( 202860 35360 ) FS ;
- FILLER_9_441 sky130_fd_sc_hd__decap_6 + SOURCE DIST + PLACED ( 208380 35360 ) FS ;
- FILLER_9_447 sky130_fd_sc_hd__fill_1 + SOURCE DIST + PLACED ( 211140 35360 ) FS ;
- FILLER_9_449 sky130_fd_sc_hd__decap_12 + SOURCE DIST + PLACED ( 212060 35360 ) FS ;
- FILLER_9_461 sky130_fd_sc_hd__decap_12 + SOURCE DIST + PLACED ( 217580 35360 ) FS ;
- FILLER_9_473 sky130_fd_sc_hd__decap_12 + SOURCE DIST + PLACED ( 223100 35360 ) FS ;
- FILLER_9_485 sky130_fd_sc_hd__decap_12 + SOURCE DIST + PLACED ( 228620 35360 ) FS ;
- FILLER_9_497 sky130_fd_sc_hd__decap_6 + SOURCE DIST + PLACED ( 234140 35360 ) FS ;
- FILLER_9_503 sky130_fd_sc_hd__fill_1 + SOURCE DIST + PLACED ( 236900 35360 ) FS ;
- FILLER_9_505 sky130_fd_sc_hd__decap_12 + SOURCE DIST + PLACED ( 237820 35360 ) FS ;
- FILLER_9_517 sky130_fd_sc_hd__decap_12 + SOURCE DIST + PLACED ( 243340 35360 ) FS ;
- FILLER_9_529 sky130_fd_sc_hd__decap_12 + SOURCE DIST + PLACED ( 248860 35360 ) FS ;
- FILLER_9_53 sky130_fd_sc_hd__decap_3 + SOURCE DIST + PLACED ( 29900 35360 ) FS ;
- FILLER_9_541 sky130_fd_sc_hd__decap_12 + SOURCE DIST + PLACED ( 254380 35360 ) FS ;
- FILLER_9_553 sky130_fd_sc_hd__decap_6 + SOURCE DIST + PLACED ( 259900 35360 ) FS ;
- FILLER_9_559 sky130_fd_sc_hd__fill_1 + SOURCE DIST + PLACED ( 262660 35360 ) FS ;
- FILLER_9_561 sky130_fd_sc_hd__decap_12 + SOURCE DIST + PLACED ( 263580 35360 ) FS ;
- FILLER_9_573 sky130_fd_sc_hd__decap_12 + SOURCE DIST + PLACED ( 269100 35360 ) FS ;
- FILLER_9_585 sky130_fd_sc_hd__decap_12 + SOURCE DIST + PLACED ( 274620 35360 ) FS ;
- FILLER_9_597 sky130_fd_sc_hd__decap_12 + SOURCE DIST + PLACED ( 280140 35360 ) FS ;
- FILLER_9_609 sky130_fd_sc_hd__decap_6 + SOURCE DIST + PLACED ( 285660 35360 ) FS ;
- FILLER_9_615 sky130_fd_sc_hd__fill_1 + SOURCE DIST + PLACED ( 288420 35360 ) FS ;
- FILLER_9_617 sky130_fd_sc_hd__decap_8 + SOURCE DIST + PLACED ( 289340 35360 ) FS ;
- FILLER_9_62 sky130_fd_sc_hd__decap_6 + SOURCE DIST + PLACED ( 34040 35360 ) FS ;
- FILLER_9_68 sky130_fd_sc_hd__fill_1 + SOURCE DIST + PLACED ( 36800 35360 ) FS ;
- FILLER_9_7 sky130_fd_sc_hd__decap_12 + SOURCE DIST + PLACED ( 8740 35360 ) FS ;
- FILLER_9_74 sky130_fd_sc_hd__decap_12 + SOURCE DIST + PLACED ( 39560 35360 ) FS ;
- FILLER_9_86 sky130_fd_sc_hd__decap_12 + SOURCE DIST + PLACED ( 45080 35360 ) FS ;
- FILLER_9_98 sky130_fd_sc_hd__decap_4 + SOURCE DIST + PLACED ( 50600 35360 ) FS ;
- Flash_106 sky130_fd_sc_hd__conb_1 + SOURCE TIMING + PLACED ( 18860 184960 ) N ;
- Flash_107 sky130_fd_sc_hd__conb_1 + SOURCE TIMING + PLACED ( 131100 184960 ) N ;
- Flash_108 sky130_fd_sc_hd__conb_1 + SOURCE TIMING + PLACED ( 206080 184960 ) N ;
- Flash_109 sky130_fd_sc_hd__conb_1 + SOURCE TIMING + PLACED ( 243800 184960 ) N ;
- Flash_110 sky130_fd_sc_hd__conb_1 + SOURCE TIMING + PLACED ( 281060 184960 ) N ;
- Flash_111 sky130_fd_sc_hd__conb_1 + SOURCE TIMING + PLACED ( 13340 21760 ) N ;
- Flash_112 sky130_fd_sc_hd__conb_1 + SOURCE TIMING + PLACED ( 28060 13600 ) FS ;
- Flash_113 sky130_fd_sc_hd__conb_1 + SOURCE TIMING + PLACED ( 42780 13600 ) FS ;
- Flash_114 sky130_fd_sc_hd__conb_1 + SOURCE TIMING + PLACED ( 53820 10880 ) N ;
- Flash_115 sky130_fd_sc_hd__conb_1 + SOURCE TIMING + PLACED ( 71300 10880 ) N ;
- Flash_116 sky130_fd_sc_hd__conb_1 + SOURCE TIMING + PLACED ( 83260 10880 ) N ;
- Flash_117 sky130_fd_sc_hd__conb_1 + SOURCE TIMING + PLACED ( 96140 13600 ) FS ;
- Flash_118 sky130_fd_sc_hd__conb_1 + SOURCE TIMING + PLACED ( 105340 10880 ) N ;
- Flash_119 sky130_fd_sc_hd__conb_1 + SOURCE TIMING + PLACED ( 118220 10880 ) N ;
- Flash_120 sky130_fd_sc_hd__conb_1 + SOURCE TIMING + PLACED ( 15180 16320 ) N ;
- Flash_121 sky130_fd_sc_hd__conb_1 + SOURCE TIMING + PLACED ( 28060 10880 ) N ;
- Flash_122 sky130_fd_sc_hd__conb_1 + SOURCE TIMING + PLACED ( 40940 10880 ) N ;
- Flash_123 sky130_fd_sc_hd__conb_1 + SOURCE TIMING + PLACED ( 63480 10880 ) N ;
- Flash_124 sky130_fd_sc_hd__conb_1 + SOURCE TIMING + PLACED ( 76820 13600 ) FS ;
- Flash_125 sky130_fd_sc_hd__conb_1 + SOURCE TIMING + PLACED ( 88780 13600 ) FS ;
- Flash_126 sky130_fd_sc_hd__conb_1 + SOURCE TIMING + PLACED ( 101200 13600 ) FS ;
- Flash_127 sky130_fd_sc_hd__conb_1 + SOURCE TIMING + PLACED ( 114540 10880 ) N ;
- Flash_128 sky130_fd_sc_hd__conb_1 + SOURCE TIMING + PLACED ( 125580 13600 ) FS ;
- Flash_129 sky130_fd_sc_hd__conb_1 + SOURCE TIMING + PLACED ( 131100 10880 ) N ;
- Flash_130 sky130_fd_sc_hd__conb_1 + SOURCE TIMING + PLACED ( 143980 10880 ) N ;
- Flash_131 sky130_fd_sc_hd__conb_1 + SOURCE TIMING + PLACED ( 147660 10880 ) N ;
- Flash_132 sky130_fd_sc_hd__conb_1 + SOURCE TIMING + PLACED ( 154560 13600 ) FS ;
- Flash_133 sky130_fd_sc_hd__conb_1 + SOURCE TIMING + PLACED ( 161920 13600 ) FS ;
- Flash_134 sky130_fd_sc_hd__conb_1 + SOURCE TIMING + PLACED ( 169280 10880 ) N ;
- Flash_135 sky130_fd_sc_hd__conb_1 + SOURCE TIMING + PLACED ( 178020 13600 ) FS ;
- Flash_136 sky130_fd_sc_hd__conb_1 + SOURCE TIMING + PLACED ( 186300 13600 ) FS ;
- Flash_137 sky130_fd_sc_hd__conb_1 + SOURCE TIMING + PLACED ( 192740 10880 ) N ;
- Flash_138 sky130_fd_sc_hd__conb_1 + SOURCE TIMING + PLACED ( 198720 13600 ) FS ;
- Flash_139 sky130_fd_sc_hd__conb_1 + SOURCE TIMING + PLACED ( 207000 10880 ) N ;
- Flash_140 sky130_fd_sc_hd__conb_1 + SOURCE TIMING + PLACED ( 213440 13600 ) FS ;
- Flash_141 sky130_fd_sc_hd__conb_1 + SOURCE TIMING + PLACED ( 220800 13600 ) FS ;
- Flash_142 sky130_fd_sc_hd__conb_1 + SOURCE TIMING + PLACED ( 227700 13600 ) FS ;
- Flash_143 sky130_fd_sc_hd__conb_1 + SOURCE TIMING + PLACED ( 237820 13600 ) FS ;
- Flash_144 sky130_fd_sc_hd__conb_1 + SOURCE TIMING + PLACED ( 244720 10880 ) N ;
- Flash_145 sky130_fd_sc_hd__conb_1 + SOURCE TIMING + PLACED ( 249780 13600 ) FS ;
- Flash_146 sky130_fd_sc_hd__conb_1 + SOURCE TIMING + PLACED ( 258980 13600 ) FS ;
- Flash_147 sky130_fd_sc_hd__conb_1 + SOURCE TIMING + PLACED ( 264500 13600 ) FS ;
- Flash_148 sky130_fd_sc_hd__conb_1 + SOURCE TIMING + PLACED ( 271860 13600 ) FS ;
- Flash_149 sky130_fd_sc_hd__conb_1 + SOURCE TIMING + PLACED ( 279220 13600 ) FS ;
- Flash_150 sky130_fd_sc_hd__conb_1 + SOURCE TIMING + PLACED ( 289340 10880 ) N ;
- Flash_151 sky130_fd_sc_hd__conb_1 + SOURCE TIMING + PLACED ( 289800 13600 ) FS ;
- Flash_152 sky130_fd_sc_hd__conb_1 + SOURCE TIMING + PLACED ( 26680 16320 ) N ;
- Flash_153 sky130_fd_sc_hd__conb_1 + SOURCE TIMING + PLACED ( 96140 184960 ) N ;
- Flash_154 sky130_fd_sc_hd__conb_1 + SOURCE TIMING + PLACED ( 10120 27200 ) N ;
- Flash_155 sky130_fd_sc_hd__conb_1 + SOURCE TIMING + PLACED ( 11040 24480 ) FS ;
- Flash_156 sky130_fd_sc_hd__conb_1 + SOURCE TIMING + PLACED ( 24840 10880 ) N ;
- Flash_157 sky130_fd_sc_hd__conb_1 + SOURCE TIMING + PLACED ( 37720 10880 ) N ;
- Flash_158 sky130_fd_sc_hd__conb_1 + SOURCE TIMING + PLACED ( 50600 10880 ) N ;
- Flash_159 sky130_fd_sc_hd__conb_1 + SOURCE TIMING + PLACED ( 69460 13600 ) FS ;
- INSDIODE2_0 sky130_fd_sc_hd__diode_2 + PLACED ( 49220 81600 ) FN ;
- INSDIODE2_1 sky130_fd_sc_hd__diode_2 + PLACED ( 34040 70720 ) FN ;
- INSDIODE2_2 sky130_fd_sc_hd__diode_2 + PLACED ( 45540 70720 ) FN ;
- INSDIODE2_3 sky130_fd_sc_hd__diode_2 + PLACED ( 54280 32640 ) FN ;
- INSDIODE2_4 sky130_fd_sc_hd__diode_2 + PLACED ( 58420 16320 ) N ;
- PHY_0 sky130_fd_sc_hd__decap_3 + SOURCE DIST + FIXED ( 5520 10880 ) N ;
- PHY_1 sky130_fd_sc_hd__decap_3 + SOURCE DIST + FIXED ( 293020 10880 ) FN ;
- PHY_10 sky130_fd_sc_hd__decap_3 + SOURCE DIST + FIXED ( 5520 24480 ) FS ;
- PHY_100 sky130_fd_sc_hd__decap_3 + SOURCE DIST + FIXED ( 5520 146880 ) N ;
- PHY_101 sky130_fd_sc_hd__decap_3 + SOURCE DIST + FIXED ( 293020 146880 ) FN ;
- PHY_102 sky130_fd_sc_hd__decap_3 + SOURCE DIST + FIXED ( 5520 149600 ) FS ;
- PHY_103 sky130_fd_sc_hd__decap_3 + SOURCE DIST + FIXED ( 293020 149600 ) S ;
- PHY_104 sky130_fd_sc_hd__decap_3 + SOURCE DIST + FIXED ( 5520 152320 ) N ;
- PHY_105 sky130_fd_sc_hd__decap_3 + SOURCE DIST + FIXED ( 293020 152320 ) FN ;
- PHY_106 sky130_fd_sc_hd__decap_3 + SOURCE DIST + FIXED ( 5520 155040 ) FS ;
- PHY_107 sky130_fd_sc_hd__decap_3 + SOURCE DIST + FIXED ( 293020 155040 ) S ;
- PHY_108 sky130_fd_sc_hd__decap_3 + SOURCE DIST + FIXED ( 5520 157760 ) N ;
- PHY_109 sky130_fd_sc_hd__decap_3 + SOURCE DIST + FIXED ( 293020 157760 ) FN ;
- PHY_11 sky130_fd_sc_hd__decap_3 + SOURCE DIST + FIXED ( 293020 24480 ) S ;
- PHY_110 sky130_fd_sc_hd__decap_3 + SOURCE DIST + FIXED ( 5520 160480 ) FS ;
- PHY_111 sky130_fd_sc_hd__decap_3 + SOURCE DIST + FIXED ( 293020 160480 ) S ;
- PHY_112 sky130_fd_sc_hd__decap_3 + SOURCE DIST + FIXED ( 5520 163200 ) N ;
- PHY_113 sky130_fd_sc_hd__decap_3 + SOURCE DIST + FIXED ( 293020 163200 ) FN ;
- PHY_114 sky130_fd_sc_hd__decap_3 + SOURCE DIST + FIXED ( 5520 165920 ) FS ;
- PHY_115 sky130_fd_sc_hd__decap_3 + SOURCE DIST + FIXED ( 293020 165920 ) S ;
- PHY_116 sky130_fd_sc_hd__decap_3 + SOURCE DIST + FIXED ( 5520 168640 ) N ;
- PHY_117 sky130_fd_sc_hd__decap_3 + SOURCE DIST + FIXED ( 293020 168640 ) FN ;
- PHY_118 sky130_fd_sc_hd__decap_3 + SOURCE DIST + FIXED ( 5520 171360 ) FS ;
- PHY_119 sky130_fd_sc_hd__decap_3 + SOURCE DIST + FIXED ( 293020 171360 ) S ;
- PHY_12 sky130_fd_sc_hd__decap_3 + SOURCE DIST + FIXED ( 5520 27200 ) N ;
- PHY_120 sky130_fd_sc_hd__decap_3 + SOURCE DIST + FIXED ( 5520 174080 ) N ;
- PHY_121 sky130_fd_sc_hd__decap_3 + SOURCE DIST + FIXED ( 293020 174080 ) FN ;
- PHY_122 sky130_fd_sc_hd__decap_3 + SOURCE DIST + FIXED ( 5520 176800 ) FS ;
- PHY_123 sky130_fd_sc_hd__decap_3 + SOURCE DIST + FIXED ( 293020 176800 ) S ;
- PHY_124 sky130_fd_sc_hd__decap_3 + SOURCE DIST + FIXED ( 5520 179520 ) N ;
- PHY_125 sky130_fd_sc_hd__decap_3 + SOURCE DIST + FIXED ( 293020 179520 ) FN ;
- PHY_126 sky130_fd_sc_hd__decap_3 + SOURCE DIST + FIXED ( 5520 182240 ) FS ;
- PHY_127 sky130_fd_sc_hd__decap_3 + SOURCE DIST + FIXED ( 293020 182240 ) S ;
- PHY_128 sky130_fd_sc_hd__decap_3 + SOURCE DIST + FIXED ( 5520 184960 ) N ;
- PHY_129 sky130_fd_sc_hd__decap_3 + SOURCE DIST + FIXED ( 293020 184960 ) FN ;
- PHY_13 sky130_fd_sc_hd__decap_3 + SOURCE DIST + FIXED ( 293020 27200 ) FN ;
- PHY_14 sky130_fd_sc_hd__decap_3 + SOURCE DIST + FIXED ( 5520 29920 ) FS ;
- PHY_15 sky130_fd_sc_hd__decap_3 + SOURCE DIST + FIXED ( 293020 29920 ) S ;
- PHY_16 sky130_fd_sc_hd__decap_3 + SOURCE DIST + FIXED ( 5520 32640 ) N ;
- PHY_17 sky130_fd_sc_hd__decap_3 + SOURCE DIST + FIXED ( 293020 32640 ) FN ;
- PHY_18 sky130_fd_sc_hd__decap_3 + SOURCE DIST + FIXED ( 5520 35360 ) FS ;
- PHY_19 sky130_fd_sc_hd__decap_3 + SOURCE DIST + FIXED ( 293020 35360 ) S ;
- PHY_2 sky130_fd_sc_hd__decap_3 + SOURCE DIST + FIXED ( 5520 13600 ) FS ;
- PHY_20 sky130_fd_sc_hd__decap_3 + SOURCE DIST + FIXED ( 5520 38080 ) N ;
- PHY_21 sky130_fd_sc_hd__decap_3 + SOURCE DIST + FIXED ( 293020 38080 ) FN ;
- PHY_22 sky130_fd_sc_hd__decap_3 + SOURCE DIST + FIXED ( 5520 40800 ) FS ;
- PHY_23 sky130_fd_sc_hd__decap_3 + SOURCE DIST + FIXED ( 293020 40800 ) S ;
- PHY_24 sky130_fd_sc_hd__decap_3 + SOURCE DIST + FIXED ( 5520 43520 ) N ;
- PHY_25 sky130_fd_sc_hd__decap_3 + SOURCE DIST + FIXED ( 293020 43520 ) FN ;
- PHY_26 sky130_fd_sc_hd__decap_3 + SOURCE DIST + FIXED ( 5520 46240 ) FS ;
- PHY_27 sky130_fd_sc_hd__decap_3 + SOURCE DIST + FIXED ( 293020 46240 ) S ;
- PHY_28 sky130_fd_sc_hd__decap_3 + SOURCE DIST + FIXED ( 5520 48960 ) N ;
- PHY_29 sky130_fd_sc_hd__decap_3 + SOURCE DIST + FIXED ( 293020 48960 ) FN ;
- PHY_3 sky130_fd_sc_hd__decap_3 + SOURCE DIST + FIXED ( 293020 13600 ) S ;
- PHY_30 sky130_fd_sc_hd__decap_3 + SOURCE DIST + FIXED ( 5520 51680 ) FS ;
- PHY_31 sky130_fd_sc_hd__decap_3 + SOURCE DIST + FIXED ( 293020 51680 ) S ;
- PHY_32 sky130_fd_sc_hd__decap_3 + SOURCE DIST + FIXED ( 5520 54400 ) N ;
- PHY_33 sky130_fd_sc_hd__decap_3 + SOURCE DIST + FIXED ( 293020 54400 ) FN ;
- PHY_34 sky130_fd_sc_hd__decap_3 + SOURCE DIST + FIXED ( 5520 57120 ) FS ;
- PHY_35 sky130_fd_sc_hd__decap_3 + SOURCE DIST + FIXED ( 293020 57120 ) S ;
- PHY_36 sky130_fd_sc_hd__decap_3 + SOURCE DIST + FIXED ( 5520 59840 ) N ;
- PHY_37 sky130_fd_sc_hd__decap_3 + SOURCE DIST + FIXED ( 293020 59840 ) FN ;
- PHY_38 sky130_fd_sc_hd__decap_3 + SOURCE DIST + FIXED ( 5520 62560 ) FS ;
- PHY_39 sky130_fd_sc_hd__decap_3 + SOURCE DIST + FIXED ( 293020 62560 ) S ;
- PHY_4 sky130_fd_sc_hd__decap_3 + SOURCE DIST + FIXED ( 5520 16320 ) N ;
- PHY_40 sky130_fd_sc_hd__decap_3 + SOURCE DIST + FIXED ( 5520 65280 ) N ;
- PHY_41 sky130_fd_sc_hd__decap_3 + SOURCE DIST + FIXED ( 293020 65280 ) FN ;
- PHY_42 sky130_fd_sc_hd__decap_3 + SOURCE DIST + FIXED ( 5520 68000 ) FS ;
- PHY_43 sky130_fd_sc_hd__decap_3 + SOURCE DIST + FIXED ( 293020 68000 ) S ;
- PHY_44 sky130_fd_sc_hd__decap_3 + SOURCE DIST + FIXED ( 5520 70720 ) N ;
- PHY_45 sky130_fd_sc_hd__decap_3 + SOURCE DIST + FIXED ( 293020 70720 ) FN ;
- PHY_46 sky130_fd_sc_hd__decap_3 + SOURCE DIST + FIXED ( 5520 73440 ) FS ;
- PHY_47 sky130_fd_sc_hd__decap_3 + SOURCE DIST + FIXED ( 293020 73440 ) S ;
- PHY_48 sky130_fd_sc_hd__decap_3 + SOURCE DIST + FIXED ( 5520 76160 ) N ;
- PHY_49 sky130_fd_sc_hd__decap_3 + SOURCE DIST + FIXED ( 293020 76160 ) FN ;
- PHY_5 sky130_fd_sc_hd__decap_3 + SOURCE DIST + FIXED ( 293020 16320 ) FN ;
- PHY_50 sky130_fd_sc_hd__decap_3 + SOURCE DIST + FIXED ( 5520 78880 ) FS ;
- PHY_51 sky130_fd_sc_hd__decap_3 + SOURCE DIST + FIXED ( 293020 78880 ) S ;
- PHY_52 sky130_fd_sc_hd__decap_3 + SOURCE DIST + FIXED ( 5520 81600 ) N ;
- PHY_53 sky130_fd_sc_hd__decap_3 + SOURCE DIST + FIXED ( 293020 81600 ) FN ;
- PHY_54 sky130_fd_sc_hd__decap_3 + SOURCE DIST + FIXED ( 5520 84320 ) FS ;
- PHY_55 sky130_fd_sc_hd__decap_3 + SOURCE DIST + FIXED ( 293020 84320 ) S ;
- PHY_56 sky130_fd_sc_hd__decap_3 + SOURCE DIST + FIXED ( 5520 87040 ) N ;
- PHY_57 sky130_fd_sc_hd__decap_3 + SOURCE DIST + FIXED ( 293020 87040 ) FN ;
- PHY_58 sky130_fd_sc_hd__decap_3 + SOURCE DIST + FIXED ( 5520 89760 ) FS ;
- PHY_59 sky130_fd_sc_hd__decap_3 + SOURCE DIST + FIXED ( 293020 89760 ) S ;
- PHY_6 sky130_fd_sc_hd__decap_3 + SOURCE DIST + FIXED ( 5520 19040 ) FS ;
- PHY_60 sky130_fd_sc_hd__decap_3 + SOURCE DIST + FIXED ( 5520 92480 ) N ;
- PHY_61 sky130_fd_sc_hd__decap_3 + SOURCE DIST + FIXED ( 293020 92480 ) FN ;
- PHY_62 sky130_fd_sc_hd__decap_3 + SOURCE DIST + FIXED ( 5520 95200 ) FS ;
- PHY_63 sky130_fd_sc_hd__decap_3 + SOURCE DIST + FIXED ( 293020 95200 ) S ;
- PHY_64 sky130_fd_sc_hd__decap_3 + SOURCE DIST + FIXED ( 5520 97920 ) N ;
- PHY_65 sky130_fd_sc_hd__decap_3 + SOURCE DIST + FIXED ( 293020 97920 ) FN ;
- PHY_66 sky130_fd_sc_hd__decap_3 + SOURCE DIST + FIXED ( 5520 100640 ) FS ;
- PHY_67 sky130_fd_sc_hd__decap_3 + SOURCE DIST + FIXED ( 293020 100640 ) S ;
- PHY_68 sky130_fd_sc_hd__decap_3 + SOURCE DIST + FIXED ( 5520 103360 ) N ;
- PHY_69 sky130_fd_sc_hd__decap_3 + SOURCE DIST + FIXED ( 293020 103360 ) FN ;
- PHY_7 sky130_fd_sc_hd__decap_3 + SOURCE DIST + FIXED ( 293020 19040 ) S ;
- PHY_70 sky130_fd_sc_hd__decap_3 + SOURCE DIST + FIXED ( 5520 106080 ) FS ;
- PHY_71 sky130_fd_sc_hd__decap_3 + SOURCE DIST + FIXED ( 293020 106080 ) S ;
- PHY_72 sky130_fd_sc_hd__decap_3 + SOURCE DIST + FIXED ( 5520 108800 ) N ;
- PHY_73 sky130_fd_sc_hd__decap_3 + SOURCE DIST + FIXED ( 293020 108800 ) FN ;
- PHY_74 sky130_fd_sc_hd__decap_3 + SOURCE DIST + FIXED ( 5520 111520 ) FS ;
- PHY_75 sky130_fd_sc_hd__decap_3 + SOURCE DIST + FIXED ( 293020 111520 ) S ;
- PHY_76 sky130_fd_sc_hd__decap_3 + SOURCE DIST + FIXED ( 5520 114240 ) N ;
- PHY_77 sky130_fd_sc_hd__decap_3 + SOURCE DIST + FIXED ( 293020 114240 ) FN ;
- PHY_78 sky130_fd_sc_hd__decap_3 + SOURCE DIST + FIXED ( 5520 116960 ) FS ;
- PHY_79 sky130_fd_sc_hd__decap_3 + SOURCE DIST + FIXED ( 293020 116960 ) S ;
- PHY_8 sky130_fd_sc_hd__decap_3 + SOURCE DIST + FIXED ( 5520 21760 ) N ;
- PHY_80 sky130_fd_sc_hd__decap_3 + SOURCE DIST + FIXED ( 5520 119680 ) N ;
- PHY_81 sky130_fd_sc_hd__decap_3 + SOURCE DIST + FIXED ( 293020 119680 ) FN ;
- PHY_82 sky130_fd_sc_hd__decap_3 + SOURCE DIST + FIXED ( 5520 122400 ) FS ;
- PHY_83 sky130_fd_sc_hd__decap_3 + SOURCE DIST + FIXED ( 293020 122400 ) S ;
- PHY_84 sky130_fd_sc_hd__decap_3 + SOURCE DIST + FIXED ( 5520 125120 ) N ;
- PHY_85 sky130_fd_sc_hd__decap_3 + SOURCE DIST + FIXED ( 293020 125120 ) FN ;
- PHY_86 sky130_fd_sc_hd__decap_3 + SOURCE DIST + FIXED ( 5520 127840 ) FS ;
- PHY_87 sky130_fd_sc_hd__decap_3 + SOURCE DIST + FIXED ( 293020 127840 ) S ;
- PHY_88 sky130_fd_sc_hd__decap_3 + SOURCE DIST + FIXED ( 5520 130560 ) N ;
- PHY_89 sky130_fd_sc_hd__decap_3 + SOURCE DIST + FIXED ( 293020 130560 ) FN ;
- PHY_9 sky130_fd_sc_hd__decap_3 + SOURCE DIST + FIXED ( 293020 21760 ) FN ;
- PHY_90 sky130_fd_sc_hd__decap_3 + SOURCE DIST + FIXED ( 5520 133280 ) FS ;
- PHY_91 sky130_fd_sc_hd__decap_3 + SOURCE DIST + FIXED ( 293020 133280 ) S ;
- PHY_92 sky130_fd_sc_hd__decap_3 + SOURCE DIST + FIXED ( 5520 136000 ) N ;
- PHY_93 sky130_fd_sc_hd__decap_3 + SOURCE DIST + FIXED ( 293020 136000 ) FN ;
- PHY_94 sky130_fd_sc_hd__decap_3 + SOURCE DIST + FIXED ( 5520 138720 ) FS ;
- PHY_95 sky130_fd_sc_hd__decap_3 + SOURCE DIST + FIXED ( 293020 138720 ) S ;
- PHY_96 sky130_fd_sc_hd__decap_3 + SOURCE DIST + FIXED ( 5520 141440 ) N ;
- PHY_97 sky130_fd_sc_hd__decap_3 + SOURCE DIST + FIXED ( 293020 141440 ) FN ;
- PHY_98 sky130_fd_sc_hd__decap_3 + SOURCE DIST + FIXED ( 5520 144160 ) FS ;
- PHY_99 sky130_fd_sc_hd__decap_3 + SOURCE DIST + FIXED ( 293020 144160 ) S ;
- TAP_130 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 18400 10880 ) N ;
- TAP_131 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 31280 10880 ) N ;
- TAP_132 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 44160 10880 ) N ;
- TAP_133 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 57040 10880 ) N ;
- TAP_134 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 69920 10880 ) N ;
- TAP_135 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 82800 10880 ) N ;
- TAP_136 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 95680 10880 ) N ;
- TAP_137 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 108560 10880 ) N ;
- TAP_138 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 121440 10880 ) N ;
- TAP_139 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 134320 10880 ) N ;
- TAP_140 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 147200 10880 ) N ;
- TAP_141 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 160080 10880 ) N ;
- TAP_142 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 172960 10880 ) N ;
- TAP_143 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 185840 10880 ) N ;
- TAP_144 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 198720 10880 ) N ;
- TAP_145 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 211600 10880 ) N ;
- TAP_146 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 224480 10880 ) N ;
- TAP_147 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 237360 10880 ) N ;
- TAP_148 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 250240 10880 ) N ;
- TAP_149 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 263120 10880 ) N ;
- TAP_150 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 276000 10880 ) N ;
- TAP_151 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 288880 10880 ) N ;
- TAP_152 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 31280 13600 ) FS ;
- TAP_153 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 57040 13600 ) FS ;
- TAP_154 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 82800 13600 ) FS ;
- TAP_155 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 108560 13600 ) FS ;
- TAP_156 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 134320 13600 ) FS ;
- TAP_157 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 160080 13600 ) FS ;
- TAP_158 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 185840 13600 ) FS ;
- TAP_159 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 211600 13600 ) FS ;
- TAP_160 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 237360 13600 ) FS ;
- TAP_161 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 263120 13600 ) FS ;
- TAP_162 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 288880 13600 ) FS ;
- TAP_163 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 18400 16320 ) N ;
- TAP_164 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 44160 16320 ) N ;
- TAP_165 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 69920 16320 ) N ;
- TAP_166 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 95680 16320 ) N ;
- TAP_167 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 121440 16320 ) N ;
- TAP_168 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 147200 16320 ) N ;
- TAP_169 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 172960 16320 ) N ;
- TAP_170 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 198720 16320 ) N ;
- TAP_171 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 224480 16320 ) N ;
- TAP_172 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 250240 16320 ) N ;
- TAP_173 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 276000 16320 ) N ;
- TAP_174 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 31280 19040 ) FS ;
- TAP_175 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 57040 19040 ) FS ;
- TAP_176 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 82800 19040 ) FS ;
- TAP_177 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 108560 19040 ) FS ;
- TAP_178 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 134320 19040 ) FS ;
- TAP_179 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 160080 19040 ) FS ;
- TAP_180 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 185840 19040 ) FS ;
- TAP_181 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 211600 19040 ) FS ;
- TAP_182 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 237360 19040 ) FS ;
- TAP_183 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 263120 19040 ) FS ;
- TAP_184 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 288880 19040 ) FS ;
- TAP_185 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 18400 21760 ) N ;
- TAP_186 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 44160 21760 ) N ;
- TAP_187 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 69920 21760 ) N ;
- TAP_188 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 95680 21760 ) N ;
- TAP_189 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 121440 21760 ) N ;
- TAP_190 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 147200 21760 ) N ;
- TAP_191 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 172960 21760 ) N ;
- TAP_192 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 198720 21760 ) N ;
- TAP_193 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 224480 21760 ) N ;
- TAP_194 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 250240 21760 ) N ;
- TAP_195 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 276000 21760 ) N ;
- TAP_196 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 31280 24480 ) FS ;
- TAP_197 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 57040 24480 ) FS ;
- TAP_198 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 82800 24480 ) FS ;
- TAP_199 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 108560 24480 ) FS ;
- TAP_200 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 134320 24480 ) FS ;
- TAP_201 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 160080 24480 ) FS ;
- TAP_202 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 185840 24480 ) FS ;
- TAP_203 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 211600 24480 ) FS ;
- TAP_204 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 237360 24480 ) FS ;
- TAP_205 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 263120 24480 ) FS ;
- TAP_206 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 288880 24480 ) FS ;
- TAP_207 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 18400 27200 ) N ;
- TAP_208 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 44160 27200 ) N ;
- TAP_209 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 69920 27200 ) N ;
- TAP_210 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 95680 27200 ) N ;
- TAP_211 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 121440 27200 ) N ;
- TAP_212 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 147200 27200 ) N ;
- TAP_213 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 172960 27200 ) N ;
- TAP_214 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 198720 27200 ) N ;
- TAP_215 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 224480 27200 ) N ;
- TAP_216 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 250240 27200 ) N ;
- TAP_217 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 276000 27200 ) N ;
- TAP_218 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 31280 29920 ) FS ;
- TAP_219 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 57040 29920 ) FS ;
- TAP_220 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 82800 29920 ) FS ;
- TAP_221 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 108560 29920 ) FS ;
- TAP_222 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 134320 29920 ) FS ;
- TAP_223 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 160080 29920 ) FS ;
- TAP_224 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 185840 29920 ) FS ;
- TAP_225 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 211600 29920 ) FS ;
- TAP_226 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 237360 29920 ) FS ;
- TAP_227 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 263120 29920 ) FS ;
- TAP_228 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 288880 29920 ) FS ;
- TAP_229 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 18400 32640 ) N ;
- TAP_230 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 44160 32640 ) N ;
- TAP_231 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 69920 32640 ) N ;
- TAP_232 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 95680 32640 ) N ;
- TAP_233 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 121440 32640 ) N ;
- TAP_234 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 147200 32640 ) N ;
- TAP_235 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 172960 32640 ) N ;
- TAP_236 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 198720 32640 ) N ;
- TAP_237 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 224480 32640 ) N ;
- TAP_238 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 250240 32640 ) N ;
- TAP_239 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 276000 32640 ) N ;
- TAP_240 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 31280 35360 ) FS ;
- TAP_241 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 57040 35360 ) FS ;
- TAP_242 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 82800 35360 ) FS ;
- TAP_243 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 108560 35360 ) FS ;
- TAP_244 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 134320 35360 ) FS ;
- TAP_245 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 160080 35360 ) FS ;
- TAP_246 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 185840 35360 ) FS ;
- TAP_247 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 211600 35360 ) FS ;
- TAP_248 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 237360 35360 ) FS ;
- TAP_249 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 263120 35360 ) FS ;
- TAP_250 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 288880 35360 ) FS ;
- TAP_251 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 18400 38080 ) N ;
- TAP_252 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 44160 38080 ) N ;
- TAP_253 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 69920 38080 ) N ;
- TAP_254 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 95680 38080 ) N ;
- TAP_255 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 121440 38080 ) N ;
- TAP_256 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 147200 38080 ) N ;
- TAP_257 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 172960 38080 ) N ;
- TAP_258 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 198720 38080 ) N ;
- TAP_259 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 224480 38080 ) N ;
- TAP_260 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 250240 38080 ) N ;
- TAP_261 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 276000 38080 ) N ;
- TAP_262 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 31280 40800 ) FS ;
- TAP_263 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 57040 40800 ) FS ;
- TAP_264 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 82800 40800 ) FS ;
- TAP_265 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 108560 40800 ) FS ;
- TAP_266 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 134320 40800 ) FS ;
- TAP_267 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 160080 40800 ) FS ;
- TAP_268 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 185840 40800 ) FS ;
- TAP_269 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 211600 40800 ) FS ;
- TAP_270 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 237360 40800 ) FS ;
- TAP_271 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 263120 40800 ) FS ;
- TAP_272 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 288880 40800 ) FS ;
- TAP_273 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 18400 43520 ) N ;
- TAP_274 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 44160 43520 ) N ;
- TAP_275 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 69920 43520 ) N ;
- TAP_276 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 95680 43520 ) N ;
- TAP_277 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 121440 43520 ) N ;
- TAP_278 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 147200 43520 ) N ;
- TAP_279 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 172960 43520 ) N ;
- TAP_280 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 198720 43520 ) N ;
- TAP_281 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 224480 43520 ) N ;
- TAP_282 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 250240 43520 ) N ;
- TAP_283 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 276000 43520 ) N ;
- TAP_284 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 31280 46240 ) FS ;
- TAP_285 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 57040 46240 ) FS ;
- TAP_286 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 82800 46240 ) FS ;
- TAP_287 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 108560 46240 ) FS ;
- TAP_288 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 134320 46240 ) FS ;
- TAP_289 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 160080 46240 ) FS ;
- TAP_290 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 185840 46240 ) FS ;
- TAP_291 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 211600 46240 ) FS ;
- TAP_292 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 237360 46240 ) FS ;
- TAP_293 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 263120 46240 ) FS ;
- TAP_294 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 288880 46240 ) FS ;
- TAP_295 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 18400 48960 ) N ;
- TAP_296 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 44160 48960 ) N ;
- TAP_297 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 69920 48960 ) N ;
- TAP_298 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 95680 48960 ) N ;
- TAP_299 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 121440 48960 ) N ;
- TAP_300 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 147200 48960 ) N ;
- TAP_301 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 172960 48960 ) N ;
- TAP_302 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 198720 48960 ) N ;
- TAP_303 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 224480 48960 ) N ;
- TAP_304 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 250240 48960 ) N ;
- TAP_305 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 276000 48960 ) N ;
- TAP_306 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 31280 51680 ) FS ;
- TAP_307 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 57040 51680 ) FS ;
- TAP_308 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 82800 51680 ) FS ;
- TAP_309 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 108560 51680 ) FS ;
- TAP_310 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 134320 51680 ) FS ;
- TAP_311 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 160080 51680 ) FS ;
- TAP_312 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 185840 51680 ) FS ;
- TAP_313 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 211600 51680 ) FS ;
- TAP_314 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 237360 51680 ) FS ;
- TAP_315 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 263120 51680 ) FS ;
- TAP_316 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 288880 51680 ) FS ;
- TAP_317 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 18400 54400 ) N ;
- TAP_318 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 44160 54400 ) N ;
- TAP_319 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 69920 54400 ) N ;
- TAP_320 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 95680 54400 ) N ;
- TAP_321 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 121440 54400 ) N ;
- TAP_322 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 147200 54400 ) N ;
- TAP_323 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 172960 54400 ) N ;
- TAP_324 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 198720 54400 ) N ;
- TAP_325 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 224480 54400 ) N ;
- TAP_326 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 250240 54400 ) N ;
- TAP_327 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 276000 54400 ) N ;
- TAP_328 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 31280 57120 ) FS ;
- TAP_329 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 57040 57120 ) FS ;
- TAP_330 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 82800 57120 ) FS ;
- TAP_331 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 108560 57120 ) FS ;
- TAP_332 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 134320 57120 ) FS ;
- TAP_333 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 160080 57120 ) FS ;
- TAP_334 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 185840 57120 ) FS ;
- TAP_335 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 211600 57120 ) FS ;
- TAP_336 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 237360 57120 ) FS ;
- TAP_337 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 263120 57120 ) FS ;
- TAP_338 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 288880 57120 ) FS ;
- TAP_339 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 18400 59840 ) N ;
- TAP_340 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 44160 59840 ) N ;
- TAP_341 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 69920 59840 ) N ;
- TAP_342 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 95680 59840 ) N ;
- TAP_343 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 121440 59840 ) N ;
- TAP_344 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 147200 59840 ) N ;
- TAP_345 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 172960 59840 ) N ;
- TAP_346 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 198720 59840 ) N ;
- TAP_347 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 224480 59840 ) N ;
- TAP_348 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 250240 59840 ) N ;
- TAP_349 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 276000 59840 ) N ;
- TAP_350 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 31280 62560 ) FS ;
- TAP_351 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 57040 62560 ) FS ;
- TAP_352 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 82800 62560 ) FS ;
- TAP_353 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 108560 62560 ) FS ;
- TAP_354 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 134320 62560 ) FS ;
- TAP_355 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 160080 62560 ) FS ;
- TAP_356 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 185840 62560 ) FS ;
- TAP_357 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 211600 62560 ) FS ;
- TAP_358 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 237360 62560 ) FS ;
- TAP_359 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 263120 62560 ) FS ;
- TAP_360 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 288880 62560 ) FS ;
- TAP_361 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 18400 65280 ) N ;
- TAP_362 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 44160 65280 ) N ;
- TAP_363 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 69920 65280 ) N ;
- TAP_364 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 95680 65280 ) N ;
- TAP_365 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 121440 65280 ) N ;
- TAP_366 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 147200 65280 ) N ;
- TAP_367 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 172960 65280 ) N ;
- TAP_368 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 198720 65280 ) N ;
- TAP_369 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 224480 65280 ) N ;
- TAP_370 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 250240 65280 ) N ;
- TAP_371 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 276000 65280 ) N ;
- TAP_372 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 31280 68000 ) FS ;
- TAP_373 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 57040 68000 ) FS ;
- TAP_374 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 82800 68000 ) FS ;
- TAP_375 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 108560 68000 ) FS ;
- TAP_376 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 134320 68000 ) FS ;
- TAP_377 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 160080 68000 ) FS ;
- TAP_378 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 185840 68000 ) FS ;
- TAP_379 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 211600 68000 ) FS ;
- TAP_380 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 237360 68000 ) FS ;
- TAP_381 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 263120 68000 ) FS ;
- TAP_382 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 288880 68000 ) FS ;
- TAP_383 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 18400 70720 ) N ;
- TAP_384 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 44160 70720 ) N ;
- TAP_385 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 69920 70720 ) N ;
- TAP_386 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 95680 70720 ) N ;
- TAP_387 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 121440 70720 ) N ;
- TAP_388 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 147200 70720 ) N ;
- TAP_389 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 172960 70720 ) N ;
- TAP_390 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 198720 70720 ) N ;
- TAP_391 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 224480 70720 ) N ;
- TAP_392 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 250240 70720 ) N ;
- TAP_393 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 276000 70720 ) N ;
- TAP_394 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 31280 73440 ) FS ;
- TAP_395 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 57040 73440 ) FS ;
- TAP_396 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 82800 73440 ) FS ;
- TAP_397 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 108560 73440 ) FS ;
- TAP_398 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 134320 73440 ) FS ;
- TAP_399 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 160080 73440 ) FS ;
- TAP_400 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 185840 73440 ) FS ;
- TAP_401 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 211600 73440 ) FS ;
- TAP_402 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 237360 73440 ) FS ;
- TAP_403 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 263120 73440 ) FS ;
- TAP_404 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 288880 73440 ) FS ;
- TAP_405 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 18400 76160 ) N ;
- TAP_406 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 44160 76160 ) N ;
- TAP_407 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 69920 76160 ) N ;
- TAP_408 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 95680 76160 ) N ;
- TAP_409 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 121440 76160 ) N ;
- TAP_410 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 147200 76160 ) N ;
- TAP_411 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 172960 76160 ) N ;
- TAP_412 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 198720 76160 ) N ;
- TAP_413 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 224480 76160 ) N ;
- TAP_414 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 250240 76160 ) N ;
- TAP_415 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 276000 76160 ) N ;
- TAP_416 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 31280 78880 ) FS ;
- TAP_417 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 57040 78880 ) FS ;
- TAP_418 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 82800 78880 ) FS ;
- TAP_419 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 108560 78880 ) FS ;
- TAP_420 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 134320 78880 ) FS ;
- TAP_421 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 160080 78880 ) FS ;
- TAP_422 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 185840 78880 ) FS ;
- TAP_423 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 211600 78880 ) FS ;
- TAP_424 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 237360 78880 ) FS ;
- TAP_425 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 263120 78880 ) FS ;
- TAP_426 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 288880 78880 ) FS ;
- TAP_427 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 18400 81600 ) N ;
- TAP_428 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 44160 81600 ) N ;
- TAP_429 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 69920 81600 ) N ;
- TAP_430 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 95680 81600 ) N ;
- TAP_431 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 121440 81600 ) N ;
- TAP_432 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 147200 81600 ) N ;
- TAP_433 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 172960 81600 ) N ;
- TAP_434 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 198720 81600 ) N ;
- TAP_435 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 224480 81600 ) N ;
- TAP_436 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 250240 81600 ) N ;
- TAP_437 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 276000 81600 ) N ;
- TAP_438 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 31280 84320 ) FS ;
- TAP_439 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 57040 84320 ) FS ;
- TAP_440 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 82800 84320 ) FS ;
- TAP_441 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 108560 84320 ) FS ;
- TAP_442 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 134320 84320 ) FS ;
- TAP_443 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 160080 84320 ) FS ;
- TAP_444 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 185840 84320 ) FS ;
- TAP_445 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 211600 84320 ) FS ;
- TAP_446 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 237360 84320 ) FS ;
- TAP_447 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 263120 84320 ) FS ;
- TAP_448 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 288880 84320 ) FS ;
- TAP_449 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 18400 87040 ) N ;
- TAP_450 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 44160 87040 ) N ;
- TAP_451 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 69920 87040 ) N ;
- TAP_452 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 95680 87040 ) N ;
- TAP_453 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 121440 87040 ) N ;
- TAP_454 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 147200 87040 ) N ;
- TAP_455 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 172960 87040 ) N ;
- TAP_456 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 198720 87040 ) N ;
- TAP_457 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 224480 87040 ) N ;
- TAP_458 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 250240 87040 ) N ;
- TAP_459 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 276000 87040 ) N ;
- TAP_460 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 31280 89760 ) FS ;
- TAP_461 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 57040 89760 ) FS ;
- TAP_462 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 82800 89760 ) FS ;
- TAP_463 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 108560 89760 ) FS ;
- TAP_464 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 134320 89760 ) FS ;
- TAP_465 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 160080 89760 ) FS ;
- TAP_466 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 185840 89760 ) FS ;
- TAP_467 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 211600 89760 ) FS ;
- TAP_468 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 237360 89760 ) FS ;
- TAP_469 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 263120 89760 ) FS ;
- TAP_470 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 288880 89760 ) FS ;
- TAP_471 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 18400 92480 ) N ;
- TAP_472 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 44160 92480 ) N ;
- TAP_473 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 69920 92480 ) N ;
- TAP_474 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 95680 92480 ) N ;
- TAP_475 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 121440 92480 ) N ;
- TAP_476 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 147200 92480 ) N ;
- TAP_477 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 172960 92480 ) N ;
- TAP_478 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 198720 92480 ) N ;
- TAP_479 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 224480 92480 ) N ;
- TAP_480 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 250240 92480 ) N ;
- TAP_481 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 276000 92480 ) N ;
- TAP_482 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 31280 95200 ) FS ;
- TAP_483 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 57040 95200 ) FS ;
- TAP_484 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 82800 95200 ) FS ;
- TAP_485 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 108560 95200 ) FS ;
- TAP_486 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 134320 95200 ) FS ;
- TAP_487 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 160080 95200 ) FS ;
- TAP_488 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 185840 95200 ) FS ;
- TAP_489 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 211600 95200 ) FS ;
- TAP_490 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 237360 95200 ) FS ;
- TAP_491 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 263120 95200 ) FS ;
- TAP_492 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 288880 95200 ) FS ;
- TAP_493 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 18400 97920 ) N ;
- TAP_494 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 44160 97920 ) N ;
- TAP_495 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 69920 97920 ) N ;
- TAP_496 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 95680 97920 ) N ;
- TAP_497 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 121440 97920 ) N ;
- TAP_498 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 147200 97920 ) N ;
- TAP_499 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 172960 97920 ) N ;
- TAP_500 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 198720 97920 ) N ;
- TAP_501 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 224480 97920 ) N ;
- TAP_502 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 250240 97920 ) N ;
- TAP_503 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 276000 97920 ) N ;
- TAP_504 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 31280 100640 ) FS ;
- TAP_505 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 57040 100640 ) FS ;
- TAP_506 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 82800 100640 ) FS ;
- TAP_507 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 108560 100640 ) FS ;
- TAP_508 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 134320 100640 ) FS ;
- TAP_509 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 160080 100640 ) FS ;
- TAP_510 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 185840 100640 ) FS ;
- TAP_511 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 211600 100640 ) FS ;
- TAP_512 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 237360 100640 ) FS ;
- TAP_513 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 263120 100640 ) FS ;
- TAP_514 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 288880 100640 ) FS ;
- TAP_515 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 18400 103360 ) N ;
- TAP_516 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 44160 103360 ) N ;
- TAP_517 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 69920 103360 ) N ;
- TAP_518 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 95680 103360 ) N ;
- TAP_519 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 121440 103360 ) N ;
- TAP_520 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 147200 103360 ) N ;
- TAP_521 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 172960 103360 ) N ;
- TAP_522 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 198720 103360 ) N ;
- TAP_523 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 224480 103360 ) N ;
- TAP_524 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 250240 103360 ) N ;
- TAP_525 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 276000 103360 ) N ;
- TAP_526 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 31280 106080 ) FS ;
- TAP_527 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 57040 106080 ) FS ;
- TAP_528 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 82800 106080 ) FS ;
- TAP_529 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 108560 106080 ) FS ;
- TAP_530 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 134320 106080 ) FS ;
- TAP_531 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 160080 106080 ) FS ;
- TAP_532 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 185840 106080 ) FS ;
- TAP_533 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 211600 106080 ) FS ;
- TAP_534 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 237360 106080 ) FS ;
- TAP_535 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 263120 106080 ) FS ;
- TAP_536 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 288880 106080 ) FS ;
- TAP_537 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 18400 108800 ) N ;
- TAP_538 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 44160 108800 ) N ;
- TAP_539 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 69920 108800 ) N ;
- TAP_540 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 95680 108800 ) N ;
- TAP_541 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 121440 108800 ) N ;
- TAP_542 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 147200 108800 ) N ;
- TAP_543 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 172960 108800 ) N ;
- TAP_544 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 198720 108800 ) N ;
- TAP_545 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 224480 108800 ) N ;
- TAP_546 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 250240 108800 ) N ;
- TAP_547 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 276000 108800 ) N ;
- TAP_548 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 31280 111520 ) FS ;
- TAP_549 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 57040 111520 ) FS ;
- TAP_550 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 82800 111520 ) FS ;
- TAP_551 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 108560 111520 ) FS ;
- TAP_552 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 134320 111520 ) FS ;
- TAP_553 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 160080 111520 ) FS ;
- TAP_554 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 185840 111520 ) FS ;
- TAP_555 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 211600 111520 ) FS ;
- TAP_556 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 237360 111520 ) FS ;
- TAP_557 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 263120 111520 ) FS ;
- TAP_558 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 288880 111520 ) FS ;
- TAP_559 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 18400 114240 ) N ;
- TAP_560 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 44160 114240 ) N ;
- TAP_561 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 69920 114240 ) N ;
- TAP_562 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 95680 114240 ) N ;
- TAP_563 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 121440 114240 ) N ;
- TAP_564 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 147200 114240 ) N ;
- TAP_565 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 172960 114240 ) N ;
- TAP_566 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 198720 114240 ) N ;
- TAP_567 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 224480 114240 ) N ;
- TAP_568 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 250240 114240 ) N ;
- TAP_569 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 276000 114240 ) N ;
- TAP_570 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 31280 116960 ) FS ;
- TAP_571 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 57040 116960 ) FS ;
- TAP_572 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 82800 116960 ) FS ;
- TAP_573 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 108560 116960 ) FS ;
- TAP_574 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 134320 116960 ) FS ;
- TAP_575 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 160080 116960 ) FS ;
- TAP_576 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 185840 116960 ) FS ;
- TAP_577 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 211600 116960 ) FS ;
- TAP_578 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 237360 116960 ) FS ;
- TAP_579 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 263120 116960 ) FS ;
- TAP_580 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 288880 116960 ) FS ;
- TAP_581 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 18400 119680 ) N ;
- TAP_582 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 44160 119680 ) N ;
- TAP_583 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 69920 119680 ) N ;
- TAP_584 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 95680 119680 ) N ;
- TAP_585 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 121440 119680 ) N ;
- TAP_586 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 147200 119680 ) N ;
- TAP_587 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 172960 119680 ) N ;
- TAP_588 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 198720 119680 ) N ;
- TAP_589 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 224480 119680 ) N ;
- TAP_590 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 250240 119680 ) N ;
- TAP_591 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 276000 119680 ) N ;
- TAP_592 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 31280 122400 ) FS ;
- TAP_593 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 57040 122400 ) FS ;
- TAP_594 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 82800 122400 ) FS ;
- TAP_595 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 108560 122400 ) FS ;
- TAP_596 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 134320 122400 ) FS ;
- TAP_597 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 160080 122400 ) FS ;
- TAP_598 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 185840 122400 ) FS ;
- TAP_599 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 211600 122400 ) FS ;
- TAP_600 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 237360 122400 ) FS ;
- TAP_601 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 263120 122400 ) FS ;
- TAP_602 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 288880 122400 ) FS ;
- TAP_603 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 18400 125120 ) N ;
- TAP_604 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 44160 125120 ) N ;
- TAP_605 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 69920 125120 ) N ;
- TAP_606 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 95680 125120 ) N ;
- TAP_607 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 121440 125120 ) N ;
- TAP_608 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 147200 125120 ) N ;
- TAP_609 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 172960 125120 ) N ;
- TAP_610 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 198720 125120 ) N ;
- TAP_611 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 224480 125120 ) N ;
- TAP_612 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 250240 125120 ) N ;
- TAP_613 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 276000 125120 ) N ;
- TAP_614 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 31280 127840 ) FS ;
- TAP_615 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 57040 127840 ) FS ;
- TAP_616 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 82800 127840 ) FS ;
- TAP_617 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 108560 127840 ) FS ;
- TAP_618 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 134320 127840 ) FS ;
- TAP_619 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 160080 127840 ) FS ;
- TAP_620 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 185840 127840 ) FS ;
- TAP_621 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 211600 127840 ) FS ;
- TAP_622 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 237360 127840 ) FS ;
- TAP_623 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 263120 127840 ) FS ;
- TAP_624 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 288880 127840 ) FS ;
- TAP_625 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 18400 130560 ) N ;
- TAP_626 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 44160 130560 ) N ;
- TAP_627 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 69920 130560 ) N ;
- TAP_628 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 95680 130560 ) N ;
- TAP_629 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 121440 130560 ) N ;
- TAP_630 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 147200 130560 ) N ;
- TAP_631 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 172960 130560 ) N ;
- TAP_632 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 198720 130560 ) N ;
- TAP_633 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 224480 130560 ) N ;
- TAP_634 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 250240 130560 ) N ;
- TAP_635 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 276000 130560 ) N ;
- TAP_636 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 31280 133280 ) FS ;
- TAP_637 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 57040 133280 ) FS ;
- TAP_638 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 82800 133280 ) FS ;
- TAP_639 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 108560 133280 ) FS ;
- TAP_640 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 134320 133280 ) FS ;
- TAP_641 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 160080 133280 ) FS ;
- TAP_642 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 185840 133280 ) FS ;
- TAP_643 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 211600 133280 ) FS ;
- TAP_644 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 237360 133280 ) FS ;
- TAP_645 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 263120 133280 ) FS ;
- TAP_646 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 288880 133280 ) FS ;
- TAP_647 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 18400 136000 ) N ;
- TAP_648 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 44160 136000 ) N ;
- TAP_649 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 69920 136000 ) N ;
- TAP_650 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 95680 136000 ) N ;
- TAP_651 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 121440 136000 ) N ;
- TAP_652 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 147200 136000 ) N ;
- TAP_653 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 172960 136000 ) N ;
- TAP_654 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 198720 136000 ) N ;
- TAP_655 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 224480 136000 ) N ;
- TAP_656 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 250240 136000 ) N ;
- TAP_657 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 276000 136000 ) N ;
- TAP_658 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 31280 138720 ) FS ;
- TAP_659 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 57040 138720 ) FS ;
- TAP_660 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 82800 138720 ) FS ;
- TAP_661 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 108560 138720 ) FS ;
- TAP_662 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 134320 138720 ) FS ;
- TAP_663 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 160080 138720 ) FS ;
- TAP_664 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 185840 138720 ) FS ;
- TAP_665 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 211600 138720 ) FS ;
- TAP_666 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 237360 138720 ) FS ;
- TAP_667 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 263120 138720 ) FS ;
- TAP_668 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 288880 138720 ) FS ;
- TAP_669 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 18400 141440 ) N ;
- TAP_670 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 44160 141440 ) N ;
- TAP_671 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 69920 141440 ) N ;
- TAP_672 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 95680 141440 ) N ;
- TAP_673 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 121440 141440 ) N ;
- TAP_674 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 147200 141440 ) N ;
- TAP_675 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 172960 141440 ) N ;
- TAP_676 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 198720 141440 ) N ;
- TAP_677 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 224480 141440 ) N ;
- TAP_678 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 250240 141440 ) N ;
- TAP_679 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 276000 141440 ) N ;
- TAP_680 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 31280 144160 ) FS ;
- TAP_681 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 57040 144160 ) FS ;
- TAP_682 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 82800 144160 ) FS ;
- TAP_683 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 108560 144160 ) FS ;
- TAP_684 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 134320 144160 ) FS ;
- TAP_685 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 160080 144160 ) FS ;
- TAP_686 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 185840 144160 ) FS ;
- TAP_687 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 211600 144160 ) FS ;
- TAP_688 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 237360 144160 ) FS ;
- TAP_689 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 263120 144160 ) FS ;
- TAP_690 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 288880 144160 ) FS ;
- TAP_691 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 18400 146880 ) N ;
- TAP_692 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 44160 146880 ) N ;
- TAP_693 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 69920 146880 ) N ;
- TAP_694 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 95680 146880 ) N ;
- TAP_695 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 121440 146880 ) N ;
- TAP_696 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 147200 146880 ) N ;
- TAP_697 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 172960 146880 ) N ;
- TAP_698 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 198720 146880 ) N ;
- TAP_699 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 224480 146880 ) N ;
- TAP_700 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 250240 146880 ) N ;
- TAP_701 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 276000 146880 ) N ;
- TAP_702 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 31280 149600 ) FS ;
- TAP_703 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 57040 149600 ) FS ;
- TAP_704 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 82800 149600 ) FS ;
- TAP_705 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 108560 149600 ) FS ;
- TAP_706 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 134320 149600 ) FS ;
- TAP_707 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 160080 149600 ) FS ;
- TAP_708 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 185840 149600 ) FS ;
- TAP_709 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 211600 149600 ) FS ;
- TAP_710 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 237360 149600 ) FS ;
- TAP_711 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 263120 149600 ) FS ;
- TAP_712 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 288880 149600 ) FS ;
- TAP_713 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 18400 152320 ) N ;
- TAP_714 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 44160 152320 ) N ;
- TAP_715 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 69920 152320 ) N ;
- TAP_716 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 95680 152320 ) N ;
- TAP_717 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 121440 152320 ) N ;
- TAP_718 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 147200 152320 ) N ;
- TAP_719 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 172960 152320 ) N ;
- TAP_720 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 198720 152320 ) N ;
- TAP_721 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 224480 152320 ) N ;
- TAP_722 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 250240 152320 ) N ;
- TAP_723 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 276000 152320 ) N ;
- TAP_724 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 31280 155040 ) FS ;
- TAP_725 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 57040 155040 ) FS ;
- TAP_726 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 82800 155040 ) FS ;
- TAP_727 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 108560 155040 ) FS ;
- TAP_728 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 134320 155040 ) FS ;
- TAP_729 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 160080 155040 ) FS ;
- TAP_730 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 185840 155040 ) FS ;
- TAP_731 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 211600 155040 ) FS ;
- TAP_732 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 237360 155040 ) FS ;
- TAP_733 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 263120 155040 ) FS ;
- TAP_734 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 288880 155040 ) FS ;
- TAP_735 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 18400 157760 ) N ;
- TAP_736 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 44160 157760 ) N ;
- TAP_737 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 69920 157760 ) N ;
- TAP_738 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 95680 157760 ) N ;
- TAP_739 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 121440 157760 ) N ;
- TAP_740 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 147200 157760 ) N ;
- TAP_741 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 172960 157760 ) N ;
- TAP_742 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 198720 157760 ) N ;
- TAP_743 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 224480 157760 ) N ;
- TAP_744 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 250240 157760 ) N ;
- TAP_745 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 276000 157760 ) N ;
- TAP_746 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 31280 160480 ) FS ;
- TAP_747 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 57040 160480 ) FS ;
- TAP_748 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 82800 160480 ) FS ;
- TAP_749 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 108560 160480 ) FS ;
- TAP_750 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 134320 160480 ) FS ;
- TAP_751 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 160080 160480 ) FS ;
- TAP_752 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 185840 160480 ) FS ;
- TAP_753 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 211600 160480 ) FS ;
- TAP_754 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 237360 160480 ) FS ;
- TAP_755 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 263120 160480 ) FS ;
- TAP_756 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 288880 160480 ) FS ;
- TAP_757 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 18400 163200 ) N ;
- TAP_758 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 44160 163200 ) N ;
- TAP_759 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 69920 163200 ) N ;
- TAP_760 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 95680 163200 ) N ;
- TAP_761 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 121440 163200 ) N ;
- TAP_762 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 147200 163200 ) N ;
- TAP_763 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 172960 163200 ) N ;
- TAP_764 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 198720 163200 ) N ;
- TAP_765 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 224480 163200 ) N ;
- TAP_766 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 250240 163200 ) N ;
- TAP_767 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 276000 163200 ) N ;
- TAP_768 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 31280 165920 ) FS ;
- TAP_769 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 57040 165920 ) FS ;
- TAP_770 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 82800 165920 ) FS ;
- TAP_771 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 108560 165920 ) FS ;
- TAP_772 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 134320 165920 ) FS ;
- TAP_773 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 160080 165920 ) FS ;
- TAP_774 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 185840 165920 ) FS ;
- TAP_775 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 211600 165920 ) FS ;
- TAP_776 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 237360 165920 ) FS ;
- TAP_777 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 263120 165920 ) FS ;
- TAP_778 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 288880 165920 ) FS ;
- TAP_779 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 18400 168640 ) N ;
- TAP_780 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 44160 168640 ) N ;
- TAP_781 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 69920 168640 ) N ;
- TAP_782 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 95680 168640 ) N ;
- TAP_783 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 121440 168640 ) N ;
- TAP_784 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 147200 168640 ) N ;
- TAP_785 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 172960 168640 ) N ;
- TAP_786 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 198720 168640 ) N ;
- TAP_787 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 224480 168640 ) N ;
- TAP_788 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 250240 168640 ) N ;
- TAP_789 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 276000 168640 ) N ;
- TAP_790 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 31280 171360 ) FS ;
- TAP_791 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 57040 171360 ) FS ;
- TAP_792 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 82800 171360 ) FS ;
- TAP_793 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 108560 171360 ) FS ;
- TAP_794 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 134320 171360 ) FS ;
- TAP_795 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 160080 171360 ) FS ;
- TAP_796 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 185840 171360 ) FS ;
- TAP_797 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 211600 171360 ) FS ;
- TAP_798 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 237360 171360 ) FS ;
- TAP_799 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 263120 171360 ) FS ;
- TAP_800 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 288880 171360 ) FS ;
- TAP_801 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 18400 174080 ) N ;
- TAP_802 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 44160 174080 ) N ;
- TAP_803 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 69920 174080 ) N ;
- TAP_804 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 95680 174080 ) N ;
- TAP_805 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 121440 174080 ) N ;
- TAP_806 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 147200 174080 ) N ;
- TAP_807 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 172960 174080 ) N ;
- TAP_808 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 198720 174080 ) N ;
- TAP_809 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 224480 174080 ) N ;
- TAP_810 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 250240 174080 ) N ;
- TAP_811 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 276000 174080 ) N ;
- TAP_812 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 31280 176800 ) FS ;
- TAP_813 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 57040 176800 ) FS ;
- TAP_814 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 82800 176800 ) FS ;
- TAP_815 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 108560 176800 ) FS ;
- TAP_816 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 134320 176800 ) FS ;
- TAP_817 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 160080 176800 ) FS ;
- TAP_818 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 185840 176800 ) FS ;
- TAP_819 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 211600 176800 ) FS ;
- TAP_820 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 237360 176800 ) FS ;
- TAP_821 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 263120 176800 ) FS ;
- TAP_822 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 288880 176800 ) FS ;
- TAP_823 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 18400 179520 ) N ;
- TAP_824 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 44160 179520 ) N ;
- TAP_825 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 69920 179520 ) N ;
- TAP_826 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 95680 179520 ) N ;
- TAP_827 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 121440 179520 ) N ;
- TAP_828 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 147200 179520 ) N ;
- TAP_829 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 172960 179520 ) N ;
- TAP_830 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 198720 179520 ) N ;
- TAP_831 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 224480 179520 ) N ;
- TAP_832 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 250240 179520 ) N ;
- TAP_833 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 276000 179520 ) N ;
- TAP_834 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 31280 182240 ) FS ;
- TAP_835 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 57040 182240 ) FS ;
- TAP_836 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 82800 182240 ) FS ;
- TAP_837 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 108560 182240 ) FS ;
- TAP_838 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 134320 182240 ) FS ;
- TAP_839 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 160080 182240 ) FS ;
- TAP_840 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 185840 182240 ) FS ;
- TAP_841 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 211600 182240 ) FS ;
- TAP_842 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 237360 182240 ) FS ;
- TAP_843 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 263120 182240 ) FS ;
- TAP_844 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 288880 182240 ) FS ;
- TAP_845 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 18400 184960 ) N ;
- TAP_846 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 31280 184960 ) N ;
- TAP_847 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 44160 184960 ) N ;
- TAP_848 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 57040 184960 ) N ;
- TAP_849 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 69920 184960 ) N ;
- TAP_850 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 82800 184960 ) N ;
- TAP_851 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 95680 184960 ) N ;
- TAP_852 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 108560 184960 ) N ;
- TAP_853 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 121440 184960 ) N ;
- TAP_854 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 134320 184960 ) N ;
- TAP_855 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 147200 184960 ) N ;
- TAP_856 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 160080 184960 ) N ;
- TAP_857 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 172960 184960 ) N ;
- TAP_858 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 185840 184960 ) N ;
- TAP_859 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 198720 184960 ) N ;
- TAP_860 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 211600 184960 ) N ;
- TAP_861 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 224480 184960 ) N ;
- TAP_862 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 237360 184960 ) N ;
- TAP_863 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 250240 184960 ) N ;
- TAP_864 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 263120 184960 ) N ;
- TAP_865 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 276000 184960 ) N ;
- TAP_866 sky130_fd_sc_hd__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 288880 184960 ) N ;
- _116_ sky130_fd_sc_hd__or2_1 + PLACED ( 34960 29920 ) FS ;
- _117_ sky130_fd_sc_hd__dlymetal6s2s_1 + PLACED ( 37720 32640 ) N ;
- _118_ sky130_fd_sc_hd__and2_1 + PLACED ( 39100 29920 ) FS ;
- _119_ sky130_fd_sc_hd__dlymetal6s2s_1 + PLACED ( 48760 24480 ) FS ;
- _120_ sky130_fd_sc_hd__or4_1 + PLACED ( 19320 97920 ) N ;
- _121_ sky130_fd_sc_hd__and2b_2 + PLACED ( 35420 24480 ) FS ;
- _122_ sky130_fd_sc_hd__or4b_1 + PLACED ( 21160 84320 ) FS ;
- _123_ sky130_fd_sc_hd__or4_1 + PLACED ( 20240 146880 ) N ;
- _124_ sky130_fd_sc_hd__or4_1 + PLACED ( 18860 125120 ) N ;
- _125_ sky130_fd_sc_hd__or3_1 + PLACED ( 20700 122400 ) FS ;
- _126_ sky130_fd_sc_hd__clkbuf_2 + PLACED ( 11040 116960 ) FS ;
- _127_ sky130_fd_sc_hd__dlymetal6s2s_1 + PLACED ( 51520 27200 ) N ;
- _128_ sky130_fd_sc_hd__clkbuf_1 + PLACED ( 50600 21760 ) N ;
- _129_ sky130_fd_sc_hd__and2_1 + PLACED ( 35880 13600 ) FS ;
- _130_ sky130_fd_sc_hd__clkbuf_1 + PLACED ( 39100 16320 ) N ;
- _131_ sky130_fd_sc_hd__and2_1 + PLACED ( 37720 19040 ) FS ;
- _132_ sky130_fd_sc_hd__clkbuf_1 + PLACED ( 40480 24480 ) FS ;
- _133_ sky130_fd_sc_hd__and2_1 + PLACED ( 48300 19040 ) FS ;
- _134_ sky130_fd_sc_hd__clkbuf_1 + PLACED ( 45540 24480 ) FS ;
- _135_ sky130_fd_sc_hd__and2_1 + PLACED ( 46000 13600 ) FS ;
- _136_ sky130_fd_sc_hd__clkbuf_1 + PLACED ( 44620 38080 ) N ;
- _137_ sky130_fd_sc_hd__and2_1 + PLACED ( 46460 16320 ) N ;
- _138_ sky130_fd_sc_hd__clkbuf_1 + PLACED ( 44620 48960 ) N ;
- _139_ sky130_fd_sc_hd__clkbuf_1 + PLACED ( 62100 24480 ) FS ;
- _140_ sky130_fd_sc_hd__and2_1 + PLACED ( 65320 24480 ) FS ;
- _141_ sky130_fd_sc_hd__dlymetal6s2s_1 + PLACED ( 70380 27200 ) N ;
- _142_ sky130_fd_sc_hd__and2_1 + PLACED ( 69460 24480 ) FS ;
- _143_ sky130_fd_sc_hd__dlymetal6s2s_1 + PLACED ( 68080 29920 ) FS ;
- _144_ sky130_fd_sc_hd__and2_1 + PLACED ( 70380 21760 ) N ;
- _145_ sky130_fd_sc_hd__dlymetal6s2s_1 + PLACED ( 70380 32640 ) N ;
- _146_ sky130_fd_sc_hd__and2_1 + PLACED ( 65780 21760 ) N ;
- _147_ sky130_fd_sc_hd__clkbuf_1 + PLACED ( 65320 70720 ) N ;
- _148_ sky130_fd_sc_hd__and2_1 + PLACED ( 73600 24480 ) FS ;
- _149_ sky130_fd_sc_hd__clkbuf_1 + PLACED ( 66240 78880 ) FS ;
- _150_ sky130_fd_sc_hd__clkbuf_1 + PLACED ( 59340 21760 ) N ;
- _151_ sky130_fd_sc_hd__and2_1 + PLACED ( 60260 19040 ) FS ;
- _152_ sky130_fd_sc_hd__clkbuf_1 + PLACED ( 11040 81600 ) N ;
- _153_ sky130_fd_sc_hd__and2_2 + PLACED ( 60260 16320 ) N ;
- _154_ sky130_fd_sc_hd__clkbuf_1 + PLACED ( 11040 89760 ) FS ;
- _155_ sky130_fd_sc_hd__and2_1 + PLACED ( 64860 16320 ) N ;
- _156_ sky130_fd_sc_hd__clkbuf_1 + PLACED ( 57500 95200 ) FS ;
- _157_ sky130_fd_sc_hd__and2_1 + PLACED ( 55200 16320 ) N ;
- _158_ sky130_fd_sc_hd__clkbuf_1 + PLACED ( 57960 100640 ) FS ;
- _159_ sky130_fd_sc_hd__and2_1 + PLACED ( 60260 13600 ) FS ;
- _160_ sky130_fd_sc_hd__clkbuf_1 + PLACED ( 58420 106080 ) FS ;
- _161_ sky130_fd_sc_hd__clkbuf_1 + PLACED ( 47840 76160 ) N ;
- _162_ sky130_fd_sc_hd__and2_1 + PLACED ( 50600 78880 ) FS ;
- _163_ sky130_fd_sc_hd__dlymetal6s2s_1 + PLACED ( 48300 84320 ) FS ;
- _164_ sky130_fd_sc_hd__and2_1 + PLACED ( 57500 78880 ) FS ;
- _165_ sky130_fd_sc_hd__clkbuf_1 + PLACED ( 47840 119680 ) N ;
- _166_ sky130_fd_sc_hd__and2_1 + PLACED ( 51060 76160 ) N ;
- _167_ sky130_fd_sc_hd__clkbuf_1 + PLACED ( 48760 125120 ) N ;
- _168_ sky130_fd_sc_hd__and2_1 + PLACED ( 46460 78880 ) FS ;
- _169_ sky130_fd_sc_hd__clkbuf_1 + PLACED ( 48760 130560 ) N ;
- _170_ sky130_fd_sc_hd__and2_1 + PLACED ( 51060 81600 ) N ;
- _171_ sky130_fd_sc_hd__clkbuf_1 + PLACED ( 48760 136000 ) N ;
- _172_ sky130_fd_sc_hd__clkbuf_1 + PLACED ( 39560 73440 ) FS ;
- _173_ sky130_fd_sc_hd__and2_1 + PLACED ( 35880 70720 ) N ;
- _174_ sky130_fd_sc_hd__clkbuf_1 + PLACED ( 34500 144160 ) FS ;
- _175_ sky130_fd_sc_hd__and2_1 + PLACED ( 40020 70720 ) N ;
- _176_ sky130_fd_sc_hd__clkbuf_1 + PLACED ( 34500 149600 ) FS ;
- _177_ sky130_fd_sc_hd__and2_1 + PLACED ( 35880 68000 ) FS ;
- _178_ sky130_fd_sc_hd__clkbuf_1 + PLACED ( 34500 155040 ) FS ;
- _179_ sky130_fd_sc_hd__and2_1 + PLACED ( 30820 70720 ) N ;
- _180_ sky130_fd_sc_hd__clkbuf_1 + PLACED ( 11040 163200 ) N ;
- _181_ sky130_fd_sc_hd__and2_1 + PLACED ( 35420 73440 ) FS ;
- _182_ sky130_fd_sc_hd__clkbuf_1 + PLACED ( 11040 165920 ) FS ;
- _183_ sky130_fd_sc_hd__clkbuf_1 + PLACED ( 51980 32640 ) N ;
- _184_ sky130_fd_sc_hd__and2_1 + PLACED ( 57500 35360 ) FS ;
- _185_ sky130_fd_sc_hd__buf_2 + PLACED ( 53360 40800 ) FS ;
- _186_ sky130_fd_sc_hd__and2_1 + PLACED ( 56120 32640 ) N ;
- _187_ sky130_fd_sc_hd__buf_2 + PLACED ( 48760 38080 ) N ;
- _188_ sky130_fd_sc_hd__and2_1 + PLACED ( 56120 38080 ) N ;
- _189_ sky130_fd_sc_hd__buf_2 + PLACED ( 52440 38080 ) N ;
- _190_ sky130_fd_sc_hd__and2_1 + PLACED ( 52900 35360 ) FS ;
- _191_ sky130_fd_sc_hd__buf_2 + PLACED ( 57500 40800 ) FS ;
- _192_ sky130_fd_sc_hd__and2_1 + PLACED ( 61640 35360 ) FS ;
- _193_ sky130_fd_sc_hd__buf_2 + PLACED ( 60260 38080 ) N ;
- _194_ sky130_fd_sc_hd__and2_1 + PLACED ( 57500 24480 ) FS ;
- _195_ sky130_fd_sc_hd__buf_2 + PLACED ( 52440 29920 ) FS ;
- _196_ sky130_fd_sc_hd__and2_1 + PLACED ( 54280 21760 ) N ;
- _197_ sky130_fd_sc_hd__buf_2 + PLACED ( 47840 27200 ) N ;
- _198_ sky130_fd_sc_hd__and2_1 + PLACED ( 14720 35360 ) FS ;
- _199_ sky130_fd_sc_hd__clkbuf_1 + PLACED ( 15180 32640 ) N ;
- _200_ sky130_fd_sc_hd__and2_1 + PLACED ( 31740 35360 ) FS ;
- _201_ sky130_fd_sc_hd__clkbuf_1 + PLACED ( 29440 32640 ) N ;
- _202_ sky130_fd_sc_hd__and2_1 + PLACED ( 37260 35360 ) FS ;
- _203_ sky130_fd_sc_hd__clkbuf_1 + PLACED ( 43240 29920 ) FS ;
- _204_ sky130_fd_sc_hd__clkbuf_1 + PLACED ( 36800 38080 ) N ;
- _205_ sky130_fd_sc_hd__and2_1 + PLACED ( 40020 43520 ) N ;
- _206_ sky130_fd_sc_hd__clkbuf_1 + PLACED ( 55200 43520 ) N ;
- _207_ sky130_fd_sc_hd__and2_1 + PLACED ( 40020 40800 ) FS ;
- _208_ sky130_fd_sc_hd__clkbuf_1 + PLACED ( 70380 38080 ) N ;
- _209_ sky130_fd_sc_hd__and2_1 + PLACED ( 44160 40800 ) FS ;
- _210_ sky130_fd_sc_hd__clkbuf_1 + PLACED ( 81420 38080 ) N ;
- _211_ sky130_fd_sc_hd__and2_1 + PLACED ( 35880 40800 ) FS ;
- _212_ sky130_fd_sc_hd__clkbuf_1 + PLACED ( 96140 38080 ) N ;
- _213_ sky130_fd_sc_hd__and2_1 + PLACED ( 40020 38080 ) N ;
- _214_ sky130_fd_sc_hd__clkbuf_1 + PLACED ( 109020 13600 ) FS ;
- _215_ sky130_fd_sc_hd__nor2_1 + PLACED ( 23920 27200 ) N ;
- _216_ sky130_fd_sc_hd__a21o_1 + PLACED ( 17940 24480 ) FS ;
- _217_ sky130_fd_sc_hd__nor2_1 + PLACED ( 25300 21760 ) N ;
- _218_ sky130_fd_sc_hd__a211oi_1 + PLACED ( 16560 19040 ) FS ;
- _219_ sky130_fd_sc_hd__nor2_1 + PLACED ( 21160 19040 ) FS ;
- _220_ sky130_fd_sc_hd__o21a_1 + PLACED ( 18860 16320 ) N ;
- _221_ sky130_fd_sc_hd__nor2_1 + PLACED ( 14720 24480 ) FS ;
- _222_ sky130_fd_sc_hd__o21a_1 + PLACED ( 21160 29920 ) FS ;
- _223_ sky130_fd_sc_hd__a21boi_1 + PLACED ( 19320 27200 ) N ;
- _224_ sky130_fd_sc_hd__and4b_2 + PLACED ( 18860 21760 ) N ;
- _225_ sky130_fd_sc_hd__buf_2 + PLACED ( 20240 116960 ) FS ;
- _226_ sky130_fd_sc_hd__clkbuf_2 + PLACED ( 20700 59840 ) N ;
- _227_ sky130_fd_sc_hd__mux2_1 + PLACED ( 11040 38080 ) N ;
- _228_ sky130_fd_sc_hd__clkbuf_1 + PLACED ( 11040 43520 ) N ;
- _229_ sky130_fd_sc_hd__mux2_1 + PLACED ( 22080 40800 ) FS ;
- _230_ sky130_fd_sc_hd__clkbuf_1 + PLACED ( 23000 35360 ) FS ;
- _231_ sky130_fd_sc_hd__mux2_1 + PLACED ( 21620 43520 ) N ;
- _232_ sky130_fd_sc_hd__clkbuf_1 + PLACED ( 23460 48960 ) N ;
- _233_ sky130_fd_sc_hd__mux2_1 + PLACED ( 11500 48960 ) N ;
- _234_ sky130_fd_sc_hd__clkbuf_1 + PLACED ( 11040 54400 ) N ;
- _235_ sky130_fd_sc_hd__mux2_1 + PLACED ( 18860 54400 ) N ;
- _236_ sky130_fd_sc_hd__clkbuf_1 + PLACED ( 15640 57120 ) FS ;
- _237_ sky130_fd_sc_hd__clkbuf_2 + PLACED ( 18400 68000 ) FS ;
- _238_ sky130_fd_sc_hd__mux2_1 + PLACED ( 11040 65280 ) N ;
- _239_ sky130_fd_sc_hd__clkbuf_1 + PLACED ( 11040 59840 ) N ;
- _240_ sky130_fd_sc_hd__mux2_1 + PLACED ( 18860 65280 ) N ;
- _241_ sky130_fd_sc_hd__clkbuf_1 + PLACED ( 21160 70720 ) N ;
- _242_ sky130_fd_sc_hd__mux2_1 + PLACED ( 11040 70720 ) N ;
- _243_ sky130_fd_sc_hd__clkbuf_1 + PLACED ( 11040 76160 ) N ;
- _244_ sky130_fd_sc_hd__mux2_1 + PLACED ( 18860 81600 ) N ;
- _245_ sky130_fd_sc_hd__clkbuf_1 + PLACED ( 18860 76160 ) N ;
- _246_ sky130_fd_sc_hd__mux2_1 + PLACED ( 11960 87040 ) N ;
- _247_ sky130_fd_sc_hd__clkbuf_1 + PLACED ( 8740 87040 ) N ;
- _248_ sky130_fd_sc_hd__clkbuf_2 + PLACED ( 20700 114240 ) N ;
- _249_ sky130_fd_sc_hd__mux2_1 + PLACED ( 11500 97920 ) N ;
- _250_ sky130_fd_sc_hd__clkbuf_1 + PLACED ( 11960 92480 ) N ;
- _251_ sky130_fd_sc_hd__mux2_1 + PLACED ( 11960 100640 ) FS ;
- _252_ sky130_fd_sc_hd__clkbuf_1 + PLACED ( 15180 103360 ) N ;
- _253_ sky130_fd_sc_hd__mux2_1 + PLACED ( 13800 106080 ) FS ;
- _254_ sky130_fd_sc_hd__clkbuf_1 + PLACED ( 18860 103360 ) N ;
- _255_ sky130_fd_sc_hd__mux2_1 + PLACED ( 11960 108800 ) N ;
- _256_ sky130_fd_sc_hd__clkbuf_1 + PLACED ( 11040 114240 ) N ;
- _257_ sky130_fd_sc_hd__mux2_1 + PLACED ( 12420 119680 ) N ;
- _258_ sky130_fd_sc_hd__clkbuf_1 + PLACED ( 16100 116960 ) FS ;
- _259_ sky130_fd_sc_hd__clkbuf_2 + PLACED ( 24840 122400 ) FS ;
- _260_ sky130_fd_sc_hd__mux2_1 + PLACED ( 11500 125120 ) N ;
- _261_ sky130_fd_sc_hd__clkbuf_1 + PLACED ( 11040 130560 ) N ;
- _262_ sky130_fd_sc_hd__mux2_1 + PLACED ( 20240 127840 ) FS ;
- _263_ sky130_fd_sc_hd__clkbuf_1 + PLACED ( 22540 133280 ) FS ;
- _264_ sky130_fd_sc_hd__mux2_1 + PLACED ( 11500 133280 ) FS ;
- _265_ sky130_fd_sc_hd__clkbuf_1 + PLACED ( 11040 138720 ) FS ;
- _266_ sky130_fd_sc_hd__mux2_1 + PLACED ( 20240 138720 ) FS ;
- _267_ sky130_fd_sc_hd__clkbuf_1 + PLACED ( 22080 144160 ) FS ;
- _268_ sky130_fd_sc_hd__mux2_1 + PLACED ( 11500 144160 ) FS ;
- _269_ sky130_fd_sc_hd__clkbuf_1 + PLACED ( 11040 149600 ) FS ;
- _270_ sky130_fd_sc_hd__mux2_1 + PLACED ( 20240 155040 ) FS ;
- _271_ sky130_fd_sc_hd__clkbuf_1 + PLACED ( 20700 152320 ) N ;
- _272_ sky130_fd_sc_hd__mux2_1 + PLACED ( 12420 152320 ) N ;
- _273_ sky130_fd_sc_hd__clkbuf_1 + PLACED ( 12420 157760 ) N ;
- _274_ sky130_fd_sc_hd__dfxtp_1 + PLACED ( 29900 16320 ) N ;
- _275_ sky130_fd_sc_hd__dfxtp_1 + PLACED ( 17480 13600 ) FS ;
- _276_ sky130_fd_sc_hd__dfxtp_1 + PLACED ( 11960 29920 ) FS ;
- _277_ sky130_fd_sc_hd__dfxtp_1 + PLACED ( 30820 27200 ) N ;
- _278_ sky130_fd_sc_hd__dfxtp_1 + PLACED ( 8740 40800 ) FS ;
- _279_ sky130_fd_sc_hd__dfxtp_1 + PLACED ( 23000 38080 ) N ;
- _280_ sky130_fd_sc_hd__dfxtp_1 + PLACED ( 22080 46240 ) FS ;
- _281_ sky130_fd_sc_hd__dfxtp_1 + PLACED ( 10120 51680 ) FS ;
- _282_ sky130_fd_sc_hd__dfxtp_1 + PLACED ( 18860 57120 ) FS ;
- _283_ sky130_fd_sc_hd__dfxtp_1 + PLACED ( 9200 62560 ) FS ;
- _284_ sky130_fd_sc_hd__dfxtp_1 + PLACED ( 22080 68000 ) FS ;
- _285_ sky130_fd_sc_hd__dfxtp_1 + PLACED ( 9660 73440 ) FS ;
- _286_ sky130_fd_sc_hd__dfxtp_1 + PLACED ( 19320 78880 ) FS ;
- _287_ sky130_fd_sc_hd__dfxtp_1 + PLACED ( 11960 84320 ) FS ;
- _288_ sky130_fd_sc_hd__dfxtp_1 + PLACED ( 11500 95200 ) FS ;
- _289_ sky130_fd_sc_hd__dfxtp_1 + PLACED ( 17940 100640 ) FS ;
- _290_ sky130_fd_sc_hd__dfxtp_1 + PLACED ( 19780 106080 ) FS ;
- _291_ sky130_fd_sc_hd__dfxtp_1 + PLACED ( 10120 111520 ) FS ;
- _292_ sky130_fd_sc_hd__dfxtp_1 + PLACED ( 18860 119680 ) N ;
- _293_ sky130_fd_sc_hd__dfxtp_1 + PLACED ( 10120 127840 ) FS ;
- _294_ sky130_fd_sc_hd__dfxtp_1 + PLACED ( 23000 130560 ) N ;
- _295_ sky130_fd_sc_hd__dfxtp_1 + PLACED ( 9200 136000 ) N ;
- _296_ sky130_fd_sc_hd__dfxtp_1 + PLACED ( 22080 141440 ) N ;
- _297_ sky130_fd_sc_hd__dfxtp_1 + PLACED ( 9200 146880 ) N ;
- _298_ sky130_fd_sc_hd__dfxtp_1 + PLACED ( 23920 152320 ) N ;
- _299_ sky130_fd_sc_hd__dfxtp_1 + PLACED ( 11040 155040 ) FS ;
- _354_ sky130_fd_sc_hd__clkbuf_1 + PLACED ( 11040 16320 ) N ;
- _355_ sky130_fd_sc_hd__clkbuf_1 + PLACED ( 11040 19040 ) FS ;
- input1 sky130_fd_sc_hd__clkbuf_1 + SOURCE TIMING + PLACED ( 23460 16320 ) N ;
- input10 sky130_fd_sc_hd__buf_2 + SOURCE TIMING + PLACED ( 203320 10880 ) N ;
- input11 sky130_fd_sc_hd__buf_2 + SOURCE TIMING + PLACED ( 212060 10880 ) N ;
- input12 sky130_fd_sc_hd__clkbuf_1 + SOURCE TIMING + PLACED ( 32660 13600 ) FS ;
- input13 sky130_fd_sc_hd__clkbuf_4 + SOURCE TIMING + PLACED ( 218040 10880 ) N ;
- input14 sky130_fd_sc_hd__clkbuf_4 + SOURCE TIMING + PLACED ( 226780 10880 ) N ;
- input15 sky130_fd_sc_hd__clkbuf_4 + SOURCE TIMING + PLACED ( 232760 10880 ) N ;
- input16 sky130_fd_sc_hd__clkbuf_4 + SOURCE TIMING + PLACED ( 240120 10880 ) N ;
- input17 sky130_fd_sc_hd__clkbuf_4 + SOURCE TIMING + PLACED ( 252540 10880 ) N ;
- input18 sky130_fd_sc_hd__buf_2 + SOURCE TIMING + PLACED ( 257140 10880 ) N ;
- input19 sky130_fd_sc_hd__buf_2 + SOURCE TIMING + PLACED ( 263580 10880 ) N ;
- input2 sky130_fd_sc_hd__dlymetal6s2s_1 + SOURCE TIMING + PLACED ( 144900 13600 ) FS ;
- input20 sky130_fd_sc_hd__clkbuf_4 + SOURCE TIMING + PLACED ( 269560 10880 ) N ;
- input21 sky130_fd_sc_hd__clkbuf_4 + SOURCE TIMING + PLACED ( 278300 10880 ) N ;
- input22 sky130_fd_sc_hd__clkbuf_4 + SOURCE TIMING + PLACED ( 283820 10880 ) N ;
- input23 sky130_fd_sc_hd__clkbuf_1 + SOURCE TIMING + PLACED ( 52440 13600 ) FS ;
- input24 sky130_fd_sc_hd__clkbuf_4 + SOURCE TIMING + PLACED ( 288420 16320 ) N ;
- input25 sky130_fd_sc_hd__clkbuf_4 + SOURCE TIMING + PLACED ( 284280 13600 ) FS ;
- input26 sky130_fd_sc_hd__clkbuf_1 + SOURCE TIMING + PLACED ( 66700 10880 ) N ;
- input27 sky130_fd_sc_hd__clkbuf_1 + SOURCE TIMING + PLACED ( 79580 10880 ) N ;
- input28 sky130_fd_sc_hd__clkbuf_1 + SOURCE TIMING + PLACED ( 92460 10880 ) N ;
- input29 sky130_fd_sc_hd__clkbuf_1 + SOURCE TIMING + PLACED ( 105340 13600 ) FS ;
- input3 sky130_fd_sc_hd__dlymetal6s2s_1 + SOURCE TIMING + PLACED ( 152260 10880 ) N ;
- input30 sky130_fd_sc_hd__clkbuf_1 + SOURCE TIMING + PLACED ( 118220 13600 ) FS ;
- input31 sky130_fd_sc_hd__clkbuf_1 + SOURCE TIMING + PLACED ( 127880 10880 ) N ;
- input32 sky130_fd_sc_hd__dlymetal6s2s_1 + SOURCE TIMING + PLACED ( 137540 10880 ) N ;
- input33 sky130_fd_sc_hd__clkbuf_1 + SOURCE TIMING + PLACED ( 6900 84320 ) FS ;
- input34 sky130_fd_sc_hd__clkbuf_1 + SOURCE TIMING + PLACED ( 6900 92480 ) N ;
- input35 sky130_fd_sc_hd__clkbuf_1 + SOURCE TIMING + PLACED ( 6900 97920 ) N ;
- input36 sky130_fd_sc_hd__clkbuf_1 + SOURCE TIMING + PLACED ( 6900 103360 ) N ;
- input37 sky130_fd_sc_hd__clkbuf_1 + SOURCE TIMING + PLACED ( 6900 111520 ) FS ;
- input38 sky130_fd_sc_hd__clkbuf_1 + SOURCE TIMING + PLACED ( 6900 114240 ) N ;
- input39 sky130_fd_sc_hd__clkbuf_1 + SOURCE TIMING + PLACED ( 6900 116960 ) FS ;
- input4 sky130_fd_sc_hd__dlymetal6s2s_1 + SOURCE TIMING + PLACED ( 160540 10880 ) N ;
- input40 sky130_fd_sc_hd__clkbuf_1 + SOURCE TIMING + PLACED ( 6900 127840 ) FS ;
- input41 sky130_fd_sc_hd__clkbuf_1 + SOURCE TIMING + PLACED ( 6900 138720 ) FS ;
- input42 sky130_fd_sc_hd__clkbuf_1 + SOURCE TIMING + PLACED ( 14260 138720 ) FS ;
- input43 sky130_fd_sc_hd__clkbuf_1 + SOURCE TIMING + PLACED ( 6900 144160 ) FS ;
- input44 sky130_fd_sc_hd__clkbuf_1 + SOURCE TIMING + PLACED ( 6900 152320 ) N ;
- input45 sky130_fd_sc_hd__clkbuf_1 + SOURCE TIMING + PLACED ( 6900 157760 ) N ;
- input46 sky130_fd_sc_hd__clkbuf_1 + SOURCE TIMING + PLACED ( 6900 163200 ) N ;
- input47 sky130_fd_sc_hd__clkbuf_1 + SOURCE TIMING + PLACED ( 6900 32640 ) N ;
- input48 sky130_fd_sc_hd__clkbuf_1 + SOURCE TIMING + PLACED ( 6900 38080 ) N ;
- input49 sky130_fd_sc_hd__clkbuf_1 + SOURCE TIMING + PLACED ( 6900 46240 ) FS ;
- input5 sky130_fd_sc_hd__dlymetal6s2s_1 + SOURCE TIMING + PLACED ( 166980 13600 ) FS ;
- input50 sky130_fd_sc_hd__clkbuf_1 + SOURCE TIMING + PLACED ( 6900 54400 ) N ;
- input51 sky130_fd_sc_hd__clkbuf_1 + SOURCE TIMING + PLACED ( 6900 57120 ) FS ;
- input52 sky130_fd_sc_hd__clkbuf_1 + SOURCE TIMING + PLACED ( 10120 68000 ) FS ;
- input53 sky130_fd_sc_hd__clkbuf_1 + SOURCE TIMING + PLACED ( 6900 68000 ) FS ;
- input54 sky130_fd_sc_hd__clkbuf_1 + SOURCE TIMING + PLACED ( 6900 78880 ) FS ;
- input55 sky130_fd_sc_hd__buf_8 + SOURCE TIMING + PLACED ( 6900 10880 ) N ;
- input56 sky130_fd_sc_hd__clkbuf_1 + SOURCE TIMING + PLACED ( 13340 27200 ) N ;
- input57 sky130_fd_sc_hd__clkbuf_1 + SOURCE TIMING + PLACED ( 24380 19040 ) FS ;
- input58 sky130_fd_sc_hd__clkbuf_1 + SOURCE TIMING + PLACED ( 6900 27200 ) N ;
- input59 sky130_fd_sc_hd__clkbuf_1 + SOURCE TIMING + PLACED ( 6900 24480 ) FS ;
- input6 sky130_fd_sc_hd__clkbuf_2 + SOURCE TIMING + PLACED ( 175260 10880 ) N ;
- input7 sky130_fd_sc_hd__buf_2 + SOURCE TIMING + PLACED ( 181700 10880 ) N ;
- input8 sky130_fd_sc_hd__buf_2 + SOURCE TIMING + PLACED ( 189060 10880 ) N ;
- input9 sky130_fd_sc_hd__buf_2 + SOURCE TIMING + PLACED ( 199180 10880 ) N ;
- output100 sky130_fd_sc_hd__buf_2 + SOURCE TIMING + PLACED ( 6900 59840 ) N ;
- output101 sky130_fd_sc_hd__buf_2 + SOURCE TIMING + PLACED ( 6900 65280 ) N ;
- output102 sky130_fd_sc_hd__buf_2 + SOURCE TIMING + PLACED ( 6900 70720 ) N ;
- output103 sky130_fd_sc_hd__buf_2 + SOURCE TIMING + PLACED ( 6900 76160 ) N ;
- output104 sky130_fd_sc_hd__buf_2 + SOURCE TIMING + PLACED ( 6900 81600 ) N ;
- output105 sky130_fd_sc_hd__buf_2 + SOURCE TIMING + PLACED ( 14260 10880 ) N ;
- output60 sky130_fd_sc_hd__buf_2 + SOURCE TIMING + PLACED ( 18860 10880 ) N ;
- output61 sky130_fd_sc_hd__buf_2 + SOURCE TIMING + PLACED ( 31740 10880 ) N ;
- output62 sky130_fd_sc_hd__buf_2 + SOURCE TIMING + PLACED ( 45080 10880 ) N ;
- output63 sky130_fd_sc_hd__buf_2 + SOURCE TIMING + PLACED ( 59800 10880 ) N ;
- output64 sky130_fd_sc_hd__buf_2 + SOURCE TIMING + PLACED ( 74520 10880 ) N ;
- output65 sky130_fd_sc_hd__buf_2 + SOURCE TIMING + PLACED ( 86480 10880 ) N ;
- output66 sky130_fd_sc_hd__buf_2 + SOURCE TIMING + PLACED ( 98900 10880 ) N ;
- output67 sky130_fd_sc_hd__buf_2 + SOURCE TIMING + PLACED ( 110860 10880 ) N ;
- output68 sky130_fd_sc_hd__buf_2 + SOURCE TIMING + PLACED ( 123280 10880 ) N ;
- output69 sky130_fd_sc_hd__buf_2 + SOURCE TIMING + PLACED ( 6900 16320 ) N ;
- output70 sky130_fd_sc_hd__buf_2 + SOURCE TIMING + PLACED ( 11960 13600 ) FS ;
- output71 sky130_fd_sc_hd__buf_2 + SOURCE TIMING + PLACED ( 8280 13600 ) FS ;
- output72 sky130_fd_sc_hd__buf_2 + SOURCE TIMING + PLACED ( 6900 19040 ) FS ;
- output73 sky130_fd_sc_hd__buf_2 + SOURCE TIMING + PLACED ( 6900 21760 ) N ;
- output74 sky130_fd_sc_hd__buf_2 + SOURCE TIMING + PLACED ( 6900 89760 ) FS ;
- output75 sky130_fd_sc_hd__buf_2 + SOURCE TIMING + PLACED ( 6900 95200 ) FS ;
- output76 sky130_fd_sc_hd__buf_2 + SOURCE TIMING + PLACED ( 6900 100640 ) FS ;
- output77 sky130_fd_sc_hd__buf_2 + SOURCE TIMING + PLACED ( 6900 106080 ) FS ;
- output78 sky130_fd_sc_hd__buf_2 + SOURCE TIMING + PLACED ( 6900 108800 ) N ;
- output79 sky130_fd_sc_hd__buf_2 + SOURCE TIMING + PLACED ( 6900 119680 ) N ;
- output80 sky130_fd_sc_hd__buf_2 + SOURCE TIMING + PLACED ( 6900 125120 ) N ;
- output81 sky130_fd_sc_hd__buf_2 + SOURCE TIMING + PLACED ( 6900 130560 ) N ;
- output82 sky130_fd_sc_hd__buf_2 + SOURCE TIMING + PLACED ( 6900 133280 ) FS ;
- output83 sky130_fd_sc_hd__buf_2 + SOURCE TIMING + PLACED ( 6900 141440 ) N ;
- output84 sky130_fd_sc_hd__buf_2 + SOURCE TIMING + PLACED ( 6900 29920 ) FS ;
- output85 sky130_fd_sc_hd__buf_2 + SOURCE TIMING + PLACED ( 6900 149600 ) FS ;
- output86 sky130_fd_sc_hd__buf_2 + SOURCE TIMING + PLACED ( 6900 155040 ) FS ;
- output87 sky130_fd_sc_hd__buf_2 + SOURCE TIMING + PLACED ( 6900 160480 ) FS ;
- output88 sky130_fd_sc_hd__buf_2 + SOURCE TIMING + PLACED ( 6900 165920 ) FS ;
- output89 sky130_fd_sc_hd__buf_2 + SOURCE TIMING + PLACED ( 6900 171360 ) FS ;
- output90 sky130_fd_sc_hd__buf_2 + SOURCE TIMING + PLACED ( 6900 174080 ) N ;
- output91 sky130_fd_sc_hd__buf_2 + SOURCE TIMING + PLACED ( 6900 179520 ) N ;
- output92 sky130_fd_sc_hd__buf_2 + SOURCE TIMING + PLACED ( 6900 182240 ) FS ;
- output93 sky130_fd_sc_hd__buf_2 + SOURCE TIMING + PLACED ( 6900 184960 ) N ;
- output94 sky130_fd_sc_hd__buf_2 + SOURCE TIMING + PLACED ( 10580 184960 ) N ;
- output95 sky130_fd_sc_hd__buf_2 + SOURCE TIMING + PLACED ( 6900 35360 ) FS ;
- output96 sky130_fd_sc_hd__buf_2 + SOURCE TIMING + PLACED ( 14260 184960 ) N ;
- output97 sky130_fd_sc_hd__buf_2 + SOURCE TIMING + PLACED ( 10580 182240 ) FS ;
- output98 sky130_fd_sc_hd__buf_2 + SOURCE TIMING + PLACED ( 6900 43520 ) N ;
- output99 sky130_fd_sc_hd__buf_2 + SOURCE TIMING + PLACED ( 6900 48960 ) N ;
END COMPONENTS
PINS 233 ;
- flash_csb + NET net106 + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 18630 198000 ) N ;
- flash_io0_read + NET flash_io0_read + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 55890 198000 ) N ;
- flash_io0_we + NET net153 + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 93610 198000 ) N ;
- flash_io0_write + NET net107 + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 130870 198000 ) N ;
- flash_io1_read + NET flash_io1_read + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 168590 198000 ) N ;
- flash_io1_we + NET net108 + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 205850 198000 ) N ;
- flash_io1_write + NET net109 + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 243570 198000 ) N ;
- flash_sck + NET net110 + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 280830 198000 ) N ;
- sram_addr0[0] + NET net111 + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 13110 2000 ) N ;
- sram_addr0[1] + NET net112 + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 27830 2000 ) N ;
- sram_addr0[2] + NET net113 + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 42550 2000 ) N ;
- sram_addr0[3] + NET net114 + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 56810 2000 ) N ;
- sram_addr0[4] + NET net115 + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 71530 2000 ) N ;
- sram_addr0[5] + NET net116 + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 83950 2000 ) N ;
- sram_addr0[6] + NET net117 + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 95910 2000 ) N ;
- sram_addr0[7] + NET net118 + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 108330 2000 ) N ;
- sram_addr0[8] + NET net119 + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 120290 2000 ) N ;
- sram_addr1[0] + NET sram_addr1[0] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 15410 2000 ) N ;
- sram_addr1[1] + NET sram_addr1[1] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 30130 2000 ) N ;
- sram_addr1[2] + NET sram_addr1[2] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 44850 2000 ) N ;
- sram_addr1[3] + NET sram_addr1[3] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 59570 2000 ) N ;
- sram_addr1[4] + NET sram_addr1[4] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 74290 2000 ) N ;
- sram_addr1[5] + NET sram_addr1[5] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 86250 2000 ) N ;
- sram_addr1[6] + NET sram_addr1[6] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 98670 2000 ) N ;
- sram_addr1[7] + NET sram_addr1[7] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 110630 2000 ) N ;
- sram_addr1[8] + NET sram_addr1[8] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 123050 2000 ) N ;
- sram_clk0 + NET sram_clk0 + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 1150 2000 ) N ;
- sram_clk1 + NET sram_clk1 + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 3450 2000 ) N ;
- sram_csb0 + NET net154 + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 5750 2000 ) N ;
- sram_csb1 + NET sram_csb1 + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 8050 2000 ) N ;
- sram_din0[0] + NET net120 + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 18170 2000 ) N ;
- sram_din0[10] + NET net130 + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 140070 2000 ) N ;
- sram_din0[11] + NET net131 + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 147430 2000 ) N ;
- sram_din0[12] + NET net132 + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 154330 2000 ) N ;
- sram_din0[13] + NET net133 + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 161690 2000 ) N ;
- sram_din0[14] + NET net134 + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 169050 2000 ) N ;
- sram_din0[15] + NET net135 + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 176410 2000 ) N ;
- sram_din0[16] + NET net136 + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 183770 2000 ) N ;
- sram_din0[17] + NET net137 + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 191130 2000 ) N ;
- sram_din0[18] + NET net138 + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 198490 2000 ) N ;
- sram_din0[19] + NET net139 + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 205850 2000 ) N ;
- sram_din0[1] + NET net121 + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 32430 2000 ) N ;
- sram_din0[20] + NET net140 + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 213210 2000 ) N ;
- sram_din0[21] + NET net141 + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 220570 2000 ) N ;
- sram_din0[22] + NET net142 + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 227470 2000 ) N ;
- sram_din0[23] + NET net143 + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 234830 2000 ) N ;
- sram_din0[24] + NET net144 + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 242190 2000 ) N ;
- sram_din0[25] + NET net145 + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 249550 2000 ) N ;
- sram_din0[26] + NET net146 + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 256910 2000 ) N ;
- sram_din0[27] + NET net147 + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 264270 2000 ) N ;
- sram_din0[28] + NET net148 + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 271630 2000 ) N ;
- sram_din0[29] + NET net149 + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 278990 2000 ) N ;
- sram_din0[2] + NET net122 + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 47150 2000 ) N ;
- sram_din0[30] + NET net150 + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 286350 2000 ) N ;
- sram_din0[31] + NET net151 + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 293710 2000 ) N ;
- sram_din0[3] + NET net123 + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 61870 2000 ) N ;
- sram_din0[4] + NET net124 + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 76590 2000 ) N ;
- sram_din0[5] + NET net125 + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 88550 2000 ) N ;
- sram_din0[6] + NET net126 + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 100970 2000 ) N ;
- sram_din0[7] + NET net127 + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 112930 2000 ) N ;
- sram_din0[8] + NET net128 + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 125350 2000 ) N ;
- sram_din0[9] + NET net129 + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 132710 2000 ) N ;
- sram_dout0[0] + NET sram_dout0[0] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 20470 2000 ) N ;
- sram_dout0[10] + NET sram_dout0[10] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 142370 2000 ) N ;
- sram_dout0[11] + NET sram_dout0[11] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 149730 2000 ) N ;
- sram_dout0[12] + NET sram_dout0[12] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 157090 2000 ) N ;
- sram_dout0[13] + NET sram_dout0[13] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 164450 2000 ) N ;
- sram_dout0[14] + NET sram_dout0[14] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 171810 2000 ) N ;
- sram_dout0[15] + NET sram_dout0[15] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 178710 2000 ) N ;
- sram_dout0[16] + NET sram_dout0[16] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 186070 2000 ) N ;
- sram_dout0[17] + NET sram_dout0[17] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 193430 2000 ) N ;
- sram_dout0[18] + NET sram_dout0[18] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 200790 2000 ) N ;
- sram_dout0[19] + NET sram_dout0[19] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 208150 2000 ) N ;
- sram_dout0[1] + NET sram_dout0[1] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 35190 2000 ) N ;
- sram_dout0[20] + NET sram_dout0[20] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 215510 2000 ) N ;
- sram_dout0[21] + NET sram_dout0[21] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 222870 2000 ) N ;
- sram_dout0[22] + NET sram_dout0[22] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 230230 2000 ) N ;
- sram_dout0[23] + NET sram_dout0[23] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 237590 2000 ) N ;
- sram_dout0[24] + NET sram_dout0[24] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 244950 2000 ) N ;
- sram_dout0[25] + NET sram_dout0[25] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 251850 2000 ) N ;
- sram_dout0[26] + NET sram_dout0[26] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 259210 2000 ) N ;
- sram_dout0[27] + NET sram_dout0[27] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 266570 2000 ) N ;
- sram_dout0[28] + NET sram_dout0[28] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 273930 2000 ) N ;
- sram_dout0[29] + NET sram_dout0[29] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 281290 2000 ) N ;
- sram_dout0[2] + NET sram_dout0[2] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 49910 2000 ) N ;
- sram_dout0[30] + NET sram_dout0[30] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 288650 2000 ) N ;
- sram_dout0[31] + NET sram_dout0[31] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 296010 2000 ) N ;
- sram_dout0[3] + NET sram_dout0[3] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 64170 2000 ) N ;
- sram_dout0[4] + NET sram_dout0[4] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 78890 2000 ) N ;
- sram_dout0[5] + NET sram_dout0[5] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 91310 2000 ) N ;
- sram_dout0[6] + NET sram_dout0[6] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 103270 2000 ) N ;
- sram_dout0[7] + NET sram_dout0[7] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 115690 2000 ) N ;
- sram_dout0[8] + NET sram_dout0[8] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 127650 2000 ) N ;
- sram_dout0[9] + NET sram_dout0[9] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 135010 2000 ) N ;
- sram_dout1[0] + NET sram_dout1[0] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 22770 2000 ) N ;
- sram_dout1[10] + NET sram_dout1[10] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 144670 2000 ) N ;
- sram_dout1[11] + NET sram_dout1[11] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 152030 2000 ) N ;
- sram_dout1[12] + NET sram_dout1[12] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 159390 2000 ) N ;
- sram_dout1[13] + NET sram_dout1[13] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 166750 2000 ) N ;
- sram_dout1[14] + NET sram_dout1[14] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 174110 2000 ) N ;
- sram_dout1[15] + NET sram_dout1[15] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 181470 2000 ) N ;
- sram_dout1[16] + NET sram_dout1[16] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 188830 2000 ) N ;
- sram_dout1[17] + NET sram_dout1[17] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 196190 2000 ) N ;
- sram_dout1[18] + NET sram_dout1[18] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 203090 2000 ) N ;
- sram_dout1[19] + NET sram_dout1[19] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 210450 2000 ) N ;
- sram_dout1[1] + NET sram_dout1[1] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 37490 2000 ) N ;
- sram_dout1[20] + NET sram_dout1[20] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 217810 2000 ) N ;
- sram_dout1[21] + NET sram_dout1[21] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 225170 2000 ) N ;
- sram_dout1[22] + NET sram_dout1[22] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 232530 2000 ) N ;
- sram_dout1[23] + NET sram_dout1[23] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 239890 2000 ) N ;
- sram_dout1[24] + NET sram_dout1[24] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 247250 2000 ) N ;
- sram_dout1[25] + NET sram_dout1[25] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 254610 2000 ) N ;
- sram_dout1[26] + NET sram_dout1[26] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 261970 2000 ) N ;
- sram_dout1[27] + NET sram_dout1[27] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 269330 2000 ) N ;
- sram_dout1[28] + NET sram_dout1[28] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 276230 2000 ) N ;
- sram_dout1[29] + NET sram_dout1[29] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 283590 2000 ) N ;
- sram_dout1[2] + NET sram_dout1[2] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 52210 2000 ) N ;
- sram_dout1[30] + NET sram_dout1[30] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 290950 2000 ) N ;
- sram_dout1[31] + NET sram_dout1[31] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 298310 2000 ) N ;
- sram_dout1[3] + NET sram_dout1[3] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 66930 2000 ) N ;
- sram_dout1[4] + NET sram_dout1[4] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 81190 2000 ) N ;
- sram_dout1[5] + NET sram_dout1[5] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 93610 2000 ) N ;
- sram_dout1[6] + NET sram_dout1[6] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 105570 2000 ) N ;
- sram_dout1[7] + NET sram_dout1[7] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 117990 2000 ) N ;
- sram_dout1[8] + NET sram_dout1[8] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 129950 2000 ) N ;
- sram_dout1[9] + NET sram_dout1[9] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 137310 2000 ) N ;
- sram_web0 + NET net155 + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 10810 2000 ) N ;
- sram_wmask0[0] + NET net156 + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 25530 2000 ) N ;
- sram_wmask0[1] + NET net157 + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 39790 2000 ) N ;
- sram_wmask0[2] + NET net158 + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 54510 2000 ) N ;
- sram_wmask0[3] + NET net159 + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 69230 2000 ) N ;
- vccd1 + NET vccd1 + SPECIAL + DIRECTION INOUT + USE POWER
+ PORT
+ LAYER met4 ( -800 -88640 ) ( 800 88640 )
+ LAYER met4 ( -154400 -88640 ) ( -152800 88640 )
+ FIXED ( 175440 99280 ) N ;
- vssd1 + NET vssd1 + SPECIAL + DIRECTION INOUT + USE GROUND
+ PORT
+ LAYER met4 ( -800 -88640 ) ( 800 88640 )
+ LAYER met4 ( -154400 -88640 ) ( -152800 88640 )
+ FIXED ( 252240 99280 ) N ;
- wb_ack_o + NET wb_ack_o + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met3 ( -2000 -300 ) ( 2000 300 )
+ PLACED ( 2000 1020 ) N ;
- wb_adr_i[0] + NET wb_adr_i[0] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met3 ( -2000 -300 ) ( 2000 300 )
+ PLACED ( 2000 16660 ) N ;
- wb_adr_i[10] + NET wb_adr_i[10] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met3 ( -2000 -300 ) ( 2000 300 )
+ PLACED ( 2000 84660 ) N ;
- wb_adr_i[11] + NET wb_adr_i[11] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met3 ( -2000 -300 ) ( 2000 300 )
+ PLACED ( 2000 90780 ) N ;
- wb_adr_i[12] + NET wb_adr_i[12] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met3 ( -2000 -300 ) ( 2000 300 )
+ PLACED ( 2000 96900 ) N ;
- wb_adr_i[13] + NET wb_adr_i[13] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met3 ( -2000 -300 ) ( 2000 300 )
+ PLACED ( 2000 102340 ) N ;
- wb_adr_i[14] + NET wb_adr_i[14] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met3 ( -2000 -300 ) ( 2000 300 )
+ PLACED ( 2000 108460 ) N ;
- wb_adr_i[15] + NET wb_adr_i[15] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met3 ( -2000 -300 ) ( 2000 300 )
+ PLACED ( 2000 114580 ) N ;
- wb_adr_i[16] + NET wb_adr_i[16] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met3 ( -2000 -300 ) ( 2000 300 )
+ PLACED ( 2000 120700 ) N ;
- wb_adr_i[17] + NET wb_adr_i[17] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met3 ( -2000 -300 ) ( 2000 300 )
+ PLACED ( 2000 126820 ) N ;
- wb_adr_i[18] + NET wb_adr_i[18] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met3 ( -2000 -300 ) ( 2000 300 )
+ PLACED ( 2000 132940 ) N ;
- wb_adr_i[19] + NET wb_adr_i[19] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met3 ( -2000 -300 ) ( 2000 300 )
+ PLACED ( 2000 138380 ) N ;
- wb_adr_i[1] + NET wb_adr_i[1] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met3 ( -2000 -300 ) ( 2000 300 )
+ PLACED ( 2000 24820 ) N ;
- wb_adr_i[20] + NET wb_adr_i[20] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met3 ( -2000 -300 ) ( 2000 300 )
+ PLACED ( 2000 144500 ) N ;
- wb_adr_i[21] + NET wb_adr_i[21] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met3 ( -2000 -300 ) ( 2000 300 )
+ PLACED ( 2000 150620 ) N ;
- wb_adr_i[22] + NET wb_adr_i[22] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met3 ( -2000 -300 ) ( 2000 300 )
+ PLACED ( 2000 156740 ) N ;
- wb_adr_i[23] + NET wb_adr_i[23] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met3 ( -2000 -300 ) ( 2000 300 )
+ PLACED ( 2000 162860 ) N ;
- wb_adr_i[2] + NET wb_adr_i[2] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met3 ( -2000 -300 ) ( 2000 300 )
+ PLACED ( 2000 32980 ) N ;
- wb_adr_i[3] + NET wb_adr_i[3] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met3 ( -2000 -300 ) ( 2000 300 )
+ PLACED ( 2000 40460 ) N ;
- wb_adr_i[4] + NET wb_adr_i[4] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met3 ( -2000 -300 ) ( 2000 300 )
+ PLACED ( 2000 48620 ) N ;
- wb_adr_i[5] + NET wb_adr_i[5] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met3 ( -2000 -300 ) ( 2000 300 )
+ PLACED ( 2000 54740 ) N ;
- wb_adr_i[6] + NET wb_adr_i[6] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met3 ( -2000 -300 ) ( 2000 300 )
+ PLACED ( 2000 60860 ) N ;
- wb_adr_i[7] + NET wb_adr_i[7] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met3 ( -2000 -300 ) ( 2000 300 )
+ PLACED ( 2000 66980 ) N ;
- wb_adr_i[8] + NET wb_adr_i[8] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met3 ( -2000 -300 ) ( 2000 300 )
+ PLACED ( 2000 72420 ) N ;
- wb_adr_i[9] + NET wb_adr_i[9] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met3 ( -2000 -300 ) ( 2000 300 )
+ PLACED ( 2000 78540 ) N ;
- wb_clk_i + NET wb_clk_i + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met3 ( -2000 -300 ) ( 2000 300 )
+ PLACED ( 2000 2380 ) N ;
- wb_cyc_i + NET wb_cyc_i + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met3 ( -2000 -300 ) ( 2000 300 )
+ PLACED ( 2000 4420 ) N ;
- wb_data_i[0] + NET wb_data_i[0] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met3 ( -2000 -300 ) ( 2000 300 )
+ PLACED ( 2000 18700 ) N ;
- wb_data_i[10] + NET wb_data_i[10] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met3 ( -2000 -300 ) ( 2000 300 )
+ PLACED ( 2000 86700 ) N ;
- wb_data_i[11] + NET wb_data_i[11] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met3 ( -2000 -300 ) ( 2000 300 )
+ PLACED ( 2000 92820 ) N ;
- wb_data_i[12] + NET wb_data_i[12] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met3 ( -2000 -300 ) ( 2000 300 )
+ PLACED ( 2000 98940 ) N ;
- wb_data_i[13] + NET wb_data_i[13] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met3 ( -2000 -300 ) ( 2000 300 )
+ PLACED ( 2000 104380 ) N ;
- wb_data_i[14] + NET wb_data_i[14] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met3 ( -2000 -300 ) ( 2000 300 )
+ PLACED ( 2000 110500 ) N ;
- wb_data_i[15] + NET wb_data_i[15] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met3 ( -2000 -300 ) ( 2000 300 )
+ PLACED ( 2000 116620 ) N ;
- wb_data_i[16] + NET wb_data_i[16] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met3 ( -2000 -300 ) ( 2000 300 )
+ PLACED ( 2000 122740 ) N ;
- wb_data_i[17] + NET wb_data_i[17] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met3 ( -2000 -300 ) ( 2000 300 )
+ PLACED ( 2000 128860 ) N ;
- wb_data_i[18] + NET wb_data_i[18] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met3 ( -2000 -300 ) ( 2000 300 )
+ PLACED ( 2000 134300 ) N ;
- wb_data_i[19] + NET wb_data_i[19] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met3 ( -2000 -300 ) ( 2000 300 )
+ PLACED ( 2000 140420 ) N ;
- wb_data_i[1] + NET wb_data_i[1] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met3 ( -2000 -300 ) ( 2000 300 )
+ PLACED ( 2000 26860 ) N ;
- wb_data_i[20] + NET wb_data_i[20] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met3 ( -2000 -300 ) ( 2000 300 )
+ PLACED ( 2000 146540 ) N ;
- wb_data_i[21] + NET wb_data_i[21] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met3 ( -2000 -300 ) ( 2000 300 )
+ PLACED ( 2000 152660 ) N ;
- wb_data_i[22] + NET wb_data_i[22] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met3 ( -2000 -300 ) ( 2000 300 )
+ PLACED ( 2000 158780 ) N ;
- wb_data_i[23] + NET wb_data_i[23] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met3 ( -2000 -300 ) ( 2000 300 )
+ PLACED ( 2000 164900 ) N ;
- wb_data_i[24] + NET wb_data_i[24] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met3 ( -2000 -300 ) ( 2000 300 )
+ PLACED ( 2000 168300 ) N ;
- wb_data_i[25] + NET wb_data_i[25] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met3 ( -2000 -300 ) ( 2000 300 )
+ PLACED ( 2000 172380 ) N ;
- wb_data_i[26] + NET wb_data_i[26] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met3 ( -2000 -300 ) ( 2000 300 )
+ PLACED ( 2000 176460 ) N ;
- wb_data_i[27] + NET wb_data_i[27] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met3 ( -2000 -300 ) ( 2000 300 )
+ PLACED ( 2000 180540 ) N ;
- wb_data_i[28] + NET wb_data_i[28] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met3 ( -2000 -300 ) ( 2000 300 )
+ PLACED ( 2000 184620 ) N ;
- wb_data_i[29] + NET wb_data_i[29] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met3 ( -2000 -300 ) ( 2000 300 )
+ PLACED ( 2000 188700 ) N ;
- wb_data_i[2] + NET wb_data_i[2] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met3 ( -2000 -300 ) ( 2000 300 )
+ PLACED ( 2000 34340 ) N ;
- wb_data_i[30] + NET wb_data_i[30] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met3 ( -2000 -300 ) ( 2000 300 )
+ PLACED ( 2000 192780 ) N ;
- wb_data_i[31] + NET wb_data_i[31] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met3 ( -2000 -300 ) ( 2000 300 )
+ PLACED ( 2000 196860 ) N ;
- wb_data_i[3] + NET wb_data_i[3] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met3 ( -2000 -300 ) ( 2000 300 )
+ PLACED ( 2000 42500 ) N ;
- wb_data_i[4] + NET wb_data_i[4] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met3 ( -2000 -300 ) ( 2000 300 )
+ PLACED ( 2000 50660 ) N ;
- wb_data_i[5] + NET wb_data_i[5] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met3 ( -2000 -300 ) ( 2000 300 )
+ PLACED ( 2000 56780 ) N ;
- wb_data_i[6] + NET wb_data_i[6] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met3 ( -2000 -300 ) ( 2000 300 )
+ PLACED ( 2000 62900 ) N ;
- wb_data_i[7] + NET wb_data_i[7] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met3 ( -2000 -300 ) ( 2000 300 )
+ PLACED ( 2000 68340 ) N ;
- wb_data_i[8] + NET wb_data_i[8] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met3 ( -2000 -300 ) ( 2000 300 )
+ PLACED ( 2000 74460 ) N ;
- wb_data_i[9] + NET wb_data_i[9] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met3 ( -2000 -300 ) ( 2000 300 )
+ PLACED ( 2000 80580 ) N ;
- wb_data_o[0] + NET wb_data_o[0] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met3 ( -2000 -300 ) ( 2000 300 )
+ PLACED ( 2000 20740 ) N ;
- wb_data_o[10] + NET wb_data_o[10] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met3 ( -2000 -300 ) ( 2000 300 )
+ PLACED ( 2000 88740 ) N ;
- wb_data_o[11] + NET wb_data_o[11] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met3 ( -2000 -300 ) ( 2000 300 )
+ PLACED ( 2000 94860 ) N ;
- wb_data_o[12] + NET wb_data_o[12] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met3 ( -2000 -300 ) ( 2000 300 )
+ PLACED ( 2000 100980 ) N ;
- wb_data_o[13] + NET wb_data_o[13] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met3 ( -2000 -300 ) ( 2000 300 )
+ PLACED ( 2000 106420 ) N ;
- wb_data_o[14] + NET wb_data_o[14] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met3 ( -2000 -300 ) ( 2000 300 )
+ PLACED ( 2000 112540 ) N ;
- wb_data_o[15] + NET wb_data_o[15] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met3 ( -2000 -300 ) ( 2000 300 )
+ PLACED ( 2000 118660 ) N ;
- wb_data_o[16] + NET wb_data_o[16] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met3 ( -2000 -300 ) ( 2000 300 )
+ PLACED ( 2000 124780 ) N ;
- wb_data_o[17] + NET wb_data_o[17] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met3 ( -2000 -300 ) ( 2000 300 )
+ PLACED ( 2000 130900 ) N ;
- wb_data_o[18] + NET wb_data_o[18] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met3 ( -2000 -300 ) ( 2000 300 )
+ PLACED ( 2000 136340 ) N ;
- wb_data_o[19] + NET wb_data_o[19] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met3 ( -2000 -300 ) ( 2000 300 )
+ PLACED ( 2000 142460 ) N ;
- wb_data_o[1] + NET wb_data_o[1] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met3 ( -2000 -300 ) ( 2000 300 )
+ PLACED ( 2000 28900 ) N ;
- wb_data_o[20] + NET wb_data_o[20] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met3 ( -2000 -300 ) ( 2000 300 )
+ PLACED ( 2000 148580 ) N ;
- wb_data_o[21] + NET wb_data_o[21] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met3 ( -2000 -300 ) ( 2000 300 )
+ PLACED ( 2000 154700 ) N ;
- wb_data_o[22] + NET wb_data_o[22] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met3 ( -2000 -300 ) ( 2000 300 )
+ PLACED ( 2000 160820 ) N ;
- wb_data_o[23] + NET wb_data_o[23] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met3 ( -2000 -300 ) ( 2000 300 )
+ PLACED ( 2000 166940 ) N ;
- wb_data_o[24] + NET wb_data_o[24] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met3 ( -2000 -300 ) ( 2000 300 )
+ PLACED ( 2000 170340 ) N ;
- wb_data_o[25] + NET wb_data_o[25] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met3 ( -2000 -300 ) ( 2000 300 )
+ PLACED ( 2000 174420 ) N ;
- wb_data_o[26] + NET wb_data_o[26] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met3 ( -2000 -300 ) ( 2000 300 )
+ PLACED ( 2000 178500 ) N ;
- wb_data_o[27] + NET wb_data_o[27] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met3 ( -2000 -300 ) ( 2000 300 )
+ PLACED ( 2000 182580 ) N ;
- wb_data_o[28] + NET wb_data_o[28] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met3 ( -2000 -300 ) ( 2000 300 )
+ PLACED ( 2000 186660 ) N ;
- wb_data_o[29] + NET wb_data_o[29] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met3 ( -2000 -300 ) ( 2000 300 )
+ PLACED ( 2000 190740 ) N ;
- wb_data_o[2] + NET wb_data_o[2] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met3 ( -2000 -300 ) ( 2000 300 )
+ PLACED ( 2000 36380 ) N ;
- wb_data_o[30] + NET wb_data_o[30] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met3 ( -2000 -300 ) ( 2000 300 )
+ PLACED ( 2000 194820 ) N ;
- wb_data_o[31] + NET wb_data_o[31] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met3 ( -2000 -300 ) ( 2000 300 )
+ PLACED ( 2000 198900 ) N ;
- wb_data_o[3] + NET wb_data_o[3] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met3 ( -2000 -300 ) ( 2000 300 )
+ PLACED ( 2000 44540 ) N ;
- wb_data_o[4] + NET wb_data_o[4] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met3 ( -2000 -300 ) ( 2000 300 )
+ PLACED ( 2000 52700 ) N ;
- wb_data_o[5] + NET wb_data_o[5] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met3 ( -2000 -300 ) ( 2000 300 )
+ PLACED ( 2000 58820 ) N ;
- wb_data_o[6] + NET wb_data_o[6] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met3 ( -2000 -300 ) ( 2000 300 )
+ PLACED ( 2000 64940 ) N ;
- wb_data_o[7] + NET wb_data_o[7] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met3 ( -2000 -300 ) ( 2000 300 )
+ PLACED ( 2000 70380 ) N ;
- wb_data_o[8] + NET wb_data_o[8] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met3 ( -2000 -300 ) ( 2000 300 )
+ PLACED ( 2000 76500 ) N ;
- wb_data_o[9] + NET wb_data_o[9] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met3 ( -2000 -300 ) ( 2000 300 )
+ PLACED ( 2000 82620 ) N ;
- wb_error_o + NET net152 + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met3 ( -2000 -300 ) ( 2000 300 )
+ PLACED ( 2000 6460 ) N ;
- wb_rst_i + NET wb_rst_i + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met3 ( -2000 -300 ) ( 2000 300 )
+ PLACED ( 2000 8500 ) N ;
- wb_sel_i[0] + NET wb_sel_i[0] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met3 ( -2000 -300 ) ( 2000 300 )
+ PLACED ( 2000 22780 ) N ;
- wb_sel_i[1] + NET wb_sel_i[1] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met3 ( -2000 -300 ) ( 2000 300 )
+ PLACED ( 2000 30940 ) N ;
- wb_sel_i[2] + NET wb_sel_i[2] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met3 ( -2000 -300 ) ( 2000 300 )
+ PLACED ( 2000 38420 ) N ;
- wb_sel_i[3] + NET wb_sel_i[3] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met3 ( -2000 -300 ) ( 2000 300 )
+ PLACED ( 2000 46580 ) N ;
- wb_stall_o + NET wb_stall_o + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met3 ( -2000 -300 ) ( 2000 300 )
+ PLACED ( 2000 10540 ) N ;
- wb_stb_i + NET wb_stb_i + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met3 ( -2000 -300 ) ( 2000 300 )
+ PLACED ( 2000 12580 ) N ;
- wb_we_i + NET wb_we_i + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met3 ( -2000 -300 ) ( 2000 300 )
+ PLACED ( 2000 14620 ) N ;
END PINS
SPECIALNETS 2 ;
- vccd1 ( PIN vccd1 ) ( * VPB ) ( * VPWR ) + USE POWER
+ ROUTED met1 480 + SHAPE FOLLOWPIN ( 5520 187680 ) ( 294400 187680 )
NEW met1 480 + SHAPE FOLLOWPIN ( 5520 182240 ) ( 294400 182240 )
NEW met1 480 + SHAPE FOLLOWPIN ( 5520 176800 ) ( 294400 176800 )
NEW met1 480 + SHAPE FOLLOWPIN ( 5520 171360 ) ( 294400 171360 )
NEW met1 480 + SHAPE FOLLOWPIN ( 5520 165920 ) ( 294400 165920 )
NEW met1 480 + SHAPE FOLLOWPIN ( 5520 160480 ) ( 294400 160480 )
NEW met1 480 + SHAPE FOLLOWPIN ( 5520 155040 ) ( 294400 155040 )
NEW met1 480 + SHAPE FOLLOWPIN ( 5520 149600 ) ( 294400 149600 )
NEW met1 480 + SHAPE FOLLOWPIN ( 5520 144160 ) ( 294400 144160 )
NEW met1 480 + SHAPE FOLLOWPIN ( 5520 138720 ) ( 294400 138720 )
NEW met1 480 + SHAPE FOLLOWPIN ( 5520 133280 ) ( 294400 133280 )
NEW met1 480 + SHAPE FOLLOWPIN ( 5520 127840 ) ( 294400 127840 )
NEW met1 480 + SHAPE FOLLOWPIN ( 5520 122400 ) ( 294400 122400 )
NEW met1 480 + SHAPE FOLLOWPIN ( 5520 116960 ) ( 294400 116960 )
NEW met1 480 + SHAPE FOLLOWPIN ( 5520 111520 ) ( 294400 111520 )
NEW met1 480 + SHAPE FOLLOWPIN ( 5520 106080 ) ( 294400 106080 )
NEW met1 480 + SHAPE FOLLOWPIN ( 5520 100640 ) ( 294400 100640 )
NEW met1 480 + SHAPE FOLLOWPIN ( 5520 95200 ) ( 294400 95200 )
NEW met1 480 + SHAPE FOLLOWPIN ( 5520 89760 ) ( 294400 89760 )
NEW met1 480 + SHAPE FOLLOWPIN ( 5520 84320 ) ( 294400 84320 )
NEW met1 480 + SHAPE FOLLOWPIN ( 5520 78880 ) ( 294400 78880 )
NEW met1 480 + SHAPE FOLLOWPIN ( 5520 73440 ) ( 294400 73440 )
NEW met1 480 + SHAPE FOLLOWPIN ( 5520 68000 ) ( 294400 68000 )
NEW met1 480 + SHAPE FOLLOWPIN ( 5520 62560 ) ( 294400 62560 )
NEW met1 480 + SHAPE FOLLOWPIN ( 5520 57120 ) ( 294400 57120 )
NEW met1 480 + SHAPE FOLLOWPIN ( 5520 51680 ) ( 294400 51680 )
NEW met1 480 + SHAPE FOLLOWPIN ( 5520 46240 ) ( 294400 46240 )
NEW met1 480 + SHAPE FOLLOWPIN ( 5520 40800 ) ( 294400 40800 )
NEW met1 480 + SHAPE FOLLOWPIN ( 5520 35360 ) ( 294400 35360 )
NEW met1 480 + SHAPE FOLLOWPIN ( 5520 29920 ) ( 294400 29920 )
NEW met1 480 + SHAPE FOLLOWPIN ( 5520 24480 ) ( 294400 24480 )
NEW met1 480 + SHAPE FOLLOWPIN ( 5520 19040 ) ( 294400 19040 )
NEW met1 480 + SHAPE FOLLOWPIN ( 5520 13600 ) ( 294400 13600 )
NEW met4 1600 + SHAPE STRIPE ( 175440 10640 ) ( 175440 187920 )
NEW met4 1600 + SHAPE STRIPE ( 21840 10640 ) ( 21840 187920 )
NEW met3 330 + SHAPE STRIPE ( 174650 187680 ) ( 176230 187680 )
NEW met3 0 + SHAPE STRIPE ( 175440 187680 ) via4_5_1600_480_1_4_400_400
NEW met2 370 + SHAPE STRIPE ( 174670 187680 ) ( 176210 187680 )
NEW met2 0 + SHAPE STRIPE ( 175440 187680 ) via3_4_1600_480_1_4_400_400
NEW met1 0 + SHAPE STRIPE ( 175440 187680 ) via2_3_1600_480_1_5_320_320
NEW met3 330 + SHAPE STRIPE ( 174650 182240 ) ( 176230 182240 )
NEW met3 0 + SHAPE STRIPE ( 175440 182240 ) via4_5_1600_480_1_4_400_400
NEW met2 370 + SHAPE STRIPE ( 174670 182240 ) ( 176210 182240 )
NEW met2 0 + SHAPE STRIPE ( 175440 182240 ) via3_4_1600_480_1_4_400_400
NEW met1 0 + SHAPE STRIPE ( 175440 182240 ) via2_3_1600_480_1_5_320_320
NEW met3 330 + SHAPE STRIPE ( 174650 176800 ) ( 176230 176800 )
NEW met3 0 + SHAPE STRIPE ( 175440 176800 ) via4_5_1600_480_1_4_400_400
NEW met2 370 + SHAPE STRIPE ( 174670 176800 ) ( 176210 176800 )
NEW met2 0 + SHAPE STRIPE ( 175440 176800 ) via3_4_1600_480_1_4_400_400
NEW met1 0 + SHAPE STRIPE ( 175440 176800 ) via2_3_1600_480_1_5_320_320
NEW met3 330 + SHAPE STRIPE ( 174650 171360 ) ( 176230 171360 )
NEW met3 0 + SHAPE STRIPE ( 175440 171360 ) via4_5_1600_480_1_4_400_400
NEW met2 370 + SHAPE STRIPE ( 174670 171360 ) ( 176210 171360 )
NEW met2 0 + SHAPE STRIPE ( 175440 171360 ) via3_4_1600_480_1_4_400_400
NEW met1 0 + SHAPE STRIPE ( 175440 171360 ) via2_3_1600_480_1_5_320_320
NEW met3 330 + SHAPE STRIPE ( 174650 165920 ) ( 176230 165920 )
NEW met3 0 + SHAPE STRIPE ( 175440 165920 ) via4_5_1600_480_1_4_400_400
NEW met2 370 + SHAPE STRIPE ( 174670 165920 ) ( 176210 165920 )
NEW met2 0 + SHAPE STRIPE ( 175440 165920 ) via3_4_1600_480_1_4_400_400
NEW met1 0 + SHAPE STRIPE ( 175440 165920 ) via2_3_1600_480_1_5_320_320
NEW met3 330 + SHAPE STRIPE ( 174650 160480 ) ( 176230 160480 )
NEW met3 0 + SHAPE STRIPE ( 175440 160480 ) via4_5_1600_480_1_4_400_400
NEW met2 370 + SHAPE STRIPE ( 174670 160480 ) ( 176210 160480 )
NEW met2 0 + SHAPE STRIPE ( 175440 160480 ) via3_4_1600_480_1_4_400_400
NEW met1 0 + SHAPE STRIPE ( 175440 160480 ) via2_3_1600_480_1_5_320_320
NEW met3 330 + SHAPE STRIPE ( 174650 155040 ) ( 176230 155040 )
NEW met3 0 + SHAPE STRIPE ( 175440 155040 ) via4_5_1600_480_1_4_400_400
NEW met2 370 + SHAPE STRIPE ( 174670 155040 ) ( 176210 155040 )
NEW met2 0 + SHAPE STRIPE ( 175440 155040 ) via3_4_1600_480_1_4_400_400
NEW met1 0 + SHAPE STRIPE ( 175440 155040 ) via2_3_1600_480_1_5_320_320
NEW met3 330 + SHAPE STRIPE ( 174650 149600 ) ( 176230 149600 )
NEW met3 0 + SHAPE STRIPE ( 175440 149600 ) via4_5_1600_480_1_4_400_400
NEW met2 370 + SHAPE STRIPE ( 174670 149600 ) ( 176210 149600 )
NEW met2 0 + SHAPE STRIPE ( 175440 149600 ) via3_4_1600_480_1_4_400_400
NEW met1 0 + SHAPE STRIPE ( 175440 149600 ) via2_3_1600_480_1_5_320_320
NEW met3 330 + SHAPE STRIPE ( 174650 144160 ) ( 176230 144160 )
NEW met3 0 + SHAPE STRIPE ( 175440 144160 ) via4_5_1600_480_1_4_400_400
NEW met2 370 + SHAPE STRIPE ( 174670 144160 ) ( 176210 144160 )
NEW met2 0 + SHAPE STRIPE ( 175440 144160 ) via3_4_1600_480_1_4_400_400
NEW met1 0 + SHAPE STRIPE ( 175440 144160 ) via2_3_1600_480_1_5_320_320
NEW met3 330 + SHAPE STRIPE ( 174650 138720 ) ( 176230 138720 )
NEW met3 0 + SHAPE STRIPE ( 175440 138720 ) via4_5_1600_480_1_4_400_400
NEW met2 370 + SHAPE STRIPE ( 174670 138720 ) ( 176210 138720 )
NEW met2 0 + SHAPE STRIPE ( 175440 138720 ) via3_4_1600_480_1_4_400_400
NEW met1 0 + SHAPE STRIPE ( 175440 138720 ) via2_3_1600_480_1_5_320_320
NEW met3 330 + SHAPE STRIPE ( 174650 133280 ) ( 176230 133280 )
NEW met3 0 + SHAPE STRIPE ( 175440 133280 ) via4_5_1600_480_1_4_400_400
NEW met2 370 + SHAPE STRIPE ( 174670 133280 ) ( 176210 133280 )
NEW met2 0 + SHAPE STRIPE ( 175440 133280 ) via3_4_1600_480_1_4_400_400
NEW met1 0 + SHAPE STRIPE ( 175440 133280 ) via2_3_1600_480_1_5_320_320
NEW met3 330 + SHAPE STRIPE ( 174650 127840 ) ( 176230 127840 )
NEW met3 0 + SHAPE STRIPE ( 175440 127840 ) via4_5_1600_480_1_4_400_400
NEW met2 370 + SHAPE STRIPE ( 174670 127840 ) ( 176210 127840 )
NEW met2 0 + SHAPE STRIPE ( 175440 127840 ) via3_4_1600_480_1_4_400_400
NEW met1 0 + SHAPE STRIPE ( 175440 127840 ) via2_3_1600_480_1_5_320_320
NEW met3 330 + SHAPE STRIPE ( 174650 122400 ) ( 176230 122400 )
NEW met3 0 + SHAPE STRIPE ( 175440 122400 ) via4_5_1600_480_1_4_400_400
NEW met2 370 + SHAPE STRIPE ( 174670 122400 ) ( 176210 122400 )
NEW met2 0 + SHAPE STRIPE ( 175440 122400 ) via3_4_1600_480_1_4_400_400
NEW met1 0 + SHAPE STRIPE ( 175440 122400 ) via2_3_1600_480_1_5_320_320
NEW met3 330 + SHAPE STRIPE ( 174650 116960 ) ( 176230 116960 )
NEW met3 0 + SHAPE STRIPE ( 175440 116960 ) via4_5_1600_480_1_4_400_400
NEW met2 370 + SHAPE STRIPE ( 174670 116960 ) ( 176210 116960 )
NEW met2 0 + SHAPE STRIPE ( 175440 116960 ) via3_4_1600_480_1_4_400_400
NEW met1 0 + SHAPE STRIPE ( 175440 116960 ) via2_3_1600_480_1_5_320_320
NEW met3 330 + SHAPE STRIPE ( 174650 111520 ) ( 176230 111520 )
NEW met3 0 + SHAPE STRIPE ( 175440 111520 ) via4_5_1600_480_1_4_400_400
NEW met2 370 + SHAPE STRIPE ( 174670 111520 ) ( 176210 111520 )
NEW met2 0 + SHAPE STRIPE ( 175440 111520 ) via3_4_1600_480_1_4_400_400
NEW met1 0 + SHAPE STRIPE ( 175440 111520 ) via2_3_1600_480_1_5_320_320
NEW met3 330 + SHAPE STRIPE ( 174650 106080 ) ( 176230 106080 )
NEW met3 0 + SHAPE STRIPE ( 175440 106080 ) via4_5_1600_480_1_4_400_400
NEW met2 370 + SHAPE STRIPE ( 174670 106080 ) ( 176210 106080 )
NEW met2 0 + SHAPE STRIPE ( 175440 106080 ) via3_4_1600_480_1_4_400_400
NEW met1 0 + SHAPE STRIPE ( 175440 106080 ) via2_3_1600_480_1_5_320_320
NEW met3 330 + SHAPE STRIPE ( 174650 100640 ) ( 176230 100640 )
NEW met3 0 + SHAPE STRIPE ( 175440 100640 ) via4_5_1600_480_1_4_400_400
NEW met2 370 + SHAPE STRIPE ( 174670 100640 ) ( 176210 100640 )
NEW met2 0 + SHAPE STRIPE ( 175440 100640 ) via3_4_1600_480_1_4_400_400
NEW met1 0 + SHAPE STRIPE ( 175440 100640 ) via2_3_1600_480_1_5_320_320
NEW met3 330 + SHAPE STRIPE ( 174650 95200 ) ( 176230 95200 )
NEW met3 0 + SHAPE STRIPE ( 175440 95200 ) via4_5_1600_480_1_4_400_400
NEW met2 370 + SHAPE STRIPE ( 174670 95200 ) ( 176210 95200 )
NEW met2 0 + SHAPE STRIPE ( 175440 95200 ) via3_4_1600_480_1_4_400_400
NEW met1 0 + SHAPE STRIPE ( 175440 95200 ) via2_3_1600_480_1_5_320_320
NEW met3 330 + SHAPE STRIPE ( 174650 89760 ) ( 176230 89760 )
NEW met3 0 + SHAPE STRIPE ( 175440 89760 ) via4_5_1600_480_1_4_400_400
NEW met2 370 + SHAPE STRIPE ( 174670 89760 ) ( 176210 89760 )
NEW met2 0 + SHAPE STRIPE ( 175440 89760 ) via3_4_1600_480_1_4_400_400
NEW met1 0 + SHAPE STRIPE ( 175440 89760 ) via2_3_1600_480_1_5_320_320
NEW met3 330 + SHAPE STRIPE ( 174650 84320 ) ( 176230 84320 )
NEW met3 0 + SHAPE STRIPE ( 175440 84320 ) via4_5_1600_480_1_4_400_400
NEW met2 370 + SHAPE STRIPE ( 174670 84320 ) ( 176210 84320 )
NEW met2 0 + SHAPE STRIPE ( 175440 84320 ) via3_4_1600_480_1_4_400_400
NEW met1 0 + SHAPE STRIPE ( 175440 84320 ) via2_3_1600_480_1_5_320_320
NEW met3 330 + SHAPE STRIPE ( 174650 78880 ) ( 176230 78880 )
NEW met3 0 + SHAPE STRIPE ( 175440 78880 ) via4_5_1600_480_1_4_400_400
NEW met2 370 + SHAPE STRIPE ( 174670 78880 ) ( 176210 78880 )
NEW met2 0 + SHAPE STRIPE ( 175440 78880 ) via3_4_1600_480_1_4_400_400
NEW met1 0 + SHAPE STRIPE ( 175440 78880 ) via2_3_1600_480_1_5_320_320
NEW met3 330 + SHAPE STRIPE ( 174650 73440 ) ( 176230 73440 )
NEW met3 0 + SHAPE STRIPE ( 175440 73440 ) via4_5_1600_480_1_4_400_400
NEW met2 370 + SHAPE STRIPE ( 174670 73440 ) ( 176210 73440 )
NEW met2 0 + SHAPE STRIPE ( 175440 73440 ) via3_4_1600_480_1_4_400_400
NEW met1 0 + SHAPE STRIPE ( 175440 73440 ) via2_3_1600_480_1_5_320_320
NEW met3 330 + SHAPE STRIPE ( 174650 68000 ) ( 176230 68000 )
NEW met3 0 + SHAPE STRIPE ( 175440 68000 ) via4_5_1600_480_1_4_400_400
NEW met2 370 + SHAPE STRIPE ( 174670 68000 ) ( 176210 68000 )
NEW met2 0 + SHAPE STRIPE ( 175440 68000 ) via3_4_1600_480_1_4_400_400
NEW met1 0 + SHAPE STRIPE ( 175440 68000 ) via2_3_1600_480_1_5_320_320
NEW met3 330 + SHAPE STRIPE ( 174650 62560 ) ( 176230 62560 )
NEW met3 0 + SHAPE STRIPE ( 175440 62560 ) via4_5_1600_480_1_4_400_400
NEW met2 370 + SHAPE STRIPE ( 174670 62560 ) ( 176210 62560 )
NEW met2 0 + SHAPE STRIPE ( 175440 62560 ) via3_4_1600_480_1_4_400_400
NEW met1 0 + SHAPE STRIPE ( 175440 62560 ) via2_3_1600_480_1_5_320_320
NEW met3 330 + SHAPE STRIPE ( 174650 57120 ) ( 176230 57120 )
NEW met3 0 + SHAPE STRIPE ( 175440 57120 ) via4_5_1600_480_1_4_400_400
NEW met2 370 + SHAPE STRIPE ( 174670 57120 ) ( 176210 57120 )
NEW met2 0 + SHAPE STRIPE ( 175440 57120 ) via3_4_1600_480_1_4_400_400
NEW met1 0 + SHAPE STRIPE ( 175440 57120 ) via2_3_1600_480_1_5_320_320
NEW met3 330 + SHAPE STRIPE ( 174650 51680 ) ( 176230 51680 )
NEW met3 0 + SHAPE STRIPE ( 175440 51680 ) via4_5_1600_480_1_4_400_400
NEW met2 370 + SHAPE STRIPE ( 174670 51680 ) ( 176210 51680 )
NEW met2 0 + SHAPE STRIPE ( 175440 51680 ) via3_4_1600_480_1_4_400_400
NEW met1 0 + SHAPE STRIPE ( 175440 51680 ) via2_3_1600_480_1_5_320_320
NEW met3 330 + SHAPE STRIPE ( 174650 46240 ) ( 176230 46240 )
NEW met3 0 + SHAPE STRIPE ( 175440 46240 ) via4_5_1600_480_1_4_400_400
NEW met2 370 + SHAPE STRIPE ( 174670 46240 ) ( 176210 46240 )
NEW met2 0 + SHAPE STRIPE ( 175440 46240 ) via3_4_1600_480_1_4_400_400
NEW met1 0 + SHAPE STRIPE ( 175440 46240 ) via2_3_1600_480_1_5_320_320
NEW met3 330 + SHAPE STRIPE ( 174650 40800 ) ( 176230 40800 )
NEW met3 0 + SHAPE STRIPE ( 175440 40800 ) via4_5_1600_480_1_4_400_400
NEW met2 370 + SHAPE STRIPE ( 174670 40800 ) ( 176210 40800 )
NEW met2 0 + SHAPE STRIPE ( 175440 40800 ) via3_4_1600_480_1_4_400_400
NEW met1 0 + SHAPE STRIPE ( 175440 40800 ) via2_3_1600_480_1_5_320_320
NEW met3 330 + SHAPE STRIPE ( 174650 35360 ) ( 176230 35360 )
NEW met3 0 + SHAPE STRIPE ( 175440 35360 ) via4_5_1600_480_1_4_400_400
NEW met2 370 + SHAPE STRIPE ( 174670 35360 ) ( 176210 35360 )
NEW met2 0 + SHAPE STRIPE ( 175440 35360 ) via3_4_1600_480_1_4_400_400
NEW met1 0 + SHAPE STRIPE ( 175440 35360 ) via2_3_1600_480_1_5_320_320
NEW met3 330 + SHAPE STRIPE ( 174650 29920 ) ( 176230 29920 )
NEW met3 0 + SHAPE STRIPE ( 175440 29920 ) via4_5_1600_480_1_4_400_400
NEW met2 370 + SHAPE STRIPE ( 174670 29920 ) ( 176210 29920 )
NEW met2 0 + SHAPE STRIPE ( 175440 29920 ) via3_4_1600_480_1_4_400_400
NEW met1 0 + SHAPE STRIPE ( 175440 29920 ) via2_3_1600_480_1_5_320_320
NEW met3 330 + SHAPE STRIPE ( 174650 24480 ) ( 176230 24480 )
NEW met3 0 + SHAPE STRIPE ( 175440 24480 ) via4_5_1600_480_1_4_400_400
NEW met2 370 + SHAPE STRIPE ( 174670 24480 ) ( 176210 24480 )
NEW met2 0 + SHAPE STRIPE ( 175440 24480 ) via3_4_1600_480_1_4_400_400
NEW met1 0 + SHAPE STRIPE ( 175440 24480 ) via2_3_1600_480_1_5_320_320
NEW met3 330 + SHAPE STRIPE ( 174650 19040 ) ( 176230 19040 )
NEW met3 0 + SHAPE STRIPE ( 175440 19040 ) via4_5_1600_480_1_4_400_400
NEW met2 370 + SHAPE STRIPE ( 174670 19040 ) ( 176210 19040 )
NEW met2 0 + SHAPE STRIPE ( 175440 19040 ) via3_4_1600_480_1_4_400_400
NEW met1 0 + SHAPE STRIPE ( 175440 19040 ) via2_3_1600_480_1_5_320_320
NEW met3 330 + SHAPE STRIPE ( 174650 13600 ) ( 176230 13600 )
NEW met3 0 + SHAPE STRIPE ( 175440 13600 ) via4_5_1600_480_1_4_400_400
NEW met2 370 + SHAPE STRIPE ( 174670 13600 ) ( 176210 13600 )
NEW met2 0 + SHAPE STRIPE ( 175440 13600 ) via3_4_1600_480_1_4_400_400
NEW met1 0 + SHAPE STRIPE ( 175440 13600 ) via2_3_1600_480_1_5_320_320
NEW met3 330 + SHAPE STRIPE ( 21050 187680 ) ( 22630 187680 )
NEW met3 0 + SHAPE STRIPE ( 21840 187680 ) via4_5_1600_480_1_4_400_400
NEW met2 370 + SHAPE STRIPE ( 21070 187680 ) ( 22610 187680 )
NEW met2 0 + SHAPE STRIPE ( 21840 187680 ) via3_4_1600_480_1_4_400_400
NEW met1 0 + SHAPE STRIPE ( 21840 187680 ) via2_3_1600_480_1_5_320_320
NEW met3 330 + SHAPE STRIPE ( 21050 182240 ) ( 22630 182240 )
NEW met3 0 + SHAPE STRIPE ( 21840 182240 ) via4_5_1600_480_1_4_400_400
NEW met2 370 + SHAPE STRIPE ( 21070 182240 ) ( 22610 182240 )
NEW met2 0 + SHAPE STRIPE ( 21840 182240 ) via3_4_1600_480_1_4_400_400
NEW met1 0 + SHAPE STRIPE ( 21840 182240 ) via2_3_1600_480_1_5_320_320
NEW met3 330 + SHAPE STRIPE ( 21050 176800 ) ( 22630 176800 )
NEW met3 0 + SHAPE STRIPE ( 21840 176800 ) via4_5_1600_480_1_4_400_400
NEW met2 370 + SHAPE STRIPE ( 21070 176800 ) ( 22610 176800 )
NEW met2 0 + SHAPE STRIPE ( 21840 176800 ) via3_4_1600_480_1_4_400_400
NEW met1 0 + SHAPE STRIPE ( 21840 176800 ) via2_3_1600_480_1_5_320_320
NEW met3 330 + SHAPE STRIPE ( 21050 171360 ) ( 22630 171360 )
NEW met3 0 + SHAPE STRIPE ( 21840 171360 ) via4_5_1600_480_1_4_400_400
NEW met2 370 + SHAPE STRIPE ( 21070 171360 ) ( 22610 171360 )
NEW met2 0 + SHAPE STRIPE ( 21840 171360 ) via3_4_1600_480_1_4_400_400
NEW met1 0 + SHAPE STRIPE ( 21840 171360 ) via2_3_1600_480_1_5_320_320
NEW met3 330 + SHAPE STRIPE ( 21050 165920 ) ( 22630 165920 )
NEW met3 0 + SHAPE STRIPE ( 21840 165920 ) via4_5_1600_480_1_4_400_400
NEW met2 370 + SHAPE STRIPE ( 21070 165920 ) ( 22610 165920 )
NEW met2 0 + SHAPE STRIPE ( 21840 165920 ) via3_4_1600_480_1_4_400_400
NEW met1 0 + SHAPE STRIPE ( 21840 165920 ) via2_3_1600_480_1_5_320_320
NEW met3 330 + SHAPE STRIPE ( 21050 160480 ) ( 22630 160480 )
NEW met3 0 + SHAPE STRIPE ( 21840 160480 ) via4_5_1600_480_1_4_400_400
NEW met2 370 + SHAPE STRIPE ( 21070 160480 ) ( 22610 160480 )
NEW met2 0 + SHAPE STRIPE ( 21840 160480 ) via3_4_1600_480_1_4_400_400
NEW met1 0 + SHAPE STRIPE ( 21840 160480 ) via2_3_1600_480_1_5_320_320
NEW met3 330 + SHAPE STRIPE ( 21050 155040 ) ( 22630 155040 )
NEW met3 0 + SHAPE STRIPE ( 21840 155040 ) via4_5_1600_480_1_4_400_400
NEW met2 370 + SHAPE STRIPE ( 21070 155040 ) ( 22610 155040 )
NEW met2 0 + SHAPE STRIPE ( 21840 155040 ) via3_4_1600_480_1_4_400_400
NEW met1 0 + SHAPE STRIPE ( 21840 155040 ) via2_3_1600_480_1_5_320_320
NEW met3 330 + SHAPE STRIPE ( 21050 149600 ) ( 22630 149600 )
NEW met3 0 + SHAPE STRIPE ( 21840 149600 ) via4_5_1600_480_1_4_400_400
NEW met2 370 + SHAPE STRIPE ( 21070 149600 ) ( 22610 149600 )
NEW met2 0 + SHAPE STRIPE ( 21840 149600 ) via3_4_1600_480_1_4_400_400
NEW met1 0 + SHAPE STRIPE ( 21840 149600 ) via2_3_1600_480_1_5_320_320
NEW met3 330 + SHAPE STRIPE ( 21050 144160 ) ( 22630 144160 )
NEW met3 0 + SHAPE STRIPE ( 21840 144160 ) via4_5_1600_480_1_4_400_400
NEW met2 370 + SHAPE STRIPE ( 21070 144160 ) ( 22610 144160 )
NEW met2 0 + SHAPE STRIPE ( 21840 144160 ) via3_4_1600_480_1_4_400_400
NEW met1 0 + SHAPE STRIPE ( 21840 144160 ) via2_3_1600_480_1_5_320_320
NEW met3 330 + SHAPE STRIPE ( 21050 138720 ) ( 22630 138720 )
NEW met3 0 + SHAPE STRIPE ( 21840 138720 ) via4_5_1600_480_1_4_400_400
NEW met2 370 + SHAPE STRIPE ( 21070 138720 ) ( 22610 138720 )
NEW met2 0 + SHAPE STRIPE ( 21840 138720 ) via3_4_1600_480_1_4_400_400
NEW met1 0 + SHAPE STRIPE ( 21840 138720 ) via2_3_1600_480_1_5_320_320
NEW met3 330 + SHAPE STRIPE ( 21050 133280 ) ( 22630 133280 )
NEW met3 0 + SHAPE STRIPE ( 21840 133280 ) via4_5_1600_480_1_4_400_400
NEW met2 370 + SHAPE STRIPE ( 21070 133280 ) ( 22610 133280 )
NEW met2 0 + SHAPE STRIPE ( 21840 133280 ) via3_4_1600_480_1_4_400_400
NEW met1 0 + SHAPE STRIPE ( 21840 133280 ) via2_3_1600_480_1_5_320_320
NEW met3 330 + SHAPE STRIPE ( 21050 127840 ) ( 22630 127840 )
NEW met3 0 + SHAPE STRIPE ( 21840 127840 ) via4_5_1600_480_1_4_400_400
NEW met2 370 + SHAPE STRIPE ( 21070 127840 ) ( 22610 127840 )
NEW met2 0 + SHAPE STRIPE ( 21840 127840 ) via3_4_1600_480_1_4_400_400
NEW met1 0 + SHAPE STRIPE ( 21840 127840 ) via2_3_1600_480_1_5_320_320
NEW met3 330 + SHAPE STRIPE ( 21050 122400 ) ( 22630 122400 )
NEW met3 0 + SHAPE STRIPE ( 21840 122400 ) via4_5_1600_480_1_4_400_400
NEW met2 370 + SHAPE STRIPE ( 21070 122400 ) ( 22610 122400 )
NEW met2 0 + SHAPE STRIPE ( 21840 122400 ) via3_4_1600_480_1_4_400_400
NEW met1 0 + SHAPE STRIPE ( 21840 122400 ) via2_3_1600_480_1_5_320_320
NEW met3 330 + SHAPE STRIPE ( 21050 116960 ) ( 22630 116960 )
NEW met3 0 + SHAPE STRIPE ( 21840 116960 ) via4_5_1600_480_1_4_400_400
NEW met2 370 + SHAPE STRIPE ( 21070 116960 ) ( 22610 116960 )
NEW met2 0 + SHAPE STRIPE ( 21840 116960 ) via3_4_1600_480_1_4_400_400
NEW met1 0 + SHAPE STRIPE ( 21840 116960 ) via2_3_1600_480_1_5_320_320
NEW met3 330 + SHAPE STRIPE ( 21050 111520 ) ( 22630 111520 )
NEW met3 0 + SHAPE STRIPE ( 21840 111520 ) via4_5_1600_480_1_4_400_400
NEW met2 370 + SHAPE STRIPE ( 21070 111520 ) ( 22610 111520 )
NEW met2 0 + SHAPE STRIPE ( 21840 111520 ) via3_4_1600_480_1_4_400_400
NEW met1 0 + SHAPE STRIPE ( 21840 111520 ) via2_3_1600_480_1_5_320_320
NEW met3 330 + SHAPE STRIPE ( 21050 106080 ) ( 22630 106080 )
NEW met3 0 + SHAPE STRIPE ( 21840 106080 ) via4_5_1600_480_1_4_400_400
NEW met2 370 + SHAPE STRIPE ( 21070 106080 ) ( 22610 106080 )
NEW met2 0 + SHAPE STRIPE ( 21840 106080 ) via3_4_1600_480_1_4_400_400
NEW met1 0 + SHAPE STRIPE ( 21840 106080 ) via2_3_1600_480_1_5_320_320
NEW met3 330 + SHAPE STRIPE ( 21050 100640 ) ( 22630 100640 )
NEW met3 0 + SHAPE STRIPE ( 21840 100640 ) via4_5_1600_480_1_4_400_400
NEW met2 370 + SHAPE STRIPE ( 21070 100640 ) ( 22610 100640 )
NEW met2 0 + SHAPE STRIPE ( 21840 100640 ) via3_4_1600_480_1_4_400_400
NEW met1 0 + SHAPE STRIPE ( 21840 100640 ) via2_3_1600_480_1_5_320_320
NEW met3 330 + SHAPE STRIPE ( 21050 95200 ) ( 22630 95200 )
NEW met3 0 + SHAPE STRIPE ( 21840 95200 ) via4_5_1600_480_1_4_400_400
NEW met2 370 + SHAPE STRIPE ( 21070 95200 ) ( 22610 95200 )
NEW met2 0 + SHAPE STRIPE ( 21840 95200 ) via3_4_1600_480_1_4_400_400
NEW met1 0 + SHAPE STRIPE ( 21840 95200 ) via2_3_1600_480_1_5_320_320
NEW met3 330 + SHAPE STRIPE ( 21050 89760 ) ( 22630 89760 )
NEW met3 0 + SHAPE STRIPE ( 21840 89760 ) via4_5_1600_480_1_4_400_400
NEW met2 370 + SHAPE STRIPE ( 21070 89760 ) ( 22610 89760 )
NEW met2 0 + SHAPE STRIPE ( 21840 89760 ) via3_4_1600_480_1_4_400_400
NEW met1 0 + SHAPE STRIPE ( 21840 89760 ) via2_3_1600_480_1_5_320_320
NEW met3 330 + SHAPE STRIPE ( 21050 84320 ) ( 22630 84320 )
NEW met3 0 + SHAPE STRIPE ( 21840 84320 ) via4_5_1600_480_1_4_400_400
NEW met2 370 + SHAPE STRIPE ( 21070 84320 ) ( 22610 84320 )
NEW met2 0 + SHAPE STRIPE ( 21840 84320 ) via3_4_1600_480_1_4_400_400
NEW met1 0 + SHAPE STRIPE ( 21840 84320 ) via2_3_1600_480_1_5_320_320
NEW met3 330 + SHAPE STRIPE ( 21050 78880 ) ( 22630 78880 )
NEW met3 0 + SHAPE STRIPE ( 21840 78880 ) via4_5_1600_480_1_4_400_400
NEW met2 370 + SHAPE STRIPE ( 21070 78880 ) ( 22610 78880 )
NEW met2 0 + SHAPE STRIPE ( 21840 78880 ) via3_4_1600_480_1_4_400_400
NEW met1 0 + SHAPE STRIPE ( 21840 78880 ) via2_3_1600_480_1_5_320_320
NEW met3 330 + SHAPE STRIPE ( 21050 73440 ) ( 22630 73440 )
NEW met3 0 + SHAPE STRIPE ( 21840 73440 ) via4_5_1600_480_1_4_400_400
NEW met2 370 + SHAPE STRIPE ( 21070 73440 ) ( 22610 73440 )
NEW met2 0 + SHAPE STRIPE ( 21840 73440 ) via3_4_1600_480_1_4_400_400
NEW met1 0 + SHAPE STRIPE ( 21840 73440 ) via2_3_1600_480_1_5_320_320
NEW met3 330 + SHAPE STRIPE ( 21050 68000 ) ( 22630 68000 )
NEW met3 0 + SHAPE STRIPE ( 21840 68000 ) via4_5_1600_480_1_4_400_400
NEW met2 370 + SHAPE STRIPE ( 21070 68000 ) ( 22610 68000 )
NEW met2 0 + SHAPE STRIPE ( 21840 68000 ) via3_4_1600_480_1_4_400_400
NEW met1 0 + SHAPE STRIPE ( 21840 68000 ) via2_3_1600_480_1_5_320_320
NEW met3 330 + SHAPE STRIPE ( 21050 62560 ) ( 22630 62560 )
NEW met3 0 + SHAPE STRIPE ( 21840 62560 ) via4_5_1600_480_1_4_400_400
NEW met2 370 + SHAPE STRIPE ( 21070 62560 ) ( 22610 62560 )
NEW met2 0 + SHAPE STRIPE ( 21840 62560 ) via3_4_1600_480_1_4_400_400
NEW met1 0 + SHAPE STRIPE ( 21840 62560 ) via2_3_1600_480_1_5_320_320
NEW met3 330 + SHAPE STRIPE ( 21050 57120 ) ( 22630 57120 )
NEW met3 0 + SHAPE STRIPE ( 21840 57120 ) via4_5_1600_480_1_4_400_400
NEW met2 370 + SHAPE STRIPE ( 21070 57120 ) ( 22610 57120 )
NEW met2 0 + SHAPE STRIPE ( 21840 57120 ) via3_4_1600_480_1_4_400_400
NEW met1 0 + SHAPE STRIPE ( 21840 57120 ) via2_3_1600_480_1_5_320_320
NEW met3 330 + SHAPE STRIPE ( 21050 51680 ) ( 22630 51680 )
NEW met3 0 + SHAPE STRIPE ( 21840 51680 ) via4_5_1600_480_1_4_400_400
NEW met2 370 + SHAPE STRIPE ( 21070 51680 ) ( 22610 51680 )
NEW met2 0 + SHAPE STRIPE ( 21840 51680 ) via3_4_1600_480_1_4_400_400
NEW met1 0 + SHAPE STRIPE ( 21840 51680 ) via2_3_1600_480_1_5_320_320
NEW met3 330 + SHAPE STRIPE ( 21050 46240 ) ( 22630 46240 )
NEW met3 0 + SHAPE STRIPE ( 21840 46240 ) via4_5_1600_480_1_4_400_400
NEW met2 370 + SHAPE STRIPE ( 21070 46240 ) ( 22610 46240 )
NEW met2 0 + SHAPE STRIPE ( 21840 46240 ) via3_4_1600_480_1_4_400_400
NEW met1 0 + SHAPE STRIPE ( 21840 46240 ) via2_3_1600_480_1_5_320_320
NEW met3 330 + SHAPE STRIPE ( 21050 40800 ) ( 22630 40800 )
NEW met3 0 + SHAPE STRIPE ( 21840 40800 ) via4_5_1600_480_1_4_400_400
NEW met2 370 + SHAPE STRIPE ( 21070 40800 ) ( 22610 40800 )
NEW met2 0 + SHAPE STRIPE ( 21840 40800 ) via3_4_1600_480_1_4_400_400
NEW met1 0 + SHAPE STRIPE ( 21840 40800 ) via2_3_1600_480_1_5_320_320
NEW met3 330 + SHAPE STRIPE ( 21050 35360 ) ( 22630 35360 )
NEW met3 0 + SHAPE STRIPE ( 21840 35360 ) via4_5_1600_480_1_4_400_400
NEW met2 370 + SHAPE STRIPE ( 21070 35360 ) ( 22610 35360 )
NEW met2 0 + SHAPE STRIPE ( 21840 35360 ) via3_4_1600_480_1_4_400_400
NEW met1 0 + SHAPE STRIPE ( 21840 35360 ) via2_3_1600_480_1_5_320_320
NEW met3 330 + SHAPE STRIPE ( 21050 29920 ) ( 22630 29920 )
NEW met3 0 + SHAPE STRIPE ( 21840 29920 ) via4_5_1600_480_1_4_400_400
NEW met2 370 + SHAPE STRIPE ( 21070 29920 ) ( 22610 29920 )
NEW met2 0 + SHAPE STRIPE ( 21840 29920 ) via3_4_1600_480_1_4_400_400
NEW met1 0 + SHAPE STRIPE ( 21840 29920 ) via2_3_1600_480_1_5_320_320
NEW met3 330 + SHAPE STRIPE ( 21050 24480 ) ( 22630 24480 )
NEW met3 0 + SHAPE STRIPE ( 21840 24480 ) via4_5_1600_480_1_4_400_400
NEW met2 370 + SHAPE STRIPE ( 21070 24480 ) ( 22610 24480 )
NEW met2 0 + SHAPE STRIPE ( 21840 24480 ) via3_4_1600_480_1_4_400_400
NEW met1 0 + SHAPE STRIPE ( 21840 24480 ) via2_3_1600_480_1_5_320_320
NEW met3 330 + SHAPE STRIPE ( 21050 19040 ) ( 22630 19040 )
NEW met3 0 + SHAPE STRIPE ( 21840 19040 ) via4_5_1600_480_1_4_400_400
NEW met2 370 + SHAPE STRIPE ( 21070 19040 ) ( 22610 19040 )
NEW met2 0 + SHAPE STRIPE ( 21840 19040 ) via3_4_1600_480_1_4_400_400
NEW met1 0 + SHAPE STRIPE ( 21840 19040 ) via2_3_1600_480_1_5_320_320
NEW met3 330 + SHAPE STRIPE ( 21050 13600 ) ( 22630 13600 )
NEW met3 0 + SHAPE STRIPE ( 21840 13600 ) via4_5_1600_480_1_4_400_400
NEW met2 370 + SHAPE STRIPE ( 21070 13600 ) ( 22610 13600 )
NEW met2 0 + SHAPE STRIPE ( 21840 13600 ) via3_4_1600_480_1_4_400_400
NEW met1 0 + SHAPE STRIPE ( 21840 13600 ) via2_3_1600_480_1_5_320_320 ;
- vssd1 ( PIN vssd1 ) ( * VNB ) ( * VGND ) + USE GROUND
+ ROUTED met1 480 + SHAPE FOLLOWPIN ( 5520 184960 ) ( 294400 184960 )
NEW met1 480 + SHAPE FOLLOWPIN ( 5520 179520 ) ( 294400 179520 )
NEW met1 480 + SHAPE FOLLOWPIN ( 5520 174080 ) ( 294400 174080 )
NEW met1 480 + SHAPE FOLLOWPIN ( 5520 168640 ) ( 294400 168640 )
NEW met1 480 + SHAPE FOLLOWPIN ( 5520 163200 ) ( 294400 163200 )
NEW met1 480 + SHAPE FOLLOWPIN ( 5520 157760 ) ( 294400 157760 )
NEW met1 480 + SHAPE FOLLOWPIN ( 5520 152320 ) ( 294400 152320 )
NEW met1 480 + SHAPE FOLLOWPIN ( 5520 146880 ) ( 294400 146880 )
NEW met1 480 + SHAPE FOLLOWPIN ( 5520 141440 ) ( 294400 141440 )
NEW met1 480 + SHAPE FOLLOWPIN ( 5520 136000 ) ( 294400 136000 )
NEW met1 480 + SHAPE FOLLOWPIN ( 5520 130560 ) ( 294400 130560 )
NEW met1 480 + SHAPE FOLLOWPIN ( 5520 125120 ) ( 294400 125120 )
NEW met1 480 + SHAPE FOLLOWPIN ( 5520 119680 ) ( 294400 119680 )
NEW met1 480 + SHAPE FOLLOWPIN ( 5520 114240 ) ( 294400 114240 )
NEW met1 480 + SHAPE FOLLOWPIN ( 5520 108800 ) ( 294400 108800 )
NEW met1 480 + SHAPE FOLLOWPIN ( 5520 103360 ) ( 294400 103360 )
NEW met1 480 + SHAPE FOLLOWPIN ( 5520 97920 ) ( 294400 97920 )
NEW met1 480 + SHAPE FOLLOWPIN ( 5520 92480 ) ( 294400 92480 )
NEW met1 480 + SHAPE FOLLOWPIN ( 5520 87040 ) ( 294400 87040 )
NEW met1 480 + SHAPE FOLLOWPIN ( 5520 81600 ) ( 294400 81600 )
NEW met1 480 + SHAPE FOLLOWPIN ( 5520 76160 ) ( 294400 76160 )
NEW met1 480 + SHAPE FOLLOWPIN ( 5520 70720 ) ( 294400 70720 )
NEW met1 480 + SHAPE FOLLOWPIN ( 5520 65280 ) ( 294400 65280 )
NEW met1 480 + SHAPE FOLLOWPIN ( 5520 59840 ) ( 294400 59840 )
NEW met1 480 + SHAPE FOLLOWPIN ( 5520 54400 ) ( 294400 54400 )
NEW met1 480 + SHAPE FOLLOWPIN ( 5520 48960 ) ( 294400 48960 )
NEW met1 480 + SHAPE FOLLOWPIN ( 5520 43520 ) ( 294400 43520 )
NEW met1 480 + SHAPE FOLLOWPIN ( 5520 38080 ) ( 294400 38080 )
NEW met1 480 + SHAPE FOLLOWPIN ( 5520 32640 ) ( 294400 32640 )
NEW met1 480 + SHAPE FOLLOWPIN ( 5520 27200 ) ( 294400 27200 )
NEW met1 480 + SHAPE FOLLOWPIN ( 5520 21760 ) ( 294400 21760 )
NEW met1 480 + SHAPE FOLLOWPIN ( 5520 16320 ) ( 294400 16320 )
NEW met1 480 + SHAPE FOLLOWPIN ( 5520 10880 ) ( 294400 10880 )
NEW met4 1600 + SHAPE STRIPE ( 252240 10640 ) ( 252240 187920 )
NEW met4 1600 + SHAPE STRIPE ( 98640 10640 ) ( 98640 187920 )
NEW met3 330 + SHAPE STRIPE ( 251450 184960 ) ( 253030 184960 )
NEW met3 0 + SHAPE STRIPE ( 252240 184960 ) via4_5_1600_480_1_4_400_400
NEW met2 370 + SHAPE STRIPE ( 251470 184960 ) ( 253010 184960 )
NEW met2 0 + SHAPE STRIPE ( 252240 184960 ) via3_4_1600_480_1_4_400_400
NEW met1 0 + SHAPE STRIPE ( 252240 184960 ) via2_3_1600_480_1_5_320_320
NEW met3 330 + SHAPE STRIPE ( 251450 179520 ) ( 253030 179520 )
NEW met3 0 + SHAPE STRIPE ( 252240 179520 ) via4_5_1600_480_1_4_400_400
NEW met2 370 + SHAPE STRIPE ( 251470 179520 ) ( 253010 179520 )
NEW met2 0 + SHAPE STRIPE ( 252240 179520 ) via3_4_1600_480_1_4_400_400
NEW met1 0 + SHAPE STRIPE ( 252240 179520 ) via2_3_1600_480_1_5_320_320
NEW met3 330 + SHAPE STRIPE ( 251450 174080 ) ( 253030 174080 )
NEW met3 0 + SHAPE STRIPE ( 252240 174080 ) via4_5_1600_480_1_4_400_400
NEW met2 370 + SHAPE STRIPE ( 251470 174080 ) ( 253010 174080 )
NEW met2 0 + SHAPE STRIPE ( 252240 174080 ) via3_4_1600_480_1_4_400_400
NEW met1 0 + SHAPE STRIPE ( 252240 174080 ) via2_3_1600_480_1_5_320_320
NEW met3 330 + SHAPE STRIPE ( 251450 168640 ) ( 253030 168640 )
NEW met3 0 + SHAPE STRIPE ( 252240 168640 ) via4_5_1600_480_1_4_400_400
NEW met2 370 + SHAPE STRIPE ( 251470 168640 ) ( 253010 168640 )
NEW met2 0 + SHAPE STRIPE ( 252240 168640 ) via3_4_1600_480_1_4_400_400
NEW met1 0 + SHAPE STRIPE ( 252240 168640 ) via2_3_1600_480_1_5_320_320
NEW met3 330 + SHAPE STRIPE ( 251450 163200 ) ( 253030 163200 )
NEW met3 0 + SHAPE STRIPE ( 252240 163200 ) via4_5_1600_480_1_4_400_400
NEW met2 370 + SHAPE STRIPE ( 251470 163200 ) ( 253010 163200 )
NEW met2 0 + SHAPE STRIPE ( 252240 163200 ) via3_4_1600_480_1_4_400_400
NEW met1 0 + SHAPE STRIPE ( 252240 163200 ) via2_3_1600_480_1_5_320_320
NEW met3 330 + SHAPE STRIPE ( 251450 157760 ) ( 253030 157760 )
NEW met3 0 + SHAPE STRIPE ( 252240 157760 ) via4_5_1600_480_1_4_400_400
NEW met2 370 + SHAPE STRIPE ( 251470 157760 ) ( 253010 157760 )
NEW met2 0 + SHAPE STRIPE ( 252240 157760 ) via3_4_1600_480_1_4_400_400
NEW met1 0 + SHAPE STRIPE ( 252240 157760 ) via2_3_1600_480_1_5_320_320
NEW met3 330 + SHAPE STRIPE ( 251450 152320 ) ( 253030 152320 )
NEW met3 0 + SHAPE STRIPE ( 252240 152320 ) via4_5_1600_480_1_4_400_400
NEW met2 370 + SHAPE STRIPE ( 251470 152320 ) ( 253010 152320 )
NEW met2 0 + SHAPE STRIPE ( 252240 152320 ) via3_4_1600_480_1_4_400_400
NEW met1 0 + SHAPE STRIPE ( 252240 152320 ) via2_3_1600_480_1_5_320_320
NEW met3 330 + SHAPE STRIPE ( 251450 146880 ) ( 253030 146880 )
NEW met3 0 + SHAPE STRIPE ( 252240 146880 ) via4_5_1600_480_1_4_400_400
NEW met2 370 + SHAPE STRIPE ( 251470 146880 ) ( 253010 146880 )
NEW met2 0 + SHAPE STRIPE ( 252240 146880 ) via3_4_1600_480_1_4_400_400
NEW met1 0 + SHAPE STRIPE ( 252240 146880 ) via2_3_1600_480_1_5_320_320
NEW met3 330 + SHAPE STRIPE ( 251450 141440 ) ( 253030 141440 )
NEW met3 0 + SHAPE STRIPE ( 252240 141440 ) via4_5_1600_480_1_4_400_400
NEW met2 370 + SHAPE STRIPE ( 251470 141440 ) ( 253010 141440 )
NEW met2 0 + SHAPE STRIPE ( 252240 141440 ) via3_4_1600_480_1_4_400_400
NEW met1 0 + SHAPE STRIPE ( 252240 141440 ) via2_3_1600_480_1_5_320_320
NEW met3 330 + SHAPE STRIPE ( 251450 136000 ) ( 253030 136000 )
NEW met3 0 + SHAPE STRIPE ( 252240 136000 ) via4_5_1600_480_1_4_400_400
NEW met2 370 + SHAPE STRIPE ( 251470 136000 ) ( 253010 136000 )
NEW met2 0 + SHAPE STRIPE ( 252240 136000 ) via3_4_1600_480_1_4_400_400
NEW met1 0 + SHAPE STRIPE ( 252240 136000 ) via2_3_1600_480_1_5_320_320
NEW met3 330 + SHAPE STRIPE ( 251450 130560 ) ( 253030 130560 )
NEW met3 0 + SHAPE STRIPE ( 252240 130560 ) via4_5_1600_480_1_4_400_400
NEW met2 370 + SHAPE STRIPE ( 251470 130560 ) ( 253010 130560 )
NEW met2 0 + SHAPE STRIPE ( 252240 130560 ) via3_4_1600_480_1_4_400_400
NEW met1 0 + SHAPE STRIPE ( 252240 130560 ) via2_3_1600_480_1_5_320_320
NEW met3 330 + SHAPE STRIPE ( 251450 125120 ) ( 253030 125120 )
NEW met3 0 + SHAPE STRIPE ( 252240 125120 ) via4_5_1600_480_1_4_400_400
NEW met2 370 + SHAPE STRIPE ( 251470 125120 ) ( 253010 125120 )
NEW met2 0 + SHAPE STRIPE ( 252240 125120 ) via3_4_1600_480_1_4_400_400
NEW met1 0 + SHAPE STRIPE ( 252240 125120 ) via2_3_1600_480_1_5_320_320
NEW met3 330 + SHAPE STRIPE ( 251450 119680 ) ( 253030 119680 )
NEW met3 0 + SHAPE STRIPE ( 252240 119680 ) via4_5_1600_480_1_4_400_400
NEW met2 370 + SHAPE STRIPE ( 251470 119680 ) ( 253010 119680 )
NEW met2 0 + SHAPE STRIPE ( 252240 119680 ) via3_4_1600_480_1_4_400_400
NEW met1 0 + SHAPE STRIPE ( 252240 119680 ) via2_3_1600_480_1_5_320_320
NEW met3 330 + SHAPE STRIPE ( 251450 114240 ) ( 253030 114240 )
NEW met3 0 + SHAPE STRIPE ( 252240 114240 ) via4_5_1600_480_1_4_400_400
NEW met2 370 + SHAPE STRIPE ( 251470 114240 ) ( 253010 114240 )
NEW met2 0 + SHAPE STRIPE ( 252240 114240 ) via3_4_1600_480_1_4_400_400
NEW met1 0 + SHAPE STRIPE ( 252240 114240 ) via2_3_1600_480_1_5_320_320
NEW met3 330 + SHAPE STRIPE ( 251450 108800 ) ( 253030 108800 )
NEW met3 0 + SHAPE STRIPE ( 252240 108800 ) via4_5_1600_480_1_4_400_400
NEW met2 370 + SHAPE STRIPE ( 251470 108800 ) ( 253010 108800 )
NEW met2 0 + SHAPE STRIPE ( 252240 108800 ) via3_4_1600_480_1_4_400_400
NEW met1 0 + SHAPE STRIPE ( 252240 108800 ) via2_3_1600_480_1_5_320_320
NEW met3 330 + SHAPE STRIPE ( 251450 103360 ) ( 253030 103360 )
NEW met3 0 + SHAPE STRIPE ( 252240 103360 ) via4_5_1600_480_1_4_400_400
NEW met2 370 + SHAPE STRIPE ( 251470 103360 ) ( 253010 103360 )
NEW met2 0 + SHAPE STRIPE ( 252240 103360 ) via3_4_1600_480_1_4_400_400
NEW met1 0 + SHAPE STRIPE ( 252240 103360 ) via2_3_1600_480_1_5_320_320
NEW met3 330 + SHAPE STRIPE ( 251450 97920 ) ( 253030 97920 )
NEW met3 0 + SHAPE STRIPE ( 252240 97920 ) via4_5_1600_480_1_4_400_400
NEW met2 370 + SHAPE STRIPE ( 251470 97920 ) ( 253010 97920 )
NEW met2 0 + SHAPE STRIPE ( 252240 97920 ) via3_4_1600_480_1_4_400_400
NEW met1 0 + SHAPE STRIPE ( 252240 97920 ) via2_3_1600_480_1_5_320_320
NEW met3 330 + SHAPE STRIPE ( 251450 92480 ) ( 253030 92480 )
NEW met3 0 + SHAPE STRIPE ( 252240 92480 ) via4_5_1600_480_1_4_400_400
NEW met2 370 + SHAPE STRIPE ( 251470 92480 ) ( 253010 92480 )
NEW met2 0 + SHAPE STRIPE ( 252240 92480 ) via3_4_1600_480_1_4_400_400
NEW met1 0 + SHAPE STRIPE ( 252240 92480 ) via2_3_1600_480_1_5_320_320
NEW met3 330 + SHAPE STRIPE ( 251450 87040 ) ( 253030 87040 )
NEW met3 0 + SHAPE STRIPE ( 252240 87040 ) via4_5_1600_480_1_4_400_400
NEW met2 370 + SHAPE STRIPE ( 251470 87040 ) ( 253010 87040 )
NEW met2 0 + SHAPE STRIPE ( 252240 87040 ) via3_4_1600_480_1_4_400_400
NEW met1 0 + SHAPE STRIPE ( 252240 87040 ) via2_3_1600_480_1_5_320_320
NEW met3 330 + SHAPE STRIPE ( 251450 81600 ) ( 253030 81600 )
NEW met3 0 + SHAPE STRIPE ( 252240 81600 ) via4_5_1600_480_1_4_400_400
NEW met2 370 + SHAPE STRIPE ( 251470 81600 ) ( 253010 81600 )
NEW met2 0 + SHAPE STRIPE ( 252240 81600 ) via3_4_1600_480_1_4_400_400
NEW met1 0 + SHAPE STRIPE ( 252240 81600 ) via2_3_1600_480_1_5_320_320
NEW met3 330 + SHAPE STRIPE ( 251450 76160 ) ( 253030 76160 )
NEW met3 0 + SHAPE STRIPE ( 252240 76160 ) via4_5_1600_480_1_4_400_400
NEW met2 370 + SHAPE STRIPE ( 251470 76160 ) ( 253010 76160 )
NEW met2 0 + SHAPE STRIPE ( 252240 76160 ) via3_4_1600_480_1_4_400_400
NEW met1 0 + SHAPE STRIPE ( 252240 76160 ) via2_3_1600_480_1_5_320_320
NEW met3 330 + SHAPE STRIPE ( 251450 70720 ) ( 253030 70720 )
NEW met3 0 + SHAPE STRIPE ( 252240 70720 ) via4_5_1600_480_1_4_400_400
NEW met2 370 + SHAPE STRIPE ( 251470 70720 ) ( 253010 70720 )
NEW met2 0 + SHAPE STRIPE ( 252240 70720 ) via3_4_1600_480_1_4_400_400
NEW met1 0 + SHAPE STRIPE ( 252240 70720 ) via2_3_1600_480_1_5_320_320
NEW met3 330 + SHAPE STRIPE ( 251450 65280 ) ( 253030 65280 )
NEW met3 0 + SHAPE STRIPE ( 252240 65280 ) via4_5_1600_480_1_4_400_400
NEW met2 370 + SHAPE STRIPE ( 251470 65280 ) ( 253010 65280 )
NEW met2 0 + SHAPE STRIPE ( 252240 65280 ) via3_4_1600_480_1_4_400_400
NEW met1 0 + SHAPE STRIPE ( 252240 65280 ) via2_3_1600_480_1_5_320_320
NEW met3 330 + SHAPE STRIPE ( 251450 59840 ) ( 253030 59840 )
NEW met3 0 + SHAPE STRIPE ( 252240 59840 ) via4_5_1600_480_1_4_400_400
NEW met2 370 + SHAPE STRIPE ( 251470 59840 ) ( 253010 59840 )
NEW met2 0 + SHAPE STRIPE ( 252240 59840 ) via3_4_1600_480_1_4_400_400
NEW met1 0 + SHAPE STRIPE ( 252240 59840 ) via2_3_1600_480_1_5_320_320
NEW met3 330 + SHAPE STRIPE ( 251450 54400 ) ( 253030 54400 )
NEW met3 0 + SHAPE STRIPE ( 252240 54400 ) via4_5_1600_480_1_4_400_400
NEW met2 370 + SHAPE STRIPE ( 251470 54400 ) ( 253010 54400 )
NEW met2 0 + SHAPE STRIPE ( 252240 54400 ) via3_4_1600_480_1_4_400_400
NEW met1 0 + SHAPE STRIPE ( 252240 54400 ) via2_3_1600_480_1_5_320_320
NEW met3 330 + SHAPE STRIPE ( 251450 48960 ) ( 253030 48960 )
NEW met3 0 + SHAPE STRIPE ( 252240 48960 ) via4_5_1600_480_1_4_400_400
NEW met2 370 + SHAPE STRIPE ( 251470 48960 ) ( 253010 48960 )
NEW met2 0 + SHAPE STRIPE ( 252240 48960 ) via3_4_1600_480_1_4_400_400
NEW met1 0 + SHAPE STRIPE ( 252240 48960 ) via2_3_1600_480_1_5_320_320
NEW met3 330 + SHAPE STRIPE ( 251450 43520 ) ( 253030 43520 )
NEW met3 0 + SHAPE STRIPE ( 252240 43520 ) via4_5_1600_480_1_4_400_400
NEW met2 370 + SHAPE STRIPE ( 251470 43520 ) ( 253010 43520 )
NEW met2 0 + SHAPE STRIPE ( 252240 43520 ) via3_4_1600_480_1_4_400_400
NEW met1 0 + SHAPE STRIPE ( 252240 43520 ) via2_3_1600_480_1_5_320_320
NEW met3 330 + SHAPE STRIPE ( 251450 38080 ) ( 253030 38080 )
NEW met3 0 + SHAPE STRIPE ( 252240 38080 ) via4_5_1600_480_1_4_400_400
NEW met2 370 + SHAPE STRIPE ( 251470 38080 ) ( 253010 38080 )
NEW met2 0 + SHAPE STRIPE ( 252240 38080 ) via3_4_1600_480_1_4_400_400
NEW met1 0 + SHAPE STRIPE ( 252240 38080 ) via2_3_1600_480_1_5_320_320
NEW met3 330 + SHAPE STRIPE ( 251450 32640 ) ( 253030 32640 )
NEW met3 0 + SHAPE STRIPE ( 252240 32640 ) via4_5_1600_480_1_4_400_400
NEW met2 370 + SHAPE STRIPE ( 251470 32640 ) ( 253010 32640 )
NEW met2 0 + SHAPE STRIPE ( 252240 32640 ) via3_4_1600_480_1_4_400_400
NEW met1 0 + SHAPE STRIPE ( 252240 32640 ) via2_3_1600_480_1_5_320_320
NEW met3 330 + SHAPE STRIPE ( 251450 27200 ) ( 253030 27200 )
NEW met3 0 + SHAPE STRIPE ( 252240 27200 ) via4_5_1600_480_1_4_400_400
NEW met2 370 + SHAPE STRIPE ( 251470 27200 ) ( 253010 27200 )
NEW met2 0 + SHAPE STRIPE ( 252240 27200 ) via3_4_1600_480_1_4_400_400
NEW met1 0 + SHAPE STRIPE ( 252240 27200 ) via2_3_1600_480_1_5_320_320
NEW met3 330 + SHAPE STRIPE ( 251450 21760 ) ( 253030 21760 )
NEW met3 0 + SHAPE STRIPE ( 252240 21760 ) via4_5_1600_480_1_4_400_400
NEW met2 370 + SHAPE STRIPE ( 251470 21760 ) ( 253010 21760 )
NEW met2 0 + SHAPE STRIPE ( 252240 21760 ) via3_4_1600_480_1_4_400_400
NEW met1 0 + SHAPE STRIPE ( 252240 21760 ) via2_3_1600_480_1_5_320_320
NEW met3 330 + SHAPE STRIPE ( 251450 16320 ) ( 253030 16320 )
NEW met3 0 + SHAPE STRIPE ( 252240 16320 ) via4_5_1600_480_1_4_400_400
NEW met2 370 + SHAPE STRIPE ( 251470 16320 ) ( 253010 16320 )
NEW met2 0 + SHAPE STRIPE ( 252240 16320 ) via3_4_1600_480_1_4_400_400
NEW met1 0 + SHAPE STRIPE ( 252240 16320 ) via2_3_1600_480_1_5_320_320
NEW met3 330 + SHAPE STRIPE ( 251450 10880 ) ( 253030 10880 )
NEW met3 0 + SHAPE STRIPE ( 252240 10880 ) via4_5_1600_480_1_4_400_400
NEW met2 370 + SHAPE STRIPE ( 251470 10880 ) ( 253010 10880 )
NEW met2 0 + SHAPE STRIPE ( 252240 10880 ) via3_4_1600_480_1_4_400_400
NEW met1 0 + SHAPE STRIPE ( 252240 10880 ) via2_3_1600_480_1_5_320_320
NEW met3 330 + SHAPE STRIPE ( 97850 184960 ) ( 99430 184960 )
NEW met3 0 + SHAPE STRIPE ( 98640 184960 ) via4_5_1600_480_1_4_400_400
NEW met2 370 + SHAPE STRIPE ( 97870 184960 ) ( 99410 184960 )
NEW met2 0 + SHAPE STRIPE ( 98640 184960 ) via3_4_1600_480_1_4_400_400
NEW met1 0 + SHAPE STRIPE ( 98640 184960 ) via2_3_1600_480_1_5_320_320
NEW met3 330 + SHAPE STRIPE ( 97850 179520 ) ( 99430 179520 )
NEW met3 0 + SHAPE STRIPE ( 98640 179520 ) via4_5_1600_480_1_4_400_400
NEW met2 370 + SHAPE STRIPE ( 97870 179520 ) ( 99410 179520 )
NEW met2 0 + SHAPE STRIPE ( 98640 179520 ) via3_4_1600_480_1_4_400_400
NEW met1 0 + SHAPE STRIPE ( 98640 179520 ) via2_3_1600_480_1_5_320_320
NEW met3 330 + SHAPE STRIPE ( 97850 174080 ) ( 99430 174080 )
NEW met3 0 + SHAPE STRIPE ( 98640 174080 ) via4_5_1600_480_1_4_400_400
NEW met2 370 + SHAPE STRIPE ( 97870 174080 ) ( 99410 174080 )
NEW met2 0 + SHAPE STRIPE ( 98640 174080 ) via3_4_1600_480_1_4_400_400
NEW met1 0 + SHAPE STRIPE ( 98640 174080 ) via2_3_1600_480_1_5_320_320
NEW met3 330 + SHAPE STRIPE ( 97850 168640 ) ( 99430 168640 )
NEW met3 0 + SHAPE STRIPE ( 98640 168640 ) via4_5_1600_480_1_4_400_400
NEW met2 370 + SHAPE STRIPE ( 97870 168640 ) ( 99410 168640 )
NEW met2 0 + SHAPE STRIPE ( 98640 168640 ) via3_4_1600_480_1_4_400_400
NEW met1 0 + SHAPE STRIPE ( 98640 168640 ) via2_3_1600_480_1_5_320_320
NEW met3 330 + SHAPE STRIPE ( 97850 163200 ) ( 99430 163200 )
NEW met3 0 + SHAPE STRIPE ( 98640 163200 ) via4_5_1600_480_1_4_400_400
NEW met2 370 + SHAPE STRIPE ( 97870 163200 ) ( 99410 163200 )
NEW met2 0 + SHAPE STRIPE ( 98640 163200 ) via3_4_1600_480_1_4_400_400
NEW met1 0 + SHAPE STRIPE ( 98640 163200 ) via2_3_1600_480_1_5_320_320
NEW met3 330 + SHAPE STRIPE ( 97850 157760 ) ( 99430 157760 )
NEW met3 0 + SHAPE STRIPE ( 98640 157760 ) via4_5_1600_480_1_4_400_400
NEW met2 370 + SHAPE STRIPE ( 97870 157760 ) ( 99410 157760 )
NEW met2 0 + SHAPE STRIPE ( 98640 157760 ) via3_4_1600_480_1_4_400_400
NEW met1 0 + SHAPE STRIPE ( 98640 157760 ) via2_3_1600_480_1_5_320_320
NEW met3 330 + SHAPE STRIPE ( 97850 152320 ) ( 99430 152320 )
NEW met3 0 + SHAPE STRIPE ( 98640 152320 ) via4_5_1600_480_1_4_400_400
NEW met2 370 + SHAPE STRIPE ( 97870 152320 ) ( 99410 152320 )
NEW met2 0 + SHAPE STRIPE ( 98640 152320 ) via3_4_1600_480_1_4_400_400
NEW met1 0 + SHAPE STRIPE ( 98640 152320 ) via2_3_1600_480_1_5_320_320
NEW met3 330 + SHAPE STRIPE ( 97850 146880 ) ( 99430 146880 )
NEW met3 0 + SHAPE STRIPE ( 98640 146880 ) via4_5_1600_480_1_4_400_400
NEW met2 370 + SHAPE STRIPE ( 97870 146880 ) ( 99410 146880 )
NEW met2 0 + SHAPE STRIPE ( 98640 146880 ) via3_4_1600_480_1_4_400_400
NEW met1 0 + SHAPE STRIPE ( 98640 146880 ) via2_3_1600_480_1_5_320_320
NEW met3 330 + SHAPE STRIPE ( 97850 141440 ) ( 99430 141440 )
NEW met3 0 + SHAPE STRIPE ( 98640 141440 ) via4_5_1600_480_1_4_400_400
NEW met2 370 + SHAPE STRIPE ( 97870 141440 ) ( 99410 141440 )
NEW met2 0 + SHAPE STRIPE ( 98640 141440 ) via3_4_1600_480_1_4_400_400
NEW met1 0 + SHAPE STRIPE ( 98640 141440 ) via2_3_1600_480_1_5_320_320
NEW met3 330 + SHAPE STRIPE ( 97850 136000 ) ( 99430 136000 )
NEW met3 0 + SHAPE STRIPE ( 98640 136000 ) via4_5_1600_480_1_4_400_400
NEW met2 370 + SHAPE STRIPE ( 97870 136000 ) ( 99410 136000 )
NEW met2 0 + SHAPE STRIPE ( 98640 136000 ) via3_4_1600_480_1_4_400_400
NEW met1 0 + SHAPE STRIPE ( 98640 136000 ) via2_3_1600_480_1_5_320_320
NEW met3 330 + SHAPE STRIPE ( 97850 130560 ) ( 99430 130560 )
NEW met3 0 + SHAPE STRIPE ( 98640 130560 ) via4_5_1600_480_1_4_400_400
NEW met2 370 + SHAPE STRIPE ( 97870 130560 ) ( 99410 130560 )
NEW met2 0 + SHAPE STRIPE ( 98640 130560 ) via3_4_1600_480_1_4_400_400
NEW met1 0 + SHAPE STRIPE ( 98640 130560 ) via2_3_1600_480_1_5_320_320
NEW met3 330 + SHAPE STRIPE ( 97850 125120 ) ( 99430 125120 )
NEW met3 0 + SHAPE STRIPE ( 98640 125120 ) via4_5_1600_480_1_4_400_400
NEW met2 370 + SHAPE STRIPE ( 97870 125120 ) ( 99410 125120 )
NEW met2 0 + SHAPE STRIPE ( 98640 125120 ) via3_4_1600_480_1_4_400_400
NEW met1 0 + SHAPE STRIPE ( 98640 125120 ) via2_3_1600_480_1_5_320_320
NEW met3 330 + SHAPE STRIPE ( 97850 119680 ) ( 99430 119680 )
NEW met3 0 + SHAPE STRIPE ( 98640 119680 ) via4_5_1600_480_1_4_400_400
NEW met2 370 + SHAPE STRIPE ( 97870 119680 ) ( 99410 119680 )
NEW met2 0 + SHAPE STRIPE ( 98640 119680 ) via3_4_1600_480_1_4_400_400
NEW met1 0 + SHAPE STRIPE ( 98640 119680 ) via2_3_1600_480_1_5_320_320
NEW met3 330 + SHAPE STRIPE ( 97850 114240 ) ( 99430 114240 )
NEW met3 0 + SHAPE STRIPE ( 98640 114240 ) via4_5_1600_480_1_4_400_400
NEW met2 370 + SHAPE STRIPE ( 97870 114240 ) ( 99410 114240 )
NEW met2 0 + SHAPE STRIPE ( 98640 114240 ) via3_4_1600_480_1_4_400_400
NEW met1 0 + SHAPE STRIPE ( 98640 114240 ) via2_3_1600_480_1_5_320_320
NEW met3 330 + SHAPE STRIPE ( 97850 108800 ) ( 99430 108800 )
NEW met3 0 + SHAPE STRIPE ( 98640 108800 ) via4_5_1600_480_1_4_400_400
NEW met2 370 + SHAPE STRIPE ( 97870 108800 ) ( 99410 108800 )
NEW met2 0 + SHAPE STRIPE ( 98640 108800 ) via3_4_1600_480_1_4_400_400
NEW met1 0 + SHAPE STRIPE ( 98640 108800 ) via2_3_1600_480_1_5_320_320
NEW met3 330 + SHAPE STRIPE ( 97850 103360 ) ( 99430 103360 )
NEW met3 0 + SHAPE STRIPE ( 98640 103360 ) via4_5_1600_480_1_4_400_400
NEW met2 370 + SHAPE STRIPE ( 97870 103360 ) ( 99410 103360 )
NEW met2 0 + SHAPE STRIPE ( 98640 103360 ) via3_4_1600_480_1_4_400_400
NEW met1 0 + SHAPE STRIPE ( 98640 103360 ) via2_3_1600_480_1_5_320_320
NEW met3 330 + SHAPE STRIPE ( 97850 97920 ) ( 99430 97920 )
NEW met3 0 + SHAPE STRIPE ( 98640 97920 ) via4_5_1600_480_1_4_400_400
NEW met2 370 + SHAPE STRIPE ( 97870 97920 ) ( 99410 97920 )
NEW met2 0 + SHAPE STRIPE ( 98640 97920 ) via3_4_1600_480_1_4_400_400
NEW met1 0 + SHAPE STRIPE ( 98640 97920 ) via2_3_1600_480_1_5_320_320
NEW met3 330 + SHAPE STRIPE ( 97850 92480 ) ( 99430 92480 )
NEW met3 0 + SHAPE STRIPE ( 98640 92480 ) via4_5_1600_480_1_4_400_400
NEW met2 370 + SHAPE STRIPE ( 97870 92480 ) ( 99410 92480 )
NEW met2 0 + SHAPE STRIPE ( 98640 92480 ) via3_4_1600_480_1_4_400_400
NEW met1 0 + SHAPE STRIPE ( 98640 92480 ) via2_3_1600_480_1_5_320_320
NEW met3 330 + SHAPE STRIPE ( 97850 87040 ) ( 99430 87040 )
NEW met3 0 + SHAPE STRIPE ( 98640 87040 ) via4_5_1600_480_1_4_400_400
NEW met2 370 + SHAPE STRIPE ( 97870 87040 ) ( 99410 87040 )
NEW met2 0 + SHAPE STRIPE ( 98640 87040 ) via3_4_1600_480_1_4_400_400
NEW met1 0 + SHAPE STRIPE ( 98640 87040 ) via2_3_1600_480_1_5_320_320
NEW met3 330 + SHAPE STRIPE ( 97850 81600 ) ( 99430 81600 )
NEW met3 0 + SHAPE STRIPE ( 98640 81600 ) via4_5_1600_480_1_4_400_400
NEW met2 370 + SHAPE STRIPE ( 97870 81600 ) ( 99410 81600 )
NEW met2 0 + SHAPE STRIPE ( 98640 81600 ) via3_4_1600_480_1_4_400_400
NEW met1 0 + SHAPE STRIPE ( 98640 81600 ) via2_3_1600_480_1_5_320_320
NEW met3 330 + SHAPE STRIPE ( 97850 76160 ) ( 99430 76160 )
NEW met3 0 + SHAPE STRIPE ( 98640 76160 ) via4_5_1600_480_1_4_400_400
NEW met2 370 + SHAPE STRIPE ( 97870 76160 ) ( 99410 76160 )
NEW met2 0 + SHAPE STRIPE ( 98640 76160 ) via3_4_1600_480_1_4_400_400
NEW met1 0 + SHAPE STRIPE ( 98640 76160 ) via2_3_1600_480_1_5_320_320
NEW met3 330 + SHAPE STRIPE ( 97850 70720 ) ( 99430 70720 )
NEW met3 0 + SHAPE STRIPE ( 98640 70720 ) via4_5_1600_480_1_4_400_400
NEW met2 370 + SHAPE STRIPE ( 97870 70720 ) ( 99410 70720 )
NEW met2 0 + SHAPE STRIPE ( 98640 70720 ) via3_4_1600_480_1_4_400_400
NEW met1 0 + SHAPE STRIPE ( 98640 70720 ) via2_3_1600_480_1_5_320_320
NEW met3 330 + SHAPE STRIPE ( 97850 65280 ) ( 99430 65280 )
NEW met3 0 + SHAPE STRIPE ( 98640 65280 ) via4_5_1600_480_1_4_400_400
NEW met2 370 + SHAPE STRIPE ( 97870 65280 ) ( 99410 65280 )
NEW met2 0 + SHAPE STRIPE ( 98640 65280 ) via3_4_1600_480_1_4_400_400
NEW met1 0 + SHAPE STRIPE ( 98640 65280 ) via2_3_1600_480_1_5_320_320
NEW met3 330 + SHAPE STRIPE ( 97850 59840 ) ( 99430 59840 )
NEW met3 0 + SHAPE STRIPE ( 98640 59840 ) via4_5_1600_480_1_4_400_400
NEW met2 370 + SHAPE STRIPE ( 97870 59840 ) ( 99410 59840 )
NEW met2 0 + SHAPE STRIPE ( 98640 59840 ) via3_4_1600_480_1_4_400_400
NEW met1 0 + SHAPE STRIPE ( 98640 59840 ) via2_3_1600_480_1_5_320_320
NEW met3 330 + SHAPE STRIPE ( 97850 54400 ) ( 99430 54400 )
NEW met3 0 + SHAPE STRIPE ( 98640 54400 ) via4_5_1600_480_1_4_400_400
NEW met2 370 + SHAPE STRIPE ( 97870 54400 ) ( 99410 54400 )
NEW met2 0 + SHAPE STRIPE ( 98640 54400 ) via3_4_1600_480_1_4_400_400
NEW met1 0 + SHAPE STRIPE ( 98640 54400 ) via2_3_1600_480_1_5_320_320
NEW met3 330 + SHAPE STRIPE ( 97850 48960 ) ( 99430 48960 )
NEW met3 0 + SHAPE STRIPE ( 98640 48960 ) via4_5_1600_480_1_4_400_400
NEW met2 370 + SHAPE STRIPE ( 97870 48960 ) ( 99410 48960 )
NEW met2 0 + SHAPE STRIPE ( 98640 48960 ) via3_4_1600_480_1_4_400_400
NEW met1 0 + SHAPE STRIPE ( 98640 48960 ) via2_3_1600_480_1_5_320_320
NEW met3 330 + SHAPE STRIPE ( 97850 43520 ) ( 99430 43520 )
NEW met3 0 + SHAPE STRIPE ( 98640 43520 ) via4_5_1600_480_1_4_400_400
NEW met2 370 + SHAPE STRIPE ( 97870 43520 ) ( 99410 43520 )
NEW met2 0 + SHAPE STRIPE ( 98640 43520 ) via3_4_1600_480_1_4_400_400
NEW met1 0 + SHAPE STRIPE ( 98640 43520 ) via2_3_1600_480_1_5_320_320
NEW met3 330 + SHAPE STRIPE ( 97850 38080 ) ( 99430 38080 )
NEW met3 0 + SHAPE STRIPE ( 98640 38080 ) via4_5_1600_480_1_4_400_400
NEW met2 370 + SHAPE STRIPE ( 97870 38080 ) ( 99410 38080 )
NEW met2 0 + SHAPE STRIPE ( 98640 38080 ) via3_4_1600_480_1_4_400_400
NEW met1 0 + SHAPE STRIPE ( 98640 38080 ) via2_3_1600_480_1_5_320_320
NEW met3 330 + SHAPE STRIPE ( 97850 32640 ) ( 99430 32640 )
NEW met3 0 + SHAPE STRIPE ( 98640 32640 ) via4_5_1600_480_1_4_400_400
NEW met2 370 + SHAPE STRIPE ( 97870 32640 ) ( 99410 32640 )
NEW met2 0 + SHAPE STRIPE ( 98640 32640 ) via3_4_1600_480_1_4_400_400
NEW met1 0 + SHAPE STRIPE ( 98640 32640 ) via2_3_1600_480_1_5_320_320
NEW met3 330 + SHAPE STRIPE ( 97850 27200 ) ( 99430 27200 )
NEW met3 0 + SHAPE STRIPE ( 98640 27200 ) via4_5_1600_480_1_4_400_400
NEW met2 370 + SHAPE STRIPE ( 97870 27200 ) ( 99410 27200 )
NEW met2 0 + SHAPE STRIPE ( 98640 27200 ) via3_4_1600_480_1_4_400_400
NEW met1 0 + SHAPE STRIPE ( 98640 27200 ) via2_3_1600_480_1_5_320_320
NEW met3 330 + SHAPE STRIPE ( 97850 21760 ) ( 99430 21760 )
NEW met3 0 + SHAPE STRIPE ( 98640 21760 ) via4_5_1600_480_1_4_400_400
NEW met2 370 + SHAPE STRIPE ( 97870 21760 ) ( 99410 21760 )
NEW met2 0 + SHAPE STRIPE ( 98640 21760 ) via3_4_1600_480_1_4_400_400
NEW met1 0 + SHAPE STRIPE ( 98640 21760 ) via2_3_1600_480_1_5_320_320
NEW met3 330 + SHAPE STRIPE ( 97850 16320 ) ( 99430 16320 )
NEW met3 0 + SHAPE STRIPE ( 98640 16320 ) via4_5_1600_480_1_4_400_400
NEW met2 370 + SHAPE STRIPE ( 97870 16320 ) ( 99410 16320 )
NEW met2 0 + SHAPE STRIPE ( 98640 16320 ) via3_4_1600_480_1_4_400_400
NEW met1 0 + SHAPE STRIPE ( 98640 16320 ) via2_3_1600_480_1_5_320_320
NEW met3 330 + SHAPE STRIPE ( 97850 10880 ) ( 99430 10880 )
NEW met3 0 + SHAPE STRIPE ( 98640 10880 ) via4_5_1600_480_1_4_400_400
NEW met2 370 + SHAPE STRIPE ( 97870 10880 ) ( 99410 10880 )
NEW met2 0 + SHAPE STRIPE ( 98640 10880 ) via3_4_1600_480_1_4_400_400
NEW met1 0 + SHAPE STRIPE ( 98640 10880 ) via2_3_1600_480_1_5_320_320 ;
END SPECIALNETS
NETS 476 ;
- _000_ ( _274_ D ) ( _217_ Y ) + USE SIGNAL
+ ROUTED met1 ( 30590 17510 ) ( 31410 * )
NEW met2 ( 30590 17510 ) ( * 22270 )
NEW met1 ( 25990 22270 ) ( 30590 * )
NEW li1 ( 31410 17510 ) L1M1_PR_MR
NEW met1 ( 30590 17510 ) M1M2_PR
NEW met1 ( 30590 22270 ) M1M2_PR
NEW li1 ( 25990 22270 ) L1M1_PR_MR ;
- _001_ ( _275_ D ) ( _220_ X ) + USE SIGNAL
+ ROUTED met1 ( 19045 15470 ) ( 19090 * )
NEW met2 ( 19090 15470 ) ( * 16830 )
NEW li1 ( 19045 15470 ) L1M1_PR_MR
NEW met1 ( 19090 15470 ) M1M2_PR
NEW li1 ( 19090 16830 ) L1M1_PR_MR
NEW met1 ( 19090 16830 ) M1M2_PR
NEW met1 ( 19045 15470 ) RECT ( -310 -70 0 70 )
NEW met1 ( 19090 16830 ) RECT ( -355 -70 0 70 ) ;
- _002_ ( _276_ D ) ( _222_ X ) + USE SIGNAL
+ ROUTED met1 ( 13525 31450 ) ( 21390 * )
NEW li1 ( 13525 31450 ) L1M1_PR_MR
NEW li1 ( 21390 31450 ) L1M1_PR_MR ;
- _003_ ( _277_ D ) ( _223_ Y ) + USE SIGNAL
+ ROUTED met1 ( 20930 28050 ) ( 32275 * )
NEW met1 ( 20930 27710 ) ( * 28050 )
NEW li1 ( 32275 28050 ) L1M1_PR_MR
NEW li1 ( 20930 27710 ) L1M1_PR_MR ;
- _004_ ( _278_ D ) ( _228_ X ) + USE SIGNAL
+ ROUTED met1 ( 10305 42670 ) ( 11270 * )
NEW met2 ( 11270 42670 ) ( * 44030 )
NEW li1 ( 10305 42670 ) L1M1_PR_MR
NEW met1 ( 11270 42670 ) M1M2_PR
NEW li1 ( 11270 44030 ) L1M1_PR_MR
NEW met1 ( 11270 44030 ) M1M2_PR
NEW met1 ( 11270 44030 ) RECT ( -355 -70 0 70 ) ;
- _005_ ( _279_ D ) ( _230_ X ) + USE SIGNAL
+ ROUTED met1 ( 23230 37570 ) ( 23690 * )
NEW met2 ( 23690 37570 ) ( * 38930 )
NEW met1 ( 23690 38930 ) ( 24455 * )
NEW li1 ( 23230 37570 ) L1M1_PR_MR
NEW met1 ( 23690 37570 ) M1M2_PR
NEW met1 ( 23690 38930 ) M1M2_PR
NEW li1 ( 24455 38930 ) L1M1_PR_MR ;
- _006_ ( _280_ D ) ( _232_ X ) + USE SIGNAL
+ ROUTED met1 ( 23645 48110 ) ( 23690 * )
NEW met2 ( 23690 48110 ) ( * 49470 )
NEW li1 ( 23645 48110 ) L1M1_PR_MR
NEW met1 ( 23690 48110 ) M1M2_PR
NEW li1 ( 23690 49470 ) L1M1_PR_MR
NEW met1 ( 23690 49470 ) M1M2_PR
NEW met1 ( 23645 48110 ) RECT ( -310 -70 0 70 )
NEW met1 ( 23690 49470 ) RECT ( -355 -70 0 70 ) ;
- _007_ ( _281_ D ) ( _234_ X ) + USE SIGNAL
+ ROUTED met1 ( 11685 53210 ) ( 11730 * )
NEW met2 ( 11730 53210 ) ( * 54910 )
NEW met1 ( 11270 54910 ) ( 11730 * )
NEW li1 ( 11685 53210 ) L1M1_PR_MR
NEW met1 ( 11730 53210 ) M1M2_PR
NEW met1 ( 11730 54910 ) M1M2_PR
NEW li1 ( 11270 54910 ) L1M1_PR_MR
NEW met1 ( 11685 53210 ) RECT ( -310 -70 0 70 ) ;
- _008_ ( _282_ D ) ( _236_ X ) + USE SIGNAL
+ ROUTED met1 ( 15870 58990 ) ( 20315 * )
NEW met1 ( 15870 58990 ) ( * 59330 )
NEW li1 ( 20315 58990 ) L1M1_PR_MR
NEW li1 ( 15870 59330 ) L1M1_PR_MR ;
- _009_ ( _283_ D ) ( _239_ X ) + USE SIGNAL
+ ROUTED met2 ( 11270 62050 ) ( * 64430 )
NEW met1 ( 10765 64430 ) ( 11270 * )
NEW li1 ( 11270 62050 ) L1M1_PR_MR
NEW met1 ( 11270 62050 ) M1M2_PR
NEW met1 ( 11270 64430 ) M1M2_PR
NEW li1 ( 10765 64430 ) L1M1_PR_MR
NEW met1 ( 11270 62050 ) RECT ( -355 -70 0 70 ) ;
- _010_ ( _284_ D ) ( _241_ X ) + USE SIGNAL
+ ROUTED met1 ( 21390 69870 ) ( 23535 * )
NEW met2 ( 21390 69870 ) ( * 71230 )
NEW li1 ( 23535 69870 ) L1M1_PR_MR
NEW met1 ( 21390 69870 ) M1M2_PR
NEW li1 ( 21390 71230 ) L1M1_PR_MR
NEW met1 ( 21390 71230 ) M1M2_PR
NEW met1 ( 21390 71230 ) RECT ( -355 -70 0 70 ) ;
- _011_ ( _285_ D ) ( _243_ X ) + USE SIGNAL
+ ROUTED met1 ( 11225 75310 ) ( 11270 * )
NEW met2 ( 11270 75310 ) ( * 76670 )
NEW li1 ( 11225 75310 ) L1M1_PR_MR
NEW met1 ( 11270 75310 ) M1M2_PR
NEW li1 ( 11270 76670 ) L1M1_PR_MR
NEW met1 ( 11270 76670 ) M1M2_PR
NEW met1 ( 11225 75310 ) RECT ( -310 -70 0 70 )
NEW met1 ( 11270 76670 ) RECT ( -355 -70 0 70 ) ;
- _012_ ( _286_ D ) ( _245_ X ) + USE SIGNAL
+ ROUTED met1 ( 19090 78370 ) ( 20010 * )
NEW met2 ( 20010 78370 ) ( * 80410 )
NEW met1 ( 20010 80410 ) ( 20830 * )
NEW li1 ( 19090 78370 ) L1M1_PR_MR
NEW met1 ( 20010 78370 ) M1M2_PR
NEW met1 ( 20010 80410 ) M1M2_PR
NEW li1 ( 20830 80410 ) L1M1_PR_MR ;
- _013_ ( _287_ D ) ( _247_ X ) + USE SIGNAL
+ ROUTED met1 ( 8970 86190 ) ( 13415 * )
NEW met2 ( 8970 86190 ) ( * 87550 )
NEW li1 ( 13415 86190 ) L1M1_PR_MR
NEW met1 ( 8970 86190 ) M1M2_PR
NEW li1 ( 8970 87550 ) L1M1_PR_MR
NEW met1 ( 8970 87550 ) M1M2_PR
NEW met1 ( 8970 87550 ) RECT ( -355 -70 0 70 ) ;
- _014_ ( _288_ D ) ( _250_ X ) + USE SIGNAL
+ ROUTED met2 ( 12190 94690 ) ( * 96730 )
NEW met1 ( 12190 96730 ) ( 13010 * )
NEW li1 ( 12190 94690 ) L1M1_PR_MR
NEW met1 ( 12190 94690 ) M1M2_PR
NEW met1 ( 12190 96730 ) M1M2_PR
NEW li1 ( 13010 96730 ) L1M1_PR_MR
NEW met1 ( 12190 94690 ) RECT ( -355 -70 0 70 ) ;
- _015_ ( _289_ D ) ( _252_ X ) + USE SIGNAL
+ ROUTED met1 ( 15410 102510 ) ( 19395 * )
NEW met2 ( 15410 102510 ) ( * 103870 )
NEW li1 ( 19395 102510 ) L1M1_PR_MR
NEW met1 ( 15410 102510 ) M1M2_PR
NEW li1 ( 15410 103870 ) L1M1_PR_MR
NEW met1 ( 15410 103870 ) M1M2_PR
NEW met1 ( 15410 103870 ) RECT ( -355 -70 0 70 ) ;
- _016_ ( _290_ D ) ( _254_ X ) + USE SIGNAL
+ ROUTED met2 ( 19090 105570 ) ( * 107780 )
NEW met2 ( 19090 107780 ) ( 19550 * )
NEW met2 ( 19550 107610 ) ( * 107780 )
NEW met1 ( 19550 107610 ) ( 21290 * )
NEW li1 ( 19090 105570 ) L1M1_PR_MR
NEW met1 ( 19090 105570 ) M1M2_PR
NEW met1 ( 19550 107610 ) M1M2_PR
NEW li1 ( 21290 107610 ) L1M1_PR_MR
NEW met1 ( 19090 105570 ) RECT ( -355 -70 0 70 ) ;
- _017_ ( _291_ D ) ( _256_ X ) + USE SIGNAL
+ ROUTED met1 ( 11685 113050 ) ( 11730 * )
NEW met2 ( 11730 113050 ) ( * 114750 )
NEW met1 ( 11270 114750 ) ( 11730 * )
NEW li1 ( 11685 113050 ) L1M1_PR_MR
NEW met1 ( 11730 113050 ) M1M2_PR
NEW met1 ( 11730 114750 ) M1M2_PR
NEW li1 ( 11270 114750 ) L1M1_PR_MR
NEW met1 ( 11685 113050 ) RECT ( -310 -70 0 70 ) ;
- _018_ ( _292_ D ) ( _258_ X ) + USE SIGNAL
+ ROUTED met2 ( 16330 119170 ) ( * 120530 )
NEW met1 ( 16330 120530 ) ( 20315 * )
NEW li1 ( 16330 119170 ) L1M1_PR_MR
NEW met1 ( 16330 119170 ) M1M2_PR
NEW met1 ( 16330 120530 ) M1M2_PR
NEW li1 ( 20315 120530 ) L1M1_PR_MR
NEW met1 ( 16330 119170 ) RECT ( -355 -70 0 70 ) ;
- _019_ ( _293_ D ) ( _261_ X ) + USE SIGNAL
+ ROUTED met1 ( 11270 131070 ) ( 11730 * )
NEW met2 ( 11730 129710 ) ( * 131070 )
NEW met1 ( 11685 129710 ) ( 11730 * )
NEW li1 ( 11270 131070 ) L1M1_PR_MR
NEW met1 ( 11730 131070 ) M1M2_PR
NEW met1 ( 11730 129710 ) M1M2_PR
NEW li1 ( 11685 129710 ) L1M1_PR_MR
NEW met1 ( 11730 129710 ) RECT ( 0 -70 310 70 ) ;
- _020_ ( _294_ D ) ( _263_ X ) + USE SIGNAL
+ ROUTED met1 ( 23690 131750 ) ( 24510 * )
NEW met2 ( 23690 131750 ) ( * 133790 )
NEW met1 ( 22770 133790 ) ( 23690 * )
NEW li1 ( 24510 131750 ) L1M1_PR_MR
NEW met1 ( 23690 131750 ) M1M2_PR
NEW met1 ( 23690 133790 ) M1M2_PR
NEW li1 ( 22770 133790 ) L1M1_PR_MR ;
- _021_ ( _295_ D ) ( _265_ X ) + USE SIGNAL
+ ROUTED met1 ( 10765 136850 ) ( 11270 * )
NEW met2 ( 11270 136850 ) ( * 139230 )
NEW li1 ( 10765 136850 ) L1M1_PR_MR
NEW met1 ( 11270 136850 ) M1M2_PR
NEW li1 ( 11270 139230 ) L1M1_PR_MR
NEW met1 ( 11270 139230 ) M1M2_PR
NEW met1 ( 11270 139230 ) RECT ( -355 -70 0 70 ) ;
- _022_ ( _296_ D ) ( _267_ X ) + USE SIGNAL
+ ROUTED met1 ( 23645 142630 ) ( 23690 * )
NEW met2 ( 23690 142630 ) ( * 144670 )
NEW met1 ( 22310 144670 ) ( 23690 * )
NEW li1 ( 23645 142630 ) L1M1_PR_MR
NEW met1 ( 23690 142630 ) M1M2_PR
NEW met1 ( 23690 144670 ) M1M2_PR
NEW li1 ( 22310 144670 ) L1M1_PR_MR
NEW met1 ( 23645 142630 ) RECT ( -310 -70 0 70 ) ;
- _023_ ( _297_ D ) ( _269_ X ) + USE SIGNAL
+ ROUTED met1 ( 10765 147730 ) ( 11270 * )
NEW met2 ( 11270 147730 ) ( * 150110 )
NEW li1 ( 10765 147730 ) L1M1_PR_MR
NEW met1 ( 11270 147730 ) M1M2_PR
NEW li1 ( 11270 150110 ) L1M1_PR_MR
NEW met1 ( 11270 150110 ) M1M2_PR
NEW met1 ( 11270 150110 ) RECT ( -355 -70 0 70 ) ;
- _024_ ( _298_ D ) ( _271_ X ) + USE SIGNAL
+ ROUTED met1 ( 20930 153170 ) ( 25375 * )
NEW met1 ( 20930 152830 ) ( * 153170 )
NEW li1 ( 25375 153170 ) L1M1_PR_MR
NEW li1 ( 20930 152830 ) L1M1_PR_MR ;
- _025_ ( _299_ D ) ( _273_ X ) + USE SIGNAL
+ ROUTED met1 ( 12605 156910 ) ( 12650 * )
NEW met2 ( 12650 156910 ) ( * 158270 )
NEW li1 ( 12605 156910 ) L1M1_PR_MR
NEW met1 ( 12650 156910 ) M1M2_PR
NEW li1 ( 12650 158270 ) L1M1_PR_MR
NEW met1 ( 12650 158270 ) M1M2_PR
NEW met1 ( 12605 156910 ) RECT ( -310 -70 0 70 )
NEW met1 ( 12650 158270 ) RECT ( -355 -70 0 70 ) ;
- _026_ ( _204_ A ) ( _117_ A ) ( _116_ X ) + USE SIGNAL
+ ROUTED met2 ( 37950 34170 ) ( * 39270 )
NEW met1 ( 37030 32130 ) ( 37950 * )
NEW met2 ( 37950 32130 ) ( * 34170 )
NEW li1 ( 37950 34170 ) L1M1_PR_MR
NEW met1 ( 37950 34170 ) M1M2_PR
NEW li1 ( 37950 39270 ) L1M1_PR_MR
NEW met1 ( 37950 39270 ) M1M2_PR
NEW li1 ( 37030 32130 ) L1M1_PR_MR
NEW met1 ( 37950 32130 ) M1M2_PR
NEW met1 ( 37950 34170 ) RECT ( -355 -70 0 70 )
NEW met1 ( 37950 39270 ) RECT ( -355 -70 0 70 ) ;
- _027_ ( _222_ A2 ) ( _202_ B ) ( _200_ B ) ( _198_ B ) ( _118_ B ) ( _117_ X ) + USE SIGNAL
+ ROUTED met2 ( 23230 31450 ) ( * 36890 )
NEW met1 ( 15870 36890 ) ( 23230 * )
NEW met1 ( 32890 36550 ) ( * 36890 )
NEW met1 ( 23230 36550 ) ( 32890 * )
NEW met1 ( 23230 36550 ) ( * 36890 )
NEW met1 ( 38410 36550 ) ( * 36890 )
NEW met1 ( 32890 36550 ) ( 38410 * )
NEW met2 ( 39330 34170 ) ( * 36550 )
NEW met1 ( 38410 36550 ) ( 39330 * )
NEW met1 ( 40250 31450 ) ( * 31790 )
NEW met1 ( 39330 31790 ) ( 40250 * )
NEW met2 ( 39330 31790 ) ( * 34170 )
NEW li1 ( 23230 31450 ) L1M1_PR_MR
NEW met1 ( 23230 31450 ) M1M2_PR
NEW met1 ( 23230 36890 ) M1M2_PR
NEW li1 ( 15870 36890 ) L1M1_PR_MR
NEW li1 ( 32890 36890 ) L1M1_PR_MR
NEW li1 ( 38410 36890 ) L1M1_PR_MR
NEW li1 ( 39330 34170 ) L1M1_PR_MR
NEW met1 ( 39330 34170 ) M1M2_PR
NEW met1 ( 39330 36550 ) M1M2_PR
NEW li1 ( 40250 31450 ) L1M1_PR_MR
NEW met1 ( 39330 31790 ) M1M2_PR
NEW met1 ( 23230 31450 ) RECT ( -355 -70 0 70 )
NEW met1 ( 39330 34170 ) RECT ( -355 -70 0 70 ) ;
- _028_ ( _119_ A ) ( _118_ X ) + USE SIGNAL
+ ROUTED met1 ( 43010 25670 ) ( 48990 * )
NEW met2 ( 43010 25670 ) ( * 30430 )
NEW met1 ( 41170 30430 ) ( 43010 * )
NEW li1 ( 48990 25670 ) L1M1_PR_MR
NEW met1 ( 43010 25670 ) M1M2_PR
NEW met1 ( 43010 30430 ) M1M2_PR
NEW li1 ( 41170 30430 ) L1M1_PR_MR ;
- _029_ ( _122_ C ) ( _120_ X ) + USE SIGNAL
+ ROUTED met2 ( 23230 85850 ) ( * 98430 )
NEW met1 ( 21850 98430 ) ( 23230 * )
NEW li1 ( 23230 85850 ) L1M1_PR_MR
NEW met1 ( 23230 85850 ) M1M2_PR
NEW met1 ( 23230 98430 ) M1M2_PR
NEW li1 ( 21850 98430 ) L1M1_PR_MR
NEW met1 ( 23230 85850 ) RECT ( -355 -70 0 70 ) ;
- _030_ ( _183_ A ) ( _172_ A ) ( _161_ A ) ( _127_ A ) ( _122_ D_N ) ( _121_ X ) + USE SIGNAL
+ ROUTED met1 ( 21390 86190 ) ( 40710 * )
NEW met1 ( 40710 77350 ) ( 48990 * )
NEW met2 ( 40710 74970 ) ( * 86190 )
NEW met1 ( 37950 25330 ) ( 40710 * )
NEW met1 ( 40710 28730 ) ( 51750 * )
NEW met1 ( 40710 33830 ) ( 53130 * )
NEW met2 ( 40710 25330 ) ( * 74970 )
NEW li1 ( 21390 86190 ) L1M1_PR_MR
NEW met1 ( 40710 86190 ) M1M2_PR
NEW li1 ( 40710 74970 ) L1M1_PR_MR
NEW met1 ( 40710 74970 ) M1M2_PR
NEW li1 ( 48990 77350 ) L1M1_PR_MR
NEW met1 ( 40710 77350 ) M1M2_PR
NEW li1 ( 37950 25330 ) L1M1_PR_MR
NEW met1 ( 40710 25330 ) M1M2_PR
NEW li1 ( 51750 28730 ) L1M1_PR_MR
NEW met1 ( 40710 28730 ) M1M2_PR
NEW li1 ( 53130 33830 ) L1M1_PR_MR
NEW met1 ( 40710 33830 ) M1M2_PR
NEW met1 ( 40710 74970 ) RECT ( -355 -70 0 70 )
NEW met2 ( 40710 77350 ) RECT ( -70 -485 70 0 )
NEW met2 ( 40710 28730 ) RECT ( -70 -485 70 0 )
NEW met2 ( 40710 33830 ) RECT ( -70 -485 70 0 ) ;
- _031_ ( _125_ A ) ( _122_ X ) + USE SIGNAL
+ ROUTED met2 ( 24610 86530 ) ( * 123930 )
NEW met1 ( 21850 123930 ) ( 24610 * )
NEW li1 ( 24610 86530 ) L1M1_PR_MR
NEW met1 ( 24610 86530 ) M1M2_PR
NEW met1 ( 24610 123930 ) M1M2_PR
NEW li1 ( 21850 123930 ) L1M1_PR_MR
NEW met1 ( 24610 86530 ) RECT ( -355 -70 0 70 ) ;
- _032_ ( _125_ B ) ( _123_ X ) + USE SIGNAL
+ ROUTED met1 ( 21850 122910 ) ( * 123250 )
NEW met1 ( 21850 123250 ) ( 24150 * )
NEW met2 ( 24150 139060 ) ( 24610 * )
NEW met2 ( 24610 139060 ) ( * 147390 )
NEW met1 ( 22770 147390 ) ( 24610 * )
NEW met2 ( 24150 123250 ) ( * 139060 )
NEW li1 ( 21850 122910 ) L1M1_PR_MR
NEW met1 ( 24150 123250 ) M1M2_PR
NEW met1 ( 24610 147390 ) M1M2_PR
NEW li1 ( 22770 147390 ) L1M1_PR_MR ;
- _033_ ( _125_ C ) ( _124_ X ) + USE SIGNAL
+ ROUTED met2 ( 20930 123930 ) ( * 125630 )
NEW met1 ( 20930 125630 ) ( 21390 * )
NEW li1 ( 20930 123930 ) L1M1_PR_MR
NEW met1 ( 20930 123930 ) M1M2_PR
NEW met1 ( 20930 125630 ) M1M2_PR
NEW li1 ( 21390 125630 ) L1M1_PR_MR
NEW met1 ( 20930 123930 ) RECT ( -355 -70 0 70 ) ;
- _034_ ( _126_ A ) ( _125_ X ) + USE SIGNAL
+ ROUTED met1 ( 11730 118830 ) ( 23230 * )
NEW met2 ( 23230 118830 ) ( * 122910 )
NEW met1 ( 22770 122910 ) ( 23230 * )
NEW li1 ( 11730 118830 ) L1M1_PR_MR
NEW met1 ( 23230 118830 ) M1M2_PR
NEW met1 ( 23230 122910 ) M1M2_PR
NEW li1 ( 22770 122910 ) L1M1_PR_MR ;
- _035_ ( _196_ B ) ( _194_ B ) ( _150_ A ) ( _139_ A ) ( _128_ A ) ( _127_ X ) + USE SIGNAL
+ ROUTED met1 ( 51750 22950 ) ( 53130 * )
NEW met2 ( 53130 22950 ) ( * 28390 )
NEW met1 ( 55430 22610 ) ( * 22950 )
NEW met1 ( 53130 22610 ) ( 55430 * )
NEW met1 ( 53130 22610 ) ( * 22950 )
NEW met1 ( 58650 25670 ) ( * 26010 )
NEW met1 ( 53130 25670 ) ( 58650 * )
NEW met1 ( 55430 22950 ) ( 60490 * )
NEW met1 ( 58650 26010 ) ( 63250 * )
NEW li1 ( 63250 26010 ) L1M1_PR_MR
NEW li1 ( 51750 22950 ) L1M1_PR_MR
NEW met1 ( 53130 22950 ) M1M2_PR
NEW li1 ( 53130 28390 ) L1M1_PR_MR
NEW met1 ( 53130 28390 ) M1M2_PR
NEW li1 ( 55430 22950 ) L1M1_PR_MR
NEW li1 ( 58650 26010 ) L1M1_PR_MR
NEW met1 ( 53130 25670 ) M1M2_PR
NEW li1 ( 60490 22950 ) L1M1_PR_MR
NEW met1 ( 53130 28390 ) RECT ( -355 -70 0 70 )
NEW met2 ( 53130 25670 ) RECT ( -70 -485 70 0 ) ;
- _036_ ( _137_ B ) ( _135_ B ) ( _133_ B ) ( _131_ B ) ( _129_ B ) ( _128_ X ) + USE SIGNAL
+ ROUTED met1 ( 37030 20570 ) ( 38870 * )
NEW met2 ( 37030 15130 ) ( * 20570 )
NEW met1 ( 37030 15130 ) ( 47150 * )
NEW met1 ( 47610 17510 ) ( 48530 * )
NEW met2 ( 48530 15130 ) ( * 17510 )
NEW met1 ( 47150 15130 ) ( 48530 * )
NEW met1 ( 48990 20570 ) ( 49450 * )
NEW met2 ( 48990 17510 ) ( * 20570 )
NEW met2 ( 48530 17510 ) ( 48990 * )
NEW met1 ( 48990 22270 ) ( 50830 * )
NEW met2 ( 48990 20570 ) ( * 22270 )
NEW li1 ( 38870 20570 ) L1M1_PR_MR
NEW met1 ( 37030 20570 ) M1M2_PR
NEW li1 ( 37030 15130 ) L1M1_PR_MR
NEW met1 ( 37030 15130 ) M1M2_PR
NEW li1 ( 47150 15130 ) L1M1_PR_MR
NEW li1 ( 47610 17510 ) L1M1_PR_MR
NEW met1 ( 48530 17510 ) M1M2_PR
NEW met1 ( 48530 15130 ) M1M2_PR
NEW li1 ( 49450 20570 ) L1M1_PR_MR
NEW met1 ( 48990 20570 ) M1M2_PR
NEW li1 ( 50830 22270 ) L1M1_PR_MR
NEW met1 ( 48990 22270 ) M1M2_PR
NEW met1 ( 37030 15130 ) RECT ( -355 -70 0 70 ) ;
- _037_ ( _130_ A ) ( _129_ X ) + USE SIGNAL
+ ROUTED met2 ( 37950 15810 ) ( * 17510 )
NEW met1 ( 37950 17510 ) ( 40250 * )
NEW li1 ( 37950 15810 ) L1M1_PR_MR
NEW met1 ( 37950 15810 ) M1M2_PR
NEW met1 ( 37950 17510 ) M1M2_PR
NEW li1 ( 40250 17510 ) L1M1_PR_MR
NEW met1 ( 37950 15810 ) RECT ( -355 -70 0 70 ) ;
- _038_ ( _132_ A ) ( _131_ X ) + USE SIGNAL
+ ROUTED met1 ( 39790 20570 ) ( 41630 * )
NEW met2 ( 41630 20570 ) ( * 26010 )
NEW li1 ( 39790 20570 ) L1M1_PR_MR
NEW met1 ( 41630 20570 ) M1M2_PR
NEW li1 ( 41630 26010 ) L1M1_PR_MR
NEW met1 ( 41630 26010 ) M1M2_PR
NEW met1 ( 41630 26010 ) RECT ( -355 -70 0 70 ) ;
- _039_ ( _134_ A ) ( _133_ X ) + USE SIGNAL
+ ROUTED met1 ( 49910 20570 ) ( 50370 * )
NEW met2 ( 49910 20570 ) ( * 26010 )
NEW met1 ( 46690 26010 ) ( 49910 * )
NEW li1 ( 50370 20570 ) L1M1_PR_MR
NEW met1 ( 49910 20570 ) M1M2_PR
NEW met1 ( 49910 26010 ) M1M2_PR
NEW li1 ( 46690 26010 ) L1M1_PR_MR ;
- _040_ ( _136_ A ) ( _135_ X ) + USE SIGNAL
+ ROUTED met1 ( 45770 15810 ) ( 48070 * )
NEW met2 ( 45770 15810 ) ( * 39270 )
NEW li1 ( 48070 15810 ) L1M1_PR_MR
NEW met1 ( 45770 15810 ) M1M2_PR
NEW li1 ( 45770 39270 ) L1M1_PR_MR
NEW met1 ( 45770 39270 ) M1M2_PR
NEW met1 ( 45770 39270 ) RECT ( -355 -70 0 70 ) ;
- _041_ ( _138_ A ) ( _137_ X ) + USE SIGNAL
+ ROUTED met2 ( 48530 18530 ) ( * 50150 )
NEW met1 ( 45770 50150 ) ( 48530 * )
NEW li1 ( 48530 18530 ) L1M1_PR_MR
NEW met1 ( 48530 18530 ) M1M2_PR
NEW met1 ( 48530 50150 ) M1M2_PR
NEW li1 ( 45770 50150 ) L1M1_PR_MR
NEW met1 ( 48530 18530 ) RECT ( -355 -70 0 70 ) ;
- _042_ ( _148_ B ) ( _146_ B ) ( _144_ B ) ( _142_ B ) ( _140_ B ) ( _139_ X ) + USE SIGNAL
+ ROUTED met1 ( 66470 26010 ) ( * 26350 )
NEW met1 ( 62330 26350 ) ( 66470 * )
NEW met1 ( 62330 26350 ) ( * 26690 )
NEW met1 ( 66470 26010 ) ( 70610 * )
NEW met1 ( 66930 22950 ) ( 67390 * )
NEW met2 ( 67390 22950 ) ( * 26010 )
NEW met1 ( 70610 26010 ) ( 74750 * )
NEW met2 ( 71530 22950 ) ( * 26010 )
NEW li1 ( 66470 26010 ) L1M1_PR_MR
NEW li1 ( 62330 26690 ) L1M1_PR_MR
NEW li1 ( 70610 26010 ) L1M1_PR_MR
NEW li1 ( 66930 22950 ) L1M1_PR_MR
NEW met1 ( 67390 22950 ) M1M2_PR
NEW met1 ( 67390 26010 ) M1M2_PR
NEW li1 ( 74750 26010 ) L1M1_PR_MR
NEW li1 ( 71530 22950 ) L1M1_PR_MR
NEW met1 ( 71530 22950 ) M1M2_PR
NEW met1 ( 71530 26010 ) M1M2_PR
NEW met1 ( 67390 26010 ) RECT ( -595 -70 0 70 )
NEW met1 ( 71530 22950 ) RECT ( 0 -70 355 70 )
NEW met1 ( 71530 26010 ) RECT ( -595 -70 0 70 ) ;
- _043_ ( _141_ A ) ( _140_ X ) + USE SIGNAL
+ ROUTED met1 ( 67390 26690 ) ( 70610 * )
NEW met2 ( 70610 26690 ) ( * 28390 )
NEW li1 ( 67390 26690 ) L1M1_PR_MR
NEW met1 ( 70610 26690 ) M1M2_PR
NEW li1 ( 70610 28390 ) L1M1_PR_MR
NEW met1 ( 70610 28390 ) M1M2_PR
NEW met1 ( 70610 28390 ) RECT ( -355 -70 0 70 ) ;
- _044_ ( _143_ A ) ( _142_ X ) + USE SIGNAL
+ ROUTED met2 ( 71530 26690 ) ( * 31110 )
NEW met1 ( 68310 31110 ) ( 71530 * )
NEW li1 ( 71530 26690 ) L1M1_PR_MR
NEW met1 ( 71530 26690 ) M1M2_PR
NEW met1 ( 71530 31110 ) M1M2_PR
NEW li1 ( 68310 31110 ) L1M1_PR_MR
NEW met1 ( 71530 26690 ) RECT ( -355 -70 0 70 ) ;
- _045_ ( _145_ A ) ( _144_ X ) + USE SIGNAL
+ ROUTED met1 ( 71070 23970 ) ( 72450 * )
NEW met2 ( 71070 23970 ) ( * 33830 )
NEW met1 ( 70610 33830 ) ( 71070 * )
NEW li1 ( 72450 23970 ) L1M1_PR_MR
NEW met1 ( 71070 23970 ) M1M2_PR
NEW met1 ( 71070 33830 ) M1M2_PR
NEW li1 ( 70610 33830 ) L1M1_PR_MR ;
- _046_ ( _147_ A ) ( _146_ X ) + USE SIGNAL
+ ROUTED met1 ( 66470 71910 ) ( 67850 * )
NEW met2 ( 67850 23970 ) ( * 71910 )
NEW met1 ( 67850 71910 ) M1M2_PR
NEW li1 ( 66470 71910 ) L1M1_PR_MR
NEW li1 ( 67850 23970 ) L1M1_PR_MR
NEW met1 ( 67850 23970 ) M1M2_PR
NEW met1 ( 67850 23970 ) RECT ( -355 -70 0 70 ) ;
- _047_ ( _149_ A ) ( _148_ X ) + USE SIGNAL
+ ROUTED met1 ( 67390 80410 ) ( 68310 * )
NEW met1 ( 68310 26350 ) ( 75670 * )
NEW met2 ( 68310 26350 ) ( * 80410 )
NEW met1 ( 68310 80410 ) M1M2_PR
NEW li1 ( 67390 80410 ) L1M1_PR_MR
NEW li1 ( 75670 26350 ) L1M1_PR_MR
NEW met1 ( 68310 26350 ) M1M2_PR ;
- _048_ ( _159_ B ) ( _157_ B ) ( _155_ B ) ( _153_ B ) ( _151_ B ) ( _150_ X ) + USE SIGNAL
+ ROUTED met1 ( 64170 17510 ) ( * 17850 )
NEW met1 ( 64170 17850 ) ( 66010 * )
NEW met1 ( 66010 17510 ) ( * 17850 )
NEW met1 ( 59570 20570 ) ( 61410 * )
NEW met2 ( 59570 20570 ) ( * 22270 )
NEW met1 ( 60950 17510 ) ( 61410 * )
NEW met2 ( 60950 17510 ) ( * 20570 )
NEW met1 ( 60950 15130 ) ( 61410 * )
NEW met2 ( 60950 15130 ) ( * 17510 )
NEW met1 ( 56350 17170 ) ( * 17510 )
NEW met1 ( 56350 17170 ) ( 60030 * )
NEW met2 ( 60030 17170 ) ( * 17340 )
NEW met2 ( 60030 17340 ) ( 60950 * )
NEW met1 ( 61410 17510 ) ( 64170 * )
NEW li1 ( 66010 17510 ) L1M1_PR_MR
NEW li1 ( 61410 20570 ) L1M1_PR_MR
NEW met1 ( 59570 20570 ) M1M2_PR
NEW li1 ( 59570 22270 ) L1M1_PR_MR
NEW met1 ( 59570 22270 ) M1M2_PR
NEW li1 ( 61410 17510 ) L1M1_PR_MR
NEW met1 ( 60950 17510 ) M1M2_PR
NEW met1 ( 60950 20570 ) M1M2_PR
NEW li1 ( 61410 15130 ) L1M1_PR_MR
NEW met1 ( 60950 15130 ) M1M2_PR
NEW li1 ( 56350 17510 ) L1M1_PR_MR
NEW met1 ( 60030 17170 ) M1M2_PR
NEW met1 ( 59570 22270 ) RECT ( -355 -70 0 70 )
NEW met1 ( 60950 20570 ) RECT ( -595 -70 0 70 ) ;
- _049_ ( _152_ A ) ( _151_ X ) + USE SIGNAL
+ ROUTED met2 ( 13110 18190 ) ( * 34500 )
NEW met2 ( 12650 34500 ) ( 13110 * )
NEW met2 ( 12650 34500 ) ( * 82790 )
NEW met1 ( 12190 82790 ) ( 12650 * )
NEW met2 ( 30130 18190 ) ( * 19890 )
NEW met1 ( 13110 18190 ) ( 30130 * )
NEW met1 ( 30130 19890 ) ( 62330 * )
NEW met1 ( 13110 18190 ) M1M2_PR
NEW met1 ( 12650 82790 ) M1M2_PR
NEW li1 ( 12190 82790 ) L1M1_PR_MR
NEW li1 ( 62330 19890 ) L1M1_PR_MR
NEW met1 ( 30130 18190 ) M1M2_PR
NEW met1 ( 30130 19890 ) M1M2_PR ;
- _050_ ( _154_ A ) ( _153_ X ) + USE SIGNAL
+ ROUTED met1 ( 12190 91290 ) ( 13110 * )
NEW met1 ( 11270 37570 ) ( 13110 * )
NEW met2 ( 13110 37570 ) ( * 52700 )
NEW met2 ( 13110 52700 ) ( 13570 * )
NEW met2 ( 13570 52700 ) ( * 66300 )
NEW met2 ( 13110 66300 ) ( 13570 * )
NEW met2 ( 11270 15810 ) ( * 37570 )
NEW met2 ( 13110 66300 ) ( * 91290 )
NEW met1 ( 20930 15470 ) ( * 15810 )
NEW met1 ( 20930 15470 ) ( 48990 * )
NEW met2 ( 48990 15470 ) ( * 16830 )
NEW met1 ( 11270 15810 ) ( 20930 * )
NEW met1 ( 48990 16830 ) ( 62330 * )
NEW met1 ( 11270 15810 ) M1M2_PR
NEW met1 ( 13110 91290 ) M1M2_PR
NEW li1 ( 12190 91290 ) L1M1_PR_MR
NEW met1 ( 11270 37570 ) M1M2_PR
NEW met1 ( 13110 37570 ) M1M2_PR
NEW li1 ( 62330 16830 ) L1M1_PR_MR
NEW met1 ( 48990 15470 ) M1M2_PR
NEW met1 ( 48990 16830 ) M1M2_PR ;
- _051_ ( _156_ A ) ( _155_ X ) + USE SIGNAL
+ ROUTED met1 ( 58650 96730 ) ( 66930 * )
NEW met2 ( 66930 18530 ) ( * 96730 )
NEW met1 ( 66930 96730 ) M1M2_PR
NEW li1 ( 58650 96730 ) L1M1_PR_MR
NEW li1 ( 66930 18530 ) L1M1_PR_MR
NEW met1 ( 66930 18530 ) M1M2_PR
NEW met1 ( 66930 18530 ) RECT ( -355 -70 0 70 ) ;
- _052_ ( _158_ A ) ( _157_ X ) + USE SIGNAL
+ ROUTED met1 ( 57270 102170 ) ( 59110 * )
NEW met2 ( 57270 18530 ) ( * 102170 )
NEW met1 ( 57270 102170 ) M1M2_PR
NEW li1 ( 59110 102170 ) L1M1_PR_MR
NEW li1 ( 57270 18530 ) L1M1_PR_MR
NEW met1 ( 57270 18530 ) M1M2_PR
NEW met1 ( 57270 18530 ) RECT ( -355 -70 0 70 ) ;
- _053_ ( _160_ A ) ( _159_ X ) + USE SIGNAL
+ ROUTED met1 ( 59570 107610 ) ( 62330 * )
NEW met2 ( 62330 15810 ) ( * 107610 )
NEW met1 ( 62330 107610 ) M1M2_PR
NEW li1 ( 59570 107610 ) L1M1_PR_MR
NEW li1 ( 62330 15810 ) L1M1_PR_MR
NEW met1 ( 62330 15810 ) M1M2_PR
NEW met1 ( 62330 15810 ) RECT ( 0 -70 355 70 ) ;
- _054_ ( _170_ B ) ( _168_ B ) ( _166_ B ) ( _164_ B ) ( _162_ B ) ( _161_ X ) + USE SIGNAL
+ ROUTED met1 ( 51750 80410 ) ( 58650 * )
NEW met2 ( 52210 80410 ) ( * 82790 )
NEW met2 ( 52210 77350 ) ( * 80410 )
NEW met1 ( 48070 78370 ) ( 52210 * )
NEW met1 ( 47610 80410 ) ( 51750 * )
NEW li1 ( 51750 80410 ) L1M1_PR_MR
NEW li1 ( 58650 80410 ) L1M1_PR_MR
NEW li1 ( 52210 82790 ) L1M1_PR_MR
NEW met1 ( 52210 82790 ) M1M2_PR
NEW met1 ( 52210 80410 ) M1M2_PR
NEW li1 ( 52210 77350 ) L1M1_PR_MR
NEW met1 ( 52210 77350 ) M1M2_PR
NEW li1 ( 48070 78370 ) L1M1_PR_MR
NEW met1 ( 52210 78370 ) M1M2_PR
NEW li1 ( 47610 80410 ) L1M1_PR_MR
NEW met1 ( 52210 82790 ) RECT ( -355 -70 0 70 )
NEW met1 ( 52210 80410 ) RECT ( -595 -70 0 70 )
NEW met1 ( 52210 77350 ) RECT ( 0 -70 355 70 )
NEW met2 ( 52210 78370 ) RECT ( -70 -485 70 0 ) ;
- _055_ ( _163_ A ) ( _162_ X ) + USE SIGNAL
+ ROUTED met1 ( 48530 85850 ) ( 52670 * )
NEW met2 ( 52670 81090 ) ( * 85850 )
NEW met1 ( 52670 85850 ) M1M2_PR
NEW li1 ( 48530 85850 ) L1M1_PR_MR
NEW li1 ( 52670 81090 ) L1M1_PR_MR
NEW met1 ( 52670 81090 ) M1M2_PR
NEW met1 ( 52670 81090 ) RECT ( -355 -70 0 70 ) ;
- _056_ ( _165_ A ) ( _164_ X ) + USE SIGNAL
+ ROUTED met1 ( 48990 80750 ) ( 59570 * )
NEW met2 ( 48990 80750 ) ( * 120870 )
NEW li1 ( 48990 120870 ) L1M1_PR_MR
NEW met1 ( 48990 120870 ) M1M2_PR
NEW li1 ( 59570 80750 ) L1M1_PR_MR
NEW met1 ( 48990 80750 ) M1M2_PR
NEW met1 ( 48990 120870 ) RECT ( -355 -70 0 70 ) ;
- _057_ ( _167_ A ) ( _166_ X ) + USE SIGNAL
+ ROUTED met1 ( 49910 126310 ) ( 53590 * )
NEW met1 ( 53130 78370 ) ( 53590 * )
NEW met2 ( 53590 78370 ) ( * 126310 )
NEW met1 ( 53590 126310 ) M1M2_PR
NEW li1 ( 49910 126310 ) L1M1_PR_MR
NEW li1 ( 53130 78370 ) L1M1_PR_MR
NEW met1 ( 53590 78370 ) M1M2_PR ;
- _058_ ( _169_ A ) ( _168_ X ) + USE SIGNAL
+ ROUTED met2 ( 48530 121380 ) ( 48990 * )
NEW met2 ( 48530 81090 ) ( * 121380 )
NEW met1 ( 48990 131750 ) ( 49910 * )
NEW met2 ( 48990 121380 ) ( * 131750 )
NEW li1 ( 48530 81090 ) L1M1_PR_MR
NEW met1 ( 48530 81090 ) M1M2_PR
NEW met1 ( 48990 131750 ) M1M2_PR
NEW li1 ( 49910 131750 ) L1M1_PR_MR
NEW met1 ( 48530 81090 ) RECT ( -355 -70 0 70 ) ;
- _059_ ( _171_ A ) ( _170_ X ) + USE SIGNAL
+ ROUTED met1 ( 49910 137190 ) ( 53130 * )
NEW met2 ( 53130 83810 ) ( * 137190 )
NEW li1 ( 53130 83810 ) L1M1_PR_MR
NEW met1 ( 53130 83810 ) M1M2_PR
NEW met1 ( 53130 137190 ) M1M2_PR
NEW li1 ( 49910 137190 ) L1M1_PR_MR
NEW met1 ( 53130 83810 ) RECT ( -355 -70 0 70 ) ;
- _060_ ( _181_ B ) ( _179_ B ) ( _177_ B ) ( _175_ B ) ( _173_ B ) ( _172_ X ) + USE SIGNAL
+ ROUTED met1 ( 31970 71910 ) ( * 72590 )
NEW met1 ( 31970 72590 ) ( 34500 * )
NEW met1 ( 36570 74970 ) ( 39790 * )
NEW met1 ( 39790 74970 ) ( * 75650 )
NEW met2 ( 37030 71910 ) ( * 74970 )
NEW met1 ( 41170 71910 ) ( * 72250 )
NEW met1 ( 37030 72250 ) ( 41170 * )
NEW met1 ( 37030 71910 ) ( * 72250 )
NEW met1 ( 34500 72250 ) ( * 72590 )
NEW met1 ( 34500 72250 ) ( 37030 * )
NEW met2 ( 37030 69530 ) ( * 71910 )
NEW li1 ( 31970 71910 ) L1M1_PR_MR
NEW li1 ( 36570 74970 ) L1M1_PR_MR
NEW li1 ( 39790 75650 ) L1M1_PR_MR
NEW li1 ( 37030 71910 ) L1M1_PR_MR
NEW met1 ( 37030 71910 ) M1M2_PR
NEW met1 ( 37030 74970 ) M1M2_PR
NEW li1 ( 41170 71910 ) L1M1_PR_MR
NEW li1 ( 37030 69530 ) L1M1_PR_MR
NEW met1 ( 37030 69530 ) M1M2_PR
NEW met1 ( 37030 71910 ) RECT ( -355 -70 0 70 )
NEW met1 ( 37030 74970 ) RECT ( -595 -70 0 70 )
NEW met1 ( 37030 69530 ) RECT ( -355 -70 0 70 ) ;
- _061_ ( _174_ A ) ( _173_ X ) + USE SIGNAL
+ ROUTED met1 ( 37490 72930 ) ( 37950 * )
NEW met1 ( 35650 145690 ) ( 37490 * )
NEW met2 ( 37490 72930 ) ( * 145690 )
NEW li1 ( 37950 72930 ) L1M1_PR_MR
NEW met1 ( 37490 72930 ) M1M2_PR
NEW met1 ( 37490 145690 ) M1M2_PR
NEW li1 ( 35650 145690 ) L1M1_PR_MR ;
- _062_ ( _176_ A ) ( _175_ X ) + USE SIGNAL
+ ROUTED met1 ( 35650 72590 ) ( 42090 * )
NEW met2 ( 35650 72590 ) ( * 151130 )
NEW li1 ( 42090 72590 ) L1M1_PR_MR
NEW met1 ( 35650 72590 ) M1M2_PR
NEW li1 ( 35650 151130 ) L1M1_PR_MR
NEW met1 ( 35650 151130 ) M1M2_PR
NEW met1 ( 35650 151130 ) RECT ( -355 -70 0 70 ) ;
- _063_ ( _178_ A ) ( _177_ X ) + USE SIGNAL
+ ROUTED met1 ( 35650 156570 ) ( 37950 * )
NEW met2 ( 37950 70210 ) ( * 156570 )
NEW li1 ( 37950 70210 ) L1M1_PR_MR
NEW met1 ( 37950 70210 ) M1M2_PR
NEW met1 ( 37950 156570 ) M1M2_PR
NEW li1 ( 35650 156570 ) L1M1_PR_MR
NEW met1 ( 37950 70210 ) RECT ( -355 -70 0 70 ) ;
- _064_ ( _180_ A ) ( _179_ X ) + USE SIGNAL
+ ROUTED met1 ( 12190 164390 ) ( 32890 * )
NEW met2 ( 32890 72930 ) ( * 164390 )
NEW li1 ( 32890 72930 ) L1M1_PR_MR
NEW met1 ( 32890 72930 ) M1M2_PR
NEW met1 ( 32890 164390 ) M1M2_PR
NEW li1 ( 12190 164390 ) L1M1_PR_MR
NEW met1 ( 32890 72930 ) RECT ( -355 -70 0 70 ) ;
- _065_ ( _182_ A ) ( _181_ X ) + USE SIGNAL
+ ROUTED met1 ( 8970 107610 ) ( 10350 * )
NEW met2 ( 10350 75650 ) ( * 107610 )
NEW met2 ( 8970 149260 ) ( 9430 * )
NEW met2 ( 9430 149260 ) ( * 167450 )
NEW met1 ( 9430 167450 ) ( 12190 * )
NEW met2 ( 8970 107610 ) ( * 149260 )
NEW met1 ( 10350 75650 ) ( 37490 * )
NEW met1 ( 8970 107610 ) M1M2_PR
NEW met1 ( 10350 107610 ) M1M2_PR
NEW met1 ( 10350 75650 ) M1M2_PR
NEW met1 ( 9430 167450 ) M1M2_PR
NEW li1 ( 12190 167450 ) L1M1_PR_MR
NEW li1 ( 37490 75650 ) L1M1_PR_MR ;
- _066_ ( _192_ B ) ( _190_ B ) ( _188_ B ) ( _186_ B ) ( _184_ B ) ( _183_ X ) + USE SIGNAL
+ ROUTED met2 ( 54050 34850 ) ( * 36890 )
NEW met1 ( 52210 34850 ) ( 54050 * )
NEW met1 ( 54050 36890 ) ( 58650 * )
NEW met1 ( 57270 33830 ) ( 58650 * )
NEW met1 ( 58650 33830 ) ( * 34850 )
NEW met1 ( 54050 34850 ) ( 58650 * )
NEW met1 ( 56810 39270 ) ( 57270 * )
NEW met2 ( 56810 36890 ) ( * 39270 )
NEW met1 ( 58650 36890 ) ( 62790 * )
NEW li1 ( 62790 36890 ) L1M1_PR_MR
NEW li1 ( 54050 36890 ) L1M1_PR_MR
NEW met1 ( 54050 36890 ) M1M2_PR
NEW met1 ( 54050 34850 ) M1M2_PR
NEW li1 ( 52210 34850 ) L1M1_PR_MR
NEW li1 ( 58650 36890 ) L1M1_PR_MR
NEW li1 ( 57270 33830 ) L1M1_PR_MR
NEW li1 ( 57270 39270 ) L1M1_PR_MR
NEW met1 ( 56810 39270 ) M1M2_PR
NEW met1 ( 56810 36890 ) M1M2_PR
NEW met1 ( 54050 36890 ) RECT ( -355 -70 0 70 )
NEW met1 ( 56810 36890 ) RECT ( -595 -70 0 70 ) ;
- _067_ ( _185_ A ) ( _184_ X ) + USE SIGNAL
+ ROUTED met1 ( 53130 35870 ) ( 59570 * )
NEW met2 ( 53130 35870 ) ( * 42330 )
NEW met1 ( 53130 42330 ) ( 53590 * )
NEW li1 ( 59570 35870 ) L1M1_PR_MR
NEW met1 ( 53130 35870 ) M1M2_PR
NEW met1 ( 53130 42330 ) M1M2_PR
NEW li1 ( 53590 42330 ) L1M1_PR_MR ;
- _068_ ( _187_ A ) ( _186_ X ) + USE SIGNAL
+ ROUTED met1 ( 55430 34170 ) ( 58190 * )
NEW met2 ( 55430 34170 ) ( * 39270 )
NEW met1 ( 53590 39270 ) ( 55430 * )
NEW met1 ( 53590 39270 ) ( * 39610 )
NEW met1 ( 48990 39610 ) ( 53590 * )
NEW met1 ( 48990 39270 ) ( * 39610 )
NEW li1 ( 58190 34170 ) L1M1_PR_MR
NEW met1 ( 55430 34170 ) M1M2_PR
NEW met1 ( 55430 39270 ) M1M2_PR
NEW li1 ( 48990 39270 ) L1M1_PR_MR ;
- _069_ ( _189_ A ) ( _188_ X ) + USE SIGNAL
+ ROUTED met1 ( 52670 38930 ) ( * 39270 )
NEW met1 ( 52670 38930 ) ( 58190 * )
NEW li1 ( 52670 39270 ) L1M1_PR_MR
NEW li1 ( 58190 38930 ) L1M1_PR_MR ;
- _070_ ( _191_ A ) ( _190_ X ) + USE SIGNAL
+ ROUTED met1 ( 54970 37570 ) ( 57730 * )
NEW met2 ( 57730 37570 ) ( * 42330 )
NEW li1 ( 54970 37570 ) L1M1_PR_MR
NEW met1 ( 57730 37570 ) M1M2_PR
NEW li1 ( 57730 42330 ) L1M1_PR_MR
NEW met1 ( 57730 42330 ) M1M2_PR
NEW met1 ( 57730 42330 ) RECT ( -355 -70 0 70 ) ;
- _071_ ( _193_ A ) ( _192_ X ) + USE SIGNAL
+ ROUTED met2 ( 63710 37570 ) ( * 39270 )
NEW met1 ( 60490 39270 ) ( 63710 * )
NEW li1 ( 63710 37570 ) L1M1_PR_MR
NEW met1 ( 63710 37570 ) M1M2_PR
NEW met1 ( 63710 39270 ) M1M2_PR
NEW li1 ( 60490 39270 ) L1M1_PR_MR
NEW met1 ( 63710 37570 ) RECT ( -355 -70 0 70 ) ;
- _072_ ( _195_ A ) ( _194_ X ) + USE SIGNAL
+ ROUTED met2 ( 59570 26690 ) ( * 31450 )
NEW met1 ( 52670 31450 ) ( 59570 * )
NEW li1 ( 59570 26690 ) L1M1_PR_MR
NEW met1 ( 59570 26690 ) M1M2_PR
NEW met1 ( 59570 31450 ) M1M2_PR
NEW li1 ( 52670 31450 ) L1M1_PR_MR
NEW met1 ( 59570 26690 ) RECT ( -355 -70 0 70 ) ;
- _073_ ( _197_ A ) ( _196_ X ) + USE SIGNAL
+ ROUTED met1 ( 51290 23970 ) ( 56350 * )
NEW met2 ( 51290 23970 ) ( * 28390 )
NEW met1 ( 48070 28390 ) ( 51290 * )
NEW li1 ( 56350 23970 ) L1M1_PR_MR
NEW met1 ( 51290 23970 ) M1M2_PR
NEW met1 ( 51290 28390 ) M1M2_PR
NEW li1 ( 48070 28390 ) L1M1_PR_MR ;
- _074_ ( _199_ A ) ( _198_ X ) + USE SIGNAL
+ ROUTED met2 ( 16330 33830 ) ( * 35870 )
NEW met1 ( 16330 35870 ) ( 16790 * )
NEW li1 ( 16330 33830 ) L1M1_PR_MR
NEW met1 ( 16330 33830 ) M1M2_PR
NEW met1 ( 16330 35870 ) M1M2_PR
NEW li1 ( 16790 35870 ) L1M1_PR_MR
NEW met1 ( 16330 33830 ) RECT ( -355 -70 0 70 ) ;
- _075_ ( _201_ A ) ( _200_ X ) + USE SIGNAL
+ ROUTED met2 ( 30590 33830 ) ( * 35870 )
NEW met1 ( 30590 35870 ) ( 33810 * )
NEW li1 ( 30590 33830 ) L1M1_PR_MR
NEW met1 ( 30590 33830 ) M1M2_PR
NEW met1 ( 30590 35870 ) M1M2_PR
NEW li1 ( 33810 35870 ) L1M1_PR_MR
NEW met1 ( 30590 33830 ) RECT ( -355 -70 0 70 ) ;
- _076_ ( _203_ A ) ( _202_ X ) + USE SIGNAL
+ ROUTED met2 ( 44390 31450 ) ( * 35870 )
NEW met1 ( 39330 35870 ) ( 44390 * )
NEW li1 ( 44390 31450 ) L1M1_PR_MR
NEW met1 ( 44390 31450 ) M1M2_PR
NEW met1 ( 44390 35870 ) M1M2_PR
NEW li1 ( 39330 35870 ) L1M1_PR_MR
NEW met1 ( 44390 31450 ) RECT ( -355 -70 0 70 ) ;
- _077_ ( _213_ B ) ( _211_ B ) ( _209_ B ) ( _207_ B ) ( _205_ B ) ( _204_ X ) + USE SIGNAL
+ ROUTED met2 ( 37030 40290 ) ( * 42330 )
NEW met1 ( 37030 42330 ) ( 41170 * )
NEW met2 ( 41170 39270 ) ( * 42330 )
NEW met2 ( 41170 42330 ) ( * 44710 )
NEW met1 ( 45310 41990 ) ( * 42330 )
NEW met1 ( 41170 41990 ) ( 45310 * )
NEW met1 ( 41170 41990 ) ( * 42330 )
NEW li1 ( 37030 42330 ) L1M1_PR_MR
NEW met1 ( 37030 42330 ) M1M2_PR
NEW li1 ( 37030 40290 ) L1M1_PR_MR
NEW met1 ( 37030 40290 ) M1M2_PR
NEW li1 ( 41170 42330 ) L1M1_PR_MR
NEW li1 ( 41170 39270 ) L1M1_PR_MR
NEW met1 ( 41170 39270 ) M1M2_PR
NEW met1 ( 41170 42330 ) M1M2_PR
NEW li1 ( 41170 44710 ) L1M1_PR_MR
NEW met1 ( 41170 44710 ) M1M2_PR
NEW li1 ( 45310 42330 ) L1M1_PR_MR
NEW met1 ( 37030 42330 ) RECT ( -355 -70 0 70 )
NEW met1 ( 37030 40290 ) RECT ( -355 -70 0 70 )
NEW met1 ( 41170 39270 ) RECT ( -355 -70 0 70 )
NEW met1 ( 41170 42330 ) RECT ( -595 -70 0 70 )
NEW met1 ( 41170 44710 ) RECT ( -355 -70 0 70 ) ;
- _078_ ( _206_ A ) ( _205_ X ) + USE SIGNAL
+ ROUTED met1 ( 42090 44710 ) ( 56350 * )
NEW li1 ( 56350 44710 ) L1M1_PR_MR
NEW li1 ( 42090 44710 ) L1M1_PR_MR ;
- _079_ ( _208_ A ) ( _207_ X ) + USE SIGNAL
+ ROUTED met1 ( 71530 38930 ) ( * 39270 )
NEW met1 ( 62100 38930 ) ( 71530 * )
NEW met1 ( 62100 38590 ) ( * 38930 )
NEW met1 ( 54970 38590 ) ( 62100 * )
NEW met2 ( 54970 38590 ) ( * 42670 )
NEW met1 ( 42090 42670 ) ( 54970 * )
NEW li1 ( 71530 39270 ) L1M1_PR_MR
NEW met1 ( 54970 38590 ) M1M2_PR
NEW met1 ( 54970 42670 ) M1M2_PR
NEW li1 ( 42090 42670 ) L1M1_PR_MR ;
- _080_ ( _210_ A ) ( _209_ X ) + USE SIGNAL
+ ROUTED met2 ( 52210 41140 ) ( * 41650 )
NEW met3 ( 52210 41140 ) ( 82570 * )
NEW met2 ( 82570 39270 ) ( * 41140 )
NEW met1 ( 46230 41650 ) ( 52210 * )
NEW li1 ( 46230 41650 ) L1M1_PR_MR
NEW met1 ( 52210 41650 ) M1M2_PR
NEW met2 ( 52210 41140 ) M2M3_PR
NEW met2 ( 82570 41140 ) M2M3_PR
NEW li1 ( 82570 39270 ) L1M1_PR_MR
NEW met1 ( 82570 39270 ) M1M2_PR
NEW met1 ( 82570 39270 ) RECT ( -355 -70 0 70 ) ;
- _081_ ( _212_ A ) ( _211_ X ) + USE SIGNAL
+ ROUTED met2 ( 97290 39270 ) ( * 44370 )
NEW met2 ( 37950 43010 ) ( * 44370 )
NEW met1 ( 37950 44370 ) ( 97290 * )
NEW met1 ( 97290 44370 ) M1M2_PR
NEW li1 ( 97290 39270 ) L1M1_PR_MR
NEW met1 ( 97290 39270 ) M1M2_PR
NEW li1 ( 37950 43010 ) L1M1_PR_MR
NEW met1 ( 37950 43010 ) M1M2_PR
NEW met1 ( 37950 44370 ) M1M2_PR
NEW met1 ( 97290 39270 ) RECT ( -355 -70 0 70 )
NEW met1 ( 37950 43010 ) RECT ( -355 -70 0 70 ) ;
- _082_ ( _214_ A ) ( _213_ X ) + USE SIGNAL
+ ROUTED met2 ( 96830 14790 ) ( * 37230 )
NEW met1 ( 96830 14790 ) ( 110170 * )
NEW met1 ( 110170 14790 ) ( * 15130 )
NEW met2 ( 42090 37230 ) ( * 38590 )
NEW met1 ( 42090 37230 ) ( 96830 * )
NEW met1 ( 96830 37230 ) M1M2_PR
NEW met1 ( 96830 14790 ) M1M2_PR
NEW li1 ( 110170 15130 ) L1M1_PR_MR
NEW met1 ( 42090 37230 ) M1M2_PR
NEW li1 ( 42090 38590 ) L1M1_PR_MR
NEW met1 ( 42090 38590 ) M1M2_PR
NEW met1 ( 42090 38590 ) RECT ( -355 -70 0 70 ) ;
- _083_ ( _224_ B ) ( _223_ A2 ) ( _220_ A2 ) ( _217_ A ) ( _215_ Y ) + USE SIGNAL
+ ROUTED met2 ( 20470 18190 ) ( * 22270 )
NEW met2 ( 20470 18190 ) ( 20930 * )
NEW met2 ( 20930 17510 ) ( * 18190 )
NEW met1 ( 25990 22950 ) ( 26450 * )
NEW met1 ( 25990 22950 ) ( * 23630 )
NEW met1 ( 20470 23630 ) ( 25990 * )
NEW met2 ( 20470 22270 ) ( * 23630 )
NEW met2 ( 24610 23630 ) ( * 27710 )
NEW met1 ( 21850 28390 ) ( 23230 * )
NEW met2 ( 23230 26860 ) ( * 28390 )
NEW met2 ( 23230 26860 ) ( 24610 * )
NEW li1 ( 20470 22270 ) L1M1_PR_MR
NEW met1 ( 20470 22270 ) M1M2_PR
NEW li1 ( 20930 17510 ) L1M1_PR_MR
NEW met1 ( 20930 17510 ) M1M2_PR
NEW li1 ( 26450 22950 ) L1M1_PR_MR
NEW met1 ( 20470 23630 ) M1M2_PR
NEW li1 ( 24610 27710 ) L1M1_PR_MR
NEW met1 ( 24610 27710 ) M1M2_PR
NEW met1 ( 24610 23630 ) M1M2_PR
NEW li1 ( 21850 28390 ) L1M1_PR_MR
NEW met1 ( 23230 28390 ) M1M2_PR
NEW met1 ( 20470 22270 ) RECT ( -355 -70 0 70 )
NEW met1 ( 20930 17510 ) RECT ( -355 -70 0 70 )
NEW met1 ( 24610 27710 ) RECT ( -355 -70 0 70 )
NEW met1 ( 24610 23630 ) RECT ( -595 -70 0 70 ) ;
- _084_ ( _221_ A ) ( _217_ B ) ( _216_ X ) + USE SIGNAL
+ ROUTED met2 ( 18170 22950 ) ( * 24990 )
NEW met1 ( 18170 22950 ) ( 25530 * )
NEW met1 ( 15870 26010 ) ( 18170 * )
NEW met1 ( 18170 24990 ) ( * 26010 )
NEW li1 ( 18170 24990 ) L1M1_PR_MR
NEW met1 ( 18170 24990 ) M1M2_PR
NEW met1 ( 18170 22950 ) M1M2_PR
NEW li1 ( 25530 22950 ) L1M1_PR_MR
NEW li1 ( 15870 26010 ) L1M1_PR_MR
NEW met1 ( 18170 24990 ) RECT ( -355 -70 0 70 ) ;
- _085_ ( _221_ B ) ( _219_ B ) ( _218_ Y ) + USE SIGNAL
+ ROUTED met1 ( 14950 20910 ) ( 19090 * )
NEW met2 ( 14950 20910 ) ( * 26010 )
NEW met1 ( 21390 20570 ) ( * 20910 )
NEW met1 ( 19090 20910 ) ( 21390 * )
NEW li1 ( 19090 20910 ) L1M1_PR_MR
NEW met1 ( 14950 20910 ) M1M2_PR
NEW li1 ( 14950 26010 ) L1M1_PR_MR
NEW met1 ( 14950 26010 ) M1M2_PR
NEW li1 ( 21390 20570 ) L1M1_PR_MR
NEW met1 ( 14950 26010 ) RECT ( -355 -70 0 70 ) ;
- _086_ ( _220_ B1 ) ( _219_ Y ) + USE SIGNAL
+ ROUTED met2 ( 20010 17510 ) ( * 19550 )
NEW met1 ( 20010 19550 ) ( 21390 * )
NEW li1 ( 20010 17510 ) L1M1_PR_MR
NEW met1 ( 20010 17510 ) M1M2_PR
NEW met1 ( 20010 19550 ) M1M2_PR
NEW li1 ( 21390 19550 ) L1M1_PR_MR
NEW met1 ( 20010 17510 ) RECT ( -355 -70 0 70 ) ;
- _087_ ( _223_ B1_N ) ( _222_ B1 ) ( _221_ Y ) + USE SIGNAL
+ ROUTED met2 ( 19550 26690 ) ( * 28390 )
NEW met1 ( 15410 26690 ) ( 19550 * )
NEW met1 ( 22310 31110 ) ( * 31450 )
NEW met1 ( 19550 31110 ) ( 22310 * )
NEW met2 ( 19550 28390 ) ( * 31110 )
NEW li1 ( 19550 28390 ) L1M1_PR_MR
NEW met1 ( 19550 28390 ) M1M2_PR
NEW met1 ( 19550 26690 ) M1M2_PR
NEW li1 ( 15410 26690 ) L1M1_PR_MR
NEW li1 ( 22310 31450 ) L1M1_PR_MR
NEW met1 ( 19550 31110 ) M1M2_PR
NEW met1 ( 19550 28390 ) RECT ( -355 -70 0 70 ) ;
- _088_ ( _259_ A ) ( _225_ A ) ( _224_ X ) + USE SIGNAL
+ ROUTED met1 ( 20470 118490 ) ( 25530 * )
NEW met2 ( 25530 118490 ) ( * 123930 )
NEW met1 ( 22310 23970 ) ( 25530 * )
NEW met2 ( 25530 23970 ) ( * 118490 )
NEW li1 ( 20470 118490 ) L1M1_PR_MR
NEW met1 ( 25530 118490 ) M1M2_PR
NEW li1 ( 25530 123930 ) L1M1_PR_MR
NEW met1 ( 25530 123930 ) M1M2_PR
NEW li1 ( 22310 23970 ) L1M1_PR_MR
NEW met1 ( 25530 23970 ) M1M2_PR
NEW met1 ( 25530 123930 ) RECT ( -355 -70 0 70 ) ;
- _089_ ( _272_ S ) ( _270_ S ) ( _248_ A ) ( _237_ A ) ( _226_ A ) ( _225_ X ) + USE SIGNAL
+ ROUTED met1 ( 21390 115090 ) ( 23690 * )
NEW met1 ( 21390 117470 ) ( 23690 * )
NEW met2 ( 23690 115090 ) ( * 117470 )
NEW met1 ( 23690 117470 ) ( 25070 * )
NEW met1 ( 19090 69530 ) ( 22770 * )
NEW met2 ( 22770 69530 ) ( * 72420 )
NEW met2 ( 22770 72420 ) ( 23690 * )
NEW met2 ( 23690 72420 ) ( * 115090 )
NEW met1 ( 23230 156230 ) ( 25990 * )
NEW met2 ( 25990 133620 ) ( * 156230 )
NEW met2 ( 25070 133620 ) ( 25990 * )
NEW met1 ( 15870 153850 ) ( 23230 * )
NEW met2 ( 23230 153850 ) ( * 156230 )
NEW met2 ( 25070 117470 ) ( * 133620 )
NEW met1 ( 21390 61030 ) ( 23690 * )
NEW met2 ( 23690 61030 ) ( * 72420 )
NEW li1 ( 21390 115090 ) L1M1_PR_MR
NEW met1 ( 23690 115090 ) M1M2_PR
NEW li1 ( 21390 117470 ) L1M1_PR_MR
NEW met1 ( 23690 117470 ) M1M2_PR
NEW met1 ( 25070 117470 ) M1M2_PR
NEW li1 ( 19090 69530 ) L1M1_PR_MR
NEW met1 ( 22770 69530 ) M1M2_PR
NEW li1 ( 23230 156230 ) L1M1_PR_MR
NEW met1 ( 25990 156230 ) M1M2_PR
NEW li1 ( 15870 153850 ) L1M1_PR_MR
NEW met1 ( 23230 153850 ) M1M2_PR
NEW met1 ( 23230 156230 ) M1M2_PR
NEW met1 ( 23690 61030 ) M1M2_PR
NEW li1 ( 21390 61030 ) L1M1_PR_MR
NEW met1 ( 23230 156230 ) RECT ( -595 -70 0 70 ) ;
- _090_ ( _235_ S ) ( _233_ S ) ( _231_ S ) ( _229_ S ) ( _227_ S ) ( _226_ X ) + USE SIGNAL
+ ROUTED met1 ( 13570 49470 ) ( * 49810 )
NEW met2 ( 13570 39610 ) ( * 49470 )
NEW met2 ( 11270 49810 ) ( * 56270 )
NEW met1 ( 11270 49810 ) ( 13570 * )
NEW met1 ( 14490 49810 ) ( * 50490 )
NEW met1 ( 24610 45050 ) ( * 45390 )
NEW met2 ( 25070 41990 ) ( * 45050 )
NEW met1 ( 24610 45050 ) ( 25070 * )
NEW met1 ( 22310 55930 ) ( 23230 * )
NEW met2 ( 23230 55930 ) ( * 60350 )
NEW met1 ( 21850 60350 ) ( 23230 * )
NEW met1 ( 22310 55930 ) ( * 56270 )
NEW met1 ( 13570 39610 ) ( 14030 * )
NEW met1 ( 13570 45390 ) ( 24610 * )
NEW met1 ( 13570 49810 ) ( 14490 * )
NEW met1 ( 11270 56270 ) ( 22310 * )
NEW met1 ( 13570 49470 ) M1M2_PR
NEW met1 ( 13570 39610 ) M1M2_PR
NEW met1 ( 13570 45390 ) M1M2_PR
NEW met1 ( 11270 56270 ) M1M2_PR
NEW met1 ( 11270 49810 ) M1M2_PR
NEW li1 ( 14490 50490 ) L1M1_PR_MR
NEW li1 ( 24610 45050 ) L1M1_PR_MR
NEW li1 ( 25070 41990 ) L1M1_PR_MR
NEW met1 ( 25070 41990 ) M1M2_PR
NEW met1 ( 25070 45050 ) M1M2_PR
NEW li1 ( 22310 55930 ) L1M1_PR_MR
NEW met1 ( 23230 55930 ) M1M2_PR
NEW met1 ( 23230 60350 ) M1M2_PR
NEW li1 ( 21850 60350 ) L1M1_PR_MR
NEW li1 ( 14030 39610 ) L1M1_PR_MR
NEW met2 ( 13570 45390 ) RECT ( -70 -485 70 0 )
NEW met1 ( 25070 41990 ) RECT ( 0 -70 355 70 ) ;
- _091_ ( _228_ A ) ( _227_ X ) + USE SIGNAL
+ ROUTED met1 ( 11270 40290 ) ( 12190 * )
NEW met2 ( 12190 40290 ) ( * 44710 )
NEW li1 ( 11270 40290 ) L1M1_PR_MR
NEW met1 ( 12190 40290 ) M1M2_PR
NEW li1 ( 12190 44710 ) L1M1_PR_MR
NEW met1 ( 12190 44710 ) M1M2_PR
NEW met1 ( 12190 44710 ) RECT ( -355 -70 0 70 ) ;
- _092_ ( _230_ A ) ( _229_ X ) + USE SIGNAL
+ ROUTED met2 ( 24150 36890 ) ( * 41310 )
NEW met1 ( 22310 41310 ) ( 24150 * )
NEW li1 ( 24150 36890 ) L1M1_PR_MR
NEW met1 ( 24150 36890 ) M1M2_PR
NEW met1 ( 24150 41310 ) M1M2_PR
NEW li1 ( 22310 41310 ) L1M1_PR_MR
NEW met1 ( 24150 36890 ) RECT ( -355 -70 0 70 ) ;
- _093_ ( _232_ A ) ( _231_ X ) + USE SIGNAL
+ ROUTED met1 ( 21850 45730 ) ( 24610 * )
NEW met2 ( 24610 45730 ) ( * 50150 )
NEW li1 ( 21850 45730 ) L1M1_PR_MR
NEW met1 ( 24610 45730 ) M1M2_PR
NEW li1 ( 24610 50150 ) L1M1_PR_MR
NEW met1 ( 24610 50150 ) M1M2_PR
NEW met1 ( 24610 50150 ) RECT ( -355 -70 0 70 ) ;
- _094_ ( _234_ A ) ( _233_ X ) + USE SIGNAL
+ ROUTED met1 ( 11730 51170 ) ( 12190 * )
NEW met2 ( 12190 51170 ) ( * 55590 )
NEW li1 ( 11730 51170 ) L1M1_PR_MR
NEW met1 ( 12190 51170 ) M1M2_PR
NEW li1 ( 12190 55590 ) L1M1_PR_MR
NEW met1 ( 12190 55590 ) M1M2_PR
NEW met1 ( 12190 55590 ) RECT ( -355 -70 0 70 ) ;
- _095_ ( _236_ A ) ( _235_ X ) + USE SIGNAL
+ ROUTED met1 ( 16790 56610 ) ( 19090 * )
NEW met2 ( 16790 56610 ) ( * 58650 )
NEW li1 ( 19090 56610 ) L1M1_PR_MR
NEW met1 ( 16790 56610 ) M1M2_PR
NEW li1 ( 16790 58650 ) L1M1_PR_MR
NEW met1 ( 16790 58650 ) M1M2_PR
NEW met1 ( 16790 58650 ) RECT ( -355 -70 0 70 ) ;
- _096_ ( _246_ S ) ( _244_ S ) ( _242_ S ) ( _240_ S ) ( _238_ S ) ( _237_ X ) + USE SIGNAL
+ ROUTED met1 ( 15410 83470 ) ( 21850 * )
NEW met1 ( 21850 83130 ) ( * 83470 )
NEW met2 ( 15410 83470 ) ( * 88570 )
NEW met1 ( 14490 72250 ) ( 15410 * )
NEW met1 ( 14490 66810 ) ( 15410 * )
NEW met2 ( 15410 66810 ) ( * 72250 )
NEW met1 ( 15410 69870 ) ( 20010 * )
NEW met1 ( 21850 66810 ) ( * 67150 )
NEW met1 ( 15410 67150 ) ( 21850 * )
NEW met1 ( 15410 66810 ) ( * 67150 )
NEW met2 ( 15410 72250 ) ( * 83470 )
NEW met1 ( 15410 83470 ) M1M2_PR
NEW li1 ( 21850 83130 ) L1M1_PR_MR
NEW li1 ( 15410 88570 ) L1M1_PR_MR
NEW met1 ( 15410 88570 ) M1M2_PR
NEW li1 ( 14490 72250 ) L1M1_PR_MR
NEW met1 ( 15410 72250 ) M1M2_PR
NEW li1 ( 14490 66810 ) L1M1_PR_MR
NEW met1 ( 15410 66810 ) M1M2_PR
NEW li1 ( 20010 69870 ) L1M1_PR_MR
NEW met1 ( 15410 69870 ) M1M2_PR
NEW li1 ( 21850 66810 ) L1M1_PR_MR
NEW met1 ( 15410 88570 ) RECT ( -355 -70 0 70 )
NEW met2 ( 15410 69870 ) RECT ( -70 -485 70 0 ) ;
- _097_ ( _239_ A ) ( _238_ X ) + USE SIGNAL
+ ROUTED met2 ( 12190 61030 ) ( * 65790 )
NEW met1 ( 11270 65790 ) ( 12190 * )
NEW li1 ( 12190 61030 ) L1M1_PR_MR
NEW met1 ( 12190 61030 ) M1M2_PR
NEW met1 ( 12190 65790 ) M1M2_PR
NEW li1 ( 11270 65790 ) L1M1_PR_MR
NEW met1 ( 12190 61030 ) RECT ( -355 -70 0 70 ) ;
- _098_ ( _241_ A ) ( _240_ X ) + USE SIGNAL
+ ROUTED met1 ( 19090 67490 ) ( 23230 * )
NEW met2 ( 23230 67490 ) ( * 71910 )
NEW met1 ( 22310 71910 ) ( 23230 * )
NEW li1 ( 19090 67490 ) L1M1_PR_MR
NEW met1 ( 23230 67490 ) M1M2_PR
NEW met1 ( 23230 71910 ) M1M2_PR
NEW li1 ( 22310 71910 ) L1M1_PR_MR ;
- _099_ ( _243_ A ) ( _242_ X ) + USE SIGNAL
+ ROUTED met1 ( 11270 72930 ) ( 12190 * )
NEW met2 ( 12190 72930 ) ( * 77350 )
NEW li1 ( 11270 72930 ) L1M1_PR_MR
NEW met1 ( 12190 72930 ) M1M2_PR
NEW li1 ( 12190 77350 ) L1M1_PR_MR
NEW met1 ( 12190 77350 ) M1M2_PR
NEW met1 ( 12190 77350 ) RECT ( -355 -70 0 70 ) ;
- _100_ ( _245_ A ) ( _244_ X ) + USE SIGNAL
+ ROUTED met2 ( 19090 77350 ) ( * 82110 )
NEW met1 ( 19090 77350 ) ( 20010 * )
NEW li1 ( 19090 82110 ) L1M1_PR_MR
NEW met1 ( 19090 82110 ) M1M2_PR
NEW met1 ( 19090 77350 ) M1M2_PR
NEW li1 ( 20010 77350 ) L1M1_PR_MR
NEW met1 ( 19090 82110 ) RECT ( -355 -70 0 70 ) ;
- _101_ ( _247_ A ) ( _246_ X ) + USE SIGNAL
+ ROUTED met1 ( 9890 88230 ) ( 12190 * )
NEW met1 ( 12190 87550 ) ( * 88230 )
NEW li1 ( 9890 88230 ) L1M1_PR_MR
NEW li1 ( 12190 87550 ) L1M1_PR_MR ;
- _102_ ( _257_ S ) ( _255_ S ) ( _253_ S ) ( _251_ S ) ( _249_ S ) ( _248_ X ) + USE SIGNAL
+ ROUTED met1 ( 18630 116450 ) ( 21850 * )
NEW met2 ( 18630 116450 ) ( * 121210 )
NEW met1 ( 15870 121210 ) ( 18630 * )
NEW met1 ( 15410 110330 ) ( 18630 * )
NEW met2 ( 18630 110330 ) ( * 116450 )
NEW met1 ( 17250 107270 ) ( 18630 * )
NEW met2 ( 18630 107270 ) ( * 110330 )
NEW met1 ( 15410 101830 ) ( 16790 * )
NEW met2 ( 16790 101830 ) ( * 107270 )
NEW met1 ( 16790 107270 ) ( 17250 * )
NEW met1 ( 14950 99450 ) ( 16790 * )
NEW met2 ( 16790 99450 ) ( * 101830 )
NEW li1 ( 21850 116450 ) L1M1_PR_MR
NEW met1 ( 18630 116450 ) M1M2_PR
NEW met1 ( 18630 121210 ) M1M2_PR
NEW li1 ( 15870 121210 ) L1M1_PR_MR
NEW li1 ( 15410 110330 ) L1M1_PR_MR
NEW met1 ( 18630 110330 ) M1M2_PR
NEW li1 ( 17250 107270 ) L1M1_PR_MR
NEW met1 ( 18630 107270 ) M1M2_PR
NEW li1 ( 15410 101830 ) L1M1_PR_MR
NEW met1 ( 16790 101830 ) M1M2_PR
NEW met1 ( 16790 107270 ) M1M2_PR
NEW li1 ( 14950 99450 ) L1M1_PR_MR
NEW met1 ( 16790 99450 ) M1M2_PR ;
- _103_ ( _250_ A ) ( _249_ X ) + USE SIGNAL
+ ROUTED met2 ( 13110 93670 ) ( * 98430 )
NEW met1 ( 11730 98430 ) ( 13110 * )
NEW li1 ( 13110 93670 ) L1M1_PR_MR
NEW met1 ( 13110 93670 ) M1M2_PR
NEW met1 ( 13110 98430 ) M1M2_PR
NEW li1 ( 11730 98430 ) L1M1_PR_MR
NEW met1 ( 13110 93670 ) RECT ( -355 -70 0 70 ) ;
- _104_ ( _252_ A ) ( _251_ X ) + USE SIGNAL
+ ROUTED met1 ( 12190 101490 ) ( 16330 * )
NEW met2 ( 16330 101490 ) ( * 104550 )
NEW li1 ( 12190 101490 ) L1M1_PR_MR
NEW met1 ( 16330 101490 ) M1M2_PR
NEW li1 ( 16330 104550 ) L1M1_PR_MR
NEW met1 ( 16330 104550 ) M1M2_PR
NEW met1 ( 16330 104550 ) RECT ( -355 -70 0 70 ) ;
- _105_ ( _254_ A ) ( _253_ X ) + USE SIGNAL
+ ROUTED met2 ( 20010 104550 ) ( * 106590 )
NEW met1 ( 14030 106590 ) ( 20010 * )
NEW li1 ( 20010 104550 ) L1M1_PR_MR
NEW met1 ( 20010 104550 ) M1M2_PR
NEW met1 ( 20010 106590 ) M1M2_PR
NEW li1 ( 14030 106590 ) L1M1_PR_MR
NEW met1 ( 20010 104550 ) RECT ( -355 -70 0 70 ) ;
- _106_ ( _256_ A ) ( _255_ X ) + USE SIGNAL
+ ROUTED met2 ( 12190 111010 ) ( * 115430 )
NEW li1 ( 12190 111010 ) L1M1_PR_MR
NEW met1 ( 12190 111010 ) M1M2_PR
NEW li1 ( 12190 115430 ) L1M1_PR_MR
NEW met1 ( 12190 115430 ) M1M2_PR
NEW met1 ( 12190 111010 ) RECT ( -355 -70 0 70 )
NEW met1 ( 12190 115430 ) RECT ( -355 -70 0 70 ) ;
- _107_ ( _258_ A ) ( _257_ X ) + USE SIGNAL
+ ROUTED met1 ( 12650 118490 ) ( 17250 * )
NEW met2 ( 12650 118490 ) ( * 120190 )
NEW li1 ( 17250 118490 ) L1M1_PR_MR
NEW met1 ( 12650 118490 ) M1M2_PR
NEW li1 ( 12650 120190 ) L1M1_PR_MR
NEW met1 ( 12650 120190 ) M1M2_PR
NEW met1 ( 12650 120190 ) RECT ( -355 -70 0 70 ) ;
- _108_ ( _268_ S ) ( _266_ S ) ( _264_ S ) ( _262_ S ) ( _260_ S ) ( _259_ X ) + USE SIGNAL
+ ROUTED met1 ( 14490 126650 ) ( * 126990 )
NEW met1 ( 13110 126990 ) ( 14490 * )
NEW met1 ( 23230 129030 ) ( * 129370 )
NEW met1 ( 13110 129370 ) ( 23230 * )
NEW met1 ( 23230 129370 ) ( 25530 * )
NEW met1 ( 25530 124610 ) ( 25990 * )
NEW met2 ( 25530 124610 ) ( * 129370 )
NEW met2 ( 25530 129370 ) ( * 131100 )
NEW met1 ( 23690 139910 ) ( 26450 * )
NEW met2 ( 26450 131100 ) ( * 139910 )
NEW met2 ( 25530 131100 ) ( 26450 * )
NEW met1 ( 13110 134470 ) ( 14490 * )
NEW met2 ( 13110 134470 ) ( * 145010 )
NEW met1 ( 13110 145010 ) ( 14490 * )
NEW met1 ( 14490 145010 ) ( * 145350 )
NEW met2 ( 13110 126990 ) ( * 134470 )
NEW li1 ( 14490 126650 ) L1M1_PR_MR
NEW met1 ( 13110 126990 ) M1M2_PR
NEW li1 ( 23230 129030 ) L1M1_PR_MR
NEW met1 ( 13110 129370 ) M1M2_PR
NEW met1 ( 25530 129370 ) M1M2_PR
NEW li1 ( 25990 124610 ) L1M1_PR_MR
NEW met1 ( 25530 124610 ) M1M2_PR
NEW li1 ( 23690 139910 ) L1M1_PR_MR
NEW met1 ( 26450 139910 ) M1M2_PR
NEW li1 ( 14490 134470 ) L1M1_PR_MR
NEW met1 ( 13110 134470 ) M1M2_PR
NEW met1 ( 13110 145010 ) M1M2_PR
NEW li1 ( 14490 145350 ) L1M1_PR_MR
NEW met2 ( 13110 129370 ) RECT ( -70 -485 70 0 ) ;
- _109_ ( _261_ A ) ( _260_ X ) + USE SIGNAL
+ ROUTED met1 ( 11730 127330 ) ( 12190 * )
NEW met2 ( 12190 127330 ) ( * 131750 )
NEW li1 ( 11730 127330 ) L1M1_PR_MR
NEW met1 ( 12190 127330 ) M1M2_PR
NEW li1 ( 12190 131750 ) L1M1_PR_MR
NEW met1 ( 12190 131750 ) M1M2_PR
NEW met1 ( 12190 131750 ) RECT ( -355 -70 0 70 ) ;
- _110_ ( _263_ A ) ( _262_ X ) + USE SIGNAL
+ ROUTED met1 ( 20470 128690 ) ( 22770 * )
NEW met2 ( 22770 128690 ) ( * 131100 )
NEW met2 ( 22770 131100 ) ( 23230 * )
NEW met2 ( 23230 131100 ) ( * 134810 )
NEW met1 ( 23230 134810 ) ( 23690 * )
NEW li1 ( 20470 128690 ) L1M1_PR_MR
NEW met1 ( 22770 128690 ) M1M2_PR
NEW met1 ( 23230 134810 ) M1M2_PR
NEW li1 ( 23690 134810 ) L1M1_PR_MR ;
- _111_ ( _265_ A ) ( _264_ X ) + USE SIGNAL
+ ROUTED met1 ( 11730 135490 ) ( 12190 * )
NEW met2 ( 12190 135490 ) ( * 140250 )
NEW li1 ( 11730 135490 ) L1M1_PR_MR
NEW met1 ( 12190 135490 ) M1M2_PR
NEW li1 ( 12190 140250 ) L1M1_PR_MR
NEW met1 ( 12190 140250 ) M1M2_PR
NEW met1 ( 12190 140250 ) RECT ( -355 -70 0 70 ) ;
- _112_ ( _267_ A ) ( _266_ X ) + USE SIGNAL
+ ROUTED met1 ( 20470 140930 ) ( 23230 * )
NEW met2 ( 23230 140930 ) ( * 145690 )
NEW li1 ( 20470 140930 ) L1M1_PR_MR
NEW met1 ( 23230 140930 ) M1M2_PR
NEW li1 ( 23230 145690 ) L1M1_PR_MR
NEW met1 ( 23230 145690 ) M1M2_PR
NEW met1 ( 23230 145690 ) RECT ( -355 -70 0 70 ) ;
- _113_ ( _269_ A ) ( _268_ X ) + USE SIGNAL
+ ROUTED met2 ( 11730 146370 ) ( * 151130 )
NEW met1 ( 11730 151130 ) ( 12190 * )
NEW li1 ( 11730 146370 ) L1M1_PR_MR
NEW met1 ( 11730 146370 ) M1M2_PR
NEW met1 ( 11730 151130 ) M1M2_PR
NEW li1 ( 12190 151130 ) L1M1_PR_MR
NEW met1 ( 11730 146370 ) RECT ( -355 -70 0 70 ) ;
- _114_ ( _271_ A ) ( _270_ X ) + USE SIGNAL
+ ROUTED met1 ( 20470 153510 ) ( 21850 * )
NEW met2 ( 20470 153510 ) ( * 155550 )
NEW li1 ( 21850 153510 ) L1M1_PR_MR
NEW met1 ( 20470 153510 ) M1M2_PR
NEW li1 ( 20470 155550 ) L1M1_PR_MR
NEW met1 ( 20470 155550 ) M1M2_PR
NEW met1 ( 20470 155550 ) RECT ( -355 -70 0 70 ) ;
- _115_ ( _273_ A ) ( _272_ X ) + USE SIGNAL
+ ROUTED met1 ( 12650 154530 ) ( 13570 * )
NEW met2 ( 13570 154530 ) ( * 158950 )
NEW li1 ( 12650 154530 ) L1M1_PR_MR
NEW met1 ( 13570 154530 ) M1M2_PR
NEW li1 ( 13570 158950 ) L1M1_PR_MR
NEW met1 ( 13570 158950 ) M1M2_PR
NEW met1 ( 13570 158950 ) RECT ( -355 -70 0 70 ) ;
- flash_io0_read ( PIN flash_io0_read ) + USE SIGNAL ;
- flash_io1_read ( PIN flash_io1_read ) + USE SIGNAL ;
- net1 ( input1 X ) ( _129_ A ) + USE SIGNAL
+ ROUTED met2 ( 36110 15130 ) ( * 16830 )
NEW met1 ( 23690 16830 ) ( 36110 * )
NEW li1 ( 36110 15130 ) L1M1_PR_MR
NEW met1 ( 36110 15130 ) M1M2_PR
NEW met1 ( 36110 16830 ) M1M2_PR
NEW li1 ( 23690 16830 ) L1M1_PR_MR
NEW met1 ( 36110 15130 ) RECT ( -355 -70 0 70 ) ;
- net10 ( input10 X ) ( _168_ A ) + USE SIGNAL
+ ROUTED met2 ( 204470 13090 ) ( * 52190 )
NEW met2 ( 47150 52190 ) ( * 80410 )
NEW met1 ( 47150 52190 ) ( 204470 * )
NEW li1 ( 204470 13090 ) L1M1_PR_MR
NEW met1 ( 204470 13090 ) M1M2_PR
NEW met1 ( 204470 52190 ) M1M2_PR
NEW li1 ( 47150 80410 ) L1M1_PR_MR
NEW met1 ( 47150 80410 ) M1M2_PR
NEW met1 ( 47150 52190 ) M1M2_PR
NEW met1 ( 204470 13090 ) RECT ( -355 -70 0 70 )
NEW met1 ( 47150 80410 ) RECT ( -355 -70 0 70 ) ;
- net100 ( output100 A ) ( _141_ X ) + USE SIGNAL
+ ROUTED met1 ( 7130 61030 ) ( * 61370 )
NEW met2 ( 55890 28730 ) ( * 61370 )
NEW met1 ( 7130 61370 ) ( 55890 * )
NEW met1 ( 55890 28730 ) ( 71990 * )
NEW li1 ( 7130 61030 ) L1M1_PR_MR
NEW li1 ( 71990 28730 ) L1M1_PR_MR
NEW met1 ( 55890 61370 ) M1M2_PR
NEW met1 ( 55890 28730 ) M1M2_PR ;
- net101 ( output101 A ) ( _143_ X ) + USE SIGNAL
+ ROUTED met1 ( 7130 66470 ) ( 69690 * )
NEW met2 ( 69690 31450 ) ( * 66470 )
NEW li1 ( 7130 66470 ) L1M1_PR_MR
NEW met1 ( 69690 66470 ) M1M2_PR
NEW li1 ( 69690 31450 ) L1M1_PR_MR
NEW met1 ( 69690 31450 ) M1M2_PR
NEW met1 ( 69690 31450 ) RECT ( -355 -70 0 70 ) ;
- net102 ( output102 A ) ( _145_ X ) + USE SIGNAL
+ ROUTED met1 ( 7130 71570 ) ( * 71910 )
NEW met2 ( 35190 69870 ) ( * 71570 )
NEW met1 ( 35190 69870 ) ( 65550 * )
NEW met1 ( 7130 71570 ) ( 35190 * )
NEW met1 ( 65550 34170 ) ( 71990 * )
NEW met2 ( 65550 34170 ) ( * 69870 )
NEW li1 ( 7130 71910 ) L1M1_PR_MR
NEW met1 ( 35190 71570 ) M1M2_PR
NEW met1 ( 35190 69870 ) M1M2_PR
NEW met1 ( 65550 69870 ) M1M2_PR
NEW met1 ( 65550 34170 ) M1M2_PR
NEW li1 ( 71990 34170 ) L1M1_PR_MR ;
- net103 ( output103 A ) ( _147_ X ) + USE SIGNAL
+ ROUTED met1 ( 7130 77010 ) ( * 77350 )
NEW met1 ( 61870 72930 ) ( 65550 * )
NEW met2 ( 61870 72930 ) ( * 77010 )
NEW met1 ( 7130 77010 ) ( 61870 * )
NEW li1 ( 7130 77350 ) L1M1_PR_MR
NEW li1 ( 65550 72930 ) L1M1_PR_MR
NEW met1 ( 61870 72930 ) M1M2_PR
NEW met1 ( 61870 77010 ) M1M2_PR ;
- net104 ( output104 A ) ( _149_ X ) + USE SIGNAL
+ ROUTED met1 ( 7130 82450 ) ( * 82790 )
NEW met2 ( 66470 81090 ) ( * 82450 )
NEW met1 ( 7130 82450 ) ( 66470 * )
NEW li1 ( 7130 82790 ) L1M1_PR_MR
NEW li1 ( 66470 81090 ) L1M1_PR_MR
NEW met1 ( 66470 81090 ) M1M2_PR
NEW met1 ( 66470 82450 ) M1M2_PR
NEW met1 ( 66470 81090 ) RECT ( -355 -70 0 70 ) ;
- net105 ( output105 A ) ( _220_ A1 ) ( _275_ Q ) + USE SIGNAL
+ ROUTED met1 ( 14490 12410 ) ( 24610 * )
NEW met1 ( 14490 12070 ) ( * 12410 )
NEW met1 ( 21390 15810 ) ( 24610 * )
NEW met2 ( 21390 15810 ) ( * 17510 )
NEW met2 ( 24610 12410 ) ( * 15810 )
NEW met1 ( 24610 12410 ) M1M2_PR
NEW li1 ( 14490 12070 ) L1M1_PR_MR
NEW li1 ( 24610 15810 ) L1M1_PR_MR
NEW met1 ( 21390 15810 ) M1M2_PR
NEW li1 ( 21390 17510 ) L1M1_PR_MR
NEW met1 ( 21390 17510 ) M1M2_PR
NEW met1 ( 24610 15810 ) M1M2_PR
NEW met1 ( 21390 17510 ) RECT ( 0 -70 355 70 )
NEW met1 ( 24610 15810 ) RECT ( -595 -70 0 70 ) ;
- net106 ( PIN flash_csb ) ( Flash_106 LO ) + USE SIGNAL
+ ROUTED met1 ( 18630 187170 ) ( 20010 * )
NEW met2 ( 18630 187170 ) ( * 196180 0 )
NEW li1 ( 20010 187170 ) L1M1_PR_MR
NEW met1 ( 18630 187170 ) M1M2_PR ;
- net107 ( PIN flash_io0_write ) ( Flash_107 LO ) + USE SIGNAL
+ ROUTED met2 ( 130870 187170 ) ( * 196180 0 )
NEW met1 ( 130870 187170 ) ( 132250 * )
NEW met1 ( 130870 187170 ) M1M2_PR
NEW li1 ( 132250 187170 ) L1M1_PR_MR ;
- net108 ( PIN flash_io1_we ) ( Flash_108 LO ) + USE SIGNAL
+ ROUTED met1 ( 205850 187170 ) ( 207230 * )
NEW met2 ( 205850 187170 ) ( * 196180 0 )
NEW li1 ( 207230 187170 ) L1M1_PR_MR
NEW met1 ( 205850 187170 ) M1M2_PR ;
- net109 ( PIN flash_io1_write ) ( Flash_109 LO ) + USE SIGNAL
+ ROUTED met1 ( 243570 187170 ) ( 244950 * )
NEW met2 ( 243570 187170 ) ( * 196180 0 )
NEW li1 ( 244950 187170 ) L1M1_PR_MR
NEW met1 ( 243570 187170 ) M1M2_PR ;
- net11 ( INSDIODE2_0 DIODE ) ( input11 X ) ( _170_ A ) + USE SIGNAL
+ ROUTED met2 ( 106950 8670 ) ( * 10030 )
NEW met2 ( 200790 10030 ) ( * 11390 )
NEW met1 ( 200790 11390 ) ( 213210 * )
NEW met1 ( 50830 8670 ) ( 106950 * )
NEW met1 ( 49910 83130 ) ( 51290 * )
NEW met1 ( 106950 10030 ) ( 200790 * )
NEW met2 ( 49910 62100 ) ( * 83130 )
NEW met2 ( 49910 62100 ) ( 50830 * )
NEW met2 ( 50830 8670 ) ( * 62100 )
NEW met1 ( 106950 8670 ) M1M2_PR
NEW met1 ( 106950 10030 ) M1M2_PR
NEW met1 ( 200790 10030 ) M1M2_PR
NEW met1 ( 200790 11390 ) M1M2_PR
NEW li1 ( 213210 11390 ) L1M1_PR_MR
NEW met1 ( 50830 8670 ) M1M2_PR
NEW li1 ( 49910 83130 ) L1M1_PR_MR
NEW met1 ( 49910 83130 ) M1M2_PR
NEW li1 ( 51290 83130 ) L1M1_PR_MR
NEW met1 ( 49910 83130 ) RECT ( -355 -70 0 70 ) ;
- net110 ( PIN flash_sck ) ( Flash_110 LO ) + USE SIGNAL
+ ROUTED met1 ( 280830 187170 ) ( 282210 * )
NEW met2 ( 280830 187170 ) ( * 196180 0 )
NEW li1 ( 282210 187170 ) L1M1_PR_MR
NEW met1 ( 280830 187170 ) M1M2_PR ;
- net111 ( PIN sram_addr0[0] ) ( Flash_111 LO ) + USE SIGNAL
+ ROUTED met2 ( 13110 3740 0 ) ( * 13940 )
NEW met2 ( 14490 13940 ) ( * 22950 )
NEW met2 ( 13110 13940 ) ( 14490 * )
NEW li1 ( 14490 22950 ) L1M1_PR_MR
NEW met1 ( 14490 22950 ) M1M2_PR
NEW met1 ( 14490 22950 ) RECT ( -355 -70 0 70 ) ;
- net112 ( PIN sram_addr0[1] ) ( Flash_112 LO ) + USE SIGNAL
+ ROUTED met1 ( 27830 14110 ) ( 29210 * )
NEW met2 ( 27830 3740 0 ) ( * 14110 )
NEW met1 ( 27830 14110 ) M1M2_PR
NEW li1 ( 29210 14110 ) L1M1_PR_MR ;
- net113 ( PIN sram_addr0[2] ) ( Flash_113 LO ) + USE SIGNAL
+ ROUTED met1 ( 42550 14110 ) ( 43930 * )
NEW met2 ( 42550 3740 0 ) ( * 14110 )
NEW met1 ( 42550 14110 ) M1M2_PR
NEW li1 ( 43930 14110 ) L1M1_PR_MR ;
- net114 ( PIN sram_addr0[3] ) ( Flash_114 LO ) + USE SIGNAL
+ ROUTED met2 ( 56810 3740 0 ) ( * 12070 )
NEW met1 ( 54970 12070 ) ( 56810 * )
NEW met1 ( 56810 12070 ) M1M2_PR
NEW li1 ( 54970 12070 ) L1M1_PR_MR ;
- net115 ( PIN sram_addr0[4] ) ( Flash_115 LO ) + USE SIGNAL
+ ROUTED met2 ( 71530 3740 0 ) ( * 12070 )
NEW met1 ( 71530 12070 ) ( 72450 * )
NEW met1 ( 71530 12070 ) M1M2_PR
NEW li1 ( 72450 12070 ) L1M1_PR_MR ;
- net116 ( PIN sram_addr0[5] ) ( Flash_116 LO ) + USE SIGNAL
+ ROUTED met2 ( 83950 3740 0 ) ( * 12070 )
NEW met1 ( 83950 12070 ) ( 84410 * )
NEW met1 ( 83950 12070 ) M1M2_PR
NEW li1 ( 84410 12070 ) L1M1_PR_MR ;
- net117 ( PIN sram_addr0[6] ) ( Flash_117 LO ) + USE SIGNAL
+ ROUTED met1 ( 95910 14110 ) ( 97290 * )
NEW met2 ( 95910 3740 0 ) ( * 14110 )
NEW met1 ( 95910 14110 ) M1M2_PR
NEW li1 ( 97290 14110 ) L1M1_PR_MR ;
- net118 ( PIN sram_addr0[7] ) ( Flash_118 LO ) + USE SIGNAL
+ ROUTED met2 ( 108330 3740 0 ) ( * 12070 )
NEW met1 ( 106490 12070 ) ( 108330 * )
NEW met1 ( 108330 12070 ) M1M2_PR
NEW li1 ( 106490 12070 ) L1M1_PR_MR ;
- net119 ( PIN sram_addr0[8] ) ( Flash_119 LO ) + USE SIGNAL
+ ROUTED met2 ( 120290 3740 0 ) ( * 12070 )
NEW met1 ( 119370 12070 ) ( 120290 * )
NEW met1 ( 120290 12070 ) M1M2_PR
NEW li1 ( 119370 12070 ) L1M1_PR_MR ;
- net12 ( input12 X ) ( _131_ A ) + USE SIGNAL
+ ROUTED met2 ( 32890 15810 ) ( * 20230 )
NEW met1 ( 32890 20230 ) ( 37950 * )
NEW li1 ( 32890 15810 ) L1M1_PR_MR
NEW met1 ( 32890 15810 ) M1M2_PR
NEW met1 ( 32890 20230 ) M1M2_PR
NEW li1 ( 37950 20230 ) L1M1_PR_MR
NEW met1 ( 32890 15810 ) RECT ( -355 -70 0 70 ) ;
- net120 ( PIN sram_din0[0] ) ( Flash_120 LO ) + USE SIGNAL
+ ROUTED met1 ( 16330 17510 ) ( 18170 * )
NEW met2 ( 18170 3740 0 ) ( * 17510 )
NEW met1 ( 18170 17510 ) M1M2_PR
NEW li1 ( 16330 17510 ) L1M1_PR_MR ;
- net121 ( PIN sram_din0[1] ) ( Flash_121 LO ) + USE SIGNAL
+ ROUTED met2 ( 32430 3740 0 ) ( * 12410 )
NEW met1 ( 29210 12410 ) ( 32430 * )
NEW met1 ( 32430 12410 ) M1M2_PR
NEW li1 ( 29210 12410 ) L1M1_PR_MR ;
- net122 ( PIN sram_din0[2] ) ( Flash_122 LO ) + USE SIGNAL
+ ROUTED met2 ( 47150 3740 0 ) ( * 12750 )
NEW met1 ( 42090 12750 ) ( 47150 * )
NEW met1 ( 47150 12750 ) M1M2_PR
NEW li1 ( 42090 12750 ) L1M1_PR_MR ;
- net123 ( PIN sram_din0[3] ) ( Flash_123 LO ) + USE SIGNAL
+ ROUTED met2 ( 61870 3740 0 ) ( * 12070 )
NEW met1 ( 61870 12070 ) ( 64630 * )
NEW met1 ( 61870 12070 ) M1M2_PR
NEW li1 ( 64630 12070 ) L1M1_PR_MR ;
- net124 ( PIN sram_din0[4] ) ( Flash_124 LO ) + USE SIGNAL
+ ROUTED met1 ( 76590 14110 ) ( 77970 * )
NEW met2 ( 76590 3740 0 ) ( * 14110 )
NEW met1 ( 76590 14110 ) M1M2_PR
NEW li1 ( 77970 14110 ) L1M1_PR_MR ;
- net125 ( PIN sram_din0[5] ) ( Flash_125 LO ) + USE SIGNAL
+ ROUTED met1 ( 88550 14110 ) ( 89930 * )
NEW met2 ( 88550 3740 0 ) ( * 14110 )
NEW met1 ( 88550 14110 ) M1M2_PR
NEW li1 ( 89930 14110 ) L1M1_PR_MR ;
- net126 ( PIN sram_din0[6] ) ( Flash_126 LO ) + USE SIGNAL
+ ROUTED met1 ( 100970 14110 ) ( 102350 * )
NEW met2 ( 100970 3740 0 ) ( * 14110 )
NEW met1 ( 100970 14110 ) M1M2_PR
NEW li1 ( 102350 14110 ) L1M1_PR_MR ;
- net127 ( PIN sram_din0[7] ) ( Flash_127 LO ) + USE SIGNAL
+ ROUTED met2 ( 112930 3740 0 ) ( * 12070 )
NEW met1 ( 112930 12070 ) ( 115690 * )
NEW met1 ( 112930 12070 ) M1M2_PR
NEW li1 ( 115690 12070 ) L1M1_PR_MR ;
- net128 ( PIN sram_din0[8] ) ( Flash_128 LO ) + USE SIGNAL
+ ROUTED met1 ( 125350 14110 ) ( 126730 * )
NEW met2 ( 125350 3740 0 ) ( * 14110 )
NEW met1 ( 125350 14110 ) M1M2_PR
NEW li1 ( 126730 14110 ) L1M1_PR_MR ;
- net129 ( PIN sram_din0[9] ) ( Flash_129 LO ) + USE SIGNAL
+ ROUTED met2 ( 132710 3740 0 ) ( * 12070 )
NEW met1 ( 132250 12070 ) ( 132710 * )
NEW met1 ( 132710 12070 ) M1M2_PR
NEW li1 ( 132250 12070 ) L1M1_PR_MR ;
- net13 ( INSDIODE2_1 DIODE ) ( input13 X ) ( _173_ A ) + USE SIGNAL
+ ROUTED met2 ( 219190 10370 ) ( * 11390 )
NEW met1 ( 35650 10370 ) ( 219190 * )
NEW met1 ( 34730 71230 ) ( 35650 * )
NEW met1 ( 35650 71910 ) ( 36110 * )
NEW met1 ( 35650 71230 ) ( * 71910 )
NEW met2 ( 35650 10370 ) ( * 71230 )
NEW met1 ( 219190 10370 ) M1M2_PR
NEW li1 ( 219190 11390 ) L1M1_PR_MR
NEW met1 ( 219190 11390 ) M1M2_PR
NEW met1 ( 35650 10370 ) M1M2_PR
NEW li1 ( 34730 71230 ) L1M1_PR_MR
NEW met1 ( 35650 71230 ) M1M2_PR
NEW li1 ( 36110 71910 ) L1M1_PR_MR
NEW met1 ( 219190 11390 ) RECT ( -355 -70 0 70 ) ;
- net130 ( PIN sram_din0[10] ) ( Flash_130 LO ) + USE SIGNAL
+ ROUTED met2 ( 140070 3740 0 ) ( * 12070 )
NEW met1 ( 140070 12070 ) ( 145130 * )
NEW met1 ( 140070 12070 ) M1M2_PR
NEW li1 ( 145130 12070 ) L1M1_PR_MR ;
- net131 ( PIN sram_din0[11] ) ( Flash_131 LO ) + USE SIGNAL
+ ROUTED met2 ( 147430 3740 0 ) ( * 12070 )
NEW met1 ( 147430 12070 ) ( 148810 * )
NEW met1 ( 147430 12070 ) M1M2_PR
NEW li1 ( 148810 12070 ) L1M1_PR_MR ;
- net132 ( PIN sram_din0[12] ) ( Flash_132 LO ) + USE SIGNAL
+ ROUTED met1 ( 154330 14110 ) ( 155710 * )
NEW met2 ( 154330 3740 0 ) ( * 14110 )
NEW met1 ( 154330 14110 ) M1M2_PR
NEW li1 ( 155710 14110 ) L1M1_PR_MR ;
- net133 ( PIN sram_din0[13] ) ( Flash_133 LO ) + USE SIGNAL
+ ROUTED met2 ( 161690 3740 0 ) ( * 14110 )
NEW met1 ( 161690 14110 ) ( 163070 * )
NEW met1 ( 161690 14110 ) M1M2_PR
NEW li1 ( 163070 14110 ) L1M1_PR_MR ;
- net134 ( PIN sram_din0[14] ) ( Flash_134 LO ) + USE SIGNAL
+ ROUTED met2 ( 169050 3740 0 ) ( * 12070 )
NEW met1 ( 169050 12070 ) ( 170430 * )
NEW met1 ( 169050 12070 ) M1M2_PR
NEW li1 ( 170430 12070 ) L1M1_PR_MR ;
- net135 ( PIN sram_din0[15] ) ( Flash_135 LO ) + USE SIGNAL
+ ROUTED met2 ( 176410 3740 0 ) ( * 7140 )
NEW met2 ( 176410 7140 ) ( 176870 * )
NEW met2 ( 176870 7140 ) ( * 14110 )
NEW met1 ( 176870 14110 ) ( 179170 * )
NEW met1 ( 176870 14110 ) M1M2_PR
NEW li1 ( 179170 14110 ) L1M1_PR_MR ;
- net136 ( PIN sram_din0[16] ) ( Flash_136 LO ) + USE SIGNAL
+ ROUTED met2 ( 183770 3740 0 ) ( * 14110 )
NEW met1 ( 183770 14110 ) ( 187450 * )
NEW met1 ( 183770 14110 ) M1M2_PR
NEW li1 ( 187450 14110 ) L1M1_PR_MR ;
- net137 ( PIN sram_din0[17] ) ( Flash_137 LO ) + USE SIGNAL
+ ROUTED met2 ( 191130 3740 0 ) ( * 12070 )
NEW met1 ( 191130 12070 ) ( 193890 * )
NEW met1 ( 191130 12070 ) M1M2_PR
NEW li1 ( 193890 12070 ) L1M1_PR_MR ;
- net138 ( PIN sram_din0[18] ) ( Flash_138 LO ) + USE SIGNAL
+ ROUTED met2 ( 198490 3740 0 ) ( * 14110 )
NEW met1 ( 198490 14110 ) ( 199870 * )
NEW met1 ( 198490 14110 ) M1M2_PR
NEW li1 ( 199870 14110 ) L1M1_PR_MR ;
- net139 ( PIN sram_din0[19] ) ( Flash_139 LO ) + USE SIGNAL
+ ROUTED met2 ( 205850 3740 0 ) ( * 12070 )
NEW met1 ( 205850 12070 ) ( 208150 * )
NEW met1 ( 205850 12070 ) M1M2_PR
NEW li1 ( 208150 12070 ) L1M1_PR_MR ;
- net14 ( INSDIODE2_2 DIODE ) ( input14 X ) ( _175_ A ) + USE SIGNAL
+ ROUTED met2 ( 46230 70210 ) ( * 71230 )
NEW met1 ( 40710 71570 ) ( * 71910 )
NEW met1 ( 40710 71570 ) ( 46230 * )
NEW met1 ( 46230 71230 ) ( * 71570 )
NEW met1 ( 46230 70210 ) ( 227930 * )
NEW met2 ( 227930 13090 ) ( * 70210 )
NEW li1 ( 227930 13090 ) L1M1_PR_MR
NEW met1 ( 227930 13090 ) M1M2_PR
NEW li1 ( 46230 71230 ) L1M1_PR_MR
NEW met1 ( 46230 71230 ) M1M2_PR
NEW met1 ( 46230 70210 ) M1M2_PR
NEW li1 ( 40710 71910 ) L1M1_PR_MR
NEW met1 ( 227930 70210 ) M1M2_PR
NEW met1 ( 227930 13090 ) RECT ( 0 -70 355 70 )
NEW met1 ( 46230 71230 ) RECT ( -355 -70 0 70 ) ;
- net140 ( PIN sram_din0[20] ) ( Flash_140 LO ) + USE SIGNAL
+ ROUTED met2 ( 213210 3740 0 ) ( * 14110 )
NEW met1 ( 213210 14110 ) ( 214590 * )
NEW met1 ( 213210 14110 ) M1M2_PR
NEW li1 ( 214590 14110 ) L1M1_PR_MR ;
- net141 ( PIN sram_din0[21] ) ( Flash_141 LO ) + USE SIGNAL
+ ROUTED met2 ( 220570 3740 0 ) ( * 14110 )
NEW met1 ( 220570 14110 ) ( 221950 * )
NEW met1 ( 220570 14110 ) M1M2_PR
NEW li1 ( 221950 14110 ) L1M1_PR_MR ;
- net142 ( PIN sram_din0[22] ) ( Flash_142 LO ) + USE SIGNAL
+ ROUTED met2 ( 227470 3740 0 ) ( * 14110 )
NEW met1 ( 227470 14110 ) ( 228850 * )
NEW met1 ( 227470 14110 ) M1M2_PR
NEW li1 ( 228850 14110 ) L1M1_PR_MR ;
- net143 ( PIN sram_din0[23] ) ( Flash_143 LO ) + USE SIGNAL
+ ROUTED met2 ( 234830 3740 0 ) ( * 14110 )
NEW met1 ( 234830 14110 ) ( 238970 * )
NEW met1 ( 234830 14110 ) M1M2_PR
NEW li1 ( 238970 14110 ) L1M1_PR_MR ;
- net144 ( PIN sram_din0[24] ) ( Flash_144 LO ) + USE SIGNAL
+ ROUTED met2 ( 242190 3740 0 ) ( * 12070 )
NEW met1 ( 242190 12070 ) ( 245870 * )
NEW met1 ( 242190 12070 ) M1M2_PR
NEW li1 ( 245870 12070 ) L1M1_PR_MR ;
- net145 ( PIN sram_din0[25] ) ( Flash_145 LO ) + USE SIGNAL
+ ROUTED met2 ( 249550 3740 0 ) ( * 14110 )
NEW met1 ( 249550 14110 ) ( 250930 * )
NEW met1 ( 249550 14110 ) M1M2_PR
NEW li1 ( 250930 14110 ) L1M1_PR_MR ;
- net146 ( PIN sram_din0[26] ) ( Flash_146 LO ) + USE SIGNAL
+ ROUTED met2 ( 256910 3740 0 ) ( * 14110 )
NEW met1 ( 256910 14110 ) ( 260130 * )
NEW met1 ( 256910 14110 ) M1M2_PR
NEW li1 ( 260130 14110 ) L1M1_PR_MR ;
- net147 ( PIN sram_din0[27] ) ( Flash_147 LO ) + USE SIGNAL
+ ROUTED met2 ( 264270 3740 0 ) ( * 14110 )
NEW met1 ( 264270 14110 ) ( 265650 * )
NEW met1 ( 264270 14110 ) M1M2_PR
NEW li1 ( 265650 14110 ) L1M1_PR_MR ;
- net148 ( PIN sram_din0[28] ) ( Flash_148 LO ) + USE SIGNAL
+ ROUTED met2 ( 271630 3740 0 ) ( * 14110 )
NEW met1 ( 271630 14110 ) ( 273010 * )
NEW met1 ( 271630 14110 ) M1M2_PR
NEW li1 ( 273010 14110 ) L1M1_PR_MR ;
- net149 ( PIN sram_din0[29] ) ( Flash_149 LO ) + USE SIGNAL
+ ROUTED met2 ( 278990 3740 0 ) ( * 14110 )
NEW met1 ( 278990 14110 ) ( 280370 * )
NEW met1 ( 278990 14110 ) M1M2_PR
NEW li1 ( 280370 14110 ) L1M1_PR_MR ;
- net15 ( input15 X ) ( _177_ A ) + USE SIGNAL
+ ROUTED met2 ( 202630 9350 ) ( * 12750 )
NEW met1 ( 37950 13090 ) ( 47610 * )
NEW met1 ( 47610 12750 ) ( * 13090 )
NEW met1 ( 47610 12750 ) ( 202630 * )
NEW met2 ( 233910 9350 ) ( * 11390 )
NEW met1 ( 202630 9350 ) ( 233910 * )
NEW met1 ( 36110 69190 ) ( 37490 * )
NEW met2 ( 37490 15300 ) ( 37950 * )
NEW met2 ( 37490 15300 ) ( * 69190 )
NEW met2 ( 37950 13090 ) ( * 15300 )
NEW met1 ( 202630 12750 ) M1M2_PR
NEW met1 ( 202630 9350 ) M1M2_PR
NEW met1 ( 37950 13090 ) M1M2_PR
NEW met1 ( 233910 9350 ) M1M2_PR
NEW li1 ( 233910 11390 ) L1M1_PR_MR
NEW met1 ( 233910 11390 ) M1M2_PR
NEW met1 ( 37490 69190 ) M1M2_PR
NEW li1 ( 36110 69190 ) L1M1_PR_MR
NEW met1 ( 233910 11390 ) RECT ( -355 -70 0 70 ) ;
- net150 ( PIN sram_din0[30] ) ( Flash_150 LO ) + USE SIGNAL
+ ROUTED met2 ( 286350 3740 0 ) ( * 12070 )
NEW met1 ( 286350 12070 ) ( 290490 * )
NEW met1 ( 286350 12070 ) M1M2_PR
NEW li1 ( 290490 12070 ) L1M1_PR_MR ;
- net151 ( PIN sram_din0[31] ) ( Flash_151 LO ) + USE SIGNAL
+ ROUTED met2 ( 293710 3740 0 ) ( * 14110 )
NEW met1 ( 290950 14110 ) ( 293710 * )
NEW met1 ( 293710 14110 ) M1M2_PR
NEW li1 ( 290950 14110 ) L1M1_PR_MR ;
- net152 ( PIN wb_error_o ) ( Flash_152 LO ) + USE SIGNAL
+ ROUTED met3 ( 3220 6460 0 ) ( 16790 * )
NEW met1 ( 16790 17850 ) ( 27830 * )
NEW met2 ( 16790 6460 ) ( * 17850 )
NEW met2 ( 16790 6460 ) M2M3_PR
NEW met1 ( 16790 17850 ) M1M2_PR
NEW li1 ( 27830 17850 ) L1M1_PR_MR ;
- net153 ( PIN flash_io0_we ) ( Flash_153 HI ) + USE SIGNAL
+ ROUTED met1 ( 93610 186150 ) ( 96370 * )
NEW met2 ( 93610 186150 ) ( * 196180 0 )
NEW li1 ( 96370 186150 ) L1M1_PR_MR
NEW met1 ( 93610 186150 ) M1M2_PR ;
- net154 ( PIN sram_csb0 ) ( Flash_154 HI ) + USE SIGNAL
+ ROUTED met2 ( 5750 3740 0 ) ( * 13940 )
NEW met2 ( 5750 13940 ) ( 7590 * )
NEW met2 ( 7590 13940 ) ( * 27710 )
NEW met1 ( 7590 27710 ) ( 10350 * )
NEW met1 ( 7590 27710 ) M1M2_PR
NEW li1 ( 10350 27710 ) L1M1_PR_MR ;
- net155 ( PIN sram_web0 ) ( Flash_155 HI ) + USE SIGNAL
+ ROUTED met2 ( 10810 3740 0 ) ( * 25670 )
NEW met1 ( 10810 25670 ) ( 11270 * )
NEW met1 ( 10810 25670 ) M1M2_PR
NEW li1 ( 11270 25670 ) L1M1_PR_MR ;
- net156 ( PIN sram_wmask0[0] ) ( Flash_156 HI ) + USE SIGNAL
+ ROUTED met2 ( 25530 3740 0 ) ( * 11390 )
NEW met1 ( 25070 11390 ) ( 25530 * )
NEW met1 ( 25530 11390 ) M1M2_PR
NEW li1 ( 25070 11390 ) L1M1_PR_MR ;
- net157 ( PIN sram_wmask0[1] ) ( Flash_157 HI ) + USE SIGNAL
+ ROUTED met2 ( 39790 3740 0 ) ( * 11390 )
NEW met1 ( 37950 11390 ) ( 39790 * )
NEW met1 ( 39790 11390 ) M1M2_PR
NEW li1 ( 37950 11390 ) L1M1_PR_MR ;
- net158 ( PIN sram_wmask0[2] ) ( Flash_158 HI ) + USE SIGNAL
+ ROUTED met2 ( 54510 3740 0 ) ( * 11390 )
NEW met1 ( 50830 11390 ) ( 54510 * )
NEW met1 ( 54510 11390 ) M1M2_PR
NEW li1 ( 50830 11390 ) L1M1_PR_MR ;
- net159 ( PIN sram_wmask0[3] ) ( Flash_159 HI ) + USE SIGNAL
+ ROUTED met1 ( 69230 14790 ) ( 69690 * )
NEW met2 ( 69230 3740 0 ) ( * 14790 )
NEW met1 ( 69230 14790 ) M1M2_PR
NEW li1 ( 69690 14790 ) L1M1_PR_MR ;
- net16 ( input16 X ) ( _179_ A ) + USE SIGNAL
+ ROUTED met1 ( 31050 13090 ) ( 34500 * )
NEW met1 ( 34500 12410 ) ( * 13090 )
NEW met1 ( 241730 12410 ) ( * 12750 )
NEW met1 ( 34500 12410 ) ( 241730 * )
NEW met2 ( 31050 13090 ) ( * 71910 )
NEW met1 ( 31050 13090 ) M1M2_PR
NEW li1 ( 31050 71910 ) L1M1_PR_MR
NEW met1 ( 31050 71910 ) M1M2_PR
NEW li1 ( 241730 12750 ) L1M1_PR_MR
NEW met1 ( 31050 71910 ) RECT ( -355 -70 0 70 ) ;
- net17 ( input17 X ) ( _181_ A ) + USE SIGNAL
+ ROUTED met2 ( 120750 11730 ) ( * 11900 )
NEW met2 ( 120750 11900 ) ( 121670 * )
NEW met2 ( 121670 11730 ) ( * 11900 )
NEW met1 ( 212750 11730 ) ( * 12070 )
NEW met1 ( 38410 11730 ) ( 120750 * )
NEW met1 ( 179400 11730 ) ( 212750 * )
NEW met1 ( 170890 11730 ) ( * 12070 )
NEW met1 ( 170890 12070 ) ( 179400 * )
NEW met1 ( 179400 11730 ) ( * 12070 )
NEW met1 ( 121670 11730 ) ( 170890 * )
NEW met1 ( 241270 11390 ) ( * 12070 )
NEW met1 ( 241270 11390 ) ( 253690 * )
NEW met1 ( 212750 12070 ) ( 241270 * )
NEW met1 ( 35650 74630 ) ( 38870 * )
NEW met2 ( 38410 11730 ) ( * 13800 )
NEW met2 ( 38410 13800 ) ( 38870 * )
NEW met2 ( 38870 13800 ) ( * 74630 )
NEW met1 ( 120750 11730 ) M1M2_PR
NEW met1 ( 121670 11730 ) M1M2_PR
NEW met1 ( 38410 11730 ) M1M2_PR
NEW li1 ( 253690 11390 ) L1M1_PR_MR
NEW met1 ( 38870 74630 ) M1M2_PR
NEW li1 ( 35650 74630 ) L1M1_PR_MR ;
- net18 ( input18 X ) ( _184_ A ) + USE SIGNAL
+ ROUTED met2 ( 106490 10030 ) ( * 13090 )
NEW met1 ( 203550 12750 ) ( * 13090 )
NEW met1 ( 58650 10030 ) ( 106490 * )
NEW met1 ( 106490 13090 ) ( 203550 * )
NEW met1 ( 241270 12750 ) ( * 13090 )
NEW met1 ( 241270 13090 ) ( 258290 * )
NEW met1 ( 203550 12750 ) ( 241270 * )
NEW met1 ( 58650 36210 ) ( * 36550 )
NEW met1 ( 57730 36550 ) ( 58650 * )
NEW met2 ( 58650 10030 ) ( * 36210 )
NEW met1 ( 106490 10030 ) M1M2_PR
NEW met1 ( 106490 13090 ) M1M2_PR
NEW met1 ( 58650 10030 ) M1M2_PR
NEW li1 ( 258290 13090 ) L1M1_PR_MR
NEW met1 ( 58650 36210 ) M1M2_PR
NEW li1 ( 57730 36550 ) L1M1_PR_MR ;
- net19 ( INSDIODE2_3 DIODE ) ( input19 X ) ( _186_ A ) + USE SIGNAL
+ ROUTED met2 ( 264730 13090 ) ( * 33490 )
NEW met1 ( 56350 33490 ) ( * 33830 )
NEW met1 ( 54970 33490 ) ( 56350 * )
NEW met1 ( 56350 33490 ) ( 264730 * )
NEW li1 ( 264730 13090 ) L1M1_PR_MR
NEW met1 ( 264730 13090 ) M1M2_PR
NEW met1 ( 264730 33490 ) M1M2_PR
NEW li1 ( 56350 33830 ) L1M1_PR_MR
NEW li1 ( 54970 33490 ) L1M1_PR_MR
NEW met1 ( 264730 13090 ) RECT ( -355 -70 0 70 ) ;
- net2 ( input2 X ) ( _151_ A ) + USE SIGNAL
+ ROUTED met2 ( 146510 15130 ) ( * 20230 )
NEW met1 ( 60490 20230 ) ( 146510 * )
NEW li1 ( 60490 20230 ) L1M1_PR_MR
NEW met1 ( 146510 20230 ) M1M2_PR
NEW li1 ( 146510 15130 ) L1M1_PR_MR
NEW met1 ( 146510 15130 ) M1M2_PR
NEW met1 ( 146510 15130 ) RECT ( -355 -70 0 70 ) ;
- net20 ( input20 X ) ( _188_ A ) + USE SIGNAL
+ ROUTED met2 ( 270710 7990 ) ( * 11390 )
NEW met1 ( 56350 7990 ) ( 270710 * )
NEW met2 ( 56350 7990 ) ( * 39270 )
NEW met1 ( 56350 7990 ) M1M2_PR
NEW met1 ( 270710 7990 ) M1M2_PR
NEW li1 ( 270710 11390 ) L1M1_PR_MR
NEW met1 ( 270710 11390 ) M1M2_PR
NEW li1 ( 56350 39270 ) L1M1_PR_MR
NEW met1 ( 56350 39270 ) M1M2_PR
NEW met1 ( 270710 11390 ) RECT ( -355 -70 0 70 )
NEW met1 ( 56350 39270 ) RECT ( -355 -70 0 70 ) ;
- net21 ( input21 X ) ( _190_ A ) + USE SIGNAL
+ ROUTED met2 ( 279450 11390 ) ( * 11900 )
NEW met3 ( 54510 11900 ) ( 279450 * )
NEW met1 ( 53130 36550 ) ( 54510 * )
NEW met2 ( 54510 11900 ) ( * 36550 )
NEW met2 ( 279450 11900 ) M2M3_PR
NEW li1 ( 279450 11390 ) L1M1_PR_MR
NEW met1 ( 279450 11390 ) M1M2_PR
NEW met2 ( 54510 11900 ) M2M3_PR
NEW met1 ( 54510 36550 ) M1M2_PR
NEW li1 ( 53130 36550 ) L1M1_PR_MR
NEW met1 ( 279450 11390 ) RECT ( -355 -70 0 70 ) ;
- net22 ( input22 X ) ( _192_ A ) + USE SIGNAL
+ ROUTED met2 ( 284970 7650 ) ( * 11390 )
NEW met1 ( 59110 7650 ) ( 284970 * )
NEW met1 ( 59110 36550 ) ( 61870 * )
NEW met2 ( 59110 7650 ) ( * 36550 )
NEW met1 ( 284970 7650 ) M1M2_PR
NEW li1 ( 284970 11390 ) L1M1_PR_MR
NEW met1 ( 284970 11390 ) M1M2_PR
NEW met1 ( 59110 7650 ) M1M2_PR
NEW met1 ( 59110 36550 ) M1M2_PR
NEW li1 ( 61870 36550 ) L1M1_PR_MR
NEW met1 ( 284970 11390 ) RECT ( -355 -70 0 70 ) ;
- net23 ( input23 X ) ( _133_ A ) + USE SIGNAL
+ ROUTED met2 ( 52670 15810 ) ( * 20230 )
NEW met1 ( 48530 20230 ) ( 52670 * )
NEW li1 ( 52670 15810 ) L1M1_PR_MR
NEW met1 ( 52670 15810 ) M1M2_PR
NEW met1 ( 52670 20230 ) M1M2_PR
NEW li1 ( 48530 20230 ) L1M1_PR_MR
NEW met1 ( 52670 15810 ) RECT ( -355 -70 0 70 ) ;
- net24 ( input24 X ) ( _194_ A ) + USE SIGNAL
+ ROUTED met2 ( 66010 16830 ) ( * 18530 )
NEW met2 ( 58190 18530 ) ( * 26010 )
NEW met1 ( 58190 18530 ) ( 66010 * )
NEW met1 ( 66010 16830 ) ( 289570 * )
NEW li1 ( 289570 16830 ) L1M1_PR_MR
NEW met1 ( 66010 18530 ) M1M2_PR
NEW met1 ( 66010 16830 ) M1M2_PR
NEW met1 ( 58190 18530 ) M1M2_PR
NEW li1 ( 58190 26010 ) L1M1_PR_MR
NEW met1 ( 58190 26010 ) M1M2_PR
NEW met1 ( 58190 26010 ) RECT ( -355 -70 0 70 ) ;
- net25 ( input25 X ) ( _196_ A ) + USE SIGNAL
+ ROUTED met1 ( 227700 15470 ) ( * 15810 )
NEW met1 ( 227700 15810 ) ( 285430 * )
NEW met2 ( 54970 15470 ) ( * 22950 )
NEW met1 ( 158700 15470 ) ( 227700 * )
NEW met1 ( 117070 14450 ) ( * 15470 )
NEW met1 ( 117070 14450 ) ( 158700 * )
NEW met1 ( 158700 14450 ) ( * 15470 )
NEW met1 ( 54970 15470 ) ( 117070 * )
NEW li1 ( 285430 15810 ) L1M1_PR_MR
NEW met1 ( 54970 15470 ) M1M2_PR
NEW li1 ( 54970 22950 ) L1M1_PR_MR
NEW met1 ( 54970 22950 ) M1M2_PR
NEW met1 ( 54970 22950 ) RECT ( -355 -70 0 70 ) ;
- net26 ( input26 X ) ( _135_ A ) + USE SIGNAL
+ ROUTED met1 ( 54970 13090 ) ( 66930 * )
NEW met1 ( 46230 14790 ) ( 54970 * )
NEW met2 ( 54970 13090 ) ( * 14790 )
NEW li1 ( 66930 13090 ) L1M1_PR_MR
NEW met1 ( 54970 13090 ) M1M2_PR
NEW met1 ( 54970 14790 ) M1M2_PR
NEW li1 ( 46230 14790 ) L1M1_PR_MR ;
- net27 ( input27 X ) ( _137_ A ) + USE SIGNAL
+ ROUTED met2 ( 63250 15130 ) ( * 18190 )
NEW met1 ( 63250 15130 ) ( 79810 * )
NEW met2 ( 79810 13090 ) ( * 15130 )
NEW met1 ( 46690 17850 ) ( * 18190 )
NEW met1 ( 46690 18190 ) ( 63250 * )
NEW li1 ( 79810 13090 ) L1M1_PR_MR
NEW met1 ( 79810 13090 ) M1M2_PR
NEW met1 ( 63250 18190 ) M1M2_PR
NEW met1 ( 63250 15130 ) M1M2_PR
NEW met1 ( 79810 15130 ) M1M2_PR
NEW li1 ( 46690 17850 ) L1M1_PR_MR
NEW met1 ( 79810 13090 ) RECT ( -355 -70 0 70 ) ;
- net28 ( input28 X ) ( _140_ A ) + USE SIGNAL
+ ROUTED met1 ( 69230 24990 ) ( 92690 * )
NEW met1 ( 69230 24990 ) ( * 25670 )
NEW met1 ( 65550 25670 ) ( 69230 * )
NEW met2 ( 92690 13090 ) ( * 24990 )
NEW li1 ( 92690 13090 ) L1M1_PR_MR
NEW met1 ( 92690 13090 ) M1M2_PR
NEW met1 ( 92690 24990 ) M1M2_PR
NEW li1 ( 65550 25670 ) L1M1_PR_MR
NEW met1 ( 92690 13090 ) RECT ( -355 -70 0 70 ) ;
- net29 ( input29 X ) ( _142_ A ) + USE SIGNAL
+ ROUTED met1 ( 82570 14450 ) ( 105570 * )
NEW met2 ( 82570 14450 ) ( * 25330 )
NEW met1 ( 69690 25330 ) ( 82570 * )
NEW met1 ( 69690 25330 ) ( * 25670 )
NEW li1 ( 105570 14450 ) L1M1_PR_MR
NEW met1 ( 82570 14450 ) M1M2_PR
NEW met1 ( 82570 25330 ) M1M2_PR
NEW li1 ( 69690 25670 ) L1M1_PR_MR ;
- net3 ( INSDIODE2_4 DIODE ) ( input3 X ) ( _153_ A ) + USE SIGNAL
+ ROUTED met1 ( 142370 11390 ) ( 153640 * )
NEW met2 ( 65090 15810 ) ( * 17170 )
NEW met1 ( 59110 17510 ) ( 60490 * )
NEW met1 ( 60490 17170 ) ( * 17510 )
NEW met1 ( 60490 17170 ) ( 65090 * )
NEW met1 ( 117530 14790 ) ( * 15810 )
NEW met1 ( 117530 14790 ) ( 142370 * )
NEW met1 ( 65090 15810 ) ( 117530 * )
NEW met2 ( 142370 11390 ) ( * 14790 )
NEW met1 ( 142370 11390 ) M1M2_PR
NEW li1 ( 153640 11390 ) L1M1_PR_MR
NEW met1 ( 65090 17170 ) M1M2_PR
NEW met1 ( 65090 15810 ) M1M2_PR
NEW li1 ( 60490 17510 ) L1M1_PR_MR
NEW li1 ( 59110 17510 ) L1M1_PR_MR
NEW met1 ( 142370 14790 ) M1M2_PR ;
- net30 ( input30 X ) ( _144_ A ) + USE SIGNAL
+ ROUTED met1 ( 70610 22610 ) ( * 22950 )
NEW met2 ( 118450 15810 ) ( * 22610 )
NEW met1 ( 70610 22610 ) ( 118450 * )
NEW li1 ( 70610 22950 ) L1M1_PR_MR
NEW li1 ( 118450 15810 ) L1M1_PR_MR
NEW met1 ( 118450 15810 ) M1M2_PR
NEW met1 ( 118450 22610 ) M1M2_PR
NEW met1 ( 118450 15810 ) RECT ( -355 -70 0 70 ) ;
- net31 ( input31 X ) ( _146_ A ) + USE SIGNAL
+ ROUTED met1 ( 124890 11390 ) ( 128110 * )
NEW met2 ( 124890 11220 ) ( * 11390 )
NEW met2 ( 123970 11220 ) ( 124890 * )
NEW met1 ( 66010 23290 ) ( 123970 * )
NEW met2 ( 123970 11220 ) ( * 23290 )
NEW li1 ( 128110 11390 ) L1M1_PR_MR
NEW met1 ( 124890 11390 ) M1M2_PR
NEW li1 ( 66010 23290 ) L1M1_PR_MR
NEW met1 ( 123970 23290 ) M1M2_PR ;
- net32 ( input32 X ) ( _148_ A ) + USE SIGNAL
+ ROUTED met1 ( 128570 11390 ) ( 138920 * )
NEW met1 ( 73830 25670 ) ( 128570 * )
NEW met2 ( 128570 11390 ) ( * 25670 )
NEW met1 ( 128570 11390 ) M1M2_PR
NEW li1 ( 138920 11390 ) L1M1_PR_MR
NEW li1 ( 73830 25670 ) L1M1_PR_MR
NEW met1 ( 128570 25670 ) M1M2_PR ;
- net33 ( input33 X ) ( _244_ A1 ) + USE SIGNAL
+ ROUTED met1 ( 18630 83130 ) ( 21390 * )
NEW met2 ( 18630 83130 ) ( * 84830 )
NEW met1 ( 7130 84830 ) ( 18630 * )
NEW li1 ( 21390 83130 ) L1M1_PR_MR
NEW met1 ( 18630 83130 ) M1M2_PR
NEW met1 ( 18630 84830 ) M1M2_PR
NEW li1 ( 7130 84830 ) L1M1_PR_MR ;
- net34 ( input34 X ) ( _246_ A1 ) + USE SIGNAL
+ ROUTED met2 ( 14490 88570 ) ( * 92990 )
NEW met1 ( 7130 92990 ) ( 14490 * )
NEW li1 ( 14490 88570 ) L1M1_PR_MR
NEW met1 ( 14490 88570 ) M1M2_PR
NEW met1 ( 14490 92990 ) M1M2_PR
NEW li1 ( 7130 92990 ) L1M1_PR_MR
NEW met1 ( 14490 88570 ) RECT ( -355 -70 0 70 ) ;
- net35 ( input35 X ) ( _249_ A1 ) + USE SIGNAL
+ ROUTED met1 ( 7130 98770 ) ( 14030 * )
NEW met1 ( 7130 98430 ) ( * 98770 )
NEW li1 ( 14030 98770 ) L1M1_PR_MR
NEW li1 ( 7130 98430 ) L1M1_PR_MR ;
- net36 ( input36 X ) ( _251_ A1 ) + USE SIGNAL
+ ROUTED met2 ( 14490 102850 ) ( * 103870 )
NEW met1 ( 7130 103870 ) ( 14490 * )
NEW li1 ( 14490 102850 ) L1M1_PR_MR
NEW met1 ( 14490 102850 ) M1M2_PR
NEW met1 ( 14490 103870 ) M1M2_PR
NEW li1 ( 7130 103870 ) L1M1_PR_MR
NEW met1 ( 14490 102850 ) RECT ( -355 -70 0 70 ) ;
- net37 ( input37 X ) ( _253_ A1 ) + USE SIGNAL
+ ROUTED met2 ( 16330 108290 ) ( * 112030 )
NEW met1 ( 7130 112030 ) ( 16330 * )
NEW li1 ( 16330 108290 ) L1M1_PR_MR
NEW met1 ( 16330 108290 ) M1M2_PR
NEW met1 ( 16330 112030 ) M1M2_PR
NEW li1 ( 7130 112030 ) L1M1_PR_MR
NEW met1 ( 16330 108290 ) RECT ( -355 -70 0 70 ) ;
- net38 ( input38 X ) ( _255_ A1 ) + USE SIGNAL
+ ROUTED met1 ( 14490 110330 ) ( * 110670 )
NEW met1 ( 10350 110670 ) ( 14490 * )
NEW met2 ( 10350 110670 ) ( * 114750 )
NEW met1 ( 7130 114750 ) ( 10350 * )
NEW li1 ( 14490 110330 ) L1M1_PR_MR
NEW met1 ( 10350 110670 ) M1M2_PR
NEW met1 ( 10350 114750 ) M1M2_PR
NEW li1 ( 7130 114750 ) L1M1_PR_MR ;
- net39 ( input39 X ) ( _257_ A1 ) + USE SIGNAL
+ ROUTED met1 ( 7130 119170 ) ( 14950 * )
NEW met2 ( 14950 119170 ) ( * 120190 )
NEW li1 ( 7130 119170 ) L1M1_PR_MR
NEW met1 ( 14950 119170 ) M1M2_PR
NEW li1 ( 14950 120190 ) L1M1_PR_MR
NEW met1 ( 14950 120190 ) M1M2_PR
NEW met1 ( 14950 120190 ) RECT ( 0 -70 355 70 ) ;
- net4 ( input4 X ) ( _155_ A ) + USE SIGNAL
+ ROUTED met2 ( 162150 12070 ) ( * 17170 )
NEW met1 ( 65550 17170 ) ( * 17510 )
NEW met1 ( 65550 17170 ) ( 162150 * )
NEW met1 ( 162150 17170 ) M1M2_PR
NEW li1 ( 162150 12070 ) L1M1_PR_MR
NEW met1 ( 162150 12070 ) M1M2_PR
NEW li1 ( 65550 17510 ) L1M1_PR_MR
NEW met1 ( 162150 12070 ) RECT ( -355 -70 0 70 ) ;
- net40 ( input40 X ) ( _260_ A1 ) + USE SIGNAL
+ ROUTED met1 ( 11730 126650 ) ( 14030 * )
NEW met2 ( 11730 126650 ) ( * 128350 )
NEW met1 ( 7130 128350 ) ( 11730 * )
NEW li1 ( 14030 126650 ) L1M1_PR_MR
NEW met1 ( 11730 126650 ) M1M2_PR
NEW met1 ( 11730 128350 ) M1M2_PR
NEW li1 ( 7130 128350 ) L1M1_PR_MR ;
- net41 ( input41 X ) ( _262_ A1 ) + USE SIGNAL
+ ROUTED met1 ( 22770 130050 ) ( 24610 * )
NEW met2 ( 24610 134980 ) ( 25070 * )
NEW met2 ( 25070 134980 ) ( * 139570 )
NEW met1 ( 7130 139570 ) ( 25070 * )
NEW met2 ( 24610 130050 ) ( * 134980 )
NEW li1 ( 22770 130050 ) L1M1_PR_MR
NEW met1 ( 24610 130050 ) M1M2_PR
NEW met1 ( 25070 139570 ) M1M2_PR
NEW li1 ( 7130 139570 ) L1M1_PR_MR ;
- net42 ( input42 X ) ( _264_ A1 ) + USE SIGNAL
+ ROUTED met1 ( 14030 135490 ) ( 14490 * )
NEW met2 ( 14490 135490 ) ( * 139230 )
NEW li1 ( 14030 135490 ) L1M1_PR_MR
NEW met1 ( 14490 135490 ) M1M2_PR
NEW li1 ( 14490 139230 ) L1M1_PR_MR
NEW met1 ( 14490 139230 ) M1M2_PR
NEW met1 ( 14490 139230 ) RECT ( -355 -70 0 70 ) ;
- net43 ( input43 X ) ( _266_ A1 ) + USE SIGNAL
+ ROUTED met1 ( 20930 140250 ) ( 22770 * )
NEW met2 ( 20930 140250 ) ( * 143140 )
NEW met2 ( 20470 143140 ) ( 20930 * )
NEW met2 ( 20470 143140 ) ( * 145180 )
NEW met2 ( 20470 145180 ) ( 20930 * )
NEW met2 ( 20930 145180 ) ( * 145690 )
NEW met1 ( 14030 145690 ) ( 20930 * )
NEW met1 ( 14030 145350 ) ( * 145690 )
NEW met1 ( 7130 145350 ) ( 14030 * )
NEW met1 ( 7130 145010 ) ( * 145350 )
NEW li1 ( 22770 140250 ) L1M1_PR_MR
NEW met1 ( 20930 140250 ) M1M2_PR
NEW met1 ( 20930 145690 ) M1M2_PR
NEW li1 ( 7130 145010 ) L1M1_PR_MR ;
- net44 ( input44 X ) ( _268_ A1 ) + USE SIGNAL
+ ROUTED met1 ( 7130 146030 ) ( 14030 * )
NEW met2 ( 7130 146030 ) ( * 152830 )
NEW li1 ( 14030 146030 ) L1M1_PR_MR
NEW met1 ( 7130 146030 ) M1M2_PR
NEW li1 ( 7130 152830 ) L1M1_PR_MR
NEW met1 ( 7130 152830 ) M1M2_PR
NEW met1 ( 7130 152830 ) RECT ( -355 -70 0 70 ) ;
- net45 ( input45 X ) ( _270_ A1 ) + USE SIGNAL
+ ROUTED met2 ( 22770 157250 ) ( * 159630 )
NEW met1 ( 7130 159630 ) ( 22770 * )
NEW li1 ( 22770 157250 ) L1M1_PR_MR
NEW met1 ( 22770 157250 ) M1M2_PR
NEW met1 ( 22770 159630 ) M1M2_PR
NEW li1 ( 7130 159630 ) L1M1_PR_MR
NEW met1 ( 22770 157250 ) RECT ( -355 -70 0 70 ) ;
- net46 ( input46 X ) ( _272_ A1 ) + USE SIGNAL
+ ROUTED met2 ( 14950 153850 ) ( * 163710 )
NEW met1 ( 7130 163710 ) ( 14950 * )
NEW li1 ( 14950 153850 ) L1M1_PR_MR
NEW met1 ( 14950 153850 ) M1M2_PR
NEW met1 ( 14950 163710 ) M1M2_PR
NEW li1 ( 7130 163710 ) L1M1_PR_MR
NEW met1 ( 14950 153850 ) RECT ( -355 -70 0 70 ) ;
- net47 ( input47 X ) ( _227_ A1 ) + USE SIGNAL
+ ROUTED met1 ( 7130 34850 ) ( 13570 * )
NEW met2 ( 13570 34850 ) ( * 38590 )
NEW li1 ( 7130 34850 ) L1M1_PR_MR
NEW met1 ( 13570 34850 ) M1M2_PR
NEW li1 ( 13570 38590 ) L1M1_PR_MR
NEW met1 ( 13570 38590 ) M1M2_PR
NEW met1 ( 13570 38590 ) RECT ( -355 -70 0 70 ) ;
- net48 ( input48 X ) ( _229_ A1 ) + USE SIGNAL
+ ROUTED met2 ( 23230 39950 ) ( * 41990 )
NEW met1 ( 23230 41990 ) ( 24610 * )
NEW met1 ( 7130 39950 ) ( 23230 * )
NEW li1 ( 7130 39950 ) L1M1_PR_MR
NEW met1 ( 23230 39950 ) M1M2_PR
NEW met1 ( 23230 41990 ) M1M2_PR
NEW li1 ( 24610 41990 ) L1M1_PR_MR ;
- net49 ( input49 X ) ( _231_ A1 ) + USE SIGNAL
+ ROUTED met2 ( 24150 45050 ) ( * 46750 )
NEW met1 ( 7130 46750 ) ( 24150 * )
NEW li1 ( 7130 46750 ) L1M1_PR_MR
NEW li1 ( 24150 45050 ) L1M1_PR_MR
NEW met1 ( 24150 45050 ) M1M2_PR
NEW met1 ( 24150 46750 ) M1M2_PR
NEW met1 ( 24150 45050 ) RECT ( -355 -70 0 70 ) ;
- net5 ( input5 X ) ( _157_ A ) + USE SIGNAL
+ ROUTED met2 ( 168590 15130 ) ( * 17510 )
NEW met1 ( 63710 17850 ) ( * 18190 )
NEW met1 ( 55430 17850 ) ( 63710 * )
NEW met1 ( 63710 18190 ) ( 110400 * )
NEW met1 ( 110400 17510 ) ( * 18190 )
NEW met1 ( 110400 17510 ) ( 168590 * )
NEW met1 ( 168590 17510 ) M1M2_PR
NEW li1 ( 168590 15130 ) L1M1_PR_MR
NEW met1 ( 168590 15130 ) M1M2_PR
NEW li1 ( 55430 17850 ) L1M1_PR_MR
NEW met1 ( 168590 15130 ) RECT ( -355 -70 0 70 ) ;
- net50 ( input50 X ) ( _233_ A1 ) + USE SIGNAL
+ ROUTED met2 ( 10350 50490 ) ( * 54910 )
NEW met1 ( 7130 54910 ) ( 10350 * )
NEW met1 ( 10350 50490 ) ( 14030 * )
NEW met1 ( 10350 50490 ) M1M2_PR
NEW met1 ( 10350 54910 ) M1M2_PR
NEW li1 ( 7130 54910 ) L1M1_PR_MR
NEW li1 ( 14030 50490 ) L1M1_PR_MR ;
- net51 ( input51 X ) ( _235_ A1 ) + USE SIGNAL
+ ROUTED met2 ( 7130 55930 ) ( * 57630 )
NEW met1 ( 7130 55930 ) ( 21390 * )
NEW met1 ( 7130 55930 ) M1M2_PR
NEW li1 ( 7130 57630 ) L1M1_PR_MR
NEW met1 ( 7130 57630 ) M1M2_PR
NEW li1 ( 21390 55930 ) L1M1_PR_MR
NEW met1 ( 7130 57630 ) RECT ( -355 -70 0 70 ) ;
- net52 ( input52 X ) ( _238_ A1 ) + USE SIGNAL
+ ROUTED met1 ( 10350 66810 ) ( 13570 * )
NEW met2 ( 10350 66810 ) ( * 68510 )
NEW li1 ( 13570 66810 ) L1M1_PR_MR
NEW met1 ( 10350 66810 ) M1M2_PR
NEW li1 ( 10350 68510 ) L1M1_PR_MR
NEW met1 ( 10350 68510 ) M1M2_PR
NEW met1 ( 10350 68510 ) RECT ( -355 -70 0 70 ) ;
- net53 ( input53 X ) ( _240_ A1 ) + USE SIGNAL
+ ROUTED met1 ( 20930 66810 ) ( 21390 * )
NEW met2 ( 20930 66810 ) ( * 66980 )
NEW met2 ( 20470 66980 ) ( 20930 * )
NEW met2 ( 20470 66980 ) ( * 68510 )
NEW met2 ( 20470 68510 ) ( 20930 * )
NEW met2 ( 20930 68510 ) ( * 70210 )
NEW met1 ( 7130 70210 ) ( 20930 * )
NEW li1 ( 21390 66810 ) L1M1_PR_MR
NEW met1 ( 20930 66810 ) M1M2_PR
NEW met1 ( 20930 70210 ) M1M2_PR
NEW li1 ( 7130 70210 ) L1M1_PR_MR ;
- net54 ( input54 X ) ( _242_ A1 ) + USE SIGNAL
+ ROUTED met2 ( 13570 72250 ) ( * 79390 )
NEW met1 ( 7130 79390 ) ( 13570 * )
NEW li1 ( 13570 72250 ) L1M1_PR_MR
NEW met1 ( 13570 72250 ) M1M2_PR
NEW met1 ( 13570 79390 ) M1M2_PR
NEW li1 ( 7130 79390 ) L1M1_PR_MR
NEW met1 ( 13570 72250 ) RECT ( -355 -70 0 70 ) ;
- net55 ( input55 X ) ( _274_ CLK ) ( _275_ CLK ) ( _276_ CLK ) ( _277_ CLK ) ( _278_ CLK ) ( _279_ CLK )
( _280_ CLK ) ( _281_ CLK ) ( _282_ CLK ) ( _283_ CLK ) ( _284_ CLK ) ( _285_ CLK ) ( _286_ CLK ) ( _287_ CLK )
( _288_ CLK ) ( _289_ CLK ) ( _290_ CLK ) ( _291_ CLK ) ( _292_ CLK ) ( _293_ CLK ) ( _294_ CLK ) ( _295_ CLK )
( _296_ CLK ) ( _297_ CLK ) ( _298_ CLK ) ( _299_ CLK ) ( _354_ A ) ( _355_ A ) + USE SIGNAL
+ ROUTED met1 ( 9430 31450 ) ( 12190 * )
NEW met2 ( 12190 20570 ) ( * 31450 )
NEW met1 ( 11730 17510 ) ( 12190 * )
NEW met2 ( 11730 17510 ) ( * 20060 )
NEW met2 ( 11730 20060 ) ( 12190 * )
NEW met2 ( 12190 20060 ) ( * 20570 )
NEW met1 ( 11270 12410 ) ( 11730 * )
NEW met2 ( 11730 12410 ) ( * 17510 )
NEW met1 ( 10350 113050 ) ( * 113390 )
NEW met1 ( 10350 113390 ) ( 12650 * )
NEW met2 ( 12650 113390 ) ( * 115770 )
NEW met1 ( 10350 115770 ) ( 12650 * )
NEW met2 ( 10350 115770 ) ( * 129370 )
NEW met1 ( 19090 121210 ) ( * 121550 )
NEW met1 ( 10350 121550 ) ( 19090 * )
NEW met1 ( 19090 107270 ) ( 20010 * )
NEW met1 ( 19090 107270 ) ( * 107610 )
NEW met1 ( 12650 107610 ) ( 19090 * )
NEW met2 ( 12650 107610 ) ( * 113390 )
NEW met1 ( 18170 101150 ) ( * 101830 )
NEW met1 ( 12650 101150 ) ( 18170 * )
NEW met2 ( 12650 101150 ) ( * 107610 )
NEW met1 ( 11730 96730 ) ( * 97070 )
NEW met1 ( 11730 97070 ) ( 12650 * )
NEW met1 ( 12650 97070 ) ( * 97410 )
NEW met2 ( 12650 97410 ) ( * 101150 )
NEW met1 ( 12190 85850 ) ( 12650 * )
NEW met2 ( 12650 85850 ) ( * 97410 )
NEW met2 ( 12190 85340 ) ( 12650 * )
NEW met2 ( 12650 85340 ) ( * 85850 )
NEW met1 ( 8970 42330 ) ( 11730 * )
NEW met1 ( 11730 42330 ) ( * 42670 )
NEW met1 ( 9890 52870 ) ( 10350 * )
NEW met2 ( 9890 42330 ) ( * 52870 )
NEW met2 ( 9430 42330 ) ( 9890 * )
NEW met2 ( 9890 52870 ) ( * 58310 )
NEW met1 ( 9430 64090 ) ( 9890 * )
NEW met2 ( 9890 58310 ) ( * 64090 )
NEW met1 ( 9890 69190 ) ( 22310 * )
NEW met2 ( 9890 64090 ) ( * 69190 )
NEW met2 ( 9890 69190 ) ( * 74630 )
NEW met1 ( 9890 80070 ) ( 19550 * )
NEW met2 ( 9890 74630 ) ( * 80070 )
NEW met2 ( 9430 31450 ) ( * 42330 )
NEW met2 ( 12190 80070 ) ( * 85340 )
NEW met1 ( 9430 137190 ) ( 10350 * )
NEW met1 ( 10350 136510 ) ( * 137190 )
NEW met1 ( 9430 148070 ) ( 10350 * )
NEW met1 ( 10350 147390 ) ( * 148070 )
NEW met2 ( 10350 136510 ) ( * 147390 )
NEW met1 ( 11270 155550 ) ( * 156230 )
NEW met1 ( 11270 155550 ) ( 12190 * )
NEW met2 ( 12190 148070 ) ( * 155550 )
NEW met1 ( 10350 148070 ) ( 12190 * )
NEW met2 ( 22310 140420 ) ( * 142630 )
NEW met2 ( 22310 140420 ) ( 23230 * )
NEW met2 ( 23230 137190 ) ( * 140420 )
NEW met1 ( 10350 137190 ) ( 23230 * )
NEW met1 ( 10350 132090 ) ( 23230 * )
NEW met1 ( 24150 153850 ) ( * 154190 )
NEW met1 ( 12190 154190 ) ( 24150 * )
NEW met2 ( 10350 129370 ) ( * 136510 )
NEW met1 ( 11730 14790 ) ( 13800 * )
NEW met1 ( 17710 15130 ) ( 30130 * )
NEW met2 ( 30130 15130 ) ( * 17510 )
NEW met1 ( 13800 14790 ) ( * 15130 )
NEW met1 ( 13800 15130 ) ( 17710 * )
NEW met1 ( 23230 39270 ) ( 26910 * )
NEW met2 ( 26910 28390 ) ( * 39270 )
NEW met1 ( 26910 28390 ) ( 31050 * )
NEW met1 ( 22310 47770 ) ( 25990 * )
NEW met2 ( 25990 39270 ) ( * 47770 )
NEW met1 ( 11730 42670 ) ( 25990 * )
NEW met1 ( 9890 58310 ) ( 19090 * )
NEW li1 ( 12190 31450 ) L1M1_PR_MR
NEW met1 ( 9430 31450 ) M1M2_PR
NEW li1 ( 12190 20570 ) L1M1_PR_MR
NEW met1 ( 12190 20570 ) M1M2_PR
NEW met1 ( 12190 31450 ) M1M2_PR
NEW li1 ( 12190 17510 ) L1M1_PR_MR
NEW met1 ( 11730 17510 ) M1M2_PR
NEW li1 ( 11270 12410 ) L1M1_PR_MR
NEW met1 ( 11730 12410 ) M1M2_PR
NEW met1 ( 11730 14790 ) M1M2_PR
NEW li1 ( 10350 129370 ) L1M1_PR_MR
NEW met1 ( 10350 129370 ) M1M2_PR
NEW li1 ( 10350 113050 ) L1M1_PR_MR
NEW met1 ( 12650 113390 ) M1M2_PR
NEW met1 ( 12650 115770 ) M1M2_PR
NEW met1 ( 10350 115770 ) M1M2_PR
NEW li1 ( 19090 121210 ) L1M1_PR_MR
NEW met1 ( 10350 121550 ) M1M2_PR
NEW li1 ( 20010 107270 ) L1M1_PR_MR
NEW met1 ( 12650 107610 ) M1M2_PR
NEW li1 ( 18170 101830 ) L1M1_PR_MR
NEW met1 ( 12650 101150 ) M1M2_PR
NEW li1 ( 11730 96730 ) L1M1_PR_MR
NEW met1 ( 12650 97410 ) M1M2_PR
NEW li1 ( 12190 85850 ) L1M1_PR_MR
NEW met1 ( 12650 85850 ) M1M2_PR
NEW li1 ( 8970 42330 ) L1M1_PR_MR
NEW met1 ( 9430 42330 ) M1M2_PR
NEW li1 ( 10350 52870 ) L1M1_PR_MR
NEW met1 ( 9890 52870 ) M1M2_PR
NEW met1 ( 9890 58310 ) M1M2_PR
NEW li1 ( 9430 64090 ) L1M1_PR_MR
NEW met1 ( 9890 64090 ) M1M2_PR
NEW li1 ( 22310 69190 ) L1M1_PR_MR
NEW met1 ( 9890 69190 ) M1M2_PR
NEW li1 ( 9890 74630 ) L1M1_PR_MR
NEW met1 ( 9890 74630 ) M1M2_PR
NEW li1 ( 19550 80070 ) L1M1_PR_MR
NEW met1 ( 9890 80070 ) M1M2_PR
NEW met1 ( 12190 80070 ) M1M2_PR
NEW li1 ( 9430 137190 ) L1M1_PR_MR
NEW met1 ( 10350 136510 ) M1M2_PR
NEW li1 ( 9430 148070 ) L1M1_PR_MR
NEW met1 ( 10350 147390 ) M1M2_PR
NEW li1 ( 11270 156230 ) L1M1_PR_MR
NEW met1 ( 12190 155550 ) M1M2_PR
NEW met1 ( 12190 148070 ) M1M2_PR
NEW li1 ( 22310 142630 ) L1M1_PR_MR
NEW met1 ( 22310 142630 ) M1M2_PR
NEW met1 ( 23230 137190 ) M1M2_PR
NEW li1 ( 23230 132090 ) L1M1_PR_MR
NEW met1 ( 10350 132090 ) M1M2_PR
NEW li1 ( 24150 153850 ) L1M1_PR_MR
NEW met1 ( 12190 154190 ) M1M2_PR
NEW li1 ( 17710 15130 ) L1M1_PR_MR
NEW met1 ( 30130 15130 ) M1M2_PR
NEW li1 ( 30130 17510 ) L1M1_PR_MR
NEW met1 ( 30130 17510 ) M1M2_PR
NEW li1 ( 23230 39270 ) L1M1_PR_MR
NEW met1 ( 26910 39270 ) M1M2_PR
NEW met1 ( 26910 28390 ) M1M2_PR
NEW li1 ( 31050 28390 ) L1M1_PR_MR
NEW li1 ( 22310 47770 ) L1M1_PR_MR
NEW met1 ( 25990 47770 ) M1M2_PR
NEW met1 ( 25990 39270 ) M1M2_PR
NEW met1 ( 25990 42670 ) M1M2_PR
NEW li1 ( 19090 58310 ) L1M1_PR_MR
NEW met1 ( 12190 20570 ) RECT ( -355 -70 0 70 )
NEW met1 ( 12190 31450 ) RECT ( -595 -70 0 70 )
NEW met2 ( 11730 14790 ) RECT ( -70 -485 70 0 )
NEW met1 ( 10350 129370 ) RECT ( -355 -70 0 70 )
NEW met2 ( 10350 121550 ) RECT ( -70 -485 70 0 )
NEW met1 ( 9430 42330 ) RECT ( -595 -70 0 70 )
NEW met1 ( 9890 74630 ) RECT ( -355 -70 0 70 )
NEW met1 ( 12190 80070 ) RECT ( -595 -70 0 70 )
NEW met1 ( 22310 142630 ) RECT ( -355 -70 0 70 )
NEW met2 ( 10350 132090 ) RECT ( -70 -485 70 0 )
NEW met2 ( 12190 154190 ) RECT ( -70 -485 70 0 )
NEW met1 ( 30130 17510 ) RECT ( -355 -70 0 70 )
NEW met1 ( 25990 39270 ) RECT ( -595 -70 0 70 )
NEW met2 ( 25990 42670 ) RECT ( -70 -485 70 0 ) ;
- net56 ( input56 X ) ( _218_ A1 ) ( _224_ C ) + USE SIGNAL
+ ROUTED met2 ( 17250 20570 ) ( * 27710 )
NEW met2 ( 20930 20570 ) ( * 22270 )
NEW met1 ( 17250 20570 ) ( 20930 * )
NEW met1 ( 13570 27710 ) ( 17250 * )
NEW li1 ( 13570 27710 ) L1M1_PR_MR
NEW li1 ( 17250 20570 ) L1M1_PR_MR
NEW met1 ( 17250 20570 ) M1M2_PR
NEW met1 ( 17250 27710 ) M1M2_PR
NEW li1 ( 20930 22270 ) L1M1_PR_MR
NEW met1 ( 20930 22270 ) M1M2_PR
NEW met1 ( 20930 20570 ) M1M2_PR
NEW met1 ( 17250 20570 ) RECT ( 0 -70 355 70 )
NEW met1 ( 20930 22270 ) RECT ( 0 -70 355 70 ) ;
- net57 ( input57 X ) ( _216_ B1 ) ( _219_ A ) ( _224_ A_N ) + USE SIGNAL
+ ROUTED met2 ( 19090 23290 ) ( * 26010 )
NEW met2 ( 22310 20570 ) ( * 23290 )
NEW met1 ( 19090 23290 ) ( 22310 * )
NEW met1 ( 22310 19890 ) ( 24610 * )
NEW met1 ( 22310 19890 ) ( * 20570 )
NEW li1 ( 19090 23290 ) L1M1_PR_MR
NEW met1 ( 19090 23290 ) M1M2_PR
NEW li1 ( 19090 26010 ) L1M1_PR_MR
NEW met1 ( 19090 26010 ) M1M2_PR
NEW li1 ( 22310 20570 ) L1M1_PR_MR
NEW met1 ( 22310 20570 ) M1M2_PR
NEW met1 ( 22310 23290 ) M1M2_PR
NEW li1 ( 24610 19890 ) L1M1_PR_MR
NEW met1 ( 19090 23290 ) RECT ( -355 -70 0 70 )
NEW met1 ( 19090 26010 ) RECT ( -355 -70 0 70 )
NEW met1 ( 22310 20570 ) RECT ( -355 -70 0 70 ) ;
- net58 ( input58 X ) ( _218_ A2 ) ( _224_ D ) + USE SIGNAL
+ ROUTED met2 ( 16790 20570 ) ( * 29070 )
NEW met1 ( 16790 22610 ) ( 21390 * )
NEW met1 ( 7130 29070 ) ( 16790 * )
NEW li1 ( 7130 29070 ) L1M1_PR_MR
NEW li1 ( 16790 20570 ) L1M1_PR_MR
NEW met1 ( 16790 20570 ) M1M2_PR
NEW met1 ( 16790 29070 ) M1M2_PR
NEW li1 ( 21390 22610 ) L1M1_PR_MR
NEW met1 ( 16790 22610 ) M1M2_PR
NEW met1 ( 16790 20570 ) RECT ( -355 -70 0 70 )
NEW met2 ( 16790 22610 ) RECT ( -70 -485 70 0 ) ;
- net59 ( input59 X ) ( _222_ A1 ) ( _223_ A1 ) + USE SIGNAL
+ ROUTED met1 ( 7130 26350 ) ( * 26690 )
NEW met2 ( 21390 26350 ) ( * 27710 )
NEW met2 ( 23690 27710 ) ( * 31110 )
NEW met1 ( 21390 27710 ) ( 23690 * )
NEW met1 ( 7130 26350 ) ( 21390 * )
NEW li1 ( 7130 26690 ) L1M1_PR_MR
NEW li1 ( 21390 27710 ) L1M1_PR_MR
NEW met1 ( 21390 27710 ) M1M2_PR
NEW met1 ( 21390 26350 ) M1M2_PR
NEW li1 ( 23690 31110 ) L1M1_PR_MR
NEW met1 ( 23690 31110 ) M1M2_PR
NEW met1 ( 23690 27710 ) M1M2_PR
NEW met1 ( 21390 27710 ) RECT ( 0 -70 355 70 )
NEW met1 ( 23690 31110 ) RECT ( -355 -70 0 70 ) ;
- net6 ( input6 X ) ( _159_ A ) + USE SIGNAL
+ ROUTED met2 ( 176410 9010 ) ( * 11390 )
NEW met1 ( 60490 9010 ) ( 176410 * )
NEW met2 ( 60490 9010 ) ( * 14790 )
NEW met1 ( 60490 9010 ) M1M2_PR
NEW met1 ( 176410 9010 ) M1M2_PR
NEW li1 ( 176410 11390 ) L1M1_PR_MR
NEW met1 ( 176410 11390 ) M1M2_PR
NEW li1 ( 60490 14790 ) L1M1_PR_MR
NEW met1 ( 60490 14790 ) M1M2_PR
NEW met1 ( 176410 11390 ) RECT ( -355 -70 0 70 )
NEW met1 ( 60490 14790 ) RECT ( -355 -70 0 70 ) ;
- net60 ( output60 A ) ( _199_ X ) + USE SIGNAL
+ ROUTED met1 ( 15870 12070 ) ( 19090 * )
NEW met1 ( 15410 33150 ) ( 15870 * )
NEW met2 ( 15870 12070 ) ( * 33150 )
NEW met1 ( 15870 12070 ) M1M2_PR
NEW li1 ( 19090 12070 ) L1M1_PR_MR
NEW met1 ( 15870 33150 ) M1M2_PR
NEW li1 ( 15410 33150 ) L1M1_PR_MR ;
- net61 ( output61 A ) ( _201_ X ) + USE SIGNAL
+ ROUTED met1 ( 29670 12070 ) ( 31970 * )
NEW met2 ( 29670 12070 ) ( * 33150 )
NEW met1 ( 29670 12070 ) M1M2_PR
NEW li1 ( 31970 12070 ) L1M1_PR_MR
NEW li1 ( 29670 33150 ) L1M1_PR_MR
NEW met1 ( 29670 33150 ) M1M2_PR
NEW met1 ( 29670 33150 ) RECT ( -355 -70 0 70 ) ;
- net62 ( output62 A ) ( _203_ X ) + USE SIGNAL
+ ROUTED met1 ( 43470 30430 ) ( 45310 * )
NEW met2 ( 45310 12070 ) ( * 30430 )
NEW li1 ( 45310 12070 ) L1M1_PR_MR
NEW met1 ( 45310 12070 ) M1M2_PR
NEW met1 ( 45310 30430 ) M1M2_PR
NEW li1 ( 43470 30430 ) L1M1_PR_MR
NEW met1 ( 45310 12070 ) RECT ( -355 -70 0 70 ) ;
- net63 ( output63 A ) ( _206_ X ) + USE SIGNAL
+ ROUTED met2 ( 60030 12070 ) ( * 13800 )
NEW met2 ( 59570 13800 ) ( 60030 * )
NEW met2 ( 59570 13800 ) ( * 18020 )
NEW met2 ( 59570 18020 ) ( 60030 * )
NEW met2 ( 60030 18020 ) ( * 44030 )
NEW met1 ( 55430 44030 ) ( 60030 * )
NEW li1 ( 60030 12070 ) L1M1_PR_MR
NEW met1 ( 60030 12070 ) M1M2_PR
NEW met1 ( 60030 44030 ) M1M2_PR
NEW li1 ( 55430 44030 ) L1M1_PR_MR
NEW met1 ( 60030 12070 ) RECT ( -355 -70 0 70 ) ;
- net64 ( output64 A ) ( _208_ X ) + USE SIGNAL
+ ROUTED met1 ( 70610 38590 ) ( 74750 * )
NEW met2 ( 74750 12070 ) ( * 38590 )
NEW li1 ( 74750 12070 ) L1M1_PR_MR
NEW met1 ( 74750 12070 ) M1M2_PR
NEW met1 ( 74750 38590 ) M1M2_PR
NEW li1 ( 70610 38590 ) L1M1_PR_MR
NEW met1 ( 74750 12070 ) RECT ( -355 -70 0 70 ) ;
- net65 ( output65 A ) ( _210_ X ) + USE SIGNAL
+ ROUTED met1 ( 81650 38590 ) ( 86710 * )
NEW met2 ( 86710 12070 ) ( * 38590 )
NEW li1 ( 86710 12070 ) L1M1_PR_MR
NEW met1 ( 86710 12070 ) M1M2_PR
NEW met1 ( 86710 38590 ) M1M2_PR
NEW li1 ( 81650 38590 ) L1M1_PR_MR
NEW met1 ( 86710 12070 ) RECT ( -355 -70 0 70 ) ;
- net66 ( output66 A ) ( _212_ X ) + USE SIGNAL
+ ROUTED met1 ( 97290 12070 ) ( 99130 * )
NEW met1 ( 96370 38590 ) ( 97290 * )
NEW met2 ( 97290 12070 ) ( * 38590 )
NEW met1 ( 97290 12070 ) M1M2_PR
NEW li1 ( 99130 12070 ) L1M1_PR_MR
NEW met1 ( 97290 38590 ) M1M2_PR
NEW li1 ( 96370 38590 ) L1M1_PR_MR ;
- net67 ( output67 A ) ( _214_ X ) + USE SIGNAL
+ ROUTED met1 ( 109250 14110 ) ( 111090 * )
NEW met2 ( 111090 12070 ) ( * 14110 )
NEW li1 ( 111090 12070 ) L1M1_PR_MR
NEW met1 ( 111090 12070 ) M1M2_PR
NEW li1 ( 109250 14110 ) L1M1_PR_MR
NEW met1 ( 111090 14110 ) M1M2_PR
NEW met1 ( 111090 12070 ) RECT ( -355 -70 0 70 ) ;
- net68 ( output68 A ) ( _119_ X ) + USE SIGNAL
+ ROUTED met1 ( 112470 11390 ) ( 121210 * )
NEW met1 ( 121210 11390 ) ( * 12070 )
NEW met1 ( 121210 12070 ) ( 123510 * )
NEW met2 ( 50370 23630 ) ( * 25670 )
NEW met1 ( 50370 23630 ) ( 112470 * )
NEW met2 ( 112470 11390 ) ( * 23630 )
NEW met1 ( 112470 11390 ) M1M2_PR
NEW li1 ( 123510 12070 ) L1M1_PR_MR
NEW met1 ( 50370 23630 ) M1M2_PR
NEW li1 ( 50370 25670 ) L1M1_PR_MR
NEW met1 ( 50370 25670 ) M1M2_PR
NEW met1 ( 112470 23630 ) M1M2_PR
NEW met1 ( 50370 25670 ) RECT ( -355 -70 0 70 ) ;
- net69 ( output69 A ) ( _354_ X ) + USE SIGNAL
+ ROUTED met1 ( 7130 17510 ) ( 11270 * )
NEW met1 ( 11270 16830 ) ( * 17510 )
NEW li1 ( 7130 17510 ) L1M1_PR_MR
NEW li1 ( 11270 16830 ) L1M1_PR_MR ;
- net7 ( input7 X ) ( _162_ A ) + USE SIGNAL
+ ROUTED met2 ( 182850 8330 ) ( * 11390 )
NEW met1 ( 53590 8330 ) ( 182850 * )
NEW met1 ( 50830 80070 ) ( 53130 * )
NEW met2 ( 53130 62100 ) ( * 80070 )
NEW met2 ( 53130 62100 ) ( 53590 * )
NEW met2 ( 53590 8330 ) ( * 62100 )
NEW met1 ( 182850 8330 ) M1M2_PR
NEW li1 ( 182850 11390 ) L1M1_PR_MR
NEW met1 ( 182850 11390 ) M1M2_PR
NEW met1 ( 53590 8330 ) M1M2_PR
NEW met1 ( 53130 80070 ) M1M2_PR
NEW li1 ( 50830 80070 ) L1M1_PR_MR
NEW met1 ( 182850 11390 ) RECT ( -355 -70 0 70 ) ;
- net70 ( output70 A ) ( _355_ X ) + USE SIGNAL
+ ROUTED met2 ( 12190 15130 ) ( * 19550 )
NEW met1 ( 11270 19550 ) ( 12190 * )
NEW li1 ( 12190 15130 ) L1M1_PR_MR
NEW met1 ( 12190 15130 ) M1M2_PR
NEW met1 ( 12190 19550 ) M1M2_PR
NEW li1 ( 11270 19550 ) L1M1_PR_MR
NEW met1 ( 12190 15130 ) RECT ( -355 -70 0 70 ) ;
- net71 ( output71 A ) ( _126_ X ) + USE SIGNAL
+ ROUTED met1 ( 8510 100130 ) ( 11270 * )
NEW met2 ( 11270 100130 ) ( * 117470 )
NEW met1 ( 11270 117470 ) ( 12190 * )
NEW met2 ( 8510 15130 ) ( * 100130 )
NEW li1 ( 8510 15130 ) L1M1_PR_MR
NEW met1 ( 8510 15130 ) M1M2_PR
NEW met1 ( 8510 100130 ) M1M2_PR
NEW met1 ( 11270 100130 ) M1M2_PR
NEW met1 ( 11270 117470 ) M1M2_PR
NEW li1 ( 12190 117470 ) L1M1_PR_MR
NEW met1 ( 8510 15130 ) RECT ( -355 -70 0 70 ) ;
- net72 ( output72 A ) ( _274_ Q ) + USE SIGNAL
+ ROUTED met1 ( 7130 20230 ) ( * 20570 )
NEW met1 ( 18170 18530 ) ( 37030 * )
NEW met2 ( 18170 18530 ) ( * 20230 )
NEW met1 ( 7130 20230 ) ( 18170 * )
NEW li1 ( 7130 20570 ) L1M1_PR_MR
NEW li1 ( 37030 18530 ) L1M1_PR_MR
NEW met1 ( 18170 18530 ) M1M2_PR
NEW met1 ( 18170 20230 ) M1M2_PR ;
- net73 ( output73 A ) ( _130_ X ) + USE SIGNAL
+ ROUTED met2 ( 7130 21250 ) ( * 22950 )
NEW met1 ( 39330 16830 ) ( * 17170 )
NEW met1 ( 34270 17170 ) ( 39330 * )
NEW met2 ( 34270 17170 ) ( * 21250 )
NEW met1 ( 7130 21250 ) ( 34270 * )
NEW met1 ( 7130 21250 ) M1M2_PR
NEW li1 ( 7130 22950 ) L1M1_PR_MR
NEW met1 ( 7130 22950 ) M1M2_PR
NEW li1 ( 39330 16830 ) L1M1_PR_MR
NEW met1 ( 34270 17170 ) M1M2_PR
NEW met1 ( 34270 21250 ) M1M2_PR
NEW met1 ( 7130 22950 ) RECT ( -355 -70 0 70 ) ;
- net74 ( output74 A ) ( _152_ X ) + USE SIGNAL
+ ROUTED met1 ( 7130 91290 ) ( 11270 * )
NEW met2 ( 11270 82110 ) ( * 91290 )
NEW met1 ( 11270 91290 ) M1M2_PR
NEW li1 ( 7130 91290 ) L1M1_PR_MR
NEW li1 ( 11270 82110 ) L1M1_PR_MR
NEW met1 ( 11270 82110 ) M1M2_PR
NEW met1 ( 11270 82110 ) RECT ( -355 -70 0 70 ) ;
- net75 ( output75 A ) ( _154_ X ) + USE SIGNAL
+ ROUTED met1 ( 7130 91970 ) ( 11270 * )
NEW met2 ( 7130 91970 ) ( * 96730 )
NEW li1 ( 11270 91970 ) L1M1_PR_MR
NEW met1 ( 7130 91970 ) M1M2_PR
NEW li1 ( 7130 96730 ) L1M1_PR_MR
NEW met1 ( 7130 96730 ) M1M2_PR
NEW met1 ( 7130 96730 ) RECT ( -355 -70 0 70 ) ;
- net76 ( output76 A ) ( _156_ X ) + USE SIGNAL
+ ROUTED met1 ( 14950 102510 ) ( * 102850 )
NEW met1 ( 7130 102510 ) ( 14950 * )
NEW met1 ( 7130 102170 ) ( * 102510 )
NEW met2 ( 57730 97410 ) ( * 102850 )
NEW met1 ( 14950 102850 ) ( 57730 * )
NEW li1 ( 7130 102170 ) L1M1_PR_MR
NEW li1 ( 57730 97410 ) L1M1_PR_MR
NEW met1 ( 57730 97410 ) M1M2_PR
NEW met1 ( 57730 102850 ) M1M2_PR
NEW met1 ( 57730 97410 ) RECT ( -355 -70 0 70 ) ;
- net77 ( output77 A ) ( _158_ X ) + USE SIGNAL
+ ROUTED met2 ( 19550 105570 ) ( * 106930 )
NEW met1 ( 7130 106930 ) ( 19550 * )
NEW met1 ( 7130 106930 ) ( * 107610 )
NEW met2 ( 58190 102850 ) ( * 105570 )
NEW met1 ( 19550 105570 ) ( 58190 * )
NEW met1 ( 19550 105570 ) M1M2_PR
NEW met1 ( 19550 106930 ) M1M2_PR
NEW li1 ( 7130 107610 ) L1M1_PR_MR
NEW li1 ( 58190 102850 ) L1M1_PR_MR
NEW met1 ( 58190 102850 ) M1M2_PR
NEW met1 ( 58190 105570 ) M1M2_PR
NEW met1 ( 58190 102850 ) RECT ( 0 -70 355 70 ) ;
- net78 ( output78 A ) ( _160_ X ) + USE SIGNAL
+ ROUTED met2 ( 58650 108290 ) ( * 109990 )
NEW met1 ( 7130 109990 ) ( 58650 * )
NEW li1 ( 7130 109990 ) L1M1_PR_MR
NEW li1 ( 58650 108290 ) L1M1_PR_MR
NEW met1 ( 58650 108290 ) M1M2_PR
NEW met1 ( 58650 109990 ) M1M2_PR
NEW met1 ( 58650 108290 ) RECT ( -355 -70 0 70 ) ;
- net79 ( output79 A ) ( _163_ X ) + USE SIGNAL
+ ROUTED met1 ( 25530 120190 ) ( * 120530 )
NEW met1 ( 15870 120190 ) ( 25530 * )
NEW met1 ( 15870 120190 ) ( * 120870 )
NEW met1 ( 7130 120870 ) ( 15870 * )
NEW met2 ( 38870 85510 ) ( * 120530 )
NEW met1 ( 38870 85510 ) ( 49910 * )
NEW met1 ( 25530 120530 ) ( 38870 * )
NEW li1 ( 7130 120870 ) L1M1_PR_MR
NEW met1 ( 38870 120530 ) M1M2_PR
NEW met1 ( 38870 85510 ) M1M2_PR
NEW li1 ( 49910 85510 ) L1M1_PR_MR ;
- net8 ( input8 X ) ( _164_ A ) + USE SIGNAL
+ ROUTED met2 ( 190210 9350 ) ( * 11390 )
NEW met1 ( 61410 9350 ) ( 190210 * )
NEW met1 ( 57730 80070 ) ( 60950 * )
NEW met2 ( 60950 62100 ) ( * 80070 )
NEW met2 ( 60950 62100 ) ( 61410 * )
NEW met2 ( 61410 9350 ) ( * 62100 )
NEW met1 ( 190210 9350 ) M1M2_PR
NEW li1 ( 190210 11390 ) L1M1_PR_MR
NEW met1 ( 190210 11390 ) M1M2_PR
NEW met1 ( 61410 9350 ) M1M2_PR
NEW met1 ( 60950 80070 ) M1M2_PR
NEW li1 ( 57730 80070 ) L1M1_PR_MR
NEW met1 ( 190210 11390 ) RECT ( -355 -70 0 70 ) ;
- net80 ( output80 A ) ( _165_ X ) + USE SIGNAL
+ ROUTED met1 ( 20010 125970 ) ( * 126310 )
NEW met1 ( 7130 126310 ) ( 20010 * )
NEW met2 ( 48070 121890 ) ( * 125970 )
NEW met1 ( 20010 125970 ) ( 48070 * )
NEW li1 ( 7130 126310 ) L1M1_PR_MR
NEW li1 ( 48070 121890 ) L1M1_PR_MR
NEW met1 ( 48070 121890 ) M1M2_PR
NEW met1 ( 48070 125970 ) M1M2_PR
NEW met1 ( 48070 121890 ) RECT ( -355 -70 0 70 ) ;
- net81 ( output81 A ) ( _167_ X ) + USE SIGNAL
+ ROUTED met1 ( 7130 131750 ) ( * 132770 )
NEW met1 ( 48530 127330 ) ( 48990 * )
NEW met1 ( 7130 132770 ) ( 34500 * )
NEW met1 ( 34500 132430 ) ( * 132770 )
NEW met1 ( 34500 132430 ) ( 48530 * )
NEW met2 ( 48530 127330 ) ( * 132430 )
NEW li1 ( 7130 131750 ) L1M1_PR_MR
NEW li1 ( 48990 127330 ) L1M1_PR_MR
NEW met1 ( 48530 127330 ) M1M2_PR
NEW met1 ( 48530 132430 ) M1M2_PR ;
- net82 ( output82 A ) ( _169_ X ) + USE SIGNAL
+ ROUTED met1 ( 7130 134810 ) ( * 135150 )
NEW met2 ( 48990 132770 ) ( * 135150 )
NEW met1 ( 7130 135150 ) ( 48990 * )
NEW li1 ( 7130 134810 ) L1M1_PR_MR
NEW li1 ( 48990 132770 ) L1M1_PR_MR
NEW met1 ( 48990 132770 ) M1M2_PR
NEW met1 ( 48990 135150 ) M1M2_PR
NEW met1 ( 48990 132770 ) RECT ( -355 -70 0 70 ) ;
- net83 ( output83 A ) ( _171_ X ) + USE SIGNAL
+ ROUTED met1 ( 23230 141950 ) ( * 142290 )
NEW met1 ( 7130 142290 ) ( 23230 * )
NEW met1 ( 7130 142290 ) ( * 142630 )
NEW met2 ( 48990 137870 ) ( * 141950 )
NEW met1 ( 23230 141950 ) ( 48990 * )
NEW li1 ( 7130 142630 ) L1M1_PR_MR
NEW li1 ( 48990 137870 ) L1M1_PR_MR
NEW met1 ( 48990 137870 ) M1M2_PR
NEW met1 ( 48990 141950 ) M1M2_PR
NEW met1 ( 48990 137870 ) RECT ( -355 -70 0 70 ) ;
- net84 ( output84 A ) ( _132_ X ) + USE SIGNAL
+ ROUTED met1 ( 7130 31450 ) ( * 31790 )
NEW met1 ( 34270 26690 ) ( 40710 * )
NEW met2 ( 34270 26690 ) ( * 31790 )
NEW met1 ( 7130 31790 ) ( 34270 * )
NEW li1 ( 7130 31450 ) L1M1_PR_MR
NEW li1 ( 40710 26690 ) L1M1_PR_MR
NEW met1 ( 34270 26690 ) M1M2_PR
NEW met1 ( 34270 31790 ) M1M2_PR ;
- net85 ( output85 A ) ( _174_ X ) + USE SIGNAL
+ ROUTED met1 ( 7130 150790 ) ( * 151130 )
NEW met2 ( 34730 146370 ) ( * 150790 )
NEW met1 ( 7130 150790 ) ( 34730 * )
NEW li1 ( 7130 151130 ) L1M1_PR_MR
NEW li1 ( 34730 146370 ) L1M1_PR_MR
NEW met1 ( 34730 146370 ) M1M2_PR
NEW met1 ( 34730 150790 ) M1M2_PR
NEW met1 ( 34730 146370 ) RECT ( 0 -70 355 70 ) ;
- net86 ( output86 A ) ( _176_ X ) + USE SIGNAL
+ ROUTED met2 ( 27830 151810 ) ( * 155890 )
NEW met1 ( 21850 155890 ) ( 27830 * )
NEW met1 ( 21850 155890 ) ( * 156570 )
NEW met1 ( 7130 156570 ) ( 21850 * )
NEW met1 ( 27830 151810 ) ( 34730 * )
NEW met1 ( 27830 151810 ) M1M2_PR
NEW met1 ( 27830 155890 ) M1M2_PR
NEW li1 ( 7130 156570 ) L1M1_PR_MR
NEW li1 ( 34730 151810 ) L1M1_PR_MR ;
- net87 ( output87 A ) ( _178_ X ) + USE SIGNAL
+ ROUTED met2 ( 31510 157250 ) ( * 162010 )
NEW met1 ( 7130 162010 ) ( 31510 * )
NEW met1 ( 31510 157250 ) ( 34730 * )
NEW met1 ( 31510 157250 ) M1M2_PR
NEW met1 ( 31510 162010 ) M1M2_PR
NEW li1 ( 7130 162010 ) L1M1_PR_MR
NEW li1 ( 34730 157250 ) L1M1_PR_MR ;
- net88 ( output88 A ) ( _180_ X ) + USE SIGNAL
+ ROUTED met1 ( 7130 165410 ) ( 11270 * )
NEW met2 ( 7130 165410 ) ( * 167450 )
NEW li1 ( 11270 165410 ) L1M1_PR_MR
NEW met1 ( 7130 165410 ) M1M2_PR
NEW li1 ( 7130 167450 ) L1M1_PR_MR
NEW met1 ( 7130 167450 ) M1M2_PR
NEW met1 ( 7130 167450 ) RECT ( -355 -70 0 70 ) ;
- net89 ( output89 A ) ( _182_ X ) + USE SIGNAL
+ ROUTED met1 ( 7130 168130 ) ( 11270 * )
NEW met2 ( 7130 168130 ) ( * 172890 )
NEW li1 ( 11270 168130 ) L1M1_PR_MR
NEW met1 ( 7130 168130 ) M1M2_PR
NEW li1 ( 7130 172890 ) L1M1_PR_MR
NEW met1 ( 7130 172890 ) M1M2_PR
NEW met1 ( 7130 172890 ) RECT ( -355 -70 0 70 ) ;
- net9 ( input9 X ) ( _166_ A ) + USE SIGNAL
+ ROUTED met2 ( 200330 9690 ) ( * 11390 )
NEW met1 ( 51750 9690 ) ( 200330 * )
NEW met2 ( 51750 9690 ) ( * 77350 )
NEW met1 ( 200330 9690 ) M1M2_PR
NEW li1 ( 200330 11390 ) L1M1_PR_MR
NEW met1 ( 200330 11390 ) M1M2_PR
NEW met1 ( 51750 9690 ) M1M2_PR
NEW li1 ( 51750 77350 ) L1M1_PR_MR
NEW met1 ( 51750 77350 ) M1M2_PR
NEW met1 ( 200330 11390 ) RECT ( -355 -70 0 70 )
NEW met1 ( 51750 77350 ) RECT ( -355 -70 0 70 ) ;
- net90 ( output90 A ) ( _185_ X ) + USE SIGNAL
+ ROUTED met1 ( 7130 175270 ) ( 45770 * )
NEW met1 ( 45770 43010 ) ( 54510 * )
NEW met2 ( 45770 43010 ) ( * 175270 )
NEW li1 ( 7130 175270 ) L1M1_PR_MR
NEW met1 ( 45770 175270 ) M1M2_PR
NEW li1 ( 54510 43010 ) L1M1_PR_MR
NEW met1 ( 45770 43010 ) M1M2_PR ;
- net91 ( output91 A ) ( _187_ X ) + USE SIGNAL
+ ROUTED met1 ( 7130 90610 ) ( 8970 * )
NEW met2 ( 8970 90610 ) ( * 107100 )
NEW met2 ( 8970 107100 ) ( 9430 * )
NEW met1 ( 7130 180710 ) ( 7590 * )
NEW met2 ( 6670 73100 ) ( 7130 * )
NEW met2 ( 6670 69020 ) ( * 73100 )
NEW met2 ( 6670 69020 ) ( 7130 * )
NEW met2 ( 7130 61540 ) ( * 69020 )
NEW met2 ( 6670 61540 ) ( 7130 * )
NEW met2 ( 6670 55420 ) ( * 61540 )
NEW met2 ( 6670 55420 ) ( 7130 * )
NEW met2 ( 7130 35870 ) ( * 55420 )
NEW met1 ( 7130 35870 ) ( 8510 * )
NEW met1 ( 8510 35870 ) ( * 36210 )
NEW met2 ( 7130 73100 ) ( * 90610 )
NEW met1 ( 7590 156910 ) ( 10350 * )
NEW met2 ( 10350 148580 ) ( * 156910 )
NEW met2 ( 9430 148580 ) ( 10350 * )
NEW met2 ( 7590 156910 ) ( * 180710 )
NEW met2 ( 9430 107100 ) ( * 148580 )
NEW met2 ( 49910 36210 ) ( * 38590 )
NEW met1 ( 8510 36210 ) ( 49910 * )
NEW met1 ( 7130 90610 ) M1M2_PR
NEW met1 ( 8970 90610 ) M1M2_PR
NEW met1 ( 7590 180710 ) M1M2_PR
NEW li1 ( 7130 180710 ) L1M1_PR_MR
NEW met1 ( 7130 35870 ) M1M2_PR
NEW met1 ( 7590 156910 ) M1M2_PR
NEW met1 ( 10350 156910 ) M1M2_PR
NEW met1 ( 49910 36210 ) M1M2_PR
NEW li1 ( 49910 38590 ) L1M1_PR_MR
NEW met1 ( 49910 38590 ) M1M2_PR
NEW met1 ( 49910 38590 ) RECT ( -355 -70 0 70 ) ;
- net92 ( output92 A ) ( _189_ X ) + USE SIGNAL
+ ROUTED met1 ( 7130 183770 ) ( 8510 * )
NEW met2 ( 7590 156060 ) ( 8510 * )
NEW met2 ( 7590 41310 ) ( * 156060 )
NEW met2 ( 8510 156060 ) ( * 183770 )
NEW met1 ( 52670 40290 ) ( 53590 * )
NEW met2 ( 52670 40290 ) ( * 41310 )
NEW met1 ( 24610 41310 ) ( 52670 * )
NEW met1 ( 24610 41310 ) ( * 41650 )
NEW met1 ( 21850 41650 ) ( 24610 * )
NEW met1 ( 21850 41310 ) ( * 41650 )
NEW met1 ( 16330 41310 ) ( 21850 * )
NEW met1 ( 16330 41310 ) ( * 41650 )
NEW met1 ( 14950 41650 ) ( 16330 * )
NEW met1 ( 14950 41310 ) ( * 41650 )
NEW met1 ( 7590 41310 ) ( 14950 * )
NEW met1 ( 8510 183770 ) M1M2_PR
NEW li1 ( 7130 183770 ) L1M1_PR_MR
NEW met1 ( 7590 41310 ) M1M2_PR
NEW li1 ( 53590 40290 ) L1M1_PR_MR
NEW met1 ( 52670 40290 ) M1M2_PR
NEW met1 ( 52670 41310 ) M1M2_PR ;
- net93 ( output93 A ) ( _191_ X ) + USE SIGNAL
+ ROUTED met1 ( 8510 106590 ) ( 9430 * )
NEW met1 ( 7130 186150 ) ( 9430 * )
NEW met1 ( 9430 80070 ) ( * 81090 )
NEW met2 ( 9430 43010 ) ( * 80070 )
NEW met2 ( 9430 81090 ) ( * 106590 )
NEW met2 ( 9430 179400 ) ( * 186150 )
NEW met2 ( 8510 155380 ) ( 8970 * )
NEW met2 ( 8970 155380 ) ( * 179400 )
NEW met2 ( 8970 179400 ) ( 9430 * )
NEW met2 ( 8510 106590 ) ( * 155380 )
NEW met1 ( 45770 41650 ) ( * 41990 )
NEW met1 ( 34270 41650 ) ( 45770 * )
NEW met1 ( 34270 41650 ) ( * 43010 )
NEW met1 ( 9430 43010 ) ( 34270 * )
NEW met1 ( 53590 41310 ) ( * 41990 )
NEW met1 ( 53590 41310 ) ( 58650 * )
NEW met1 ( 45770 41990 ) ( 53590 * )
NEW met1 ( 8510 106590 ) M1M2_PR
NEW met1 ( 9430 106590 ) M1M2_PR
NEW met1 ( 9430 186150 ) M1M2_PR
NEW li1 ( 7130 186150 ) L1M1_PR_MR
NEW met1 ( 9430 81090 ) M1M2_PR
NEW met1 ( 9430 80070 ) M1M2_PR
NEW met1 ( 9430 43010 ) M1M2_PR
NEW li1 ( 58650 41310 ) L1M1_PR_MR ;
- net94 ( output94 A ) ( _193_ X ) + USE SIGNAL
+ ROUTED met1 ( 9890 186150 ) ( 10810 * )
NEW met2 ( 8970 80580 ) ( 9890 * )
NEW met2 ( 8970 38930 ) ( * 80580 )
NEW met3 ( 9660 147220 ) ( 9890 * )
NEW met3 ( 9660 147220 ) ( * 149260 )
NEW met3 ( 9660 149260 ) ( 9890 * )
NEW met2 ( 9890 80580 ) ( * 147220 )
NEW met2 ( 9890 149260 ) ( * 186150 )
NEW met1 ( 23230 38590 ) ( * 38930 )
NEW met1 ( 23230 38590 ) ( 25070 * )
NEW met1 ( 25070 38590 ) ( * 38930 )
NEW met1 ( 25070 38930 ) ( 41630 * )
NEW met1 ( 41630 38930 ) ( * 39950 )
NEW met1 ( 41630 39950 ) ( 61410 * )
NEW met1 ( 8970 38930 ) ( 23230 * )
NEW met1 ( 9890 186150 ) M1M2_PR
NEW li1 ( 10810 186150 ) L1M1_PR_MR
NEW met1 ( 8970 38930 ) M1M2_PR
NEW met2 ( 9890 147220 ) M2M3_PR
NEW met2 ( 9890 149260 ) M2M3_PR
NEW li1 ( 61410 39950 ) L1M1_PR_MR ;
- net95 ( output95 A ) ( _134_ X ) + USE SIGNAL
+ ROUTED met1 ( 7130 36890 ) ( * 37230 )
NEW met1 ( 41630 26690 ) ( 45770 * )
NEW met2 ( 41630 26690 ) ( * 37230 )
NEW met1 ( 7130 37230 ) ( 41630 * )
NEW li1 ( 7130 36890 ) L1M1_PR_MR
NEW li1 ( 45770 26690 ) L1M1_PR_MR
NEW met1 ( 41630 26690 ) M1M2_PR
NEW met1 ( 41630 37230 ) M1M2_PR ;
- net96 ( output96 A ) ( _195_ X ) + USE SIGNAL
+ ROUTED met1 ( 14490 186150 ) ( 15870 * )
NEW met1 ( 38410 32130 ) ( 53590 * )
NEW met1 ( 38410 31790 ) ( * 32130 )
NEW met1 ( 34730 31790 ) ( 38410 * )
NEW met1 ( 34730 31790 ) ( * 32130 )
NEW met1 ( 16790 32130 ) ( 34730 * )
NEW met2 ( 16790 32130 ) ( * 36380 )
NEW met2 ( 15870 36380 ) ( 16790 * )
NEW met2 ( 15870 36380 ) ( * 186150 )
NEW met1 ( 15870 186150 ) M1M2_PR
NEW li1 ( 14490 186150 ) L1M1_PR_MR
NEW li1 ( 53590 32130 ) L1M1_PR_MR
NEW met1 ( 16790 32130 ) M1M2_PR ;
- net97 ( output97 A ) ( _197_ X ) + USE SIGNAL
+ ROUTED met2 ( 10810 29410 ) ( * 183770 )
NEW met1 ( 10810 29410 ) ( 48990 * )
NEW met1 ( 10810 29410 ) M1M2_PR
NEW li1 ( 10810 183770 ) L1M1_PR_MR
NEW met1 ( 10810 183770 ) M1M2_PR
NEW li1 ( 48990 29410 ) L1M1_PR_MR
NEW met1 ( 10810 183770 ) RECT ( -355 -70 0 70 ) ;
- net98 ( output98 A ) ( _136_ X ) + USE SIGNAL
+ ROUTED met1 ( 7130 44370 ) ( * 44710 )
NEW met1 ( 7130 44370 ) ( 13800 * )
NEW met2 ( 44850 40290 ) ( * 44030 )
NEW met1 ( 13800 44030 ) ( 44850 * )
NEW met1 ( 13800 44030 ) ( * 44370 )
NEW li1 ( 7130 44710 ) L1M1_PR_MR
NEW li1 ( 44850 40290 ) L1M1_PR_MR
NEW met1 ( 44850 40290 ) M1M2_PR
NEW met1 ( 44850 44030 ) M1M2_PR
NEW met1 ( 44850 40290 ) RECT ( -355 -70 0 70 ) ;
- net99 ( output99 A ) ( _138_ X ) + USE SIGNAL
+ ROUTED met1 ( 7130 50150 ) ( * 50830 )
NEW met1 ( 7130 50830 ) ( 44850 * )
NEW li1 ( 7130 50150 ) L1M1_PR_MR
NEW li1 ( 44850 50830 ) L1M1_PR_MR ;
- sram_addr1[0] ( PIN sram_addr1[0] ) ( output60 X ) + USE SIGNAL
+ ROUTED met2 ( 15410 3740 0 ) ( * 5780 )
NEW met2 ( 15410 5780 ) ( 15870 * )
NEW met2 ( 15870 5780 ) ( * 11390 )
NEW met1 ( 15870 11390 ) ( 20010 * )
NEW met1 ( 15870 11390 ) M1M2_PR
NEW li1 ( 20010 11390 ) L1M1_PR_MR ;
- sram_addr1[1] ( PIN sram_addr1[1] ) ( output61 X ) + USE SIGNAL
+ ROUTED met2 ( 30130 3740 0 ) ( * 11390 )
NEW met1 ( 30130 11390 ) ( 32890 * )
NEW met1 ( 30130 11390 ) M1M2_PR
NEW li1 ( 32890 11390 ) L1M1_PR_MR ;
- sram_addr1[2] ( PIN sram_addr1[2] ) ( output62 X ) + USE SIGNAL
+ ROUTED met2 ( 44850 3740 0 ) ( * 11390 )
NEW met1 ( 44850 11390 ) ( 46230 * )
NEW met1 ( 44850 11390 ) M1M2_PR
NEW li1 ( 46230 11390 ) L1M1_PR_MR ;
- sram_addr1[3] ( PIN sram_addr1[3] ) ( output63 X ) + USE SIGNAL
+ ROUTED met2 ( 59570 3740 0 ) ( * 11390 )
NEW met1 ( 59570 11390 ) ( 60950 * )
NEW met1 ( 59570 11390 ) M1M2_PR
NEW li1 ( 60950 11390 ) L1M1_PR_MR ;
- sram_addr1[4] ( PIN sram_addr1[4] ) ( output64 X ) + USE SIGNAL
+ ROUTED met2 ( 74290 3740 0 ) ( * 11390 )
NEW met1 ( 74290 11390 ) ( 75670 * )
NEW met1 ( 74290 11390 ) M1M2_PR
NEW li1 ( 75670 11390 ) L1M1_PR_MR ;
- sram_addr1[5] ( PIN sram_addr1[5] ) ( output65 X ) + USE SIGNAL
+ ROUTED met2 ( 86250 3740 0 ) ( * 11390 )
NEW met1 ( 86250 11390 ) ( 87630 * )
NEW met1 ( 86250 11390 ) M1M2_PR
NEW li1 ( 87630 11390 ) L1M1_PR_MR ;
- sram_addr1[6] ( PIN sram_addr1[6] ) ( output66 X ) + USE SIGNAL
+ ROUTED met2 ( 98670 3740 0 ) ( * 4420 )
NEW met2 ( 98670 4420 ) ( 99130 * )
NEW met2 ( 99130 3740 ) ( * 4420 )
NEW met2 ( 99130 3740 ) ( 100050 * )
NEW met2 ( 100050 3740 ) ( * 11390 )
NEW li1 ( 100050 11390 ) L1M1_PR_MR
NEW met1 ( 100050 11390 ) M1M2_PR
NEW met1 ( 100050 11390 ) RECT ( -355 -70 0 70 ) ;
- sram_addr1[7] ( PIN sram_addr1[7] ) ( output67 X ) + USE SIGNAL
+ ROUTED met2 ( 110630 3740 0 ) ( * 11390 )
NEW met1 ( 110630 11390 ) ( 112010 * )
NEW met1 ( 110630 11390 ) M1M2_PR
NEW li1 ( 112010 11390 ) L1M1_PR_MR ;
- sram_addr1[8] ( PIN sram_addr1[8] ) ( output68 X ) + USE SIGNAL
+ ROUTED met2 ( 123050 3740 0 ) ( * 11390 )
NEW met1 ( 123050 11390 ) ( 124430 * )
NEW met1 ( 123050 11390 ) M1M2_PR
NEW li1 ( 124430 11390 ) L1M1_PR_MR ;
- sram_clk0 ( PIN sram_clk0 ) ( output69 X ) + USE SIGNAL
+ ROUTED met2 ( 1150 3740 0 ) ( * 16830 )
NEW met1 ( 1150 16830 ) ( 8050 * )
NEW met1 ( 1150 16830 ) M1M2_PR
NEW li1 ( 8050 16830 ) L1M1_PR_MR ;
- sram_clk1 ( PIN sram_clk1 ) ( output70 X ) + USE SIGNAL
+ ROUTED met2 ( 3450 3740 0 ) ( * 14450 )
NEW met1 ( 3450 14450 ) ( 13110 * )
NEW met1 ( 3450 14450 ) M1M2_PR
NEW li1 ( 13110 14450 ) L1M1_PR_MR ;
- sram_csb1 ( PIN sram_csb1 ) ( output71 X ) + USE SIGNAL
+ ROUTED met2 ( 8050 3740 0 ) ( * 14110 )
NEW met1 ( 8050 14110 ) ( 9430 * )
NEW met1 ( 8050 14110 ) M1M2_PR
NEW li1 ( 9430 14110 ) L1M1_PR_MR ;
- sram_dout0[0] ( PIN sram_dout0[0] ) + USE SIGNAL ;
- sram_dout0[10] ( PIN sram_dout0[10] ) + USE SIGNAL ;
- sram_dout0[11] ( PIN sram_dout0[11] ) + USE SIGNAL ;
- sram_dout0[12] ( PIN sram_dout0[12] ) + USE SIGNAL ;
- sram_dout0[13] ( PIN sram_dout0[13] ) + USE SIGNAL ;
- sram_dout0[14] ( PIN sram_dout0[14] ) + USE SIGNAL ;
- sram_dout0[15] ( PIN sram_dout0[15] ) + USE SIGNAL ;
- sram_dout0[16] ( PIN sram_dout0[16] ) + USE SIGNAL ;
- sram_dout0[17] ( PIN sram_dout0[17] ) + USE SIGNAL ;
- sram_dout0[18] ( PIN sram_dout0[18] ) + USE SIGNAL ;
- sram_dout0[19] ( PIN sram_dout0[19] ) + USE SIGNAL ;
- sram_dout0[1] ( PIN sram_dout0[1] ) + USE SIGNAL ;
- sram_dout0[20] ( PIN sram_dout0[20] ) + USE SIGNAL ;
- sram_dout0[21] ( PIN sram_dout0[21] ) + USE SIGNAL ;
- sram_dout0[22] ( PIN sram_dout0[22] ) + USE SIGNAL ;
- sram_dout0[23] ( PIN sram_dout0[23] ) + USE SIGNAL ;
- sram_dout0[24] ( PIN sram_dout0[24] ) + USE SIGNAL ;
- sram_dout0[25] ( PIN sram_dout0[25] ) + USE SIGNAL ;
- sram_dout0[26] ( PIN sram_dout0[26] ) + USE SIGNAL ;
- sram_dout0[27] ( PIN sram_dout0[27] ) + USE SIGNAL ;
- sram_dout0[28] ( PIN sram_dout0[28] ) + USE SIGNAL ;
- sram_dout0[29] ( PIN sram_dout0[29] ) + USE SIGNAL ;
- sram_dout0[2] ( PIN sram_dout0[2] ) + USE SIGNAL ;
- sram_dout0[30] ( PIN sram_dout0[30] ) + USE SIGNAL ;
- sram_dout0[31] ( PIN sram_dout0[31] ) + USE SIGNAL ;
- sram_dout0[3] ( PIN sram_dout0[3] ) + USE SIGNAL ;
- sram_dout0[4] ( PIN sram_dout0[4] ) + USE SIGNAL ;
- sram_dout0[5] ( PIN sram_dout0[5] ) + USE SIGNAL ;
- sram_dout0[6] ( PIN sram_dout0[6] ) + USE SIGNAL ;
- sram_dout0[7] ( PIN sram_dout0[7] ) + USE SIGNAL ;
- sram_dout0[8] ( PIN sram_dout0[8] ) + USE SIGNAL ;
- sram_dout0[9] ( PIN sram_dout0[9] ) + USE SIGNAL ;
- sram_dout1[0] ( PIN sram_dout1[0] ) ( input1 A ) + USE SIGNAL
+ ROUTED met2 ( 22770 3740 0 ) ( * 9180 )
NEW met2 ( 22770 9180 ) ( 23230 * )
NEW met1 ( 23230 17510 ) ( 24610 * )
NEW met2 ( 23230 9180 ) ( * 17510 )
NEW met1 ( 23230 17510 ) M1M2_PR
NEW li1 ( 24610 17510 ) L1M1_PR_MR ;
- sram_dout1[10] ( PIN sram_dout1[10] ) ( input2 A ) + USE SIGNAL
+ ROUTED met1 ( 144670 14790 ) ( 145130 * )
NEW met2 ( 144670 3740 0 ) ( * 14790 )
NEW met1 ( 144670 14790 ) M1M2_PR
NEW li1 ( 145130 14790 ) L1M1_PR_MR ;
- sram_dout1[11] ( PIN sram_dout1[11] ) ( input3 A ) + USE SIGNAL
+ ROUTED met2 ( 152030 3740 0 ) ( * 12070 )
NEW met1 ( 152030 12070 ) ( 152490 * )
NEW met1 ( 152030 12070 ) M1M2_PR
NEW li1 ( 152490 12070 ) L1M1_PR_MR ;
- sram_dout1[12] ( PIN sram_dout1[12] ) ( input4 A ) + USE SIGNAL
+ ROUTED met2 ( 159390 3740 0 ) ( * 12070 )
NEW met1 ( 159390 12070 ) ( 160770 * )
NEW met1 ( 159390 12070 ) M1M2_PR
NEW li1 ( 160770 12070 ) L1M1_PR_MR ;
- sram_dout1[13] ( PIN sram_dout1[13] ) ( input5 A ) + USE SIGNAL
+ ROUTED met2 ( 166750 3740 0 ) ( * 14790 )
NEW met1 ( 166750 14790 ) ( 167210 * )
NEW met1 ( 166750 14790 ) M1M2_PR
NEW li1 ( 167210 14790 ) L1M1_PR_MR ;
- sram_dout1[14] ( PIN sram_dout1[14] ) ( input6 A ) + USE SIGNAL
+ ROUTED met2 ( 174110 3740 0 ) ( * 11730 )
NEW met1 ( 174110 11730 ) ( 175950 * )
NEW met1 ( 174110 11730 ) M1M2_PR
NEW li1 ( 175950 11730 ) L1M1_PR_MR ;
- sram_dout1[15] ( PIN sram_dout1[15] ) ( input7 A ) + USE SIGNAL
+ ROUTED met2 ( 181470 3740 0 ) ( * 12070 )
NEW met1 ( 181470 12070 ) ( 181930 * )
NEW met1 ( 181470 12070 ) M1M2_PR
NEW li1 ( 181930 12070 ) L1M1_PR_MR ;
- sram_dout1[16] ( PIN sram_dout1[16] ) ( input8 A ) + USE SIGNAL
+ ROUTED met2 ( 188830 3740 0 ) ( * 12070 )
NEW met1 ( 188830 12070 ) ( 189290 * )
NEW met1 ( 188830 12070 ) M1M2_PR
NEW li1 ( 189290 12070 ) L1M1_PR_MR ;
- sram_dout1[17] ( PIN sram_dout1[17] ) ( input9 A ) + USE SIGNAL
+ ROUTED met2 ( 196190 3740 0 ) ( * 12070 )
NEW met1 ( 196190 12070 ) ( 199410 * )
NEW met1 ( 196190 12070 ) M1M2_PR
NEW li1 ( 199410 12070 ) L1M1_PR_MR ;
- sram_dout1[18] ( PIN sram_dout1[18] ) ( input10 A ) + USE SIGNAL
+ ROUTED met2 ( 203090 3740 0 ) ( * 12070 )
NEW met1 ( 203090 12070 ) ( 203550 * )
NEW met1 ( 203090 12070 ) M1M2_PR
NEW li1 ( 203550 12070 ) L1M1_PR_MR ;
- sram_dout1[19] ( PIN sram_dout1[19] ) ( input11 A ) + USE SIGNAL
+ ROUTED met2 ( 210450 3740 0 ) ( * 12070 )
NEW met1 ( 210450 12070 ) ( 212290 * )
NEW met1 ( 210450 12070 ) M1M2_PR
NEW li1 ( 212290 12070 ) L1M1_PR_MR ;
- sram_dout1[1] ( PIN sram_dout1[1] ) ( input12 A ) + USE SIGNAL
+ ROUTED met1 ( 33810 14790 ) ( 37490 * )
NEW met1 ( 33810 14790 ) ( * 15130 )
NEW met2 ( 37490 3740 0 ) ( * 14790 )
NEW met1 ( 37490 14790 ) M1M2_PR
NEW li1 ( 33810 15130 ) L1M1_PR_MR ;
- sram_dout1[20] ( PIN sram_dout1[20] ) ( input13 A ) + USE SIGNAL
+ ROUTED met2 ( 217810 3740 0 ) ( * 11730 )
NEW met1 ( 217810 11730 ) ( 218730 * )
NEW met1 ( 217810 11730 ) M1M2_PR
NEW li1 ( 218730 11730 ) L1M1_PR_MR ;
- sram_dout1[21] ( PIN sram_dout1[21] ) ( input14 A ) + USE SIGNAL
+ ROUTED met2 ( 225170 3740 0 ) ( * 11730 )
NEW met1 ( 225170 11730 ) ( 227470 * )
NEW met1 ( 225170 11730 ) M1M2_PR
NEW li1 ( 227470 11730 ) L1M1_PR_MR ;
- sram_dout1[22] ( PIN sram_dout1[22] ) ( input15 A ) + USE SIGNAL
+ ROUTED met2 ( 232530 3740 0 ) ( * 11730 )
NEW met1 ( 232530 11730 ) ( 233450 * )
NEW met1 ( 232530 11730 ) M1M2_PR
NEW li1 ( 233450 11730 ) L1M1_PR_MR ;
- sram_dout1[23] ( PIN sram_dout1[23] ) ( input16 A ) + USE SIGNAL
+ ROUTED met2 ( 239890 3740 0 ) ( * 11730 )
NEW met1 ( 239890 11730 ) ( 240810 * )
NEW met1 ( 239890 11730 ) M1M2_PR
NEW li1 ( 240810 11730 ) L1M1_PR_MR ;
- sram_dout1[24] ( PIN sram_dout1[24] ) ( input17 A ) + USE SIGNAL
+ ROUTED met2 ( 247250 3740 0 ) ( * 11730 )
NEW met1 ( 247250 11730 ) ( 253230 * )
NEW met1 ( 247250 11730 ) M1M2_PR
NEW li1 ( 253230 11730 ) L1M1_PR_MR ;
- sram_dout1[25] ( PIN sram_dout1[25] ) ( input18 A ) + USE SIGNAL
+ ROUTED met2 ( 254610 3740 0 ) ( * 12070 )
NEW met1 ( 254610 12070 ) ( 257370 * )
NEW met1 ( 254610 12070 ) M1M2_PR
NEW li1 ( 257370 12070 ) L1M1_PR_MR ;
- sram_dout1[26] ( PIN sram_dout1[26] ) ( input19 A ) + USE SIGNAL
+ ROUTED met2 ( 261970 3740 0 ) ( * 12070 )
NEW met1 ( 261970 12070 ) ( 263810 * )
NEW met1 ( 261970 12070 ) M1M2_PR
NEW li1 ( 263810 12070 ) L1M1_PR_MR ;
- sram_dout1[27] ( PIN sram_dout1[27] ) ( input20 A ) + USE SIGNAL
+ ROUTED met2 ( 269330 3740 0 ) ( * 11730 )
NEW met1 ( 269330 11730 ) ( 270250 * )
NEW met1 ( 269330 11730 ) M1M2_PR
NEW li1 ( 270250 11730 ) L1M1_PR_MR ;
- sram_dout1[28] ( PIN sram_dout1[28] ) ( input21 A ) + USE SIGNAL
+ ROUTED met2 ( 276230 3740 0 ) ( * 11730 )
NEW met1 ( 276230 11730 ) ( 278990 * )
NEW met1 ( 276230 11730 ) M1M2_PR
NEW li1 ( 278990 11730 ) L1M1_PR_MR ;
- sram_dout1[29] ( PIN sram_dout1[29] ) ( input22 A ) + USE SIGNAL
+ ROUTED met2 ( 283590 3740 0 ) ( * 11730 )
NEW met1 ( 283590 11730 ) ( 284510 * )
NEW met1 ( 283590 11730 ) M1M2_PR
NEW li1 ( 284510 11730 ) L1M1_PR_MR ;
- sram_dout1[2] ( PIN sram_dout1[2] ) ( input23 A ) + USE SIGNAL
+ ROUTED met1 ( 52210 15130 ) ( 53590 * )
NEW met2 ( 52210 3740 0 ) ( * 15130 )
NEW met1 ( 52210 15130 ) M1M2_PR
NEW li1 ( 53590 15130 ) L1M1_PR_MR ;
- sram_dout1[30] ( PIN sram_dout1[30] ) ( input24 A ) + USE SIGNAL
+ ROUTED met2 ( 290950 3740 0 ) ( * 17170 )
NEW met1 ( 289110 17170 ) ( 290950 * )
NEW met1 ( 290950 17170 ) M1M2_PR
NEW li1 ( 289110 17170 ) L1M1_PR_MR ;
- sram_dout1[31] ( PIN sram_dout1[31] ) ( input25 A ) + USE SIGNAL
+ ROUTED met2 ( 298310 3740 0 ) ( * 15130 )
NEW met1 ( 284970 15130 ) ( 298310 * )
NEW met1 ( 298310 15130 ) M1M2_PR
NEW li1 ( 284970 15130 ) L1M1_PR_MR ;
- sram_dout1[3] ( PIN sram_dout1[3] ) ( input26 A ) + USE SIGNAL
+ ROUTED met2 ( 66930 3740 0 ) ( * 12070 )
NEW met1 ( 66930 12070 ) ( 67850 * )
NEW met1 ( 66930 12070 ) M1M2_PR
NEW li1 ( 67850 12070 ) L1M1_PR_MR ;
- sram_dout1[4] ( PIN sram_dout1[4] ) ( input27 A ) + USE SIGNAL
+ ROUTED met2 ( 81190 3740 0 ) ( * 12070 )
NEW met1 ( 80730 12070 ) ( 81190 * )
NEW met1 ( 81190 12070 ) M1M2_PR
NEW li1 ( 80730 12070 ) L1M1_PR_MR ;
- sram_dout1[5] ( PIN sram_dout1[5] ) ( input28 A ) + USE SIGNAL
+ ROUTED met2 ( 93610 3740 0 ) ( * 12070 )
NEW li1 ( 93610 12070 ) L1M1_PR_MR
NEW met1 ( 93610 12070 ) M1M2_PR
NEW met1 ( 93610 12070 ) RECT ( -355 -70 0 70 ) ;
- sram_dout1[6] ( PIN sram_dout1[6] ) ( input29 A ) + USE SIGNAL
+ ROUTED met1 ( 105570 15130 ) ( 106490 * )
NEW met2 ( 105570 3740 0 ) ( * 15130 )
NEW met1 ( 105570 15130 ) M1M2_PR
NEW li1 ( 106490 15130 ) L1M1_PR_MR ;
- sram_dout1[7] ( PIN sram_dout1[7] ) ( input30 A ) + USE SIGNAL
+ ROUTED met1 ( 117990 15130 ) ( 119370 * )
NEW met2 ( 117990 3740 0 ) ( * 15130 )
NEW met1 ( 117990 15130 ) M1M2_PR
NEW li1 ( 119370 15130 ) L1M1_PR_MR ;
- sram_dout1[8] ( PIN sram_dout1[8] ) ( input31 A ) + USE SIGNAL
+ ROUTED met2 ( 129950 3740 0 ) ( * 12070 )
NEW met1 ( 129030 12070 ) ( 129950 * )
NEW met1 ( 129950 12070 ) M1M2_PR
NEW li1 ( 129030 12070 ) L1M1_PR_MR ;
- sram_dout1[9] ( PIN sram_dout1[9] ) ( input32 A ) + USE SIGNAL
+ ROUTED met2 ( 137310 3740 0 ) ( * 12070 )
NEW met1 ( 137310 12070 ) ( 137770 * )
NEW met1 ( 137310 12070 ) M1M2_PR
NEW li1 ( 137770 12070 ) L1M1_PR_MR ;
- wbFlashInterface.currentAddress\[10\] ( _286_ Q ) ( _244_ A0 ) ( _122_ A ) ( _118_ A ) + USE SIGNAL
+ ROUTED met1 ( 23690 85850 ) ( 25070 * )
NEW met1 ( 25070 79390 ) ( 26450 * )
NEW met1 ( 20930 82110 ) ( 25070 * )
NEW met2 ( 25070 79390 ) ( * 85850 )
NEW met1 ( 26450 31110 ) ( 39330 * )
NEW met2 ( 26450 31110 ) ( * 79390 )
NEW met1 ( 25070 85850 ) M1M2_PR
NEW li1 ( 23690 85850 ) L1M1_PR_MR
NEW li1 ( 26450 79390 ) L1M1_PR_MR
NEW met1 ( 26450 79390 ) M1M2_PR
NEW met1 ( 25070 79390 ) M1M2_PR
NEW li1 ( 20930 82110 ) L1M1_PR_MR
NEW met1 ( 25070 82110 ) M1M2_PR
NEW met1 ( 26450 31110 ) M1M2_PR
NEW li1 ( 39330 31110 ) L1M1_PR_MR
NEW met1 ( 26450 79390 ) RECT ( -355 -70 0 70 )
NEW met2 ( 25070 82110 ) RECT ( -70 -485 70 0 ) ;
- wbFlashInterface.currentAddress\[11\] ( _287_ Q ) ( _246_ A0 ) ( _122_ B ) + USE SIGNAL
+ ROUTED met1 ( 14030 86530 ) ( 19090 * )
NEW met2 ( 14030 86530 ) ( * 87550 )
NEW met1 ( 19090 84830 ) ( 21850 * )
NEW met1 ( 19090 84830 ) ( * 86530 )
NEW li1 ( 19090 86530 ) L1M1_PR_MR
NEW met1 ( 14030 86530 ) M1M2_PR
NEW li1 ( 14030 87550 ) L1M1_PR_MR
NEW met1 ( 14030 87550 ) M1M2_PR
NEW li1 ( 21850 84830 ) L1M1_PR_MR
NEW met1 ( 14030 87550 ) RECT ( -355 -70 0 70 ) ;
- wbFlashInterface.currentAddress\[12\] ( _288_ Q ) ( _249_ A0 ) ( _120_ A ) + USE SIGNAL
+ ROUTED met1 ( 13570 99110 ) ( 20930 * )
NEW met2 ( 18630 97410 ) ( * 99110 )
NEW li1 ( 20930 99110 ) L1M1_PR_MR
NEW li1 ( 13570 99110 ) L1M1_PR_MR
NEW li1 ( 18630 97410 ) L1M1_PR_MR
NEW met1 ( 18630 97410 ) M1M2_PR
NEW met1 ( 18630 99110 ) M1M2_PR
NEW met1 ( 18630 97410 ) RECT ( -355 -70 0 70 )
NEW met1 ( 18630 99110 ) RECT ( -595 -70 0 70 ) ;
- wbFlashInterface.currentAddress\[13\] ( _289_ Q ) ( _251_ A0 ) ( _120_ B ) + USE SIGNAL
+ ROUTED met1 ( 20930 100130 ) ( 25070 * )
NEW met2 ( 25070 100130 ) ( * 101150 )
NEW met1 ( 14030 102170 ) ( 25070 * )
NEW met1 ( 25070 101150 ) ( * 102170 )
NEW li1 ( 20930 100130 ) L1M1_PR_MR
NEW met1 ( 25070 100130 ) M1M2_PR
NEW li1 ( 25070 101150 ) L1M1_PR_MR
NEW met1 ( 25070 101150 ) M1M2_PR
NEW li1 ( 14030 102170 ) L1M1_PR_MR
NEW met1 ( 25070 101150 ) RECT ( -355 -70 0 70 ) ;
- wbFlashInterface.currentAddress\[14\] ( _290_ Q ) ( _253_ A0 ) ( _120_ C ) + USE SIGNAL
+ ROUTED met1 ( 15870 107950 ) ( 26910 * )
NEW met1 ( 26910 107950 ) ( * 108290 )
NEW met2 ( 20470 99450 ) ( * 107950 )
NEW li1 ( 15870 107950 ) L1M1_PR_MR
NEW li1 ( 26910 108290 ) L1M1_PR_MR
NEW li1 ( 20470 99450 ) L1M1_PR_MR
NEW met1 ( 20470 99450 ) M1M2_PR
NEW met1 ( 20470 107950 ) M1M2_PR
NEW met1 ( 20470 99450 ) RECT ( -355 -70 0 70 )
NEW met1 ( 20470 107950 ) RECT ( -595 -70 0 70 ) ;
- wbFlashInterface.currentAddress\[15\] ( _291_ Q ) ( _255_ A0 ) ( _120_ D ) + USE SIGNAL
+ ROUTED met2 ( 17250 98770 ) ( * 112030 )
NEW met1 ( 17250 98770 ) ( 19550 * )
NEW met1 ( 14030 109310 ) ( 17250 * )
NEW li1 ( 17250 112030 ) L1M1_PR_MR
NEW met1 ( 17250 112030 ) M1M2_PR
NEW met1 ( 17250 98770 ) M1M2_PR
NEW li1 ( 19550 98770 ) L1M1_PR_MR
NEW li1 ( 14030 109310 ) L1M1_PR_MR
NEW met1 ( 17250 109310 ) M1M2_PR
NEW met1 ( 17250 112030 ) RECT ( -355 -70 0 70 )
NEW met2 ( 17250 109310 ) RECT ( -70 -485 70 0 ) ;
- wbFlashInterface.currentAddress\[16\] ( _292_ Q ) ( _257_ A0 ) ( _124_ A ) + USE SIGNAL
+ ROUTED met2 ( 20470 125630 ) ( * 126310 )
NEW met1 ( 14490 125630 ) ( 20470 * )
NEW met2 ( 14490 120530 ) ( * 125630 )
NEW met1 ( 20470 121890 ) ( 25990 * )
NEW met2 ( 20470 121890 ) ( * 125630 )
NEW li1 ( 20470 126310 ) L1M1_PR_MR
NEW met1 ( 20470 126310 ) M1M2_PR
NEW met1 ( 20470 125630 ) M1M2_PR
NEW met1 ( 14490 125630 ) M1M2_PR
NEW li1 ( 14490 120530 ) L1M1_PR_MR
NEW met1 ( 14490 120530 ) M1M2_PR
NEW li1 ( 25990 121890 ) L1M1_PR_MR
NEW met1 ( 20470 121890 ) M1M2_PR
NEW met1 ( 20470 126310 ) RECT ( 0 -70 355 70 )
NEW met1 ( 14490 120530 ) RECT ( -355 -70 0 70 ) ;
- wbFlashInterface.currentAddress\[17\] ( _293_ Q ) ( _260_ A0 ) ( _124_ B ) + USE SIGNAL
+ ROUTED met1 ( 13570 128350 ) ( 17250 * )
NEW met2 ( 13570 125970 ) ( * 128350 )
NEW met1 ( 13570 127330 ) ( 19090 * )
NEW li1 ( 17250 128350 ) L1M1_PR_MR
NEW met1 ( 13570 128350 ) M1M2_PR
NEW li1 ( 13570 125970 ) L1M1_PR_MR
NEW met1 ( 13570 125970 ) M1M2_PR
NEW li1 ( 19090 127330 ) L1M1_PR_MR
NEW met1 ( 13570 127330 ) M1M2_PR
NEW met1 ( 13570 125970 ) RECT ( -355 -70 0 70 )
NEW met2 ( 13570 127330 ) RECT ( -70 -485 70 0 ) ;
- wbFlashInterface.currentAddress\[18\] ( _294_ Q ) ( _262_ A0 ) ( _124_ C ) + USE SIGNAL
+ ROUTED met1 ( 22310 129710 ) ( 23230 * )
NEW met2 ( 23230 126650 ) ( * 129710 )
NEW met1 ( 20010 126650 ) ( 23230 * )
NEW met1 ( 22310 131410 ) ( 30130 * )
NEW met2 ( 22310 129710 ) ( * 131410 )
NEW met1 ( 30130 131070 ) ( * 131410 )
NEW li1 ( 30130 131070 ) L1M1_PR_MR
NEW li1 ( 22310 129710 ) L1M1_PR_MR
NEW met1 ( 23230 129710 ) M1M2_PR
NEW met1 ( 23230 126650 ) M1M2_PR
NEW li1 ( 20010 126650 ) L1M1_PR_MR
NEW met1 ( 22310 129710 ) M1M2_PR
NEW met1 ( 22310 131410 ) M1M2_PR
NEW met1 ( 30130 131070 ) RECT ( 0 -70 255 70 )
NEW met1 ( 22310 129710 ) RECT ( -595 -70 0 70 ) ;
- wbFlashInterface.currentAddress\[19\] ( _295_ Q ) ( _264_ A0 ) ( _124_ D ) + USE SIGNAL
+ ROUTED met1 ( 16330 125970 ) ( 19090 * )
NEW met1 ( 13570 134810 ) ( 16330 * )
NEW met2 ( 16330 125970 ) ( * 136510 )
NEW met1 ( 16330 125970 ) M1M2_PR
NEW li1 ( 19090 125970 ) L1M1_PR_MR
NEW li1 ( 16330 136510 ) L1M1_PR_MR
NEW met1 ( 16330 136510 ) M1M2_PR
NEW li1 ( 13570 134810 ) L1M1_PR_MR
NEW met1 ( 16330 134810 ) M1M2_PR
NEW met1 ( 16330 136510 ) RECT ( -355 -70 0 70 )
NEW met2 ( 16330 134810 ) RECT ( -70 -485 70 0 ) ;
- wbFlashInterface.currentAddress\[20\] ( _296_ Q ) ( _266_ A0 ) ( _123_ A ) + USE SIGNAL
+ ROUTED met1 ( 22310 140590 ) ( 24150 * )
NEW met2 ( 24150 140590 ) ( * 148070 )
NEW met1 ( 21850 148070 ) ( 24150 * )
NEW met1 ( 24150 143650 ) ( 29210 * )
NEW li1 ( 22310 140590 ) L1M1_PR_MR
NEW met1 ( 24150 140590 ) M1M2_PR
NEW met1 ( 24150 148070 ) M1M2_PR
NEW li1 ( 21850 148070 ) L1M1_PR_MR
NEW li1 ( 29210 143650 ) L1M1_PR_MR
NEW met1 ( 24150 143650 ) M1M2_PR
NEW met2 ( 24150 143650 ) RECT ( -70 -485 70 0 ) ;
- wbFlashInterface.currentAddress\[21\] ( _297_ Q ) ( _268_ A0 ) ( _123_ B ) + USE SIGNAL
+ ROUTED met1 ( 16330 149090 ) ( 20470 * )
NEW met1 ( 13570 146370 ) ( 16330 * )
NEW met2 ( 16330 146370 ) ( * 149090 )
NEW li1 ( 16330 149090 ) L1M1_PR_MR
NEW li1 ( 20470 149090 ) L1M1_PR_MR
NEW li1 ( 13570 146370 ) L1M1_PR_MR
NEW met1 ( 16330 146370 ) M1M2_PR
NEW met1 ( 16330 149090 ) M1M2_PR
NEW met1 ( 16330 149090 ) RECT ( -595 -70 0 70 ) ;
- wbFlashInterface.currentAddress\[22\] ( _298_ Q ) ( _270_ A0 ) ( _123_ C ) + USE SIGNAL
+ ROUTED met1 ( 22310 156570 ) ( 23690 * )
NEW met2 ( 23690 154530 ) ( * 156570 )
NEW met1 ( 23690 154530 ) ( 31050 * )
NEW met1 ( 21390 148410 ) ( 23690 * )
NEW met2 ( 23690 148410 ) ( * 154530 )
NEW li1 ( 22310 156570 ) L1M1_PR_MR
NEW met1 ( 23690 156570 ) M1M2_PR
NEW met1 ( 23690 154530 ) M1M2_PR
NEW li1 ( 31050 154530 ) L1M1_PR_MR
NEW li1 ( 21390 148410 ) L1M1_PR_MR
NEW met1 ( 23690 148410 ) M1M2_PR ;
- wbFlashInterface.currentAddress\[23\] ( _299_ Q ) ( _272_ A0 ) ( _123_ D ) + USE SIGNAL
+ ROUTED met2 ( 18170 148070 ) ( * 155550 )
NEW met1 ( 18170 148070 ) ( 20470 * )
NEW met1 ( 14490 153170 ) ( 18170 * )
NEW li1 ( 18170 155550 ) L1M1_PR_MR
NEW met1 ( 18170 155550 ) M1M2_PR
NEW met1 ( 18170 148070 ) M1M2_PR
NEW li1 ( 20470 148070 ) L1M1_PR_MR
NEW li1 ( 14490 153170 ) L1M1_PR_MR
NEW met1 ( 18170 153170 ) M1M2_PR
NEW met1 ( 18170 155550 ) RECT ( -355 -70 0 70 )
NEW met2 ( 18170 153170 ) RECT ( -70 -485 70 0 ) ;
- wbFlashInterface.currentAddress\[2\] ( _278_ Q ) ( _227_ A0 ) ( _198_ A ) + USE SIGNAL
+ ROUTED met2 ( 15410 36890 ) ( * 41310 )
NEW met1 ( 15410 41310 ) ( 15870 * )
NEW met1 ( 13110 39270 ) ( 15410 * )
NEW li1 ( 13110 39270 ) L1M1_PR_MR
NEW li1 ( 15410 36890 ) L1M1_PR_MR
NEW met1 ( 15410 36890 ) M1M2_PR
NEW met1 ( 15410 41310 ) M1M2_PR
NEW li1 ( 15870 41310 ) L1M1_PR_MR
NEW met1 ( 15410 39270 ) M1M2_PR
NEW met1 ( 15410 36890 ) RECT ( -355 -70 0 70 )
NEW met2 ( 15410 39270 ) RECT ( -70 -485 70 0 ) ;
- wbFlashInterface.currentAddress\[3\] ( _279_ Q ) ( _229_ A0 ) ( _200_ A ) + USE SIGNAL
+ ROUTED met2 ( 30130 40290 ) ( * 42330 )
NEW met1 ( 24150 42330 ) ( 30130 * )
NEW met1 ( 30130 36890 ) ( 31970 * )
NEW met2 ( 30130 36890 ) ( * 40290 )
NEW li1 ( 30130 40290 ) L1M1_PR_MR
NEW met1 ( 30130 40290 ) M1M2_PR
NEW met1 ( 30130 42330 ) M1M2_PR
NEW li1 ( 24150 42330 ) L1M1_PR_MR
NEW li1 ( 31970 36890 ) L1M1_PR_MR
NEW met1 ( 30130 36890 ) M1M2_PR
NEW met1 ( 30130 40290 ) RECT ( -355 -70 0 70 ) ;
- wbFlashInterface.currentAddress\[4\] ( _280_ Q ) ( _231_ A0 ) ( _202_ A ) + USE SIGNAL
+ ROUTED met1 ( 29210 46750 ) ( 33350 * )
NEW met2 ( 33350 36890 ) ( * 46750 )
NEW met1 ( 33350 36890 ) ( 37490 * )
NEW met1 ( 23690 44710 ) ( 29210 * )
NEW met2 ( 29210 44710 ) ( * 46750 )
NEW li1 ( 29210 46750 ) L1M1_PR_MR
NEW met1 ( 33350 46750 ) M1M2_PR
NEW met1 ( 33350 36890 ) M1M2_PR
NEW li1 ( 37490 36890 ) L1M1_PR_MR
NEW li1 ( 23690 44710 ) L1M1_PR_MR
NEW met1 ( 29210 44710 ) M1M2_PR
NEW met1 ( 29210 46750 ) M1M2_PR
NEW met1 ( 29210 46750 ) RECT ( -595 -70 0 70 ) ;
- wbFlashInterface.currentAddress\[5\] ( _281_ Q ) ( _233_ A0 ) ( _205_ A ) + USE SIGNAL
+ ROUTED met2 ( 13570 50150 ) ( * 52190 )
NEW met1 ( 17250 52190 ) ( 40250 * )
NEW met2 ( 40250 45050 ) ( * 52190 )
NEW met1 ( 13570 52190 ) ( 17250 * )
NEW li1 ( 13570 50150 ) L1M1_PR_MR
NEW met1 ( 13570 50150 ) M1M2_PR
NEW met1 ( 13570 52190 ) M1M2_PR
NEW li1 ( 17250 52190 ) L1M1_PR_MR
NEW met1 ( 40250 52190 ) M1M2_PR
NEW li1 ( 40250 45050 ) L1M1_PR_MR
NEW met1 ( 40250 45050 ) M1M2_PR
NEW met1 ( 13570 50150 ) RECT ( -355 -70 0 70 )
NEW met1 ( 40250 45050 ) RECT ( -355 -70 0 70 ) ;
- wbFlashInterface.currentAddress\[6\] ( _282_ Q ) ( _235_ A0 ) ( _207_ A ) + USE SIGNAL
+ ROUTED met1 ( 25990 57630 ) ( 34730 * )
NEW met2 ( 34730 41990 ) ( * 57630 )
NEW met1 ( 34730 41990 ) ( 40250 * )
NEW met1 ( 20930 55590 ) ( 25990 * )
NEW met2 ( 25990 55590 ) ( * 57630 )
NEW li1 ( 25990 57630 ) L1M1_PR_MR
NEW met1 ( 34730 57630 ) M1M2_PR
NEW met1 ( 34730 41990 ) M1M2_PR
NEW li1 ( 40250 41990 ) L1M1_PR_MR
NEW li1 ( 20930 55590 ) L1M1_PR_MR
NEW met1 ( 25990 55590 ) M1M2_PR
NEW met1 ( 25990 57630 ) M1M2_PR
NEW met1 ( 25990 57630 ) RECT ( -595 -70 0 70 ) ;
- wbFlashInterface.currentAddress\[7\] ( _283_ Q ) ( _238_ A0 ) ( _209_ A ) + USE SIGNAL
+ ROUTED met2 ( 13110 63070 ) ( * 65790 )
NEW met1 ( 13110 63070 ) ( 16330 * )
NEW met1 ( 16330 63070 ) ( 44390 * )
NEW met2 ( 44390 42330 ) ( * 63070 )
NEW li1 ( 16330 63070 ) L1M1_PR_MR
NEW li1 ( 13110 65790 ) L1M1_PR_MR
NEW met1 ( 13110 65790 ) M1M2_PR
NEW met1 ( 13110 63070 ) M1M2_PR
NEW met1 ( 44390 63070 ) M1M2_PR
NEW li1 ( 44390 42330 ) L1M1_PR_MR
NEW met1 ( 44390 42330 ) M1M2_PR
NEW met1 ( 13110 65790 ) RECT ( -355 -70 0 70 )
NEW met1 ( 44390 42330 ) RECT ( -355 -70 0 70 ) ;
- wbFlashInterface.currentAddress\[8\] ( _284_ Q ) ( _240_ A0 ) ( _211_ A ) + USE SIGNAL
+ ROUTED met2 ( 36110 66130 ) ( * 68510 )
NEW met1 ( 20930 66130 ) ( 36110 * )
NEW met1 ( 29210 68510 ) ( 36110 * )
NEW met2 ( 36110 42330 ) ( * 66130 )
NEW li1 ( 20930 66130 ) L1M1_PR_MR
NEW li1 ( 29210 68510 ) L1M1_PR_MR
NEW met1 ( 36110 66130 ) M1M2_PR
NEW met1 ( 36110 68510 ) M1M2_PR
NEW li1 ( 36110 42330 ) L1M1_PR_MR
NEW met1 ( 36110 42330 ) M1M2_PR
NEW met1 ( 36110 42330 ) RECT ( -355 -70 0 70 ) ;
- wbFlashInterface.currentAddress\[9\] ( _285_ Q ) ( _242_ A0 ) ( _213_ A ) + USE SIGNAL
+ ROUTED met1 ( 16790 73950 ) ( 26910 * )
NEW met1 ( 13110 71910 ) ( 16790 * )
NEW met2 ( 16790 71910 ) ( * 73950 )
NEW met1 ( 26910 40290 ) ( 28750 * )
NEW met1 ( 28750 39610 ) ( * 40290 )
NEW met1 ( 28750 39610 ) ( 40250 * )
NEW met2 ( 26910 40290 ) ( * 73950 )
NEW li1 ( 16790 73950 ) L1M1_PR_MR
NEW met1 ( 26910 73950 ) M1M2_PR
NEW li1 ( 13110 71910 ) L1M1_PR_MR
NEW met1 ( 16790 71910 ) M1M2_PR
NEW met1 ( 16790 73950 ) M1M2_PR
NEW met1 ( 26910 40290 ) M1M2_PR
NEW li1 ( 40250 39610 ) L1M1_PR_MR
NEW met1 ( 16790 73950 ) RECT ( -595 -70 0 70 ) ;
- wbFlashInterface.state\[0\] ( _276_ Q ) ( _218_ C1 ) ( _216_ A2 ) ( _215_ B ) ( _121_ A_N ) ( _116_ B ) + USE SIGNAL
+ ROUTED met1 ( 35190 26350 ) ( 35650 * )
NEW met2 ( 35190 26350 ) ( * 31450 )
NEW met1 ( 24150 28390 ) ( 24610 * )
NEW met1 ( 24610 28390 ) ( * 28730 )
NEW met1 ( 24610 28730 ) ( 31510 * )
NEW met1 ( 31510 28390 ) ( * 28730 )
NEW met1 ( 31510 28390 ) ( 35190 * )
NEW met2 ( 20470 26010 ) ( * 29070 )
NEW met1 ( 20470 29070 ) ( 25070 * )
NEW met1 ( 25070 28730 ) ( * 29070 )
NEW met1 ( 19090 30430 ) ( 20470 * )
NEW met2 ( 20470 29070 ) ( * 30430 )
NEW met1 ( 18630 20230 ) ( 20010 * )
NEW met2 ( 20010 20230 ) ( * 24140 )
NEW met2 ( 20010 24140 ) ( 20470 * )
NEW met2 ( 20470 24140 ) ( * 26010 )
NEW li1 ( 35650 26350 ) L1M1_PR_MR
NEW met1 ( 35190 26350 ) M1M2_PR
NEW li1 ( 35190 31450 ) L1M1_PR_MR
NEW met1 ( 35190 31450 ) M1M2_PR
NEW li1 ( 24150 28390 ) L1M1_PR_MR
NEW met1 ( 35190 28390 ) M1M2_PR
NEW li1 ( 20470 26010 ) L1M1_PR_MR
NEW met1 ( 20470 26010 ) M1M2_PR
NEW met1 ( 20470 29070 ) M1M2_PR
NEW li1 ( 19090 30430 ) L1M1_PR_MR
NEW met1 ( 20470 30430 ) M1M2_PR
NEW li1 ( 18630 20230 ) L1M1_PR_MR
NEW met1 ( 20010 20230 ) M1M2_PR
NEW met1 ( 35190 31450 ) RECT ( -355 -70 0 70 )
NEW met2 ( 35190 28390 ) RECT ( -70 -485 70 0 )
NEW met1 ( 20470 26010 ) RECT ( 0 -70 355 70 ) ;
- wbFlashInterface.state\[1\] ( _277_ Q ) ( _218_ B1 ) ( _216_ A1 ) ( _215_ A ) ( _121_ B ) ( _116_ A ) + USE SIGNAL
+ ROUTED met1 ( 19550 26010 ) ( 20010 * )
NEW met2 ( 19550 19550 ) ( * 26010 )
NEW met1 ( 18170 19550 ) ( 19550 * )
NEW met1 ( 25070 28390 ) ( 25990 * )
NEW met2 ( 25990 25670 ) ( * 28390 )
NEW met1 ( 20010 25670 ) ( 25990 * )
NEW met1 ( 20010 25670 ) ( * 26010 )
NEW met1 ( 25990 27710 ) ( 37950 * )
NEW met2 ( 37030 25330 ) ( * 27710 )
NEW met1 ( 36110 31450 ) ( 37030 * )
NEW met2 ( 37030 27710 ) ( * 31450 )
NEW li1 ( 20010 26010 ) L1M1_PR_MR
NEW met1 ( 19550 26010 ) M1M2_PR
NEW met1 ( 19550 19550 ) M1M2_PR
NEW li1 ( 18170 19550 ) L1M1_PR_MR
NEW li1 ( 25070 28390 ) L1M1_PR_MR
NEW met1 ( 25990 28390 ) M1M2_PR
NEW met1 ( 25990 25670 ) M1M2_PR
NEW li1 ( 37950 27710 ) L1M1_PR_MR
NEW met1 ( 25990 27710 ) M1M2_PR
NEW li1 ( 37030 25330 ) L1M1_PR_MR
NEW met1 ( 37030 25330 ) M1M2_PR
NEW met1 ( 37030 27710 ) M1M2_PR
NEW li1 ( 36110 31450 ) L1M1_PR_MR
NEW met1 ( 37030 31450 ) M1M2_PR
NEW met2 ( 25990 27710 ) RECT ( -70 -485 70 0 )
NEW met1 ( 37030 25330 ) RECT ( -355 -70 0 70 )
NEW met1 ( 37030 27710 ) RECT ( -595 -70 0 70 ) ;
- wb_ack_o ( PIN wb_ack_o ) ( output72 X ) + USE SIGNAL
+ ROUTED met3 ( 3220 1020 0 ) ( 14950 * )
NEW met1 ( 8050 19890 ) ( 14950 * )
NEW met2 ( 14950 1020 ) ( * 19890 )
NEW met2 ( 14950 1020 ) M2M3_PR
NEW li1 ( 8050 19890 ) L1M1_PR_MR
NEW met1 ( 14950 19890 ) M1M2_PR ;
- wb_adr_i[0] ( PIN wb_adr_i[0] ) + USE SIGNAL ;
- wb_adr_i[10] ( PIN wb_adr_i[10] ) ( input33 A ) + USE SIGNAL
+ ROUTED met3 ( 3220 84660 0 ) ( 8050 * )
NEW met2 ( 8050 84660 ) ( * 85850 )
NEW met2 ( 8050 84660 ) M2M3_PR
NEW li1 ( 8050 85850 ) L1M1_PR_MR
NEW met1 ( 8050 85850 ) M1M2_PR
NEW met1 ( 8050 85850 ) RECT ( -355 -70 0 70 ) ;
- wb_adr_i[11] ( PIN wb_adr_i[11] ) ( input34 A ) + USE SIGNAL
+ ROUTED met3 ( 3220 90780 0 ) ( 8050 * )
NEW met2 ( 8050 90780 ) ( * 93670 )
NEW met2 ( 8050 90780 ) M2M3_PR
NEW li1 ( 8050 93670 ) L1M1_PR_MR
NEW met1 ( 8050 93670 ) M1M2_PR
NEW met1 ( 8050 93670 ) RECT ( -355 -70 0 70 ) ;
- wb_adr_i[12] ( PIN wb_adr_i[12] ) ( input35 A ) + USE SIGNAL
+ ROUTED met3 ( 3220 96900 0 ) ( 8050 * )
NEW met2 ( 8050 96900 ) ( * 99110 )
NEW met2 ( 8050 96900 ) M2M3_PR
NEW li1 ( 8050 99110 ) L1M1_PR_MR
NEW met1 ( 8050 99110 ) M1M2_PR
NEW met1 ( 8050 99110 ) RECT ( -355 -70 0 70 ) ;
- wb_adr_i[13] ( PIN wb_adr_i[13] ) ( input36 A ) + USE SIGNAL
+ ROUTED met3 ( 3220 102340 0 ) ( 8050 * )
NEW met2 ( 8050 102340 ) ( * 104550 )
NEW met2 ( 8050 102340 ) M2M3_PR
NEW li1 ( 8050 104550 ) L1M1_PR_MR
NEW met1 ( 8050 104550 ) M1M2_PR
NEW met1 ( 8050 104550 ) RECT ( -355 -70 0 70 ) ;
- wb_adr_i[14] ( PIN wb_adr_i[14] ) ( input37 A ) + USE SIGNAL
+ ROUTED met3 ( 3220 108460 0 ) ( 7130 * )
NEW met2 ( 7130 108460 ) ( * 113050 )
NEW met1 ( 7130 113050 ) ( 8050 * )
NEW met2 ( 7130 108460 ) M2M3_PR
NEW met1 ( 7130 113050 ) M1M2_PR
NEW li1 ( 8050 113050 ) L1M1_PR_MR ;
- wb_adr_i[15] ( PIN wb_adr_i[15] ) ( input38 A ) + USE SIGNAL
+ ROUTED met3 ( 3220 114580 0 ) ( 8050 * )
NEW met2 ( 8050 114580 ) ( * 115430 )
NEW met2 ( 8050 114580 ) M2M3_PR
NEW li1 ( 8050 115430 ) L1M1_PR_MR
NEW met1 ( 8050 115430 ) M1M2_PR
NEW met1 ( 8050 115430 ) RECT ( -355 -70 0 70 ) ;
- wb_adr_i[16] ( PIN wb_adr_i[16] ) ( input39 A ) + USE SIGNAL
+ ROUTED met3 ( 3220 120700 0 ) ( 7130 * )
NEW met2 ( 7130 118490 ) ( * 120700 )
NEW met1 ( 7130 118490 ) ( 8050 * )
NEW met2 ( 7130 120700 ) M2M3_PR
NEW met1 ( 7130 118490 ) M1M2_PR
NEW li1 ( 8050 118490 ) L1M1_PR_MR ;
- wb_adr_i[17] ( PIN wb_adr_i[17] ) ( input40 A ) + USE SIGNAL
+ ROUTED met3 ( 3220 126820 0 ) ( 8050 * )
NEW met2 ( 8050 126820 ) ( * 129370 )
NEW met2 ( 8050 126820 ) M2M3_PR
NEW li1 ( 8050 129370 ) L1M1_PR_MR
NEW met1 ( 8050 129370 ) M1M2_PR
NEW met1 ( 8050 129370 ) RECT ( -355 -70 0 70 ) ;
- wb_adr_i[18] ( PIN wb_adr_i[18] ) ( input41 A ) + USE SIGNAL
+ ROUTED met3 ( 3220 132940 0 ) ( 7130 * )
NEW met2 ( 7130 132940 ) ( * 140250 )
NEW met1 ( 7130 140250 ) ( 8050 * )
NEW met2 ( 7130 132940 ) M2M3_PR
NEW met1 ( 7130 140250 ) M1M2_PR
NEW li1 ( 8050 140250 ) L1M1_PR_MR ;
- wb_adr_i[19] ( PIN wb_adr_i[19] ) ( input42 A ) + USE SIGNAL
+ ROUTED met3 ( 3220 138380 0 ) ( 15410 * )
NEW met2 ( 15410 138380 ) ( * 140250 )
NEW met2 ( 15410 138380 ) M2M3_PR
NEW li1 ( 15410 140250 ) L1M1_PR_MR
NEW met1 ( 15410 140250 ) M1M2_PR
NEW met1 ( 15410 140250 ) RECT ( -355 -70 0 70 ) ;
- wb_adr_i[1] ( PIN wb_adr_i[1] ) + USE SIGNAL ;
- wb_adr_i[20] ( PIN wb_adr_i[20] ) ( input43 A ) + USE SIGNAL
+ ROUTED met3 ( 3220 144500 0 ) ( 8050 * )
NEW met2 ( 8050 144500 ) ( * 145690 )
NEW met2 ( 8050 144500 ) M2M3_PR
NEW li1 ( 8050 145690 ) L1M1_PR_MR
NEW met1 ( 8050 145690 ) M1M2_PR
NEW met1 ( 8050 145690 ) RECT ( -355 -70 0 70 ) ;
- wb_adr_i[21] ( PIN wb_adr_i[21] ) ( input44 A ) + USE SIGNAL
+ ROUTED met3 ( 3220 150620 0 ) ( 8050 * )
NEW met2 ( 8050 150620 ) ( * 153510 )
NEW met2 ( 8050 150620 ) M2M3_PR
NEW li1 ( 8050 153510 ) L1M1_PR_MR
NEW met1 ( 8050 153510 ) M1M2_PR
NEW met1 ( 8050 153510 ) RECT ( -355 -70 0 70 ) ;
- wb_adr_i[22] ( PIN wb_adr_i[22] ) ( input45 A ) + USE SIGNAL
+ ROUTED met3 ( 3220 156740 0 ) ( 8050 * )
NEW met2 ( 8050 156740 ) ( * 158950 )
NEW met2 ( 8050 156740 ) M2M3_PR
NEW li1 ( 8050 158950 ) L1M1_PR_MR
NEW met1 ( 8050 158950 ) M1M2_PR
NEW met1 ( 8050 158950 ) RECT ( -355 -70 0 70 ) ;
- wb_adr_i[23] ( PIN wb_adr_i[23] ) ( input46 A ) + USE SIGNAL
+ ROUTED met3 ( 3220 162860 0 ) ( 8050 * )
NEW met2 ( 8050 162860 ) ( * 164390 )
NEW met2 ( 8050 162860 ) M2M3_PR
NEW li1 ( 8050 164390 ) L1M1_PR_MR
NEW met1 ( 8050 164390 ) M1M2_PR
NEW met1 ( 8050 164390 ) RECT ( -355 -70 0 70 ) ;
- wb_adr_i[2] ( PIN wb_adr_i[2] ) ( input47 A ) + USE SIGNAL
+ ROUTED met3 ( 3220 32980 0 ) ( 8050 * )
NEW met2 ( 8050 32980 ) ( * 33830 )
NEW met2 ( 8050 32980 ) M2M3_PR
NEW li1 ( 8050 33830 ) L1M1_PR_MR
NEW met1 ( 8050 33830 ) M1M2_PR
NEW met1 ( 8050 33830 ) RECT ( -355 -70 0 70 ) ;
- wb_adr_i[3] ( PIN wb_adr_i[3] ) ( input48 A ) + USE SIGNAL
+ ROUTED met3 ( 3220 40460 0 ) ( 8050 * )
NEW met2 ( 8050 39270 ) ( * 40460 )
NEW met2 ( 8050 40460 ) M2M3_PR
NEW li1 ( 8050 39270 ) L1M1_PR_MR
NEW met1 ( 8050 39270 ) M1M2_PR
NEW met1 ( 8050 39270 ) RECT ( -355 -70 0 70 ) ;
- wb_adr_i[4] ( PIN wb_adr_i[4] ) ( input49 A ) + USE SIGNAL
+ ROUTED met3 ( 3220 48620 0 ) ( 8050 * )
NEW met2 ( 8050 47770 ) ( * 48620 )
NEW met2 ( 8050 48620 ) M2M3_PR
NEW li1 ( 8050 47770 ) L1M1_PR_MR
NEW met1 ( 8050 47770 ) M1M2_PR
NEW met1 ( 8050 47770 ) RECT ( -355 -70 0 70 ) ;
- wb_adr_i[5] ( PIN wb_adr_i[5] ) ( input50 A ) + USE SIGNAL
+ ROUTED met3 ( 3220 54740 0 ) ( 8050 * )
NEW met2 ( 8050 54740 ) ( * 55590 )
NEW met2 ( 8050 54740 ) M2M3_PR
NEW li1 ( 8050 55590 ) L1M1_PR_MR
NEW met1 ( 8050 55590 ) M1M2_PR
NEW met1 ( 8050 55590 ) RECT ( -355 -70 0 70 ) ;
- wb_adr_i[6] ( PIN wb_adr_i[6] ) ( input51 A ) + USE SIGNAL
+ ROUTED met3 ( 3220 60860 0 ) ( 7130 * )
NEW met2 ( 7130 58650 ) ( * 60860 )
NEW met1 ( 7130 58650 ) ( 8050 * )
NEW met2 ( 7130 60860 ) M2M3_PR
NEW met1 ( 7130 58650 ) M1M2_PR
NEW li1 ( 8050 58650 ) L1M1_PR_MR ;
- wb_adr_i[7] ( PIN wb_adr_i[7] ) ( input52 A ) + USE SIGNAL
+ ROUTED met3 ( 3220 66980 0 ) ( 11270 * )
NEW met2 ( 11270 66980 ) ( * 69530 )
NEW met2 ( 11270 66980 ) M2M3_PR
NEW li1 ( 11270 69530 ) L1M1_PR_MR
NEW met1 ( 11270 69530 ) M1M2_PR
NEW met1 ( 11270 69530 ) RECT ( -355 -70 0 70 ) ;
- wb_adr_i[8] ( PIN wb_adr_i[8] ) ( input53 A ) + USE SIGNAL
+ ROUTED met3 ( 3220 72420 0 ) ( 7130 * )
NEW met2 ( 7130 69530 ) ( * 72420 )
NEW met1 ( 7130 69530 ) ( 8050 * )
NEW met2 ( 7130 72420 ) M2M3_PR
NEW met1 ( 7130 69530 ) M1M2_PR
NEW li1 ( 8050 69530 ) L1M1_PR_MR ;
- wb_adr_i[9] ( PIN wb_adr_i[9] ) ( input54 A ) + USE SIGNAL
+ ROUTED met3 ( 3220 78540 0 ) ( 8050 * )
NEW met2 ( 8050 78540 ) ( * 80410 )
NEW met2 ( 8050 78540 ) M2M3_PR
NEW li1 ( 8050 80410 ) L1M1_PR_MR
NEW met1 ( 8050 80410 ) M1M2_PR
NEW met1 ( 8050 80410 ) RECT ( -355 -70 0 70 ) ;
- wb_clk_i ( PIN wb_clk_i ) ( input55 A ) + USE SIGNAL
+ ROUTED met3 ( 3220 2380 0 ) ( 6670 * )
NEW met2 ( 6670 2380 ) ( * 12070 )
NEW met1 ( 6670 12070 ) ( 7130 * )
NEW met2 ( 6670 2380 ) M2M3_PR
NEW met1 ( 6670 12070 ) M1M2_PR
NEW li1 ( 7130 12070 ) L1M1_PR_MR ;
- wb_cyc_i ( PIN wb_cyc_i ) ( input56 A ) + USE SIGNAL
+ ROUTED met3 ( 3220 4420 0 ) ( 16330 * )
NEW met1 ( 14490 28390 ) ( 16330 * )
NEW met2 ( 16330 4420 ) ( * 28390 )
NEW met2 ( 16330 4420 ) M2M3_PR
NEW met1 ( 16330 28390 ) M1M2_PR
NEW li1 ( 14490 28390 ) L1M1_PR_MR ;
- wb_data_i[0] ( PIN wb_data_i[0] ) + USE SIGNAL ;
- wb_data_i[10] ( PIN wb_data_i[10] ) + USE SIGNAL ;
- wb_data_i[11] ( PIN wb_data_i[11] ) + USE SIGNAL ;
- wb_data_i[12] ( PIN wb_data_i[12] ) + USE SIGNAL ;
- wb_data_i[13] ( PIN wb_data_i[13] ) + USE SIGNAL ;
- wb_data_i[14] ( PIN wb_data_i[14] ) + USE SIGNAL ;
- wb_data_i[15] ( PIN wb_data_i[15] ) + USE SIGNAL ;
- wb_data_i[16] ( PIN wb_data_i[16] ) + USE SIGNAL ;
- wb_data_i[17] ( PIN wb_data_i[17] ) + USE SIGNAL ;
- wb_data_i[18] ( PIN wb_data_i[18] ) + USE SIGNAL ;
- wb_data_i[19] ( PIN wb_data_i[19] ) + USE SIGNAL ;
- wb_data_i[1] ( PIN wb_data_i[1] ) + USE SIGNAL ;
- wb_data_i[20] ( PIN wb_data_i[20] ) + USE SIGNAL ;
- wb_data_i[21] ( PIN wb_data_i[21] ) + USE SIGNAL ;
- wb_data_i[22] ( PIN wb_data_i[22] ) + USE SIGNAL ;
- wb_data_i[23] ( PIN wb_data_i[23] ) + USE SIGNAL ;
- wb_data_i[24] ( PIN wb_data_i[24] ) + USE SIGNAL ;
- wb_data_i[25] ( PIN wb_data_i[25] ) + USE SIGNAL ;
- wb_data_i[26] ( PIN wb_data_i[26] ) + USE SIGNAL ;
- wb_data_i[27] ( PIN wb_data_i[27] ) + USE SIGNAL ;
- wb_data_i[28] ( PIN wb_data_i[28] ) + USE SIGNAL ;
- wb_data_i[29] ( PIN wb_data_i[29] ) + USE SIGNAL ;
- wb_data_i[2] ( PIN wb_data_i[2] ) + USE SIGNAL ;
- wb_data_i[30] ( PIN wb_data_i[30] ) + USE SIGNAL ;
- wb_data_i[31] ( PIN wb_data_i[31] ) + USE SIGNAL ;
- wb_data_i[3] ( PIN wb_data_i[3] ) + USE SIGNAL ;
- wb_data_i[4] ( PIN wb_data_i[4] ) + USE SIGNAL ;
- wb_data_i[5] ( PIN wb_data_i[5] ) + USE SIGNAL ;
- wb_data_i[6] ( PIN wb_data_i[6] ) + USE SIGNAL ;
- wb_data_i[7] ( PIN wb_data_i[7] ) + USE SIGNAL ;
- wb_data_i[8] ( PIN wb_data_i[8] ) + USE SIGNAL ;
- wb_data_i[9] ( PIN wb_data_i[9] ) + USE SIGNAL ;
- wb_data_o[0] ( PIN wb_data_o[0] ) ( output73 X ) + USE SIGNAL
+ ROUTED met3 ( 3220 20740 0 ) ( 8050 * )
NEW met2 ( 8050 20740 ) ( * 22270 )
NEW met2 ( 8050 20740 ) M2M3_PR
NEW li1 ( 8050 22270 ) L1M1_PR_MR
NEW met1 ( 8050 22270 ) M1M2_PR
NEW met1 ( 8050 22270 ) RECT ( -355 -70 0 70 ) ;
- wb_data_o[10] ( PIN wb_data_o[10] ) ( output74 X ) + USE SIGNAL
+ ROUTED met3 ( 3220 88740 0 ) ( 8050 * )
NEW met2 ( 8050 88740 ) ( * 90270 )
NEW met2 ( 8050 88740 ) M2M3_PR
NEW li1 ( 8050 90270 ) L1M1_PR_MR
NEW met1 ( 8050 90270 ) M1M2_PR
NEW met1 ( 8050 90270 ) RECT ( -355 -70 0 70 ) ;
- wb_data_o[11] ( PIN wb_data_o[11] ) ( output75 X ) + USE SIGNAL
+ ROUTED met3 ( 3220 94860 0 ) ( 8050 * )
NEW met2 ( 8050 94860 ) ( * 95710 )
NEW met2 ( 8050 94860 ) M2M3_PR
NEW li1 ( 8050 95710 ) L1M1_PR_MR
NEW met1 ( 8050 95710 ) M1M2_PR
NEW met1 ( 8050 95710 ) RECT ( -355 -70 0 70 ) ;
- wb_data_o[12] ( PIN wb_data_o[12] ) ( output76 X ) + USE SIGNAL
+ ROUTED met3 ( 3220 100980 0 ) ( 8050 * )
NEW met2 ( 8050 100980 ) ( * 101150 )
NEW met2 ( 8050 100980 ) M2M3_PR
NEW li1 ( 8050 101150 ) L1M1_PR_MR
NEW met1 ( 8050 101150 ) M1M2_PR
NEW met1 ( 8050 101150 ) RECT ( -355 -70 0 70 ) ;
- wb_data_o[13] ( PIN wb_data_o[13] ) ( output77 X ) + USE SIGNAL
+ ROUTED met3 ( 3220 106420 0 ) ( 8050 * )
NEW met2 ( 8050 106420 ) ( * 106590 )
NEW met2 ( 8050 106420 ) M2M3_PR
NEW li1 ( 8050 106590 ) L1M1_PR_MR
NEW met1 ( 8050 106590 ) M1M2_PR
NEW met1 ( 8050 106590 ) RECT ( -355 -70 0 70 ) ;
- wb_data_o[14] ( PIN wb_data_o[14] ) ( output78 X ) + USE SIGNAL
+ ROUTED met2 ( 8050 111010 ) ( * 112540 )
NEW met3 ( 3220 112540 0 ) ( 8050 * )
NEW li1 ( 8050 111010 ) L1M1_PR_MR
NEW met1 ( 8050 111010 ) M1M2_PR
NEW met2 ( 8050 112540 ) M2M3_PR
NEW met1 ( 8050 111010 ) RECT ( -355 -70 0 70 ) ;
- wb_data_o[15] ( PIN wb_data_o[15] ) ( output79 X ) + USE SIGNAL
+ ROUTED met3 ( 3220 118660 0 ) ( 8050 * )
NEW met2 ( 8050 118660 ) ( * 120190 )
NEW met2 ( 8050 118660 ) M2M3_PR
NEW li1 ( 8050 120190 ) L1M1_PR_MR
NEW met1 ( 8050 120190 ) M1M2_PR
NEW met1 ( 8050 120190 ) RECT ( -355 -70 0 70 ) ;
- wb_data_o[16] ( PIN wb_data_o[16] ) ( output80 X ) + USE SIGNAL
+ ROUTED met3 ( 3220 124780 0 ) ( 8050 * )
NEW met2 ( 8050 124780 ) ( * 125630 )
NEW met2 ( 8050 124780 ) M2M3_PR
NEW li1 ( 8050 125630 ) L1M1_PR_MR
NEW met1 ( 8050 125630 ) M1M2_PR
NEW met1 ( 8050 125630 ) RECT ( -355 -70 0 70 ) ;
- wb_data_o[17] ( PIN wb_data_o[17] ) ( output81 X ) + USE SIGNAL
+ ROUTED met2 ( 8050 130900 ) ( * 131070 )
NEW met3 ( 3220 130900 0 ) ( 8050 * )
NEW li1 ( 8050 131070 ) L1M1_PR_MR
NEW met1 ( 8050 131070 ) M1M2_PR
NEW met2 ( 8050 130900 ) M2M3_PR
NEW met1 ( 8050 131070 ) RECT ( -355 -70 0 70 ) ;
- wb_data_o[18] ( PIN wb_data_o[18] ) ( output82 X ) + USE SIGNAL
+ ROUTED met2 ( 8050 135490 ) ( * 136340 )
NEW met3 ( 3220 136340 0 ) ( 8050 * )
NEW li1 ( 8050 135490 ) L1M1_PR_MR
NEW met1 ( 8050 135490 ) M1M2_PR
NEW met2 ( 8050 136340 ) M2M3_PR
NEW met1 ( 8050 135490 ) RECT ( -355 -70 0 70 ) ;
- wb_data_o[19] ( PIN wb_data_o[19] ) ( output83 X ) + USE SIGNAL
+ ROUTED met3 ( 3220 142460 0 ) ( 8050 * )
NEW met2 ( 8050 141950 ) ( * 142460 )
NEW met2 ( 8050 142460 ) M2M3_PR
NEW li1 ( 8050 141950 ) L1M1_PR_MR
NEW met1 ( 8050 141950 ) M1M2_PR
NEW met1 ( 8050 141950 ) RECT ( -355 -70 0 70 ) ;
- wb_data_o[1] ( PIN wb_data_o[1] ) ( output84 X ) + USE SIGNAL
+ ROUTED met3 ( 3220 28900 0 ) ( 8050 * )
NEW met2 ( 8050 28900 ) ( * 30430 )
NEW met2 ( 8050 28900 ) M2M3_PR
NEW li1 ( 8050 30430 ) L1M1_PR_MR
NEW met1 ( 8050 30430 ) M1M2_PR
NEW met1 ( 8050 30430 ) RECT ( -355 -70 0 70 ) ;
- wb_data_o[20] ( PIN wb_data_o[20] ) ( output85 X ) + USE SIGNAL
+ ROUTED met3 ( 3220 148580 0 ) ( 8050 * )
NEW met2 ( 8050 148580 ) ( * 150110 )
NEW met2 ( 8050 148580 ) M2M3_PR
NEW li1 ( 8050 150110 ) L1M1_PR_MR
NEW met1 ( 8050 150110 ) M1M2_PR
NEW met1 ( 8050 150110 ) RECT ( -355 -70 0 70 ) ;
- wb_data_o[21] ( PIN wb_data_o[21] ) ( output86 X ) + USE SIGNAL
+ ROUTED met3 ( 3220 154700 0 ) ( 8050 * )
NEW met2 ( 8050 154700 ) ( * 155550 )
NEW met2 ( 8050 154700 ) M2M3_PR
NEW li1 ( 8050 155550 ) L1M1_PR_MR
NEW met1 ( 8050 155550 ) M1M2_PR
NEW met1 ( 8050 155550 ) RECT ( -355 -70 0 70 ) ;
- wb_data_o[22] ( PIN wb_data_o[22] ) ( output87 X ) + USE SIGNAL
+ ROUTED met3 ( 3220 160820 0 ) ( 8050 * )
NEW met2 ( 8050 160820 ) ( * 160990 )
NEW met2 ( 8050 160820 ) M2M3_PR
NEW li1 ( 8050 160990 ) L1M1_PR_MR
NEW met1 ( 8050 160990 ) M1M2_PR
NEW met1 ( 8050 160990 ) RECT ( -355 -70 0 70 ) ;
- wb_data_o[23] ( PIN wb_data_o[23] ) ( output88 X ) + USE SIGNAL
+ ROUTED met3 ( 3220 166940 0 ) ( 8050 * )
NEW met2 ( 8050 166770 ) ( * 166940 )
NEW met2 ( 8050 166940 ) M2M3_PR
NEW li1 ( 8050 166770 ) L1M1_PR_MR
NEW met1 ( 8050 166770 ) M1M2_PR
NEW met1 ( 8050 166770 ) RECT ( -355 -70 0 70 ) ;
- wb_data_o[24] ( PIN wb_data_o[24] ) ( output89 X ) + USE SIGNAL
+ ROUTED met3 ( 3220 170340 0 ) ( 8050 * )
NEW met2 ( 8050 170340 ) ( * 171870 )
NEW met2 ( 8050 170340 ) M2M3_PR
NEW li1 ( 8050 171870 ) L1M1_PR_MR
NEW met1 ( 8050 171870 ) M1M2_PR
NEW met1 ( 8050 171870 ) RECT ( -355 -70 0 70 ) ;
- wb_data_o[25] ( PIN wb_data_o[25] ) ( output90 X ) + USE SIGNAL
+ ROUTED met3 ( 3220 174420 0 ) ( 8050 * )
NEW met2 ( 8050 174420 ) ( * 174590 )
NEW met2 ( 8050 174420 ) M2M3_PR
NEW li1 ( 8050 174590 ) L1M1_PR_MR
NEW met1 ( 8050 174590 ) M1M2_PR
NEW met1 ( 8050 174590 ) RECT ( -355 -70 0 70 ) ;
- wb_data_o[26] ( PIN wb_data_o[26] ) ( output91 X ) + USE SIGNAL
+ ROUTED met3 ( 3220 178500 0 ) ( 8050 * )
NEW met2 ( 8050 178500 ) ( * 180030 )
NEW li1 ( 8050 180030 ) L1M1_PR_MR
NEW met1 ( 8050 180030 ) M1M2_PR
NEW met2 ( 8050 178500 ) M2M3_PR
NEW met1 ( 8050 180030 ) RECT ( -355 -70 0 70 ) ;
- wb_data_o[27] ( PIN wb_data_o[27] ) ( output92 X ) + USE SIGNAL
+ ROUTED met3 ( 3220 182580 0 ) ( 8050 * )
NEW met2 ( 8050 182580 ) ( * 182750 )
NEW met2 ( 8050 182580 ) M2M3_PR
NEW li1 ( 8050 182750 ) L1M1_PR_MR
NEW met1 ( 8050 182750 ) M1M2_PR
NEW met1 ( 8050 182750 ) RECT ( -355 -70 0 70 ) ;
- wb_data_o[28] ( PIN wb_data_o[28] ) ( output93 X ) + USE SIGNAL
+ ROUTED met3 ( 3220 186660 0 ) ( 8050 * )
NEW met2 ( 8050 186660 ) ( * 186830 )
NEW met2 ( 8050 186660 ) M2M3_PR
NEW li1 ( 8050 186830 ) L1M1_PR_MR
NEW met1 ( 8050 186830 ) M1M2_PR
NEW met1 ( 8050 186830 ) RECT ( -355 -70 0 70 ) ;
- wb_data_o[29] ( PIN wb_data_o[29] ) ( output94 X ) + USE SIGNAL
+ ROUTED met1 ( 11730 185470 ) ( 14030 * )
NEW met2 ( 14030 185470 ) ( * 190740 )
NEW met3 ( 3220 190740 0 ) ( 14030 * )
NEW li1 ( 11730 185470 ) L1M1_PR_MR
NEW met1 ( 14030 185470 ) M1M2_PR
NEW met2 ( 14030 190740 ) M2M3_PR ;
- wb_data_o[2] ( PIN wb_data_o[2] ) ( output95 X ) + USE SIGNAL
+ ROUTED met3 ( 3220 36380 0 ) ( 8050 * )
NEW met2 ( 8050 36210 ) ( * 36380 )
NEW met2 ( 8050 36380 ) M2M3_PR
NEW li1 ( 8050 36210 ) L1M1_PR_MR
NEW met1 ( 8050 36210 ) M1M2_PR
NEW met1 ( 8050 36210 ) RECT ( -355 -70 0 70 ) ;
- wb_data_o[30] ( PIN wb_data_o[30] ) ( output96 X ) + USE SIGNAL
+ ROUTED met2 ( 15410 185470 ) ( * 194820 )
NEW met3 ( 3220 194820 0 ) ( 15410 * )
NEW li1 ( 15410 185470 ) L1M1_PR_MR
NEW met1 ( 15410 185470 ) M1M2_PR
NEW met2 ( 15410 194820 ) M2M3_PR
NEW met1 ( 15410 185470 ) RECT ( -355 -70 0 70 ) ;
- wb_data_o[31] ( PIN wb_data_o[31] ) ( output97 X ) + USE SIGNAL
+ ROUTED met1 ( 11730 184450 ) ( 14490 * )
NEW met2 ( 14490 184450 ) ( * 198900 )
NEW met3 ( 3220 198900 0 ) ( 14490 * )
NEW li1 ( 11730 184450 ) L1M1_PR_MR
NEW met1 ( 14490 184450 ) M1M2_PR
NEW met2 ( 14490 198900 ) M2M3_PR ;
- wb_data_o[3] ( PIN wb_data_o[3] ) ( output98 X ) + USE SIGNAL
+ ROUTED met3 ( 3220 44540 0 ) ( 8050 * )
NEW met2 ( 8050 44030 ) ( * 44540 )
NEW met2 ( 8050 44540 ) M2M3_PR
NEW li1 ( 8050 44030 ) L1M1_PR_MR
NEW met1 ( 8050 44030 ) M1M2_PR
NEW met1 ( 8050 44030 ) RECT ( -355 -70 0 70 ) ;
- wb_data_o[4] ( PIN wb_data_o[4] ) ( output99 X ) + USE SIGNAL
+ ROUTED met2 ( 8050 51170 ) ( * 52700 )
NEW met3 ( 3220 52700 0 ) ( 8050 * )
NEW li1 ( 8050 51170 ) L1M1_PR_MR
NEW met1 ( 8050 51170 ) M1M2_PR
NEW met2 ( 8050 52700 ) M2M3_PR
NEW met1 ( 8050 51170 ) RECT ( -355 -70 0 70 ) ;
- wb_data_o[5] ( PIN wb_data_o[5] ) ( output100 X ) + USE SIGNAL
+ ROUTED met3 ( 3220 58820 0 ) ( 8050 * )
NEW met2 ( 8050 58820 ) ( * 60350 )
NEW met2 ( 8050 58820 ) M2M3_PR
NEW li1 ( 8050 60350 ) L1M1_PR_MR
NEW met1 ( 8050 60350 ) M1M2_PR
NEW met1 ( 8050 60350 ) RECT ( -355 -70 0 70 ) ;
- wb_data_o[6] ( PIN wb_data_o[6] ) ( output101 X ) + USE SIGNAL
+ ROUTED met3 ( 3220 64940 0 ) ( 8050 * )
NEW met2 ( 8050 64940 ) ( * 65790 )
NEW met2 ( 8050 64940 ) M2M3_PR
NEW li1 ( 8050 65790 ) L1M1_PR_MR
NEW met1 ( 8050 65790 ) M1M2_PR
NEW met1 ( 8050 65790 ) RECT ( -355 -70 0 70 ) ;
- wb_data_o[7] ( PIN wb_data_o[7] ) ( output102 X ) + USE SIGNAL
+ ROUTED met3 ( 3220 70380 0 ) ( 8050 * )
NEW met2 ( 8050 70380 ) ( * 71230 )
NEW met2 ( 8050 70380 ) M2M3_PR
NEW li1 ( 8050 71230 ) L1M1_PR_MR
NEW met1 ( 8050 71230 ) M1M2_PR
NEW met1 ( 8050 71230 ) RECT ( -355 -70 0 70 ) ;
- wb_data_o[8] ( PIN wb_data_o[8] ) ( output103 X ) + USE SIGNAL
+ ROUTED met3 ( 3220 76500 0 ) ( 8050 * )
NEW met2 ( 8050 76500 ) ( * 76670 )
NEW met2 ( 8050 76500 ) M2M3_PR
NEW li1 ( 8050 76670 ) L1M1_PR_MR
NEW met1 ( 8050 76670 ) M1M2_PR
NEW met1 ( 8050 76670 ) RECT ( -355 -70 0 70 ) ;
- wb_data_o[9] ( PIN wb_data_o[9] ) ( output104 X ) + USE SIGNAL
+ ROUTED met2 ( 8050 82110 ) ( * 82620 )
NEW met3 ( 3220 82620 0 ) ( 8050 * )
NEW li1 ( 8050 82110 ) L1M1_PR_MR
NEW met1 ( 8050 82110 ) M1M2_PR
NEW met2 ( 8050 82620 ) M2M3_PR
NEW met1 ( 8050 82110 ) RECT ( -355 -70 0 70 ) ;
- wb_rst_i ( PIN wb_rst_i ) ( input57 A ) + USE SIGNAL
+ ROUTED met3 ( 3220 8500 0 ) ( 14030 * )
NEW met2 ( 14030 8500 ) ( * 12750 )
NEW met1 ( 14030 12750 ) ( 25530 * )
NEW met2 ( 25530 12750 ) ( * 20570 )
NEW met2 ( 14030 8500 ) M2M3_PR
NEW met1 ( 14030 12750 ) M1M2_PR
NEW met1 ( 25530 12750 ) M1M2_PR
NEW li1 ( 25530 20570 ) L1M1_PR_MR
NEW met1 ( 25530 20570 ) M1M2_PR
NEW met1 ( 25530 20570 ) RECT ( -355 -70 0 70 ) ;
- wb_sel_i[0] ( PIN wb_sel_i[0] ) + USE SIGNAL ;
- wb_sel_i[1] ( PIN wb_sel_i[1] ) + USE SIGNAL ;
- wb_sel_i[2] ( PIN wb_sel_i[2] ) + USE SIGNAL ;
- wb_sel_i[3] ( PIN wb_sel_i[3] ) + USE SIGNAL ;
- wb_stall_o ( PIN wb_stall_o ) ( output105 X ) + USE SIGNAL
+ ROUTED met3 ( 3220 10540 0 ) ( 15410 * )
NEW met2 ( 15410 10540 ) ( * 11390 )
NEW met2 ( 15410 10540 ) M2M3_PR
NEW li1 ( 15410 11390 ) L1M1_PR_MR
NEW met1 ( 15410 11390 ) M1M2_PR
NEW met1 ( 15410 11390 ) RECT ( -355 -70 0 70 ) ;
- wb_stb_i ( PIN wb_stb_i ) ( input58 A ) + USE SIGNAL
+ ROUTED met3 ( 3220 12580 0 ) ( 15410 * )
NEW met1 ( 13570 28050 ) ( * 28390 )
NEW met1 ( 8050 28390 ) ( 13570 * )
NEW met1 ( 13570 28050 ) ( 15410 * )
NEW met2 ( 15410 12580 ) ( * 28050 )
NEW met2 ( 15410 12580 ) M2M3_PR
NEW li1 ( 8050 28390 ) L1M1_PR_MR
NEW met1 ( 15410 28050 ) M1M2_PR ;
- wb_we_i ( PIN wb_we_i ) ( input59 A ) + USE SIGNAL
+ ROUTED met2 ( 14030 14620 ) ( * 26010 )
NEW met3 ( 3220 14620 0 ) ( 14030 * )
NEW met1 ( 8050 26010 ) ( 14030 * )
NEW li1 ( 8050 26010 ) L1M1_PR_MR
NEW met2 ( 14030 14620 ) M2M3_PR
NEW met1 ( 14030 26010 ) M1M2_PR ;
END NETS
END DESIGN