blob: 6a6136d7009579595dbab4fc4dd67002af836f83 [file] [log] [blame]
*SPEF "ieee 1481-1999"
*DESIGN "Flash"
*DATE "11:11:11 Fri 11 11, 1111"
*VENDOR "OpenRCX"
*PROGRAM "Parallel Extraction"
*VERSION "1.0"
*DESIGN_FLOW "NAME_SCOPE LOCAL" "PIN_CAP NONE"
*DIVIDER /
*DELIMITER :
*BUS_DELIMITER []
*T_UNIT 1 NS
*C_UNIT 1 PF
*R_UNIT 1 OHM
*L_UNIT 1 HENRY
*NAME_MAP
*1 net111
*2 flash_io0_read
*3 net157
*4 net112
*5 flash_io1_read
*6 net113
*7 net114
*8 flash_sck
*9 net115
*10 net116
*11 net117
*12 net118
*13 net119
*14 net120
*15 net121
*16 net122
*17 net123
*18 sram_addr1[0]
*19 sram_addr1[1]
*20 sram_addr1[2]
*21 sram_addr1[3]
*22 sram_addr1[4]
*23 sram_addr1[5]
*24 sram_addr1[6]
*25 sram_addr1[7]
*26 sram_addr1[8]
*27 sram_clk0
*28 sram_clk1
*29 net158
*30 sram_csb1
*31 net124
*32 net134
*33 net135
*34 net136
*35 net137
*36 net138
*37 net139
*38 net140
*39 net141
*40 net142
*41 net143
*42 net125
*43 net144
*44 net145
*45 net146
*46 net147
*47 net148
*48 net149
*49 net150
*50 net151
*51 net152
*52 net153
*53 net126
*54 net154
*55 net155
*56 net127
*57 net128
*58 net129
*59 net130
*60 net131
*61 net132
*62 net133
*63 sram_dout0[0]
*64 sram_dout0[10]
*65 sram_dout0[11]
*66 sram_dout0[12]
*67 sram_dout0[13]
*68 sram_dout0[14]
*69 sram_dout0[15]
*70 sram_dout0[16]
*71 sram_dout0[17]
*72 sram_dout0[18]
*73 sram_dout0[19]
*74 sram_dout0[1]
*75 sram_dout0[20]
*76 sram_dout0[21]
*77 sram_dout0[22]
*78 sram_dout0[23]
*79 sram_dout0[24]
*80 sram_dout0[25]
*81 sram_dout0[26]
*82 sram_dout0[27]
*83 sram_dout0[28]
*84 sram_dout0[29]
*85 sram_dout0[2]
*86 sram_dout0[30]
*87 sram_dout0[31]
*88 sram_dout0[3]
*89 sram_dout0[4]
*90 sram_dout0[5]
*91 sram_dout0[6]
*92 sram_dout0[7]
*93 sram_dout0[8]
*94 sram_dout0[9]
*95 sram_dout1[0]
*96 sram_dout1[10]
*97 sram_dout1[11]
*98 sram_dout1[12]
*99 sram_dout1[13]
*100 sram_dout1[14]
*101 sram_dout1[15]
*102 sram_dout1[16]
*103 sram_dout1[17]
*104 sram_dout1[18]
*105 sram_dout1[19]
*106 sram_dout1[1]
*107 sram_dout1[20]
*108 sram_dout1[21]
*109 sram_dout1[22]
*110 sram_dout1[23]
*111 sram_dout1[24]
*112 sram_dout1[25]
*113 sram_dout1[26]
*114 sram_dout1[27]
*115 sram_dout1[28]
*116 sram_dout1[29]
*117 sram_dout1[2]
*118 sram_dout1[30]
*119 sram_dout1[31]
*120 sram_dout1[3]
*121 sram_dout1[4]
*122 sram_dout1[5]
*123 sram_dout1[6]
*124 sram_dout1[7]
*125 sram_dout1[8]
*126 sram_dout1[9]
*127 net159
*128 net160
*129 net161
*130 net162
*131 net163
*134 wb_ack_o
*135 wb_adr_i[0]
*136 wb_adr_i[10]
*137 wb_adr_i[11]
*138 wb_adr_i[12]
*139 wb_adr_i[13]
*140 wb_adr_i[14]
*141 wb_adr_i[15]
*142 wb_adr_i[16]
*143 wb_adr_i[17]
*144 wb_adr_i[18]
*145 wb_adr_i[19]
*146 wb_adr_i[1]
*147 wb_adr_i[20]
*148 wb_adr_i[21]
*149 wb_adr_i[22]
*150 wb_adr_i[23]
*151 wb_adr_i[2]
*152 wb_adr_i[3]
*153 wb_adr_i[4]
*154 wb_adr_i[5]
*155 wb_adr_i[6]
*156 wb_adr_i[7]
*157 wb_adr_i[8]
*158 wb_adr_i[9]
*159 wb_clk_i
*160 wb_cyc_i
*161 wb_data_i[0]
*162 wb_data_i[10]
*163 wb_data_i[11]
*164 wb_data_i[12]
*165 wb_data_i[13]
*166 wb_data_i[14]
*167 wb_data_i[15]
*168 wb_data_i[16]
*169 wb_data_i[17]
*170 wb_data_i[18]
*171 wb_data_i[19]
*172 wb_data_i[1]
*173 wb_data_i[20]
*174 wb_data_i[21]
*175 wb_data_i[22]
*176 wb_data_i[23]
*177 wb_data_i[24]
*178 wb_data_i[25]
*179 wb_data_i[26]
*180 wb_data_i[27]
*181 wb_data_i[28]
*182 wb_data_i[29]
*183 wb_data_i[2]
*184 wb_data_i[30]
*185 wb_data_i[31]
*186 wb_data_i[3]
*187 wb_data_i[4]
*188 wb_data_i[5]
*189 wb_data_i[6]
*190 wb_data_i[7]
*191 wb_data_i[8]
*192 wb_data_i[9]
*193 wb_data_o[0]
*194 wb_data_o[10]
*195 wb_data_o[11]
*196 wb_data_o[12]
*197 wb_data_o[13]
*198 wb_data_o[14]
*199 wb_data_o[15]
*200 wb_data_o[16]
*201 wb_data_o[17]
*202 wb_data_o[18]
*203 wb_data_o[19]
*204 wb_data_o[1]
*205 wb_data_o[20]
*206 wb_data_o[21]
*207 wb_data_o[22]
*208 wb_data_o[23]
*209 wb_data_o[24]
*210 wb_data_o[25]
*211 wb_data_o[26]
*212 wb_data_o[27]
*213 wb_data_o[28]
*214 wb_data_o[29]
*215 wb_data_o[2]
*216 wb_data_o[30]
*217 wb_data_o[31]
*218 wb_data_o[3]
*219 wb_data_o[4]
*220 wb_data_o[5]
*221 wb_data_o[6]
*222 wb_data_o[7]
*223 wb_data_o[8]
*224 wb_data_o[9]
*225 net156
*226 wb_rst_i
*227 wb_sel_i[0]
*228 wb_sel_i[1]
*229 wb_sel_i[2]
*230 wb_sel_i[3]
*231 wb_stall_o
*232 wb_stb_i
*233 wb_we_i
*234 _000_
*235 _001_
*236 _002_
*237 _003_
*238 _004_
*239 _005_
*240 _006_
*241 _007_
*242 _008_
*243 _009_
*244 _010_
*245 _011_
*246 _012_
*247 _013_
*248 _014_
*249 _015_
*250 _016_
*251 _017_
*252 _018_
*253 _019_
*254 _020_
*255 _021_
*256 _022_
*257 _023_
*258 _024_
*259 _025_
*260 _026_
*261 _027_
*262 _028_
*263 _029_
*264 _030_
*265 _031_
*266 _032_
*267 _033_
*268 _034_
*269 _035_
*270 _036_
*271 _037_
*272 _038_
*273 _039_
*274 _040_
*275 _041_
*276 _042_
*277 _043_
*278 _044_
*279 _045_
*280 _046_
*281 _047_
*282 _048_
*283 _049_
*284 _050_
*285 _051_
*286 _052_
*287 _053_
*288 _054_
*289 _055_
*290 _056_
*291 _057_
*292 _058_
*293 _059_
*294 _060_
*295 _061_
*296 _062_
*297 _063_
*298 _064_
*299 _065_
*300 _066_
*301 _067_
*302 _068_
*303 _069_
*304 _070_
*305 _071_
*306 _072_
*307 _073_
*308 _074_
*309 _075_
*310 _076_
*311 _077_
*312 _078_
*313 _079_
*314 _080_
*315 _081_
*316 _082_
*317 _083_
*318 _084_
*319 _085_
*320 _086_
*321 _087_
*322 _088_
*323 _089_
*324 _090_
*325 _091_
*326 _092_
*327 _093_
*328 _094_
*329 _095_
*330 _096_
*331 _097_
*332 _098_
*333 _099_
*334 _100_
*335 _101_
*336 _102_
*337 _103_
*338 _104_
*339 _105_
*340 _106_
*341 _107_
*342 _108_
*343 _109_
*344 _110_
*345 _111_
*346 _112_
*347 _113_
*348 _114_
*349 _115_
*350 _116_
*351 _117_
*352 _118_
*353 _119_
*354 _120_
*355 _121_
*356 _122_
*357 _123_
*358 _124_
*359 _125_
*360 _126_
*361 _127_
*362 _128_
*363 _129_
*364 _130_
*365 _131_
*366 _132_
*367 _133_
*368 _134_
*369 _135_
*370 _136_
*371 _137_
*372 _138_
*373 _139_
*374 _140_
*375 _141_
*376 _142_
*377 _143_
*378 _144_
*379 _145_
*380 _146_
*381 _147_
*382 _148_
*383 _149_
*384 _150_
*385 _151_
*386 _152_
*387 _153_
*388 _154_
*389 _155_
*390 _156_
*391 _157_
*392 _158_
*393 _159_
*394 _160_
*395 _161_
*396 _162_
*397 _163_
*398 _164_
*399 _165_
*400 _166_
*401 _167_
*402 _168_
*403 _169_
*404 _170_
*405 _171_
*406 _172_
*407 _173_
*408 _174_
*409 _175_
*410 _176_
*411 _177_
*412 _178_
*413 _179_
*414 _180_
*415 _181_
*416 _182_
*417 _183_
*418 _184_
*419 _185_
*420 _186_
*421 _187_
*422 _188_
*423 _189_
*424 _190_
*425 _191_
*426 _192_
*427 _193_
*428 _194_
*429 _195_
*430 _196_
*431 _197_
*432 _198_
*433 _199_
*434 _200_
*435 _201_
*436 _202_
*437 _203_
*438 _204_
*439 _205_
*440 _206_
*441 _207_
*442 _208_
*443 _209_
*444 _210_
*445 _211_
*446 _212_
*447 _213_
*448 _214_
*449 _215_
*450 _216_
*451 _217_
*452 _218_
*453 _219_
*454 flashBuffer\.flashCacheReadReady
*455 net1
*456 net10
*457 net100
*458 net101
*459 net102
*460 net103
*461 net104
*462 net105
*463 net106
*464 net107
*465 net108
*466 net109
*467 net11
*468 net110
*469 net12
*470 net13
*471 net14
*472 net15
*473 net16
*474 net17
*475 net18
*476 net19
*477 net2
*478 net20
*479 net21
*480 net22
*481 net23
*482 net24
*483 net25
*484 net26
*485 net27
*486 net28
*487 net29
*488 net3
*489 net30
*490 net31
*491 net32
*492 net33
*493 net34
*494 net35
*495 net36
*496 net37
*497 net38
*498 net39
*499 net4
*500 net40
*501 net41
*502 net42
*503 net43
*504 net44
*505 net45
*506 net46
*507 net47
*508 net48
*509 net49
*510 net5
*511 net50
*512 net51
*513 net52
*514 net53
*515 net54
*516 net55
*517 net56
*518 net57
*519 net58
*520 net59
*521 net6
*522 net60
*523 net61
*524 net62
*525 net63
*526 net64
*527 net65
*528 net66
*529 net67
*530 net68
*531 net69
*532 net7
*533 net70
*534 net71
*535 net72
*536 net73
*537 net74
*538 net75
*539 net76
*540 net77
*541 net78
*542 net79
*543 net8
*544 net80
*545 net81
*546 net82
*547 net83
*548 net84
*549 net85
*550 net86
*551 net87
*552 net88
*553 net89
*554 net9
*555 net90
*556 net91
*557 net92
*558 net93
*559 net94
*560 net95
*561 net96
*562 net97
*563 net98
*564 net99
*565 wbFlashInterface\.currentAddress\[10\]
*566 wbFlashInterface\.currentAddress\[11\]
*567 wbFlashInterface\.currentAddress\[12\]
*568 wbFlashInterface\.currentAddress\[13\]
*569 wbFlashInterface\.currentAddress\[14\]
*570 wbFlashInterface\.currentAddress\[15\]
*571 wbFlashInterface\.currentAddress\[16\]
*572 wbFlashInterface\.currentAddress\[17\]
*573 wbFlashInterface\.currentAddress\[18\]
*574 wbFlashInterface\.currentAddress\[19\]
*575 wbFlashInterface\.currentAddress\[20\]
*576 wbFlashInterface\.currentAddress\[21\]
*577 wbFlashInterface\.currentAddress\[22\]
*578 wbFlashInterface\.currentAddress\[23\]
*579 wbFlashInterface\.currentAddress\[2\]
*580 wbFlashInterface\.currentAddress\[3\]
*581 wbFlashInterface\.currentAddress\[4\]
*582 wbFlashInterface\.currentAddress\[5\]
*583 wbFlashInterface\.currentAddress\[6\]
*584 wbFlashInterface\.currentAddress\[7\]
*585 wbFlashInterface\.currentAddress\[8\]
*586 wbFlashInterface\.currentAddress\[9\]
*587 wbFlashInterface\.currentByteSelect\[0\]
*588 wbFlashInterface\.currentByteSelect\[1\]
*589 wbFlashInterface\.currentByteSelect\[2\]
*590 wbFlashInterface\.currentByteSelect\[3\]
*591 wbFlashInterface\.state\[0\]
*592 wbFlashInterface\.state\[1\]
*593 FILLER_0_106
*594 FILLER_0_113
*595 FILLER_0_121
*596 FILLER_0_131
*597 FILLER_0_139
*598 FILLER_0_144
*599 FILLER_0_154
*600 FILLER_0_161
*601 FILLER_0_167
*602 FILLER_0_175
*603 FILLER_0_183
*604 FILLER_0_19
*605 FILLER_0_190
*606 FILLER_0_197
*607 FILLER_0_207
*608 FILLER_0_214
*609 FILLER_0_222
*610 FILLER_0_225
*611 FILLER_0_233
*612 FILLER_0_240
*613 FILLER_0_244
*614 FILLER_0_248
*615 FILLER_0_253
*616 FILLER_0_260
*617 FILLER_0_267
*618 FILLER_0_27
*619 FILLER_0_274
*620 FILLER_0_284
*621 FILLER_0_292
*622 FILLER_0_296
*623 FILLER_0_300
*624 FILLER_0_304
*625 FILLER_0_312
*626 FILLER_0_316
*627 FILLER_0_320
*628 FILLER_0_327
*629 FILLER_0_33
*630 FILLER_0_335
*631 FILLER_0_347
*632 FILLER_0_355
*633 FILLER_0_359
*634 FILLER_0_363
*635 FILLER_0_365
*636 FILLER_0_377
*637 FILLER_0_387
*638 FILLER_0_391
*639 FILLER_0_393
*640 FILLER_0_40
*641 FILLER_0_403
*642 FILLER_0_410
*643 FILLER_0_418
*644 FILLER_0_425
*645 FILLER_0_429
*646 FILLER_0_434
*647 FILLER_0_441
*648 FILLER_0_447
*649 FILLER_0_449
*650 FILLER_0_457
*651 FILLER_0_461
*652 FILLER_0_466
*653 FILLER_0_47
*654 FILLER_0_474
*655 FILLER_0_477
*656 FILLER_0_482
*657 FILLER_0_489
*658 FILLER_0_493
*659 FILLER_0_498
*660 FILLER_0_505
*661 FILLER_0_509
*662 FILLER_0_514
*663 FILLER_0_521
*664 FILLER_0_529
*665 FILLER_0_537
*666 FILLER_0_541
*667 FILLER_0_546
*668 FILLER_0_55
*669 FILLER_0_553
*670 FILLER_0_559
*671 FILLER_0_565
*672 FILLER_0_573
*673 FILLER_0_578
*674 FILLER_0_586
*675 FILLER_0_593
*676 FILLER_0_600
*677 FILLER_0_61
*678 FILLER_0_612
*679 FILLER_0_620
*680 FILLER_0_624
*681 FILLER_0_68
*682 FILLER_0_74
*683 FILLER_0_78
*684 FILLER_0_85
*685 FILLER_0_90
*686 FILLER_0_98
*687 FILLER_10_106
*688 FILLER_10_113
*689 FILLER_10_122
*690 FILLER_10_134
*691 FILLER_10_14
*692 FILLER_10_141
*693 FILLER_10_145
*694 FILLER_10_152
*695 FILLER_10_156
*696 FILLER_10_167
*697 FILLER_10_175
*698 FILLER_10_186
*699 FILLER_10_194
*700 FILLER_10_197
*701 FILLER_10_209
*702 FILLER_10_221
*703 FILLER_10_233
*704 FILLER_10_245
*705 FILLER_10_251
*706 FILLER_10_253
*707 FILLER_10_26
*708 FILLER_10_265
*709 FILLER_10_277
*710 FILLER_10_289
*711 FILLER_10_29
*712 FILLER_10_301
*713 FILLER_10_307
*714 FILLER_10_309
*715 FILLER_10_321
*716 FILLER_10_333
*717 FILLER_10_345
*718 FILLER_10_357
*719 FILLER_10_363
*720 FILLER_10_365
*721 FILLER_10_377
*722 FILLER_10_389
*723 FILLER_10_401
*724 FILLER_10_41
*725 FILLER_10_413
*726 FILLER_10_419
*727 FILLER_10_421
*728 FILLER_10_433
*729 FILLER_10_445
*730 FILLER_10_457
*731 FILLER_10_469
*732 FILLER_10_475
*733 FILLER_10_477
*734 FILLER_10_489
*735 FILLER_10_501
*736 FILLER_10_513
*737 FILLER_10_525
*738 FILLER_10_53
*739 FILLER_10_531
*740 FILLER_10_533
*741 FILLER_10_545
*742 FILLER_10_557
*743 FILLER_10_569
*744 FILLER_10_581
*745 FILLER_10_587
*746 FILLER_10_589
*747 FILLER_10_601
*748 FILLER_10_613
*749 FILLER_10_65
*750 FILLER_10_7
*751 FILLER_10_77
*752 FILLER_10_83
*753 FILLER_10_94
*754 FILLER_11_108
*755 FILLER_11_119
*756 FILLER_11_12
*757 FILLER_11_131
*758 FILLER_11_143
*759 FILLER_11_157
*760 FILLER_11_165
*761 FILLER_11_169
*762 FILLER_11_183
*763 FILLER_11_193
*764 FILLER_11_205
*765 FILLER_11_217
*766 FILLER_11_22
*767 FILLER_11_223
*768 FILLER_11_225
*769 FILLER_11_237
*770 FILLER_11_249
*771 FILLER_11_261
*772 FILLER_11_273
*773 FILLER_11_279
*774 FILLER_11_281
*775 FILLER_11_293
*776 FILLER_11_305
*777 FILLER_11_317
*778 FILLER_11_329
*779 FILLER_11_335
*780 FILLER_11_337
*781 FILLER_11_34
*782 FILLER_11_349
*783 FILLER_11_361
*784 FILLER_11_373
*785 FILLER_11_385
*786 FILLER_11_391
*787 FILLER_11_393
*788 FILLER_11_405
*789 FILLER_11_417
*790 FILLER_11_429
*791 FILLER_11_441
*792 FILLER_11_447
*793 FILLER_11_449
*794 FILLER_11_46
*795 FILLER_11_461
*796 FILLER_11_473
*797 FILLER_11_485
*798 FILLER_11_497
*799 FILLER_11_503
*800 FILLER_11_505
*801 FILLER_11_517
*802 FILLER_11_52
*803 FILLER_11_529
*804 FILLER_11_541
*805 FILLER_11_553
*806 FILLER_11_559
*807 FILLER_11_561
*808 FILLER_11_57
*809 FILLER_11_573
*810 FILLER_11_585
*811 FILLER_11_597
*812 FILLER_11_6
*813 FILLER_11_609
*814 FILLER_11_615
*815 FILLER_11_617
*816 FILLER_11_79
*817 FILLER_11_91
*818 FILLER_11_97
*819 FILLER_12_103
*820 FILLER_12_123
*821 FILLER_12_135
*822 FILLER_12_139
*823 FILLER_12_141
*824 FILLER_12_151
*825 FILLER_12_161
*826 FILLER_12_169
*827 FILLER_12_178
*828 FILLER_12_192
*829 FILLER_12_203
*830 FILLER_12_215
*831 FILLER_12_227
*832 FILLER_12_239
*833 FILLER_12_24
*834 FILLER_12_251
*835 FILLER_12_253
*836 FILLER_12_265
*837 FILLER_12_277
*838 FILLER_12_289
*839 FILLER_12_29
*840 FILLER_12_3
*841 FILLER_12_301
*842 FILLER_12_307
*843 FILLER_12_309
*844 FILLER_12_321
*845 FILLER_12_333
*846 FILLER_12_345
*847 FILLER_12_357
*848 FILLER_12_363
*849 FILLER_12_365
*850 FILLER_12_377
*851 FILLER_12_389
*852 FILLER_12_401
*853 FILLER_12_41
*854 FILLER_12_413
*855 FILLER_12_419
*856 FILLER_12_421
*857 FILLER_12_433
*858 FILLER_12_445
*859 FILLER_12_45
*860 FILLER_12_457
*861 FILLER_12_469
*862 FILLER_12_475
*863 FILLER_12_477
*864 FILLER_12_489
*865 FILLER_12_501
*866 FILLER_12_513
*867 FILLER_12_525
*868 FILLER_12_53
*869 FILLER_12_531
*870 FILLER_12_533
*871 FILLER_12_545
*872 FILLER_12_557
*873 FILLER_12_569
*874 FILLER_12_581
*875 FILLER_12_587
*876 FILLER_12_589
*877 FILLER_12_60
*878 FILLER_12_601
*879 FILLER_12_613
*880 FILLER_12_7
*881 FILLER_12_72
*882 FILLER_12_79
*883 FILLER_12_83
*884 FILLER_12_95
*885 FILLER_13_103
*886 FILLER_13_111
*887 FILLER_13_113
*888 FILLER_13_125
*889 FILLER_13_137
*890 FILLER_13_141
*891 FILLER_13_158
*892 FILLER_13_16
*893 FILLER_13_166
*894 FILLER_13_169
*895 FILLER_13_181
*896 FILLER_13_191
*897 FILLER_13_203
*898 FILLER_13_215
*899 FILLER_13_223
*900 FILLER_13_225
*901 FILLER_13_237
*902 FILLER_13_24
*903 FILLER_13_249
*904 FILLER_13_261
*905 FILLER_13_273
*906 FILLER_13_279
*907 FILLER_13_281
*908 FILLER_13_293
*909 FILLER_13_305
*910 FILLER_13_317
*911 FILLER_13_329
*912 FILLER_13_335
*913 FILLER_13_337
*914 FILLER_13_349
*915 FILLER_13_36
*916 FILLER_13_361
*917 FILLER_13_373
*918 FILLER_13_385
*919 FILLER_13_391
*920 FILLER_13_393
*921 FILLER_13_405
*922 FILLER_13_417
*923 FILLER_13_429
*924 FILLER_13_441
*925 FILLER_13_447
*926 FILLER_13_449
*927 FILLER_13_461
*928 FILLER_13_473
*929 FILLER_13_48
*930 FILLER_13_485
*931 FILLER_13_497
*932 FILLER_13_503
*933 FILLER_13_505
*934 FILLER_13_517
*935 FILLER_13_529
*936 FILLER_13_541
*937 FILLER_13_553
*938 FILLER_13_559
*939 FILLER_13_561
*940 FILLER_13_573
*941 FILLER_13_585
*942 FILLER_13_597
*943 FILLER_13_609
*944 FILLER_13_615
*945 FILLER_13_617
*946 FILLER_13_7
*947 FILLER_13_73
*948 FILLER_13_77
*949 FILLER_13_91
*950 FILLER_14_104
*951 FILLER_14_116
*952 FILLER_14_124
*953 FILLER_14_136
*954 FILLER_14_14
*955 FILLER_14_141
*956 FILLER_14_146
*957 FILLER_14_158
*958 FILLER_14_170
*959 FILLER_14_182
*960 FILLER_14_191
*961 FILLER_14_195
*962 FILLER_14_197
*963 FILLER_14_209
*964 FILLER_14_221
*965 FILLER_14_233
*966 FILLER_14_24
*967 FILLER_14_245
*968 FILLER_14_251
*969 FILLER_14_253
*970 FILLER_14_265
*971 FILLER_14_277
*972 FILLER_14_289
*973 FILLER_14_29
*974 FILLER_14_301
*975 FILLER_14_307
*976 FILLER_14_309
*977 FILLER_14_321
*978 FILLER_14_333
*979 FILLER_14_345
*980 FILLER_14_357
*981 FILLER_14_363
*982 FILLER_14_365
*983 FILLER_14_377
*984 FILLER_14_389
*985 FILLER_14_401
*986 FILLER_14_41
*987 FILLER_14_413
*988 FILLER_14_419
*989 FILLER_14_421
*990 FILLER_14_433
*991 FILLER_14_445
*992 FILLER_14_457
*993 FILLER_14_469
*994 FILLER_14_475
*995 FILLER_14_477
*996 FILLER_14_489
*997 FILLER_14_501
*998 FILLER_14_513
*999 FILLER_14_525
*1000 FILLER_14_53
*1001 FILLER_14_531
*1002 FILLER_14_533
*1003 FILLER_14_545
*1004 FILLER_14_557
*1005 FILLER_14_569
*1006 FILLER_14_581
*1007 FILLER_14_587
*1008 FILLER_14_589
*1009 FILLER_14_6
*1010 FILLER_14_601
*1011 FILLER_14_613
*1012 FILLER_14_65
*1013 FILLER_14_77
*1014 FILLER_14_83
*1015 FILLER_14_92
*1016 FILLER_15_110
*1017 FILLER_15_129
*1018 FILLER_15_141
*1019 FILLER_15_153
*1020 FILLER_15_165
*1021 FILLER_15_174
*1022 FILLER_15_182
*1023 FILLER_15_201
*1024 FILLER_15_213
*1025 FILLER_15_221
*1026 FILLER_15_225
*1027 FILLER_15_237
*1028 FILLER_15_249
*1029 FILLER_15_261
*1030 FILLER_15_273
*1031 FILLER_15_279
*1032 FILLER_15_281
*1033 FILLER_15_293
*1034 FILLER_15_305
*1035 FILLER_15_317
*1036 FILLER_15_329
*1037 FILLER_15_335
*1038 FILLER_15_337
*1039 FILLER_15_34
*1040 FILLER_15_349
*1041 FILLER_15_361
*1042 FILLER_15_373
*1043 FILLER_15_385
*1044 FILLER_15_391
*1045 FILLER_15_393
*1046 FILLER_15_405
*1047 FILLER_15_417
*1048 FILLER_15_429
*1049 FILLER_15_441
*1050 FILLER_15_447
*1051 FILLER_15_449
*1052 FILLER_15_46
*1053 FILLER_15_461
*1054 FILLER_15_473
*1055 FILLER_15_485
*1056 FILLER_15_497
*1057 FILLER_15_503
*1058 FILLER_15_505
*1059 FILLER_15_517
*1060 FILLER_15_529
*1061 FILLER_15_54
*1062 FILLER_15_541
*1063 FILLER_15_553
*1064 FILLER_15_559
*1065 FILLER_15_561
*1066 FILLER_15_57
*1067 FILLER_15_573
*1068 FILLER_15_585
*1069 FILLER_15_597
*1070 FILLER_15_6
*1071 FILLER_15_609
*1072 FILLER_15_615
*1073 FILLER_15_617
*1074 FILLER_15_69
*1075 FILLER_15_73
*1076 FILLER_15_77
*1077 FILLER_15_86
*1078 FILLER_15_98
*1079 FILLER_16_102
*1080 FILLER_16_106
*1081 FILLER_16_111
*1082 FILLER_16_123
*1083 FILLER_16_127
*1084 FILLER_16_133
*1085 FILLER_16_139
*1086 FILLER_16_141
*1087 FILLER_16_15
*1088 FILLER_16_153
*1089 FILLER_16_170
*1090 FILLER_16_180
*1091 FILLER_16_184
*1092 FILLER_16_191
*1093 FILLER_16_195
*1094 FILLER_16_197
*1095 FILLER_16_209
*1096 FILLER_16_21
*1097 FILLER_16_221
*1098 FILLER_16_233
*1099 FILLER_16_245
*1100 FILLER_16_251
*1101 FILLER_16_253
*1102 FILLER_16_265
*1103 FILLER_16_27
*1104 FILLER_16_277
*1105 FILLER_16_289
*1106 FILLER_16_29
*1107 FILLER_16_301
*1108 FILLER_16_307
*1109 FILLER_16_309
*1110 FILLER_16_321
*1111 FILLER_16_333
*1112 FILLER_16_345
*1113 FILLER_16_357
*1114 FILLER_16_363
*1115 FILLER_16_365
*1116 FILLER_16_377
*1117 FILLER_16_389
*1118 FILLER_16_401
*1119 FILLER_16_41
*1120 FILLER_16_413
*1121 FILLER_16_419
*1122 FILLER_16_421
*1123 FILLER_16_433
*1124 FILLER_16_445
*1125 FILLER_16_457
*1126 FILLER_16_469
*1127 FILLER_16_475
*1128 FILLER_16_477
*1129 FILLER_16_489
*1130 FILLER_16_49
*1131 FILLER_16_501
*1132 FILLER_16_513
*1133 FILLER_16_525
*1134 FILLER_16_531
*1135 FILLER_16_533
*1136 FILLER_16_545
*1137 FILLER_16_557
*1138 FILLER_16_569
*1139 FILLER_16_581
*1140 FILLER_16_587
*1141 FILLER_16_589
*1142 FILLER_16_60
*1143 FILLER_16_601
*1144 FILLER_16_613
*1145 FILLER_16_7
*1146 FILLER_16_72
*1147 FILLER_16_90
*1148 FILLER_17_105
*1149 FILLER_17_111
*1150 FILLER_17_113
*1151 FILLER_17_125
*1152 FILLER_17_138
*1153 FILLER_17_148
*1154 FILLER_17_160
*1155 FILLER_17_169
*1156 FILLER_17_176
*1157 FILLER_17_180
*1158 FILLER_17_192
*1159 FILLER_17_204
*1160 FILLER_17_216
*1161 FILLER_17_225
*1162 FILLER_17_237
*1163 FILLER_17_249
*1164 FILLER_17_261
*1165 FILLER_17_27
*1166 FILLER_17_273
*1167 FILLER_17_279
*1168 FILLER_17_281
*1169 FILLER_17_293
*1170 FILLER_17_3
*1171 FILLER_17_305
*1172 FILLER_17_317
*1173 FILLER_17_329
*1174 FILLER_17_335
*1175 FILLER_17_337
*1176 FILLER_17_349
*1177 FILLER_17_35
*1178 FILLER_17_361
*1179 FILLER_17_373
*1180 FILLER_17_385
*1181 FILLER_17_391
*1182 FILLER_17_393
*1183 FILLER_17_405
*1184 FILLER_17_417
*1185 FILLER_17_429
*1186 FILLER_17_43
*1187 FILLER_17_441
*1188 FILLER_17_447
*1189 FILLER_17_449
*1190 FILLER_17_461
*1191 FILLER_17_473
*1192 FILLER_17_485
*1193 FILLER_17_497
*1194 FILLER_17_503
*1195 FILLER_17_505
*1196 FILLER_17_517
*1197 FILLER_17_52
*1198 FILLER_17_529
*1199 FILLER_17_541
*1200 FILLER_17_553
*1201 FILLER_17_559
*1202 FILLER_17_561
*1203 FILLER_17_573
*1204 FILLER_17_585
*1205 FILLER_17_597
*1206 FILLER_17_609
*1207 FILLER_17_615
*1208 FILLER_17_617
*1209 FILLER_17_7
*1210 FILLER_17_73
*1211 FILLER_17_86
*1212 FILLER_17_95
*1213 FILLER_18_115
*1214 FILLER_18_119
*1215 FILLER_18_136
*1216 FILLER_18_141
*1217 FILLER_18_151
*1218 FILLER_18_163
*1219 FILLER_18_173
*1220 FILLER_18_185
*1221 FILLER_18_193
*1222 FILLER_18_197
*1223 FILLER_18_209
*1224 FILLER_18_221
*1225 FILLER_18_23
*1226 FILLER_18_233
*1227 FILLER_18_245
*1228 FILLER_18_251
*1229 FILLER_18_253
*1230 FILLER_18_265
*1231 FILLER_18_27
*1232 FILLER_18_277
*1233 FILLER_18_289
*1234 FILLER_18_29
*1235 FILLER_18_301
*1236 FILLER_18_307
*1237 FILLER_18_309
*1238 FILLER_18_321
*1239 FILLER_18_333
*1240 FILLER_18_345
*1241 FILLER_18_357
*1242 FILLER_18_363
*1243 FILLER_18_365
*1244 FILLER_18_377
*1245 FILLER_18_389
*1246 FILLER_18_401
*1247 FILLER_18_41
*1248 FILLER_18_413
*1249 FILLER_18_419
*1250 FILLER_18_421
*1251 FILLER_18_433
*1252 FILLER_18_445
*1253 FILLER_18_457
*1254 FILLER_18_469
*1255 FILLER_18_475
*1256 FILLER_18_477
*1257 FILLER_18_489
*1258 FILLER_18_501
*1259 FILLER_18_513
*1260 FILLER_18_525
*1261 FILLER_18_53
*1262 FILLER_18_531
*1263 FILLER_18_533
*1264 FILLER_18_545
*1265 FILLER_18_557
*1266 FILLER_18_569
*1267 FILLER_18_581
*1268 FILLER_18_587
*1269 FILLER_18_589
*1270 FILLER_18_6
*1271 FILLER_18_601
*1272 FILLER_18_613
*1273 FILLER_18_65
*1274 FILLER_18_77
*1275 FILLER_18_83
*1276 FILLER_18_90
*1277 FILLER_19_103
*1278 FILLER_19_111
*1279 FILLER_19_119
*1280 FILLER_19_131
*1281 FILLER_19_14
*1282 FILLER_19_149
*1283 FILLER_19_161
*1284 FILLER_19_167
*1285 FILLER_19_185
*1286 FILLER_19_195
*1287 FILLER_19_207
*1288 FILLER_19_219
*1289 FILLER_19_223
*1290 FILLER_19_225
*1291 FILLER_19_237
*1292 FILLER_19_249
*1293 FILLER_19_26
*1294 FILLER_19_261
*1295 FILLER_19_273
*1296 FILLER_19_279
*1297 FILLER_19_281
*1298 FILLER_19_293
*1299 FILLER_19_305
*1300 FILLER_19_317
*1301 FILLER_19_329
*1302 FILLER_19_335
*1303 FILLER_19_337
*1304 FILLER_19_349
*1305 FILLER_19_361
*1306 FILLER_19_373
*1307 FILLER_19_385
*1308 FILLER_19_391
*1309 FILLER_19_393
*1310 FILLER_19_405
*1311 FILLER_19_417
*1312 FILLER_19_42
*1313 FILLER_19_429
*1314 FILLER_19_441
*1315 FILLER_19_447
*1316 FILLER_19_449
*1317 FILLER_19_461
*1318 FILLER_19_473
*1319 FILLER_19_485
*1320 FILLER_19_497
*1321 FILLER_19_503
*1322 FILLER_19_505
*1323 FILLER_19_517
*1324 FILLER_19_529
*1325 FILLER_19_54
*1326 FILLER_19_541
*1327 FILLER_19_553
*1328 FILLER_19_559
*1329 FILLER_19_561
*1330 FILLER_19_57
*1331 FILLER_19_573
*1332 FILLER_19_585
*1333 FILLER_19_597
*1334 FILLER_19_609
*1335 FILLER_19_615
*1336 FILLER_19_617
*1337 FILLER_19_67
*1338 FILLER_19_7
*1339 FILLER_19_79
*1340 FILLER_19_91
*1341 FILLER_1_104
*1342 FILLER_1_119
*1343 FILLER_1_126
*1344 FILLER_1_13
*1345 FILLER_1_130
*1346 FILLER_1_141
*1347 FILLER_1_151
*1348 FILLER_1_159
*1349 FILLER_1_164
*1350 FILLER_1_175
*1351 FILLER_1_185
*1352 FILLER_1_192
*1353 FILLER_1_202
*1354 FILLER_1_21
*1355 FILLER_1_211
*1356 FILLER_1_220
*1357 FILLER_1_228
*1358 FILLER_1_240
*1359 FILLER_1_244
*1360 FILLER_1_248
*1361 FILLER_1_260
*1362 FILLER_1_272
*1363 FILLER_1_281
*1364 FILLER_1_290
*1365 FILLER_1_302
*1366 FILLER_1_314
*1367 FILLER_1_32
*1368 FILLER_1_326
*1369 FILLER_1_334
*1370 FILLER_1_337
*1371 FILLER_1_343
*1372 FILLER_1_361
*1373 FILLER_1_369
*1374 FILLER_1_375
*1375 FILLER_1_387
*1376 FILLER_1_39
*1377 FILLER_1_391
*1378 FILLER_1_396
*1379 FILLER_1_408
*1380 FILLER_1_423
*1381 FILLER_1_435
*1382 FILLER_1_447
*1383 FILLER_1_449
*1384 FILLER_1_455
*1385 FILLER_1_467
*1386 FILLER_1_47
*1387 FILLER_1_471
*1388 FILLER_1_483
*1389 FILLER_1_495
*1390 FILLER_1_503
*1391 FILLER_1_508
*1392 FILLER_1_52
*1393 FILLER_1_520
*1394 FILLER_1_528
*1395 FILLER_1_534
*1396 FILLER_1_546
*1397 FILLER_1_558
*1398 FILLER_1_561
*1399 FILLER_1_566
*1400 FILLER_1_578
*1401 FILLER_1_582
*1402 FILLER_1_594
*1403 FILLER_1_602
*1404 FILLER_1_609
*1405 FILLER_1_615
*1406 FILLER_1_617
*1407 FILLER_1_621
*1408 FILLER_1_73
*1409 FILLER_1_80
*1410 FILLER_1_87
*1411 FILLER_1_94
*1412 FILLER_20_109
*1413 FILLER_20_11
*1414 FILLER_20_115
*1415 FILLER_20_127
*1416 FILLER_20_139
*1417 FILLER_20_151
*1418 FILLER_20_163
*1419 FILLER_20_172
*1420 FILLER_20_184
*1421 FILLER_20_197
*1422 FILLER_20_209
*1423 FILLER_20_21
*1424 FILLER_20_221
*1425 FILLER_20_233
*1426 FILLER_20_245
*1427 FILLER_20_251
*1428 FILLER_20_253
*1429 FILLER_20_265
*1430 FILLER_20_27
*1431 FILLER_20_277
*1432 FILLER_20_289
*1433 FILLER_20_29
*1434 FILLER_20_301
*1435 FILLER_20_307
*1436 FILLER_20_309
*1437 FILLER_20_321
*1438 FILLER_20_333
*1439 FILLER_20_345
*1440 FILLER_20_35
*1441 FILLER_20_357
*1442 FILLER_20_363
*1443 FILLER_20_365
*1444 FILLER_20_377
*1445 FILLER_20_389
*1446 FILLER_20_401
*1447 FILLER_20_413
*1448 FILLER_20_419
*1449 FILLER_20_421
*1450 FILLER_20_433
*1451 FILLER_20_445
*1452 FILLER_20_45
*1453 FILLER_20_457
*1454 FILLER_20_469
*1455 FILLER_20_475
*1456 FILLER_20_477
*1457 FILLER_20_489
*1458 FILLER_20_501
*1459 FILLER_20_513
*1460 FILLER_20_525
*1461 FILLER_20_531
*1462 FILLER_20_533
*1463 FILLER_20_545
*1464 FILLER_20_557
*1465 FILLER_20_569
*1466 FILLER_20_57
*1467 FILLER_20_581
*1468 FILLER_20_587
*1469 FILLER_20_589
*1470 FILLER_20_601
*1471 FILLER_20_613
*1472 FILLER_20_7
*1473 FILLER_20_79
*1474 FILLER_20_83
*1475 FILLER_20_85
*1476 FILLER_20_97
*1477 FILLER_21_101
*1478 FILLER_21_108
*1479 FILLER_21_123
*1480 FILLER_21_132
*1481 FILLER_21_142
*1482 FILLER_21_156
*1483 FILLER_21_169
*1484 FILLER_21_181
*1485 FILLER_21_201
*1486 FILLER_21_213
*1487 FILLER_21_221
*1488 FILLER_21_225
*1489 FILLER_21_237
*1490 FILLER_21_24
*1491 FILLER_21_249
*1492 FILLER_21_261
*1493 FILLER_21_273
*1494 FILLER_21_279
*1495 FILLER_21_281
*1496 FILLER_21_293
*1497 FILLER_21_3
*1498 FILLER_21_305
*1499 FILLER_21_317
*1500 FILLER_21_32
*1501 FILLER_21_329
*1502 FILLER_21_335
*1503 FILLER_21_337
*1504 FILLER_21_349
*1505 FILLER_21_361
*1506 FILLER_21_373
*1507 FILLER_21_385
*1508 FILLER_21_391
*1509 FILLER_21_393
*1510 FILLER_21_405
*1511 FILLER_21_417
*1512 FILLER_21_429
*1513 FILLER_21_441
*1514 FILLER_21_447
*1515 FILLER_21_449
*1516 FILLER_21_461
*1517 FILLER_21_473
*1518 FILLER_21_485
*1519 FILLER_21_497
*1520 FILLER_21_503
*1521 FILLER_21_505
*1522 FILLER_21_51
*1523 FILLER_21_517
*1524 FILLER_21_529
*1525 FILLER_21_541
*1526 FILLER_21_55
*1527 FILLER_21_553
*1528 FILLER_21_559
*1529 FILLER_21_561
*1530 FILLER_21_57
*1531 FILLER_21_573
*1532 FILLER_21_585
*1533 FILLER_21_597
*1534 FILLER_21_609
*1535 FILLER_21_61
*1536 FILLER_21_615
*1537 FILLER_21_617
*1538 FILLER_21_65
*1539 FILLER_21_7
*1540 FILLER_21_77
*1541 FILLER_21_89
*1542 FILLER_22_104
*1543 FILLER_22_114
*1544 FILLER_22_123
*1545 FILLER_22_135
*1546 FILLER_22_139
*1547 FILLER_22_15
*1548 FILLER_22_158
*1549 FILLER_22_166
*1550 FILLER_22_178
*1551 FILLER_22_188
*1552 FILLER_22_202
*1553 FILLER_22_214
*1554 FILLER_22_226
*1555 FILLER_22_238
*1556 FILLER_22_250
*1557 FILLER_22_253
*1558 FILLER_22_265
*1559 FILLER_22_27
*1560 FILLER_22_277
*1561 FILLER_22_289
*1562 FILLER_22_301
*1563 FILLER_22_307
*1564 FILLER_22_309
*1565 FILLER_22_321
*1566 FILLER_22_33
*1567 FILLER_22_333
*1568 FILLER_22_345
*1569 FILLER_22_357
*1570 FILLER_22_363
*1571 FILLER_22_365
*1572 FILLER_22_377
*1573 FILLER_22_389
*1574 FILLER_22_40
*1575 FILLER_22_401
*1576 FILLER_22_413
*1577 FILLER_22_419
*1578 FILLER_22_421
*1579 FILLER_22_433
*1580 FILLER_22_445
*1581 FILLER_22_457
*1582 FILLER_22_469
*1583 FILLER_22_475
*1584 FILLER_22_477
*1585 FILLER_22_489
*1586 FILLER_22_501
*1587 FILLER_22_513
*1588 FILLER_22_52
*1589 FILLER_22_525
*1590 FILLER_22_531
*1591 FILLER_22_533
*1592 FILLER_22_545
*1593 FILLER_22_557
*1594 FILLER_22_569
*1595 FILLER_22_581
*1596 FILLER_22_587
*1597 FILLER_22_589
*1598 FILLER_22_6
*1599 FILLER_22_601
*1600 FILLER_22_613
*1601 FILLER_22_64
*1602 FILLER_22_76
*1603 FILLER_22_85
*1604 FILLER_23_107
*1605 FILLER_23_111
*1606 FILLER_23_119
*1607 FILLER_23_131
*1608 FILLER_23_143
*1609 FILLER_23_157
*1610 FILLER_23_165
*1611 FILLER_23_169
*1612 FILLER_23_19
*1613 FILLER_23_191
*1614 FILLER_23_200
*1615 FILLER_23_212
*1616 FILLER_23_225
*1617 FILLER_23_237
*1618 FILLER_23_249
*1619 FILLER_23_261
*1620 FILLER_23_27
*1621 FILLER_23_273
*1622 FILLER_23_279
*1623 FILLER_23_281
*1624 FILLER_23_293
*1625 FILLER_23_305
*1626 FILLER_23_317
*1627 FILLER_23_329
*1628 FILLER_23_33
*1629 FILLER_23_335
*1630 FILLER_23_337
*1631 FILLER_23_349
*1632 FILLER_23_361
*1633 FILLER_23_373
*1634 FILLER_23_385
*1635 FILLER_23_391
*1636 FILLER_23_393
*1637 FILLER_23_405
*1638 FILLER_23_417
*1639 FILLER_23_429
*1640 FILLER_23_441
*1641 FILLER_23_447
*1642 FILLER_23_449
*1643 FILLER_23_45
*1644 FILLER_23_461
*1645 FILLER_23_473
*1646 FILLER_23_485
*1647 FILLER_23_497
*1648 FILLER_23_503
*1649 FILLER_23_505
*1650 FILLER_23_517
*1651 FILLER_23_529
*1652 FILLER_23_53
*1653 FILLER_23_541
*1654 FILLER_23_553
*1655 FILLER_23_559
*1656 FILLER_23_561
*1657 FILLER_23_57
*1658 FILLER_23_573
*1659 FILLER_23_585
*1660 FILLER_23_597
*1661 FILLER_23_609
*1662 FILLER_23_615
*1663 FILLER_23_617
*1664 FILLER_23_7
*1665 FILLER_23_75
*1666 FILLER_23_83
*1667 FILLER_23_95
*1668 FILLER_24_118
*1669 FILLER_24_130
*1670 FILLER_24_138
*1671 FILLER_24_141
*1672 FILLER_24_149
*1673 FILLER_24_156
*1674 FILLER_24_168
*1675 FILLER_24_180
*1676 FILLER_24_184
*1677 FILLER_24_190
*1678 FILLER_24_197
*1679 FILLER_24_209
*1680 FILLER_24_21
*1681 FILLER_24_221
*1682 FILLER_24_233
*1683 FILLER_24_245
*1684 FILLER_24_251
*1685 FILLER_24_253
*1686 FILLER_24_265
*1687 FILLER_24_27
*1688 FILLER_24_277
*1689 FILLER_24_289
*1690 FILLER_24_29
*1691 FILLER_24_301
*1692 FILLER_24_307
*1693 FILLER_24_309
*1694 FILLER_24_321
*1695 FILLER_24_333
*1696 FILLER_24_345
*1697 FILLER_24_357
*1698 FILLER_24_363
*1699 FILLER_24_365
*1700 FILLER_24_377
*1701 FILLER_24_389
*1702 FILLER_24_401
*1703 FILLER_24_41
*1704 FILLER_24_413
*1705 FILLER_24_419
*1706 FILLER_24_421
*1707 FILLER_24_433
*1708 FILLER_24_445
*1709 FILLER_24_457
*1710 FILLER_24_469
*1711 FILLER_24_475
*1712 FILLER_24_477
*1713 FILLER_24_489
*1714 FILLER_24_501
*1715 FILLER_24_513
*1716 FILLER_24_525
*1717 FILLER_24_53
*1718 FILLER_24_531
*1719 FILLER_24_533
*1720 FILLER_24_545
*1721 FILLER_24_557
*1722 FILLER_24_569
*1723 FILLER_24_581
*1724 FILLER_24_587
*1725 FILLER_24_589
*1726 FILLER_24_6
*1727 FILLER_24_601
*1728 FILLER_24_613
*1729 FILLER_24_71
*1730 FILLER_24_83
*1731 FILLER_24_85
*1732 FILLER_24_97
*1733 FILLER_25_100
*1734 FILLER_25_106
*1735 FILLER_25_119
*1736 FILLER_25_131
*1737 FILLER_25_137
*1738 FILLER_25_154
*1739 FILLER_25_163
*1740 FILLER_25_167
*1741 FILLER_25_169
*1742 FILLER_25_181
*1743 FILLER_25_193
*1744 FILLER_25_205
*1745 FILLER_25_217
*1746 FILLER_25_223
*1747 FILLER_25_225
*1748 FILLER_25_237
*1749 FILLER_25_249
*1750 FILLER_25_26
*1751 FILLER_25_261
*1752 FILLER_25_273
*1753 FILLER_25_279
*1754 FILLER_25_281
*1755 FILLER_25_293
*1756 FILLER_25_305
*1757 FILLER_25_317
*1758 FILLER_25_329
*1759 FILLER_25_335
*1760 FILLER_25_337
*1761 FILLER_25_349
*1762 FILLER_25_361
*1763 FILLER_25_373
*1764 FILLER_25_385
*1765 FILLER_25_391
*1766 FILLER_25_393
*1767 FILLER_25_405
*1768 FILLER_25_417
*1769 FILLER_25_429
*1770 FILLER_25_441
*1771 FILLER_25_447
*1772 FILLER_25_449
*1773 FILLER_25_461
*1774 FILLER_25_473
*1775 FILLER_25_485
*1776 FILLER_25_49
*1777 FILLER_25_497
*1778 FILLER_25_503
*1779 FILLER_25_505
*1780 FILLER_25_517
*1781 FILLER_25_529
*1782 FILLER_25_541
*1783 FILLER_25_55
*1784 FILLER_25_553
*1785 FILLER_25_559
*1786 FILLER_25_561
*1787 FILLER_25_57
*1788 FILLER_25_573
*1789 FILLER_25_585
*1790 FILLER_25_597
*1791 FILLER_25_6
*1792 FILLER_25_609
*1793 FILLER_25_615
*1794 FILLER_25_617
*1795 FILLER_25_65
*1796 FILLER_25_75
*1797 FILLER_25_84
*1798 FILLER_25_96
*1799 FILLER_26_106
*1800 FILLER_26_11
*1801 FILLER_26_118
*1802 FILLER_26_130
*1803 FILLER_26_138
*1804 FILLER_26_141
*1805 FILLER_26_15
*1806 FILLER_26_153
*1807 FILLER_26_165
*1808 FILLER_26_171
*1809 FILLER_26_177
*1810 FILLER_26_187
*1811 FILLER_26_195
*1812 FILLER_26_197
*1813 FILLER_26_209
*1814 FILLER_26_221
*1815 FILLER_26_233
*1816 FILLER_26_245
*1817 FILLER_26_251
*1818 FILLER_26_253
*1819 FILLER_26_265
*1820 FILLER_26_27
*1821 FILLER_26_277
*1822 FILLER_26_289
*1823 FILLER_26_29
*1824 FILLER_26_301
*1825 FILLER_26_307
*1826 FILLER_26_309
*1827 FILLER_26_321
*1828 FILLER_26_333
*1829 FILLER_26_345
*1830 FILLER_26_357
*1831 FILLER_26_363
*1832 FILLER_26_365
*1833 FILLER_26_377
*1834 FILLER_26_389
*1835 FILLER_26_401
*1836 FILLER_26_41
*1837 FILLER_26_413
*1838 FILLER_26_419
*1839 FILLER_26_421
*1840 FILLER_26_433
*1841 FILLER_26_445
*1842 FILLER_26_457
*1843 FILLER_26_469
*1844 FILLER_26_475
*1845 FILLER_26_477
*1846 FILLER_26_489
*1847 FILLER_26_501
*1848 FILLER_26_513
*1849 FILLER_26_525
*1850 FILLER_26_53
*1851 FILLER_26_531
*1852 FILLER_26_533
*1853 FILLER_26_545
*1854 FILLER_26_557
*1855 FILLER_26_569
*1856 FILLER_26_581
*1857 FILLER_26_587
*1858 FILLER_26_589
*1859 FILLER_26_601
*1860 FILLER_26_613
*1861 FILLER_26_65
*1862 FILLER_26_7
*1863 FILLER_26_76
*1864 FILLER_26_85
*1865 FILLER_26_97
*1866 FILLER_27_11
*1867 FILLER_27_110
*1868 FILLER_27_130
*1869 FILLER_27_142
*1870 FILLER_27_15
*1871 FILLER_27_150
*1872 FILLER_27_157
*1873 FILLER_27_165
*1874 FILLER_27_169
*1875 FILLER_27_181
*1876 FILLER_27_185
*1877 FILLER_27_202
*1878 FILLER_27_214
*1879 FILLER_27_222
*1880 FILLER_27_225
*1881 FILLER_27_237
*1882 FILLER_27_249
*1883 FILLER_27_261
*1884 FILLER_27_27
*1885 FILLER_27_273
*1886 FILLER_27_279
*1887 FILLER_27_281
*1888 FILLER_27_293
*1889 FILLER_27_305
*1890 FILLER_27_317
*1891 FILLER_27_329
*1892 FILLER_27_335
*1893 FILLER_27_337
*1894 FILLER_27_349
*1895 FILLER_27_361
*1896 FILLER_27_373
*1897 FILLER_27_385
*1898 FILLER_27_39
*1899 FILLER_27_391
*1900 FILLER_27_393
*1901 FILLER_27_405
*1902 FILLER_27_417
*1903 FILLER_27_429
*1904 FILLER_27_441
*1905 FILLER_27_447
*1906 FILLER_27_449
*1907 FILLER_27_461
*1908 FILLER_27_473
*1909 FILLER_27_485
*1910 FILLER_27_497
*1911 FILLER_27_503
*1912 FILLER_27_505
*1913 FILLER_27_51
*1914 FILLER_27_517
*1915 FILLER_27_529
*1916 FILLER_27_541
*1917 FILLER_27_55
*1918 FILLER_27_553
*1919 FILLER_27_559
*1920 FILLER_27_561
*1921 FILLER_27_57
*1922 FILLER_27_573
*1923 FILLER_27_585
*1924 FILLER_27_597
*1925 FILLER_27_609
*1926 FILLER_27_615
*1927 FILLER_27_617
*1928 FILLER_27_65
*1929 FILLER_27_7
*1930 FILLER_27_77
*1931 FILLER_27_85
*1932 FILLER_27_91
*1933 FILLER_27_98
*1934 FILLER_28_109
*1935 FILLER_28_121
*1936 FILLER_28_133
*1937 FILLER_28_139
*1938 FILLER_28_157
*1939 FILLER_28_169
*1940 FILLER_28_189
*1941 FILLER_28_195
*1942 FILLER_28_197
*1943 FILLER_28_209
*1944 FILLER_28_221
*1945 FILLER_28_233
*1946 FILLER_28_24
*1947 FILLER_28_245
*1948 FILLER_28_251
*1949 FILLER_28_253
*1950 FILLER_28_265
*1951 FILLER_28_277
*1952 FILLER_28_289
*1953 FILLER_28_29
*1954 FILLER_28_3
*1955 FILLER_28_301
*1956 FILLER_28_307
*1957 FILLER_28_309
*1958 FILLER_28_321
*1959 FILLER_28_333
*1960 FILLER_28_345
*1961 FILLER_28_357
*1962 FILLER_28_363
*1963 FILLER_28_365
*1964 FILLER_28_377
*1965 FILLER_28_389
*1966 FILLER_28_401
*1967 FILLER_28_41
*1968 FILLER_28_413
*1969 FILLER_28_419
*1970 FILLER_28_421
*1971 FILLER_28_433
*1972 FILLER_28_445
*1973 FILLER_28_457
*1974 FILLER_28_469
*1975 FILLER_28_475
*1976 FILLER_28_477
*1977 FILLER_28_489
*1978 FILLER_28_501
*1979 FILLER_28_513
*1980 FILLER_28_525
*1981 FILLER_28_53
*1982 FILLER_28_531
*1983 FILLER_28_533
*1984 FILLER_28_545
*1985 FILLER_28_557
*1986 FILLER_28_569
*1987 FILLER_28_581
*1988 FILLER_28_587
*1989 FILLER_28_589
*1990 FILLER_28_601
*1991 FILLER_28_61
*1992 FILLER_28_613
*1993 FILLER_28_7
*1994 FILLER_28_78
*1995 FILLER_28_85
*1996 FILLER_28_97
*1997 FILLER_29_104
*1998 FILLER_29_123
*1999 FILLER_29_131
*2000 FILLER_29_137
*2001 FILLER_29_149
*2002 FILLER_29_155
*2003 FILLER_29_167
*2004 FILLER_29_169
*2005 FILLER_29_181
*2006 FILLER_29_193
*2007 FILLER_29_205
*2008 FILLER_29_21
*2009 FILLER_29_217
*2010 FILLER_29_223
*2011 FILLER_29_225
*2012 FILLER_29_237
*2013 FILLER_29_249
*2014 FILLER_29_261
*2015 FILLER_29_273
*2016 FILLER_29_279
*2017 FILLER_29_281
*2018 FILLER_29_29
*2019 FILLER_29_293
*2020 FILLER_29_305
*2021 FILLER_29_317
*2022 FILLER_29_329
*2023 FILLER_29_335
*2024 FILLER_29_337
*2025 FILLER_29_349
*2026 FILLER_29_361
*2027 FILLER_29_373
*2028 FILLER_29_385
*2029 FILLER_29_391
*2030 FILLER_29_393
*2031 FILLER_29_405
*2032 FILLER_29_417
*2033 FILLER_29_429
*2034 FILLER_29_441
*2035 FILLER_29_447
*2036 FILLER_29_449
*2037 FILLER_29_461
*2038 FILLER_29_473
*2039 FILLER_29_48
*2040 FILLER_29_485
*2041 FILLER_29_497
*2042 FILLER_29_503
*2043 FILLER_29_505
*2044 FILLER_29_517
*2045 FILLER_29_529
*2046 FILLER_29_541
*2047 FILLER_29_553
*2048 FILLER_29_559
*2049 FILLER_29_561
*2050 FILLER_29_57
*2051 FILLER_29_573
*2052 FILLER_29_585
*2053 FILLER_29_597
*2054 FILLER_29_6
*2055 FILLER_29_609
*2056 FILLER_29_615
*2057 FILLER_29_617
*2058 FILLER_29_65
*2059 FILLER_29_69
*2060 FILLER_29_76
*2061 FILLER_29_88
*2062 FILLER_29_92
*2063 FILLER_2_103
*2064 FILLER_2_110
*2065 FILLER_2_117
*2066 FILLER_2_129
*2067 FILLER_2_136
*2068 FILLER_2_141
*2069 FILLER_2_15
*2070 FILLER_2_154
*2071 FILLER_2_162
*2072 FILLER_2_174
*2073 FILLER_2_180
*2074 FILLER_2_184
*2075 FILLER_2_188
*2076 FILLER_2_192
*2077 FILLER_2_203
*2078 FILLER_2_215
*2079 FILLER_2_221
*2080 FILLER_2_23
*2081 FILLER_2_233
*2082 FILLER_2_245
*2083 FILLER_2_251
*2084 FILLER_2_253
*2085 FILLER_2_265
*2086 FILLER_2_27
*2087 FILLER_2_277
*2088 FILLER_2_289
*2089 FILLER_2_301
*2090 FILLER_2_307
*2091 FILLER_2_309
*2092 FILLER_2_32
*2093 FILLER_2_321
*2094 FILLER_2_333
*2095 FILLER_2_345
*2096 FILLER_2_357
*2097 FILLER_2_363
*2098 FILLER_2_365
*2099 FILLER_2_377
*2100 FILLER_2_389
*2101 FILLER_2_39
*2102 FILLER_2_401
*2103 FILLER_2_413
*2104 FILLER_2_419
*2105 FILLER_2_421
*2106 FILLER_2_433
*2107 FILLER_2_445
*2108 FILLER_2_457
*2109 FILLER_2_46
*2110 FILLER_2_469
*2111 FILLER_2_475
*2112 FILLER_2_477
*2113 FILLER_2_489
*2114 FILLER_2_501
*2115 FILLER_2_513
*2116 FILLER_2_525
*2117 FILLER_2_531
*2118 FILLER_2_533
*2119 FILLER_2_545
*2120 FILLER_2_557
*2121 FILLER_2_569
*2122 FILLER_2_57
*2123 FILLER_2_581
*2124 FILLER_2_587
*2125 FILLER_2_589
*2126 FILLER_2_601
*2127 FILLER_2_613
*2128 FILLER_2_621
*2129 FILLER_2_64
*2130 FILLER_2_7
*2131 FILLER_2_73
*2132 FILLER_2_81
*2133 FILLER_2_85
*2134 FILLER_2_94
*2135 FILLER_30_100
*2136 FILLER_30_112
*2137 FILLER_30_136
*2138 FILLER_30_147
*2139 FILLER_30_159
*2140 FILLER_30_171
*2141 FILLER_30_183
*2142 FILLER_30_19
*2143 FILLER_30_195
*2144 FILLER_30_197
*2145 FILLER_30_209
*2146 FILLER_30_221
*2147 FILLER_30_233
*2148 FILLER_30_245
*2149 FILLER_30_251
*2150 FILLER_30_253
*2151 FILLER_30_265
*2152 FILLER_30_27
*2153 FILLER_30_277
*2154 FILLER_30_289
*2155 FILLER_30_29
*2156 FILLER_30_301
*2157 FILLER_30_307
*2158 FILLER_30_309
*2159 FILLER_30_321
*2160 FILLER_30_333
*2161 FILLER_30_345
*2162 FILLER_30_357
*2163 FILLER_30_363
*2164 FILLER_30_365
*2165 FILLER_30_377
*2166 FILLER_30_389
*2167 FILLER_30_401
*2168 FILLER_30_41
*2169 FILLER_30_413
*2170 FILLER_30_419
*2171 FILLER_30_421
*2172 FILLER_30_433
*2173 FILLER_30_445
*2174 FILLER_30_457
*2175 FILLER_30_469
*2176 FILLER_30_475
*2177 FILLER_30_477
*2178 FILLER_30_489
*2179 FILLER_30_501
*2180 FILLER_30_513
*2181 FILLER_30_525
*2182 FILLER_30_53
*2183 FILLER_30_531
*2184 FILLER_30_533
*2185 FILLER_30_545
*2186 FILLER_30_557
*2187 FILLER_30_569
*2188 FILLER_30_581
*2189 FILLER_30_587
*2190 FILLER_30_589
*2191 FILLER_30_601
*2192 FILLER_30_613
*2193 FILLER_30_64
*2194 FILLER_30_7
*2195 FILLER_30_73
*2196 FILLER_30_81
*2197 FILLER_30_85
*2198 FILLER_30_89
*2199 FILLER_31_104
*2200 FILLER_31_113
*2201 FILLER_31_125
*2202 FILLER_31_137
*2203 FILLER_31_145
*2204 FILLER_31_153
*2205 FILLER_31_162
*2206 FILLER_31_175
*2207 FILLER_31_187
*2208 FILLER_31_199
*2209 FILLER_31_211
*2210 FILLER_31_223
*2211 FILLER_31_225
*2212 FILLER_31_237
*2213 FILLER_31_24
*2214 FILLER_31_249
*2215 FILLER_31_261
*2216 FILLER_31_273
*2217 FILLER_31_279
*2218 FILLER_31_281
*2219 FILLER_31_293
*2220 FILLER_31_30
*2221 FILLER_31_305
*2222 FILLER_31_317
*2223 FILLER_31_329
*2224 FILLER_31_335
*2225 FILLER_31_337
*2226 FILLER_31_349
*2227 FILLER_31_361
*2228 FILLER_31_373
*2229 FILLER_31_385
*2230 FILLER_31_391
*2231 FILLER_31_393
*2232 FILLER_31_405
*2233 FILLER_31_417
*2234 FILLER_31_429
*2235 FILLER_31_441
*2236 FILLER_31_447
*2237 FILLER_31_449
*2238 FILLER_31_461
*2239 FILLER_31_47
*2240 FILLER_31_473
*2241 FILLER_31_485
*2242 FILLER_31_497
*2243 FILLER_31_503
*2244 FILLER_31_505
*2245 FILLER_31_517
*2246 FILLER_31_529
*2247 FILLER_31_541
*2248 FILLER_31_55
*2249 FILLER_31_553
*2250 FILLER_31_559
*2251 FILLER_31_561
*2252 FILLER_31_57
*2253 FILLER_31_573
*2254 FILLER_31_585
*2255 FILLER_31_597
*2256 FILLER_31_6
*2257 FILLER_31_609
*2258 FILLER_31_615
*2259 FILLER_31_617
*2260 FILLER_31_66
*2261 FILLER_31_78
*2262 FILLER_31_86
*2263 FILLER_31_94
*2264 FILLER_32_107
*2265 FILLER_32_125
*2266 FILLER_32_134
*2267 FILLER_32_141
*2268 FILLER_32_147
*2269 FILLER_32_154
*2270 FILLER_32_160
*2271 FILLER_32_177
*2272 FILLER_32_189
*2273 FILLER_32_195
*2274 FILLER_32_197
*2275 FILLER_32_209
*2276 FILLER_32_221
*2277 FILLER_32_233
*2278 FILLER_32_24
*2279 FILLER_32_245
*2280 FILLER_32_251
*2281 FILLER_32_253
*2282 FILLER_32_265
*2283 FILLER_32_277
*2284 FILLER_32_289
*2285 FILLER_32_3
*2286 FILLER_32_301
*2287 FILLER_32_307
*2288 FILLER_32_309
*2289 FILLER_32_32
*2290 FILLER_32_321
*2291 FILLER_32_333
*2292 FILLER_32_345
*2293 FILLER_32_357
*2294 FILLER_32_363
*2295 FILLER_32_365
*2296 FILLER_32_377
*2297 FILLER_32_389
*2298 FILLER_32_401
*2299 FILLER_32_413
*2300 FILLER_32_419
*2301 FILLER_32_421
*2302 FILLER_32_433
*2303 FILLER_32_44
*2304 FILLER_32_445
*2305 FILLER_32_457
*2306 FILLER_32_469
*2307 FILLER_32_475
*2308 FILLER_32_477
*2309 FILLER_32_489
*2310 FILLER_32_501
*2311 FILLER_32_513
*2312 FILLER_32_525
*2313 FILLER_32_531
*2314 FILLER_32_533
*2315 FILLER_32_545
*2316 FILLER_32_557
*2317 FILLER_32_56
*2318 FILLER_32_569
*2319 FILLER_32_581
*2320 FILLER_32_587
*2321 FILLER_32_589
*2322 FILLER_32_601
*2323 FILLER_32_613
*2324 FILLER_32_68
*2325 FILLER_32_7
*2326 FILLER_32_77
*2327 FILLER_32_83
*2328 FILLER_32_85
*2329 FILLER_33_101
*2330 FILLER_33_109
*2331 FILLER_33_11
*2332 FILLER_33_113
*2333 FILLER_33_125
*2334 FILLER_33_137
*2335 FILLER_33_149
*2336 FILLER_33_161
*2337 FILLER_33_167
*2338 FILLER_33_169
*2339 FILLER_33_181
*2340 FILLER_33_193
*2341 FILLER_33_205
*2342 FILLER_33_21
*2343 FILLER_33_217
*2344 FILLER_33_223
*2345 FILLER_33_225
*2346 FILLER_33_237
*2347 FILLER_33_249
*2348 FILLER_33_261
*2349 FILLER_33_273
*2350 FILLER_33_279
*2351 FILLER_33_281
*2352 FILLER_33_293
*2353 FILLER_33_305
*2354 FILLER_33_317
*2355 FILLER_33_329
*2356 FILLER_33_33
*2357 FILLER_33_335
*2358 FILLER_33_337
*2359 FILLER_33_349
*2360 FILLER_33_361
*2361 FILLER_33_373
*2362 FILLER_33_385
*2363 FILLER_33_391
*2364 FILLER_33_393
*2365 FILLER_33_405
*2366 FILLER_33_417
*2367 FILLER_33_429
*2368 FILLER_33_441
*2369 FILLER_33_447
*2370 FILLER_33_449
*2371 FILLER_33_45
*2372 FILLER_33_461
*2373 FILLER_33_473
*2374 FILLER_33_485
*2375 FILLER_33_497
*2376 FILLER_33_503
*2377 FILLER_33_505
*2378 FILLER_33_517
*2379 FILLER_33_529
*2380 FILLER_33_53
*2381 FILLER_33_541
*2382 FILLER_33_553
*2383 FILLER_33_559
*2384 FILLER_33_561
*2385 FILLER_33_57
*2386 FILLER_33_573
*2387 FILLER_33_585
*2388 FILLER_33_597
*2389 FILLER_33_609
*2390 FILLER_33_615
*2391 FILLER_33_617
*2392 FILLER_33_69
*2393 FILLER_33_7
*2394 FILLER_33_73
*2395 FILLER_33_80
*2396 FILLER_33_89
*2397 FILLER_34_109
*2398 FILLER_34_127
*2399 FILLER_34_139
*2400 FILLER_34_157
*2401 FILLER_34_169
*2402 FILLER_34_18
*2403 FILLER_34_181
*2404 FILLER_34_193
*2405 FILLER_34_197
*2406 FILLER_34_209
*2407 FILLER_34_221
*2408 FILLER_34_233
*2409 FILLER_34_245
*2410 FILLER_34_251
*2411 FILLER_34_253
*2412 FILLER_34_26
*2413 FILLER_34_265
*2414 FILLER_34_277
*2415 FILLER_34_289
*2416 FILLER_34_29
*2417 FILLER_34_301
*2418 FILLER_34_307
*2419 FILLER_34_309
*2420 FILLER_34_321
*2421 FILLER_34_333
*2422 FILLER_34_345
*2423 FILLER_34_357
*2424 FILLER_34_363
*2425 FILLER_34_365
*2426 FILLER_34_377
*2427 FILLER_34_389
*2428 FILLER_34_401
*2429 FILLER_34_41
*2430 FILLER_34_413
*2431 FILLER_34_419
*2432 FILLER_34_421
*2433 FILLER_34_433
*2434 FILLER_34_445
*2435 FILLER_34_457
*2436 FILLER_34_469
*2437 FILLER_34_475
*2438 FILLER_34_477
*2439 FILLER_34_489
*2440 FILLER_34_49
*2441 FILLER_34_501
*2442 FILLER_34_513
*2443 FILLER_34_525
*2444 FILLER_34_531
*2445 FILLER_34_533
*2446 FILLER_34_545
*2447 FILLER_34_557
*2448 FILLER_34_569
*2449 FILLER_34_581
*2450 FILLER_34_587
*2451 FILLER_34_589
*2452 FILLER_34_6
*2453 FILLER_34_601
*2454 FILLER_34_613
*2455 FILLER_34_68
*2456 FILLER_34_80
*2457 FILLER_34_85
*2458 FILLER_34_97
*2459 FILLER_35_109
*2460 FILLER_35_11
*2461 FILLER_35_113
*2462 FILLER_35_125
*2463 FILLER_35_137
*2464 FILLER_35_149
*2465 FILLER_35_161
*2466 FILLER_35_167
*2467 FILLER_35_169
*2468 FILLER_35_181
*2469 FILLER_35_193
*2470 FILLER_35_205
*2471 FILLER_35_21
*2472 FILLER_35_217
*2473 FILLER_35_223
*2474 FILLER_35_225
*2475 FILLER_35_237
*2476 FILLER_35_249
*2477 FILLER_35_261
*2478 FILLER_35_273
*2479 FILLER_35_279
*2480 FILLER_35_281
*2481 FILLER_35_29
*2482 FILLER_35_293
*2483 FILLER_35_305
*2484 FILLER_35_317
*2485 FILLER_35_329
*2486 FILLER_35_335
*2487 FILLER_35_337
*2488 FILLER_35_349
*2489 FILLER_35_361
*2490 FILLER_35_373
*2491 FILLER_35_385
*2492 FILLER_35_391
*2493 FILLER_35_393
*2494 FILLER_35_40
*2495 FILLER_35_405
*2496 FILLER_35_417
*2497 FILLER_35_429
*2498 FILLER_35_441
*2499 FILLER_35_447
*2500 FILLER_35_449
*2501 FILLER_35_461
*2502 FILLER_35_473
*2503 FILLER_35_485
*2504 FILLER_35_497
*2505 FILLER_35_503
*2506 FILLER_35_505
*2507 FILLER_35_517
*2508 FILLER_35_52
*2509 FILLER_35_529
*2510 FILLER_35_541
*2511 FILLER_35_553
*2512 FILLER_35_559
*2513 FILLER_35_561
*2514 FILLER_35_57
*2515 FILLER_35_573
*2516 FILLER_35_585
*2517 FILLER_35_597
*2518 FILLER_35_609
*2519 FILLER_35_615
*2520 FILLER_35_617
*2521 FILLER_35_7
*2522 FILLER_35_85
*2523 FILLER_35_97
*2524 FILLER_36_109
*2525 FILLER_36_121
*2526 FILLER_36_133
*2527 FILLER_36_139
*2528 FILLER_36_141
*2529 FILLER_36_153
*2530 FILLER_36_165
*2531 FILLER_36_177
*2532 FILLER_36_189
*2533 FILLER_36_195
*2534 FILLER_36_197
*2535 FILLER_36_209
*2536 FILLER_36_221
*2537 FILLER_36_233
*2538 FILLER_36_24
*2539 FILLER_36_245
*2540 FILLER_36_251
*2541 FILLER_36_253
*2542 FILLER_36_265
*2543 FILLER_36_277
*2544 FILLER_36_289
*2545 FILLER_36_3
*2546 FILLER_36_301
*2547 FILLER_36_307
*2548 FILLER_36_309
*2549 FILLER_36_321
*2550 FILLER_36_333
*2551 FILLER_36_345
*2552 FILLER_36_35
*2553 FILLER_36_357
*2554 FILLER_36_363
*2555 FILLER_36_365
*2556 FILLER_36_377
*2557 FILLER_36_389
*2558 FILLER_36_401
*2559 FILLER_36_413
*2560 FILLER_36_419
*2561 FILLER_36_421
*2562 FILLER_36_433
*2563 FILLER_36_445
*2564 FILLER_36_45
*2565 FILLER_36_457
*2566 FILLER_36_469
*2567 FILLER_36_475
*2568 FILLER_36_477
*2569 FILLER_36_489
*2570 FILLER_36_501
*2571 FILLER_36_513
*2572 FILLER_36_525
*2573 FILLER_36_531
*2574 FILLER_36_533
*2575 FILLER_36_545
*2576 FILLER_36_557
*2577 FILLER_36_569
*2578 FILLER_36_57
*2579 FILLER_36_581
*2580 FILLER_36_587
*2581 FILLER_36_589
*2582 FILLER_36_601
*2583 FILLER_36_613
*2584 FILLER_36_69
*2585 FILLER_36_7
*2586 FILLER_36_81
*2587 FILLER_36_85
*2588 FILLER_36_97
*2589 FILLER_37_105
*2590 FILLER_37_11
*2591 FILLER_37_111
*2592 FILLER_37_113
*2593 FILLER_37_125
*2594 FILLER_37_137
*2595 FILLER_37_149
*2596 FILLER_37_15
*2597 FILLER_37_161
*2598 FILLER_37_167
*2599 FILLER_37_169
*2600 FILLER_37_181
*2601 FILLER_37_193
*2602 FILLER_37_205
*2603 FILLER_37_217
*2604 FILLER_37_223
*2605 FILLER_37_225
*2606 FILLER_37_237
*2607 FILLER_37_249
*2608 FILLER_37_261
*2609 FILLER_37_273
*2610 FILLER_37_279
*2611 FILLER_37_281
*2612 FILLER_37_293
*2613 FILLER_37_305
*2614 FILLER_37_317
*2615 FILLER_37_32
*2616 FILLER_37_329
*2617 FILLER_37_335
*2618 FILLER_37_337
*2619 FILLER_37_349
*2620 FILLER_37_361
*2621 FILLER_37_373
*2622 FILLER_37_385
*2623 FILLER_37_391
*2624 FILLER_37_393
*2625 FILLER_37_405
*2626 FILLER_37_417
*2627 FILLER_37_429
*2628 FILLER_37_441
*2629 FILLER_37_447
*2630 FILLER_37_449
*2631 FILLER_37_461
*2632 FILLER_37_473
*2633 FILLER_37_485
*2634 FILLER_37_497
*2635 FILLER_37_503
*2636 FILLER_37_505
*2637 FILLER_37_517
*2638 FILLER_37_52
*2639 FILLER_37_529
*2640 FILLER_37_541
*2641 FILLER_37_553
*2642 FILLER_37_559
*2643 FILLER_37_561
*2644 FILLER_37_57
*2645 FILLER_37_573
*2646 FILLER_37_585
*2647 FILLER_37_597
*2648 FILLER_37_609
*2649 FILLER_37_615
*2650 FILLER_37_617
*2651 FILLER_37_69
*2652 FILLER_37_7
*2653 FILLER_37_81
*2654 FILLER_37_93
*2655 FILLER_38_109
*2656 FILLER_38_121
*2657 FILLER_38_13
*2658 FILLER_38_133
*2659 FILLER_38_139
*2660 FILLER_38_141
*2661 FILLER_38_153
*2662 FILLER_38_165
*2663 FILLER_38_177
*2664 FILLER_38_189
*2665 FILLER_38_195
*2666 FILLER_38_197
*2667 FILLER_38_209
*2668 FILLER_38_221
*2669 FILLER_38_233
*2670 FILLER_38_245
*2671 FILLER_38_25
*2672 FILLER_38_251
*2673 FILLER_38_253
*2674 FILLER_38_265
*2675 FILLER_38_277
*2676 FILLER_38_289
*2677 FILLER_38_29
*2678 FILLER_38_301
*2679 FILLER_38_307
*2680 FILLER_38_309
*2681 FILLER_38_321
*2682 FILLER_38_333
*2683 FILLER_38_345
*2684 FILLER_38_357
*2685 FILLER_38_363
*2686 FILLER_38_365
*2687 FILLER_38_377
*2688 FILLER_38_389
*2689 FILLER_38_40
*2690 FILLER_38_401
*2691 FILLER_38_413
*2692 FILLER_38_419
*2693 FILLER_38_421
*2694 FILLER_38_433
*2695 FILLER_38_445
*2696 FILLER_38_457
*2697 FILLER_38_469
*2698 FILLER_38_475
*2699 FILLER_38_477
*2700 FILLER_38_489
*2701 FILLER_38_501
*2702 FILLER_38_513
*2703 FILLER_38_52
*2704 FILLER_38_525
*2705 FILLER_38_531
*2706 FILLER_38_533
*2707 FILLER_38_545
*2708 FILLER_38_557
*2709 FILLER_38_569
*2710 FILLER_38_581
*2711 FILLER_38_587
*2712 FILLER_38_589
*2713 FILLER_38_6
*2714 FILLER_38_601
*2715 FILLER_38_613
*2716 FILLER_38_64
*2717 FILLER_38_76
*2718 FILLER_38_85
*2719 FILLER_38_97
*2720 FILLER_39_105
*2721 FILLER_39_111
*2722 FILLER_39_113
*2723 FILLER_39_125
*2724 FILLER_39_137
*2725 FILLER_39_149
*2726 FILLER_39_161
*2727 FILLER_39_167
*2728 FILLER_39_169
*2729 FILLER_39_181
*2730 FILLER_39_193
*2731 FILLER_39_205
*2732 FILLER_39_217
*2733 FILLER_39_22
*2734 FILLER_39_223
*2735 FILLER_39_225
*2736 FILLER_39_237
*2737 FILLER_39_249
*2738 FILLER_39_261
*2739 FILLER_39_273
*2740 FILLER_39_279
*2741 FILLER_39_281
*2742 FILLER_39_293
*2743 FILLER_39_30
*2744 FILLER_39_305
*2745 FILLER_39_317
*2746 FILLER_39_329
*2747 FILLER_39_335
*2748 FILLER_39_337
*2749 FILLER_39_349
*2750 FILLER_39_361
*2751 FILLER_39_373
*2752 FILLER_39_385
*2753 FILLER_39_391
*2754 FILLER_39_393
*2755 FILLER_39_405
*2756 FILLER_39_417
*2757 FILLER_39_42
*2758 FILLER_39_429
*2759 FILLER_39_441
*2760 FILLER_39_447
*2761 FILLER_39_449
*2762 FILLER_39_461
*2763 FILLER_39_473
*2764 FILLER_39_485
*2765 FILLER_39_497
*2766 FILLER_39_503
*2767 FILLER_39_505
*2768 FILLER_39_517
*2769 FILLER_39_529
*2770 FILLER_39_54
*2771 FILLER_39_541
*2772 FILLER_39_553
*2773 FILLER_39_559
*2774 FILLER_39_561
*2775 FILLER_39_57
*2776 FILLER_39_573
*2777 FILLER_39_585
*2778 FILLER_39_597
*2779 FILLER_39_609
*2780 FILLER_39_615
*2781 FILLER_39_617
*2782 FILLER_39_69
*2783 FILLER_39_7
*2784 FILLER_39_81
*2785 FILLER_39_93
*2786 FILLER_3_109
*2787 FILLER_3_11
*2788 FILLER_3_119
*2789 FILLER_3_131
*2790 FILLER_3_143
*2791 FILLER_3_147
*2792 FILLER_3_158
*2793 FILLER_3_166
*2794 FILLER_3_179
*2795 FILLER_3_191
*2796 FILLER_3_195
*2797 FILLER_3_202
*2798 FILLER_3_214
*2799 FILLER_3_222
*2800 FILLER_3_225
*2801 FILLER_3_237
*2802 FILLER_3_249
*2803 FILLER_3_261
*2804 FILLER_3_273
*2805 FILLER_3_279
*2806 FILLER_3_28
*2807 FILLER_3_281
*2808 FILLER_3_293
*2809 FILLER_3_305
*2810 FILLER_3_317
*2811 FILLER_3_329
*2812 FILLER_3_335
*2813 FILLER_3_337
*2814 FILLER_3_349
*2815 FILLER_3_35
*2816 FILLER_3_361
*2817 FILLER_3_373
*2818 FILLER_3_385
*2819 FILLER_3_391
*2820 FILLER_3_393
*2821 FILLER_3_405
*2822 FILLER_3_417
*2823 FILLER_3_42
*2824 FILLER_3_429
*2825 FILLER_3_441
*2826 FILLER_3_447
*2827 FILLER_3_449
*2828 FILLER_3_461
*2829 FILLER_3_473
*2830 FILLER_3_485
*2831 FILLER_3_49
*2832 FILLER_3_497
*2833 FILLER_3_503
*2834 FILLER_3_505
*2835 FILLER_3_517
*2836 FILLER_3_529
*2837 FILLER_3_541
*2838 FILLER_3_55
*2839 FILLER_3_553
*2840 FILLER_3_559
*2841 FILLER_3_561
*2842 FILLER_3_573
*2843 FILLER_3_585
*2844 FILLER_3_597
*2845 FILLER_3_609
*2846 FILLER_3_615
*2847 FILLER_3_617
*2848 FILLER_3_66
*2849 FILLER_3_7
*2850 FILLER_3_78
*2851 FILLER_3_90
*2852 FILLER_3_97
*2853 FILLER_40_109
*2854 FILLER_40_121
*2855 FILLER_40_133
*2856 FILLER_40_139
*2857 FILLER_40_141
*2858 FILLER_40_153
*2859 FILLER_40_165
*2860 FILLER_40_177
*2861 FILLER_40_189
*2862 FILLER_40_195
*2863 FILLER_40_197
*2864 FILLER_40_209
*2865 FILLER_40_221
*2866 FILLER_40_233
*2867 FILLER_40_24
*2868 FILLER_40_245
*2869 FILLER_40_251
*2870 FILLER_40_253
*2871 FILLER_40_265
*2872 FILLER_40_277
*2873 FILLER_40_289
*2874 FILLER_40_29
*2875 FILLER_40_3
*2876 FILLER_40_301
*2877 FILLER_40_307
*2878 FILLER_40_309
*2879 FILLER_40_321
*2880 FILLER_40_333
*2881 FILLER_40_345
*2882 FILLER_40_357
*2883 FILLER_40_363
*2884 FILLER_40_365
*2885 FILLER_40_377
*2886 FILLER_40_389
*2887 FILLER_40_401
*2888 FILLER_40_41
*2889 FILLER_40_413
*2890 FILLER_40_419
*2891 FILLER_40_421
*2892 FILLER_40_433
*2893 FILLER_40_445
*2894 FILLER_40_457
*2895 FILLER_40_469
*2896 FILLER_40_475
*2897 FILLER_40_477
*2898 FILLER_40_489
*2899 FILLER_40_501
*2900 FILLER_40_513
*2901 FILLER_40_525
*2902 FILLER_40_53
*2903 FILLER_40_531
*2904 FILLER_40_533
*2905 FILLER_40_545
*2906 FILLER_40_557
*2907 FILLER_40_569
*2908 FILLER_40_581
*2909 FILLER_40_587
*2910 FILLER_40_589
*2911 FILLER_40_601
*2912 FILLER_40_613
*2913 FILLER_40_65
*2914 FILLER_40_7
*2915 FILLER_40_77
*2916 FILLER_40_83
*2917 FILLER_40_85
*2918 FILLER_40_97
*2919 FILLER_41_105
*2920 FILLER_41_111
*2921 FILLER_41_113
*2922 FILLER_41_125
*2923 FILLER_41_137
*2924 FILLER_41_149
*2925 FILLER_41_15
*2926 FILLER_41_161
*2927 FILLER_41_167
*2928 FILLER_41_169
*2929 FILLER_41_181
*2930 FILLER_41_193
*2931 FILLER_41_205
*2932 FILLER_41_217
*2933 FILLER_41_223
*2934 FILLER_41_225
*2935 FILLER_41_23
*2936 FILLER_41_237
*2937 FILLER_41_249
*2938 FILLER_41_261
*2939 FILLER_41_273
*2940 FILLER_41_279
*2941 FILLER_41_281
*2942 FILLER_41_293
*2943 FILLER_41_305
*2944 FILLER_41_317
*2945 FILLER_41_329
*2946 FILLER_41_33
*2947 FILLER_41_335
*2948 FILLER_41_337
*2949 FILLER_41_349
*2950 FILLER_41_361
*2951 FILLER_41_373
*2952 FILLER_41_385
*2953 FILLER_41_391
*2954 FILLER_41_393
*2955 FILLER_41_405
*2956 FILLER_41_417
*2957 FILLER_41_429
*2958 FILLER_41_441
*2959 FILLER_41_447
*2960 FILLER_41_449
*2961 FILLER_41_45
*2962 FILLER_41_461
*2963 FILLER_41_473
*2964 FILLER_41_485
*2965 FILLER_41_497
*2966 FILLER_41_503
*2967 FILLER_41_505
*2968 FILLER_41_517
*2969 FILLER_41_529
*2970 FILLER_41_53
*2971 FILLER_41_541
*2972 FILLER_41_553
*2973 FILLER_41_559
*2974 FILLER_41_561
*2975 FILLER_41_57
*2976 FILLER_41_573
*2977 FILLER_41_585
*2978 FILLER_41_597
*2979 FILLER_41_6
*2980 FILLER_41_609
*2981 FILLER_41_615
*2982 FILLER_41_617
*2983 FILLER_41_69
*2984 FILLER_41_81
*2985 FILLER_41_93
*2986 FILLER_42_109
*2987 FILLER_42_121
*2988 FILLER_42_133
*2989 FILLER_42_139
*2990 FILLER_42_141
*2991 FILLER_42_153
*2992 FILLER_42_165
*2993 FILLER_42_177
*2994 FILLER_42_189
*2995 FILLER_42_19
*2996 FILLER_42_195
*2997 FILLER_42_197
*2998 FILLER_42_209
*2999 FILLER_42_221
*3000 FILLER_42_233
*3001 FILLER_42_245
*3002 FILLER_42_251
*3003 FILLER_42_253
*3004 FILLER_42_265
*3005 FILLER_42_27
*3006 FILLER_42_277
*3007 FILLER_42_289
*3008 FILLER_42_301
*3009 FILLER_42_307
*3010 FILLER_42_309
*3011 FILLER_42_321
*3012 FILLER_42_333
*3013 FILLER_42_345
*3014 FILLER_42_357
*3015 FILLER_42_363
*3016 FILLER_42_365
*3017 FILLER_42_377
*3018 FILLER_42_389
*3019 FILLER_42_401
*3020 FILLER_42_413
*3021 FILLER_42_419
*3022 FILLER_42_421
*3023 FILLER_42_433
*3024 FILLER_42_445
*3025 FILLER_42_45
*3026 FILLER_42_457
*3027 FILLER_42_469
*3028 FILLER_42_475
*3029 FILLER_42_477
*3030 FILLER_42_489
*3031 FILLER_42_501
*3032 FILLER_42_513
*3033 FILLER_42_525
*3034 FILLER_42_531
*3035 FILLER_42_533
*3036 FILLER_42_545
*3037 FILLER_42_557
*3038 FILLER_42_569
*3039 FILLER_42_57
*3040 FILLER_42_581
*3041 FILLER_42_587
*3042 FILLER_42_589
*3043 FILLER_42_601
*3044 FILLER_42_613
*3045 FILLER_42_69
*3046 FILLER_42_7
*3047 FILLER_42_81
*3048 FILLER_42_85
*3049 FILLER_42_97
*3050 FILLER_43_105
*3051 FILLER_43_111
*3052 FILLER_43_113
*3053 FILLER_43_125
*3054 FILLER_43_137
*3055 FILLER_43_149
*3056 FILLER_43_161
*3057 FILLER_43_167
*3058 FILLER_43_169
*3059 FILLER_43_18
*3060 FILLER_43_181
*3061 FILLER_43_193
*3062 FILLER_43_205
*3063 FILLER_43_217
*3064 FILLER_43_223
*3065 FILLER_43_225
*3066 FILLER_43_237
*3067 FILLER_43_249
*3068 FILLER_43_26
*3069 FILLER_43_261
*3070 FILLER_43_273
*3071 FILLER_43_279
*3072 FILLER_43_281
*3073 FILLER_43_293
*3074 FILLER_43_30
*3075 FILLER_43_305
*3076 FILLER_43_317
*3077 FILLER_43_329
*3078 FILLER_43_335
*3079 FILLER_43_337
*3080 FILLER_43_349
*3081 FILLER_43_361
*3082 FILLER_43_373
*3083 FILLER_43_385
*3084 FILLER_43_391
*3085 FILLER_43_393
*3086 FILLER_43_405
*3087 FILLER_43_417
*3088 FILLER_43_42
*3089 FILLER_43_429
*3090 FILLER_43_441
*3091 FILLER_43_447
*3092 FILLER_43_449
*3093 FILLER_43_461
*3094 FILLER_43_473
*3095 FILLER_43_485
*3096 FILLER_43_497
*3097 FILLER_43_503
*3098 FILLER_43_505
*3099 FILLER_43_517
*3100 FILLER_43_529
*3101 FILLER_43_54
*3102 FILLER_43_541
*3103 FILLER_43_553
*3104 FILLER_43_559
*3105 FILLER_43_561
*3106 FILLER_43_57
*3107 FILLER_43_573
*3108 FILLER_43_585
*3109 FILLER_43_597
*3110 FILLER_43_6
*3111 FILLER_43_609
*3112 FILLER_43_615
*3113 FILLER_43_617
*3114 FILLER_43_69
*3115 FILLER_43_81
*3116 FILLER_43_93
*3117 FILLER_44_109
*3118 FILLER_44_11
*3119 FILLER_44_121
*3120 FILLER_44_133
*3121 FILLER_44_139
*3122 FILLER_44_141
*3123 FILLER_44_153
*3124 FILLER_44_165
*3125 FILLER_44_177
*3126 FILLER_44_189
*3127 FILLER_44_195
*3128 FILLER_44_197
*3129 FILLER_44_209
*3130 FILLER_44_21
*3131 FILLER_44_221
*3132 FILLER_44_233
*3133 FILLER_44_245
*3134 FILLER_44_251
*3135 FILLER_44_253
*3136 FILLER_44_265
*3137 FILLER_44_27
*3138 FILLER_44_277
*3139 FILLER_44_289
*3140 FILLER_44_301
*3141 FILLER_44_307
*3142 FILLER_44_309
*3143 FILLER_44_321
*3144 FILLER_44_333
*3145 FILLER_44_345
*3146 FILLER_44_35
*3147 FILLER_44_357
*3148 FILLER_44_363
*3149 FILLER_44_365
*3150 FILLER_44_377
*3151 FILLER_44_389
*3152 FILLER_44_401
*3153 FILLER_44_413
*3154 FILLER_44_419
*3155 FILLER_44_421
*3156 FILLER_44_433
*3157 FILLER_44_445
*3158 FILLER_44_457
*3159 FILLER_44_469
*3160 FILLER_44_47
*3161 FILLER_44_475
*3162 FILLER_44_477
*3163 FILLER_44_489
*3164 FILLER_44_501
*3165 FILLER_44_513
*3166 FILLER_44_525
*3167 FILLER_44_531
*3168 FILLER_44_533
*3169 FILLER_44_545
*3170 FILLER_44_557
*3171 FILLER_44_569
*3172 FILLER_44_581
*3173 FILLER_44_587
*3174 FILLER_44_589
*3175 FILLER_44_59
*3176 FILLER_44_601
*3177 FILLER_44_613
*3178 FILLER_44_7
*3179 FILLER_44_71
*3180 FILLER_44_83
*3181 FILLER_44_85
*3182 FILLER_44_97
*3183 FILLER_45_105
*3184 FILLER_45_111
*3185 FILLER_45_113
*3186 FILLER_45_125
*3187 FILLER_45_137
*3188 FILLER_45_149
*3189 FILLER_45_161
*3190 FILLER_45_167
*3191 FILLER_45_169
*3192 FILLER_45_181
*3193 FILLER_45_193
*3194 FILLER_45_205
*3195 FILLER_45_217
*3196 FILLER_45_223
*3197 FILLER_45_225
*3198 FILLER_45_237
*3199 FILLER_45_249
*3200 FILLER_45_25
*3201 FILLER_45_261
*3202 FILLER_45_273
*3203 FILLER_45_279
*3204 FILLER_45_281
*3205 FILLER_45_293
*3206 FILLER_45_3
*3207 FILLER_45_305
*3208 FILLER_45_317
*3209 FILLER_45_329
*3210 FILLER_45_335
*3211 FILLER_45_337
*3212 FILLER_45_34
*3213 FILLER_45_349
*3214 FILLER_45_361
*3215 FILLER_45_373
*3216 FILLER_45_385
*3217 FILLER_45_391
*3218 FILLER_45_393
*3219 FILLER_45_405
*3220 FILLER_45_417
*3221 FILLER_45_429
*3222 FILLER_45_441
*3223 FILLER_45_447
*3224 FILLER_45_449
*3225 FILLER_45_46
*3226 FILLER_45_461
*3227 FILLER_45_473
*3228 FILLER_45_485
*3229 FILLER_45_497
*3230 FILLER_45_503
*3231 FILLER_45_505
*3232 FILLER_45_517
*3233 FILLER_45_529
*3234 FILLER_45_54
*3235 FILLER_45_541
*3236 FILLER_45_553
*3237 FILLER_45_559
*3238 FILLER_45_561
*3239 FILLER_45_57
*3240 FILLER_45_573
*3241 FILLER_45_585
*3242 FILLER_45_597
*3243 FILLER_45_609
*3244 FILLER_45_615
*3245 FILLER_45_617
*3246 FILLER_45_69
*3247 FILLER_45_81
*3248 FILLER_45_93
*3249 FILLER_46_109
*3250 FILLER_46_11
*3251 FILLER_46_121
*3252 FILLER_46_133
*3253 FILLER_46_139
*3254 FILLER_46_141
*3255 FILLER_46_15
*3256 FILLER_46_153
*3257 FILLER_46_165
*3258 FILLER_46_177
*3259 FILLER_46_189
*3260 FILLER_46_195
*3261 FILLER_46_197
*3262 FILLER_46_209
*3263 FILLER_46_221
*3264 FILLER_46_233
*3265 FILLER_46_245
*3266 FILLER_46_251
*3267 FILLER_46_253
*3268 FILLER_46_265
*3269 FILLER_46_27
*3270 FILLER_46_277
*3271 FILLER_46_289
*3272 FILLER_46_29
*3273 FILLER_46_301
*3274 FILLER_46_307
*3275 FILLER_46_309
*3276 FILLER_46_321
*3277 FILLER_46_333
*3278 FILLER_46_345
*3279 FILLER_46_357
*3280 FILLER_46_363
*3281 FILLER_46_365
*3282 FILLER_46_377
*3283 FILLER_46_389
*3284 FILLER_46_401
*3285 FILLER_46_413
*3286 FILLER_46_419
*3287 FILLER_46_421
*3288 FILLER_46_433
*3289 FILLER_46_445
*3290 FILLER_46_457
*3291 FILLER_46_469
*3292 FILLER_46_475
*3293 FILLER_46_477
*3294 FILLER_46_489
*3295 FILLER_46_49
*3296 FILLER_46_501
*3297 FILLER_46_513
*3298 FILLER_46_525
*3299 FILLER_46_531
*3300 FILLER_46_533
*3301 FILLER_46_545
*3302 FILLER_46_557
*3303 FILLER_46_569
*3304 FILLER_46_581
*3305 FILLER_46_587
*3306 FILLER_46_589
*3307 FILLER_46_601
*3308 FILLER_46_61
*3309 FILLER_46_613
*3310 FILLER_46_7
*3311 FILLER_46_73
*3312 FILLER_46_81
*3313 FILLER_46_85
*3314 FILLER_46_97
*3315 FILLER_47_105
*3316 FILLER_47_111
*3317 FILLER_47_113
*3318 FILLER_47_12
*3319 FILLER_47_125
*3320 FILLER_47_137
*3321 FILLER_47_149
*3322 FILLER_47_16
*3323 FILLER_47_161
*3324 FILLER_47_167
*3325 FILLER_47_169
*3326 FILLER_47_181
*3327 FILLER_47_193
*3328 FILLER_47_205
*3329 FILLER_47_217
*3330 FILLER_47_223
*3331 FILLER_47_225
*3332 FILLER_47_237
*3333 FILLER_47_24
*3334 FILLER_47_249
*3335 FILLER_47_261
*3336 FILLER_47_273
*3337 FILLER_47_279
*3338 FILLER_47_281
*3339 FILLER_47_293
*3340 FILLER_47_305
*3341 FILLER_47_317
*3342 FILLER_47_329
*3343 FILLER_47_335
*3344 FILLER_47_337
*3345 FILLER_47_349
*3346 FILLER_47_35
*3347 FILLER_47_361
*3348 FILLER_47_373
*3349 FILLER_47_385
*3350 FILLER_47_391
*3351 FILLER_47_393
*3352 FILLER_47_405
*3353 FILLER_47_417
*3354 FILLER_47_429
*3355 FILLER_47_441
*3356 FILLER_47_447
*3357 FILLER_47_449
*3358 FILLER_47_461
*3359 FILLER_47_47
*3360 FILLER_47_473
*3361 FILLER_47_485
*3362 FILLER_47_497
*3363 FILLER_47_503
*3364 FILLER_47_505
*3365 FILLER_47_517
*3366 FILLER_47_529
*3367 FILLER_47_541
*3368 FILLER_47_55
*3369 FILLER_47_553
*3370 FILLER_47_559
*3371 FILLER_47_561
*3372 FILLER_47_57
*3373 FILLER_47_573
*3374 FILLER_47_585
*3375 FILLER_47_597
*3376 FILLER_47_6
*3377 FILLER_47_609
*3378 FILLER_47_615
*3379 FILLER_47_617
*3380 FILLER_47_69
*3381 FILLER_47_81
*3382 FILLER_47_93
*3383 FILLER_48_109
*3384 FILLER_48_12
*3385 FILLER_48_121
*3386 FILLER_48_133
*3387 FILLER_48_139
*3388 FILLER_48_141
*3389 FILLER_48_153
*3390 FILLER_48_165
*3391 FILLER_48_177
*3392 FILLER_48_189
*3393 FILLER_48_195
*3394 FILLER_48_197
*3395 FILLER_48_209
*3396 FILLER_48_22
*3397 FILLER_48_221
*3398 FILLER_48_233
*3399 FILLER_48_245
*3400 FILLER_48_251
*3401 FILLER_48_253
*3402 FILLER_48_265
*3403 FILLER_48_277
*3404 FILLER_48_289
*3405 FILLER_48_29
*3406 FILLER_48_301
*3407 FILLER_48_307
*3408 FILLER_48_309
*3409 FILLER_48_321
*3410 FILLER_48_333
*3411 FILLER_48_345
*3412 FILLER_48_357
*3413 FILLER_48_363
*3414 FILLER_48_365
*3415 FILLER_48_377
*3416 FILLER_48_389
*3417 FILLER_48_401
*3418 FILLER_48_41
*3419 FILLER_48_413
*3420 FILLER_48_419
*3421 FILLER_48_421
*3422 FILLER_48_433
*3423 FILLER_48_445
*3424 FILLER_48_457
*3425 FILLER_48_469
*3426 FILLER_48_475
*3427 FILLER_48_477
*3428 FILLER_48_489
*3429 FILLER_48_501
*3430 FILLER_48_513
*3431 FILLER_48_525
*3432 FILLER_48_53
*3433 FILLER_48_531
*3434 FILLER_48_533
*3435 FILLER_48_545
*3436 FILLER_48_557
*3437 FILLER_48_569
*3438 FILLER_48_581
*3439 FILLER_48_587
*3440 FILLER_48_589
*3441 FILLER_48_6
*3442 FILLER_48_601
*3443 FILLER_48_613
*3444 FILLER_48_65
*3445 FILLER_48_77
*3446 FILLER_48_83
*3447 FILLER_48_85
*3448 FILLER_48_97
*3449 FILLER_49_105
*3450 FILLER_49_111
*3451 FILLER_49_113
*3452 FILLER_49_125
*3453 FILLER_49_137
*3454 FILLER_49_149
*3455 FILLER_49_161
*3456 FILLER_49_167
*3457 FILLER_49_169
*3458 FILLER_49_181
*3459 FILLER_49_193
*3460 FILLER_49_205
*3461 FILLER_49_217
*3462 FILLER_49_223
*3463 FILLER_49_225
*3464 FILLER_49_237
*3465 FILLER_49_249
*3466 FILLER_49_261
*3467 FILLER_49_27
*3468 FILLER_49_273
*3469 FILLER_49_279
*3470 FILLER_49_281
*3471 FILLER_49_293
*3472 FILLER_49_305
*3473 FILLER_49_317
*3474 FILLER_49_329
*3475 FILLER_49_335
*3476 FILLER_49_337
*3477 FILLER_49_349
*3478 FILLER_49_361
*3479 FILLER_49_373
*3480 FILLER_49_385
*3481 FILLER_49_39
*3482 FILLER_49_391
*3483 FILLER_49_393
*3484 FILLER_49_405
*3485 FILLER_49_417
*3486 FILLER_49_429
*3487 FILLER_49_441
*3488 FILLER_49_447
*3489 FILLER_49_449
*3490 FILLER_49_461
*3491 FILLER_49_473
*3492 FILLER_49_485
*3493 FILLER_49_497
*3494 FILLER_49_503
*3495 FILLER_49_505
*3496 FILLER_49_51
*3497 FILLER_49_517
*3498 FILLER_49_529
*3499 FILLER_49_541
*3500 FILLER_49_55
*3501 FILLER_49_553
*3502 FILLER_49_559
*3503 FILLER_49_561
*3504 FILLER_49_57
*3505 FILLER_49_573
*3506 FILLER_49_585
*3507 FILLER_49_597
*3508 FILLER_49_609
*3509 FILLER_49_615
*3510 FILLER_49_617
*3511 FILLER_49_69
*3512 FILLER_49_7
*3513 FILLER_49_81
*3514 FILLER_49_93
*3515 FILLER_4_101
*3516 FILLER_4_129
*3517 FILLER_4_137
*3518 FILLER_4_141
*3519 FILLER_4_15
*3520 FILLER_4_153
*3521 FILLER_4_168
*3522 FILLER_4_178
*3523 FILLER_4_192
*3524 FILLER_4_207
*3525 FILLER_4_219
*3526 FILLER_4_23
*3527 FILLER_4_231
*3528 FILLER_4_243
*3529 FILLER_4_247
*3530 FILLER_4_251
*3531 FILLER_4_253
*3532 FILLER_4_265
*3533 FILLER_4_27
*3534 FILLER_4_277
*3535 FILLER_4_289
*3536 FILLER_4_29
*3537 FILLER_4_301
*3538 FILLER_4_307
*3539 FILLER_4_309
*3540 FILLER_4_321
*3541 FILLER_4_333
*3542 FILLER_4_345
*3543 FILLER_4_357
*3544 FILLER_4_363
*3545 FILLER_4_365
*3546 FILLER_4_377
*3547 FILLER_4_38
*3548 FILLER_4_389
*3549 FILLER_4_401
*3550 FILLER_4_413
*3551 FILLER_4_419
*3552 FILLER_4_421
*3553 FILLER_4_433
*3554 FILLER_4_445
*3555 FILLER_4_457
*3556 FILLER_4_469
*3557 FILLER_4_47
*3558 FILLER_4_475
*3559 FILLER_4_477
*3560 FILLER_4_489
*3561 FILLER_4_501
*3562 FILLER_4_513
*3563 FILLER_4_525
*3564 FILLER_4_531
*3565 FILLER_4_533
*3566 FILLER_4_545
*3567 FILLER_4_55
*3568 FILLER_4_557
*3569 FILLER_4_569
*3570 FILLER_4_581
*3571 FILLER_4_587
*3572 FILLER_4_589
*3573 FILLER_4_601
*3574 FILLER_4_61
*3575 FILLER_4_613
*3576 FILLER_4_7
*3577 FILLER_4_73
*3578 FILLER_4_81
*3579 FILLER_50_109
*3580 FILLER_50_121
*3581 FILLER_50_133
*3582 FILLER_50_139
*3583 FILLER_50_141
*3584 FILLER_50_153
*3585 FILLER_50_165
*3586 FILLER_50_177
*3587 FILLER_50_18
*3588 FILLER_50_189
*3589 FILLER_50_195
*3590 FILLER_50_197
*3591 FILLER_50_209
*3592 FILLER_50_221
*3593 FILLER_50_233
*3594 FILLER_50_245
*3595 FILLER_50_251
*3596 FILLER_50_253
*3597 FILLER_50_26
*3598 FILLER_50_265
*3599 FILLER_50_277
*3600 FILLER_50_289
*3601 FILLER_50_29
*3602 FILLER_50_301
*3603 FILLER_50_307
*3604 FILLER_50_309
*3605 FILLER_50_321
*3606 FILLER_50_333
*3607 FILLER_50_345
*3608 FILLER_50_357
*3609 FILLER_50_363
*3610 FILLER_50_365
*3611 FILLER_50_377
*3612 FILLER_50_389
*3613 FILLER_50_401
*3614 FILLER_50_41
*3615 FILLER_50_413
*3616 FILLER_50_419
*3617 FILLER_50_421
*3618 FILLER_50_433
*3619 FILLER_50_445
*3620 FILLER_50_457
*3621 FILLER_50_469
*3622 FILLER_50_475
*3623 FILLER_50_477
*3624 FILLER_50_489
*3625 FILLER_50_501
*3626 FILLER_50_513
*3627 FILLER_50_525
*3628 FILLER_50_53
*3629 FILLER_50_531
*3630 FILLER_50_533
*3631 FILLER_50_545
*3632 FILLER_50_557
*3633 FILLER_50_569
*3634 FILLER_50_581
*3635 FILLER_50_587
*3636 FILLER_50_589
*3637 FILLER_50_6
*3638 FILLER_50_601
*3639 FILLER_50_613
*3640 FILLER_50_65
*3641 FILLER_50_77
*3642 FILLER_50_83
*3643 FILLER_50_85
*3644 FILLER_50_97
*3645 FILLER_51_105
*3646 FILLER_51_11
*3647 FILLER_51_111
*3648 FILLER_51_113
*3649 FILLER_51_125
*3650 FILLER_51_137
*3651 FILLER_51_149
*3652 FILLER_51_161
*3653 FILLER_51_167
*3654 FILLER_51_169
*3655 FILLER_51_181
*3656 FILLER_51_193
*3657 FILLER_51_205
*3658 FILLER_51_21
*3659 FILLER_51_217
*3660 FILLER_51_223
*3661 FILLER_51_225
*3662 FILLER_51_237
*3663 FILLER_51_249
*3664 FILLER_51_261
*3665 FILLER_51_273
*3666 FILLER_51_279
*3667 FILLER_51_281
*3668 FILLER_51_293
*3669 FILLER_51_305
*3670 FILLER_51_317
*3671 FILLER_51_329
*3672 FILLER_51_33
*3673 FILLER_51_335
*3674 FILLER_51_337
*3675 FILLER_51_349
*3676 FILLER_51_361
*3677 FILLER_51_373
*3678 FILLER_51_385
*3679 FILLER_51_391
*3680 FILLER_51_393
*3681 FILLER_51_405
*3682 FILLER_51_417
*3683 FILLER_51_429
*3684 FILLER_51_441
*3685 FILLER_51_447
*3686 FILLER_51_449
*3687 FILLER_51_45
*3688 FILLER_51_461
*3689 FILLER_51_473
*3690 FILLER_51_485
*3691 FILLER_51_497
*3692 FILLER_51_503
*3693 FILLER_51_505
*3694 FILLER_51_517
*3695 FILLER_51_529
*3696 FILLER_51_53
*3697 FILLER_51_541
*3698 FILLER_51_553
*3699 FILLER_51_559
*3700 FILLER_51_561
*3701 FILLER_51_57
*3702 FILLER_51_573
*3703 FILLER_51_585
*3704 FILLER_51_597
*3705 FILLER_51_609
*3706 FILLER_51_615
*3707 FILLER_51_617
*3708 FILLER_51_69
*3709 FILLER_51_7
*3710 FILLER_51_81
*3711 FILLER_51_93
*3712 FILLER_52_109
*3713 FILLER_52_121
*3714 FILLER_52_133
*3715 FILLER_52_139
*3716 FILLER_52_141
*3717 FILLER_52_153
*3718 FILLER_52_165
*3719 FILLER_52_177
*3720 FILLER_52_189
*3721 FILLER_52_195
*3722 FILLER_52_197
*3723 FILLER_52_209
*3724 FILLER_52_221
*3725 FILLER_52_233
*3726 FILLER_52_24
*3727 FILLER_52_245
*3728 FILLER_52_251
*3729 FILLER_52_253
*3730 FILLER_52_265
*3731 FILLER_52_277
*3732 FILLER_52_289
*3733 FILLER_52_29
*3734 FILLER_52_3
*3735 FILLER_52_301
*3736 FILLER_52_307
*3737 FILLER_52_309
*3738 FILLER_52_321
*3739 FILLER_52_333
*3740 FILLER_52_345
*3741 FILLER_52_357
*3742 FILLER_52_363
*3743 FILLER_52_365
*3744 FILLER_52_377
*3745 FILLER_52_38
*3746 FILLER_52_389
*3747 FILLER_52_401
*3748 FILLER_52_413
*3749 FILLER_52_419
*3750 FILLER_52_421
*3751 FILLER_52_433
*3752 FILLER_52_445
*3753 FILLER_52_457
*3754 FILLER_52_469
*3755 FILLER_52_475
*3756 FILLER_52_477
*3757 FILLER_52_489
*3758 FILLER_52_50
*3759 FILLER_52_501
*3760 FILLER_52_513
*3761 FILLER_52_525
*3762 FILLER_52_531
*3763 FILLER_52_533
*3764 FILLER_52_545
*3765 FILLER_52_557
*3766 FILLER_52_569
*3767 FILLER_52_581
*3768 FILLER_52_587
*3769 FILLER_52_589
*3770 FILLER_52_601
*3771 FILLER_52_613
*3772 FILLER_52_62
*3773 FILLER_52_7
*3774 FILLER_52_74
*3775 FILLER_52_82
*3776 FILLER_52_85
*3777 FILLER_52_97
*3778 FILLER_53_105
*3779 FILLER_53_11
*3780 FILLER_53_111
*3781 FILLER_53_113
*3782 FILLER_53_125
*3783 FILLER_53_137
*3784 FILLER_53_149
*3785 FILLER_53_15
*3786 FILLER_53_161
*3787 FILLER_53_167
*3788 FILLER_53_169
*3789 FILLER_53_181
*3790 FILLER_53_193
*3791 FILLER_53_205
*3792 FILLER_53_217
*3793 FILLER_53_223
*3794 FILLER_53_225
*3795 FILLER_53_23
*3796 FILLER_53_237
*3797 FILLER_53_249
*3798 FILLER_53_261
*3799 FILLER_53_273
*3800 FILLER_53_279
*3801 FILLER_53_281
*3802 FILLER_53_293
*3803 FILLER_53_305
*3804 FILLER_53_31
*3805 FILLER_53_317
*3806 FILLER_53_329
*3807 FILLER_53_335
*3808 FILLER_53_337
*3809 FILLER_53_349
*3810 FILLER_53_361
*3811 FILLER_53_373
*3812 FILLER_53_385
*3813 FILLER_53_391
*3814 FILLER_53_393
*3815 FILLER_53_405
*3816 FILLER_53_417
*3817 FILLER_53_429
*3818 FILLER_53_441
*3819 FILLER_53_447
*3820 FILLER_53_449
*3821 FILLER_53_461
*3822 FILLER_53_473
*3823 FILLER_53_485
*3824 FILLER_53_497
*3825 FILLER_53_503
*3826 FILLER_53_505
*3827 FILLER_53_51
*3828 FILLER_53_517
*3829 FILLER_53_529
*3830 FILLER_53_541
*3831 FILLER_53_55
*3832 FILLER_53_553
*3833 FILLER_53_559
*3834 FILLER_53_561
*3835 FILLER_53_57
*3836 FILLER_53_573
*3837 FILLER_53_585
*3838 FILLER_53_597
*3839 FILLER_53_609
*3840 FILLER_53_615
*3841 FILLER_53_617
*3842 FILLER_53_69
*3843 FILLER_53_7
*3844 FILLER_53_81
*3845 FILLER_53_93
*3846 FILLER_54_109
*3847 FILLER_54_121
*3848 FILLER_54_13
*3849 FILLER_54_133
*3850 FILLER_54_139
*3851 FILLER_54_141
*3852 FILLER_54_153
*3853 FILLER_54_165
*3854 FILLER_54_177
*3855 FILLER_54_189
*3856 FILLER_54_195
*3857 FILLER_54_197
*3858 FILLER_54_209
*3859 FILLER_54_221
*3860 FILLER_54_233
*3861 FILLER_54_245
*3862 FILLER_54_25
*3863 FILLER_54_251
*3864 FILLER_54_253
*3865 FILLER_54_265
*3866 FILLER_54_277
*3867 FILLER_54_289
*3868 FILLER_54_29
*3869 FILLER_54_301
*3870 FILLER_54_307
*3871 FILLER_54_309
*3872 FILLER_54_321
*3873 FILLER_54_333
*3874 FILLER_54_345
*3875 FILLER_54_357
*3876 FILLER_54_363
*3877 FILLER_54_365
*3878 FILLER_54_377
*3879 FILLER_54_389
*3880 FILLER_54_401
*3881 FILLER_54_41
*3882 FILLER_54_413
*3883 FILLER_54_419
*3884 FILLER_54_421
*3885 FILLER_54_433
*3886 FILLER_54_445
*3887 FILLER_54_457
*3888 FILLER_54_469
*3889 FILLER_54_475
*3890 FILLER_54_477
*3891 FILLER_54_489
*3892 FILLER_54_501
*3893 FILLER_54_513
*3894 FILLER_54_525
*3895 FILLER_54_53
*3896 FILLER_54_531
*3897 FILLER_54_533
*3898 FILLER_54_545
*3899 FILLER_54_557
*3900 FILLER_54_569
*3901 FILLER_54_581
*3902 FILLER_54_587
*3903 FILLER_54_589
*3904 FILLER_54_6
*3905 FILLER_54_601
*3906 FILLER_54_613
*3907 FILLER_54_65
*3908 FILLER_54_77
*3909 FILLER_54_83
*3910 FILLER_54_85
*3911 FILLER_54_97
*3912 FILLER_55_105
*3913 FILLER_55_111
*3914 FILLER_55_113
*3915 FILLER_55_125
*3916 FILLER_55_137
*3917 FILLER_55_149
*3918 FILLER_55_161
*3919 FILLER_55_167
*3920 FILLER_55_169
*3921 FILLER_55_181
*3922 FILLER_55_193
*3923 FILLER_55_20
*3924 FILLER_55_205
*3925 FILLER_55_217
*3926 FILLER_55_223
*3927 FILLER_55_225
*3928 FILLER_55_237
*3929 FILLER_55_249
*3930 FILLER_55_261
*3931 FILLER_55_273
*3932 FILLER_55_279
*3933 FILLER_55_28
*3934 FILLER_55_281
*3935 FILLER_55_293
*3936 FILLER_55_305
*3937 FILLER_55_317
*3938 FILLER_55_329
*3939 FILLER_55_335
*3940 FILLER_55_337
*3941 FILLER_55_349
*3942 FILLER_55_361
*3943 FILLER_55_373
*3944 FILLER_55_385
*3945 FILLER_55_391
*3946 FILLER_55_393
*3947 FILLER_55_40
*3948 FILLER_55_405
*3949 FILLER_55_417
*3950 FILLER_55_429
*3951 FILLER_55_441
*3952 FILLER_55_447
*3953 FILLER_55_449
*3954 FILLER_55_461
*3955 FILLER_55_473
*3956 FILLER_55_485
*3957 FILLER_55_497
*3958 FILLER_55_503
*3959 FILLER_55_505
*3960 FILLER_55_517
*3961 FILLER_55_52
*3962 FILLER_55_529
*3963 FILLER_55_541
*3964 FILLER_55_553
*3965 FILLER_55_559
*3966 FILLER_55_561
*3967 FILLER_55_57
*3968 FILLER_55_573
*3969 FILLER_55_585
*3970 FILLER_55_597
*3971 FILLER_55_609
*3972 FILLER_55_615
*3973 FILLER_55_617
*3974 FILLER_55_69
*3975 FILLER_55_7
*3976 FILLER_55_81
*3977 FILLER_55_93
*3978 FILLER_56_109
*3979 FILLER_56_121
*3980 FILLER_56_133
*3981 FILLER_56_139
*3982 FILLER_56_141
*3983 FILLER_56_153
*3984 FILLER_56_165
*3985 FILLER_56_177
*3986 FILLER_56_189
*3987 FILLER_56_195
*3988 FILLER_56_197
*3989 FILLER_56_209
*3990 FILLER_56_221
*3991 FILLER_56_23
*3992 FILLER_56_233
*3993 FILLER_56_245
*3994 FILLER_56_251
*3995 FILLER_56_253
*3996 FILLER_56_265
*3997 FILLER_56_27
*3998 FILLER_56_277
*3999 FILLER_56_289
*4000 FILLER_56_29
*4001 FILLER_56_3
*4002 FILLER_56_301
*4003 FILLER_56_307
*4004 FILLER_56_309
*4005 FILLER_56_321
*4006 FILLER_56_33
*4007 FILLER_56_333
*4008 FILLER_56_345
*4009 FILLER_56_357
*4010 FILLER_56_363
*4011 FILLER_56_365
*4012 FILLER_56_377
*4013 FILLER_56_389
*4014 FILLER_56_401
*4015 FILLER_56_413
*4016 FILLER_56_419
*4017 FILLER_56_421
*4018 FILLER_56_433
*4019 FILLER_56_445
*4020 FILLER_56_457
*4021 FILLER_56_469
*4022 FILLER_56_475
*4023 FILLER_56_477
*4024 FILLER_56_489
*4025 FILLER_56_50
*4026 FILLER_56_501
*4027 FILLER_56_513
*4028 FILLER_56_525
*4029 FILLER_56_531
*4030 FILLER_56_533
*4031 FILLER_56_545
*4032 FILLER_56_557
*4033 FILLER_56_569
*4034 FILLER_56_581
*4035 FILLER_56_587
*4036 FILLER_56_589
*4037 FILLER_56_601
*4038 FILLER_56_613
*4039 FILLER_56_62
*4040 FILLER_56_74
*4041 FILLER_56_82
*4042 FILLER_56_85
*4043 FILLER_56_97
*4044 FILLER_57_105
*4045 FILLER_57_111
*4046 FILLER_57_113
*4047 FILLER_57_125
*4048 FILLER_57_137
*4049 FILLER_57_149
*4050 FILLER_57_15
*4051 FILLER_57_161
*4052 FILLER_57_167
*4053 FILLER_57_169
*4054 FILLER_57_181
*4055 FILLER_57_193
*4056 FILLER_57_205
*4057 FILLER_57_217
*4058 FILLER_57_223
*4059 FILLER_57_225
*4060 FILLER_57_237
*4061 FILLER_57_249
*4062 FILLER_57_261
*4063 FILLER_57_27
*4064 FILLER_57_273
*4065 FILLER_57_279
*4066 FILLER_57_281
*4067 FILLER_57_293
*4068 FILLER_57_305
*4069 FILLER_57_317
*4070 FILLER_57_329
*4071 FILLER_57_33
*4072 FILLER_57_335
*4073 FILLER_57_337
*4074 FILLER_57_349
*4075 FILLER_57_361
*4076 FILLER_57_37
*4077 FILLER_57_373
*4078 FILLER_57_385
*4079 FILLER_57_391
*4080 FILLER_57_393
*4081 FILLER_57_405
*4082 FILLER_57_417
*4083 FILLER_57_429
*4084 FILLER_57_441
*4085 FILLER_57_447
*4086 FILLER_57_449
*4087 FILLER_57_461
*4088 FILLER_57_473
*4089 FILLER_57_485
*4090 FILLER_57_49
*4091 FILLER_57_497
*4092 FILLER_57_503
*4093 FILLER_57_505
*4094 FILLER_57_517
*4095 FILLER_57_529
*4096 FILLER_57_541
*4097 FILLER_57_55
*4098 FILLER_57_553
*4099 FILLER_57_559
*4100 FILLER_57_561
*4101 FILLER_57_57
*4102 FILLER_57_573
*4103 FILLER_57_585
*4104 FILLER_57_597
*4105 FILLER_57_6
*4106 FILLER_57_609
*4107 FILLER_57_615
*4108 FILLER_57_617
*4109 FILLER_57_69
*4110 FILLER_57_81
*4111 FILLER_57_93
*4112 FILLER_58_109
*4113 FILLER_58_121
*4114 FILLER_58_133
*4115 FILLER_58_139
*4116 FILLER_58_141
*4117 FILLER_58_153
*4118 FILLER_58_165
*4119 FILLER_58_177
*4120 FILLER_58_189
*4121 FILLER_58_19
*4122 FILLER_58_195
*4123 FILLER_58_201
*4124 FILLER_58_213
*4125 FILLER_58_225
*4126 FILLER_58_237
*4127 FILLER_58_249
*4128 FILLER_58_253
*4129 FILLER_58_265
*4130 FILLER_58_27
*4131 FILLER_58_277
*4132 FILLER_58_289
*4133 FILLER_58_29
*4134 FILLER_58_301
*4135 FILLER_58_307
*4136 FILLER_58_309
*4137 FILLER_58_321
*4138 FILLER_58_333
*4139 FILLER_58_345
*4140 FILLER_58_357
*4141 FILLER_58_363
*4142 FILLER_58_365
*4143 FILLER_58_377
*4144 FILLER_58_389
*4145 FILLER_58_401
*4146 FILLER_58_41
*4147 FILLER_58_413
*4148 FILLER_58_419
*4149 FILLER_58_421
*4150 FILLER_58_433
*4151 FILLER_58_445
*4152 FILLER_58_457
*4153 FILLER_58_469
*4154 FILLER_58_475
*4155 FILLER_58_477
*4156 FILLER_58_489
*4157 FILLER_58_501
*4158 FILLER_58_513
*4159 FILLER_58_525
*4160 FILLER_58_53
*4161 FILLER_58_531
*4162 FILLER_58_533
*4163 FILLER_58_545
*4164 FILLER_58_557
*4165 FILLER_58_569
*4166 FILLER_58_581
*4167 FILLER_58_587
*4168 FILLER_58_589
*4169 FILLER_58_601
*4170 FILLER_58_613
*4171 FILLER_58_65
*4172 FILLER_58_7
*4173 FILLER_58_77
*4174 FILLER_58_83
*4175 FILLER_58_85
*4176 FILLER_58_97
*4177 FILLER_59_105
*4178 FILLER_59_111
*4179 FILLER_59_113
*4180 FILLER_59_125
*4181 FILLER_59_137
*4182 FILLER_59_149
*4183 FILLER_59_161
*4184 FILLER_59_167
*4185 FILLER_59_169
*4186 FILLER_59_18
*4187 FILLER_59_181
*4188 FILLER_59_193
*4189 FILLER_59_205
*4190 FILLER_59_217
*4191 FILLER_59_223
*4192 FILLER_59_225
*4193 FILLER_59_237
*4194 FILLER_59_249
*4195 FILLER_59_261
*4196 FILLER_59_273
*4197 FILLER_59_279
*4198 FILLER_59_281
*4199 FILLER_59_293
*4200 FILLER_59_30
*4201 FILLER_59_305
*4202 FILLER_59_317
*4203 FILLER_59_329
*4204 FILLER_59_335
*4205 FILLER_59_337
*4206 FILLER_59_349
*4207 FILLER_59_361
*4208 FILLER_59_373
*4209 FILLER_59_385
*4210 FILLER_59_391
*4211 FILLER_59_393
*4212 FILLER_59_405
*4213 FILLER_59_417
*4214 FILLER_59_42
*4215 FILLER_59_429
*4216 FILLER_59_441
*4217 FILLER_59_447
*4218 FILLER_59_449
*4219 FILLER_59_461
*4220 FILLER_59_473
*4221 FILLER_59_485
*4222 FILLER_59_497
*4223 FILLER_59_503
*4224 FILLER_59_505
*4225 FILLER_59_517
*4226 FILLER_59_529
*4227 FILLER_59_54
*4228 FILLER_59_541
*4229 FILLER_59_553
*4230 FILLER_59_559
*4231 FILLER_59_561
*4232 FILLER_59_57
*4233 FILLER_59_573
*4234 FILLER_59_585
*4235 FILLER_59_597
*4236 FILLER_59_6
*4237 FILLER_59_609
*4238 FILLER_59_615
*4239 FILLER_59_617
*4240 FILLER_59_69
*4241 FILLER_59_81
*4242 FILLER_59_93
*4243 FILLER_5_108
*4244 FILLER_5_118
*4245 FILLER_5_13
*4246 FILLER_5_130
*4247 FILLER_5_142
*4248 FILLER_5_148
*4249 FILLER_5_155
*4250 FILLER_5_167
*4251 FILLER_5_169
*4252 FILLER_5_178
*4253 FILLER_5_190
*4254 FILLER_5_196
*4255 FILLER_5_203
*4256 FILLER_5_215
*4257 FILLER_5_223
*4258 FILLER_5_225
*4259 FILLER_5_237
*4260 FILLER_5_249
*4261 FILLER_5_261
*4262 FILLER_5_273
*4263 FILLER_5_279
*4264 FILLER_5_281
*4265 FILLER_5_293
*4266 FILLER_5_305
*4267 FILLER_5_317
*4268 FILLER_5_329
*4269 FILLER_5_33
*4270 FILLER_5_335
*4271 FILLER_5_337
*4272 FILLER_5_349
*4273 FILLER_5_361
*4274 FILLER_5_373
*4275 FILLER_5_385
*4276 FILLER_5_391
*4277 FILLER_5_393
*4278 FILLER_5_40
*4279 FILLER_5_405
*4280 FILLER_5_417
*4281 FILLER_5_429
*4282 FILLER_5_441
*4283 FILLER_5_447
*4284 FILLER_5_449
*4285 FILLER_5_461
*4286 FILLER_5_473
*4287 FILLER_5_485
*4288 FILLER_5_497
*4289 FILLER_5_503
*4290 FILLER_5_505
*4291 FILLER_5_517
*4292 FILLER_5_52
*4293 FILLER_5_529
*4294 FILLER_5_541
*4295 FILLER_5_553
*4296 FILLER_5_559
*4297 FILLER_5_561
*4298 FILLER_5_573
*4299 FILLER_5_585
*4300 FILLER_5_597
*4301 FILLER_5_6
*4302 FILLER_5_609
*4303 FILLER_5_615
*4304 FILLER_5_617
*4305 FILLER_5_62
*4306 FILLER_5_74
*4307 FILLER_5_86
*4308 FILLER_5_90
*4309 FILLER_5_96
*4310 FILLER_60_109
*4311 FILLER_60_121
*4312 FILLER_60_133
*4313 FILLER_60_139
*4314 FILLER_60_141
*4315 FILLER_60_153
*4316 FILLER_60_165
*4317 FILLER_60_177
*4318 FILLER_60_189
*4319 FILLER_60_19
*4320 FILLER_60_195
*4321 FILLER_60_197
*4322 FILLER_60_209
*4323 FILLER_60_221
*4324 FILLER_60_233
*4325 FILLER_60_245
*4326 FILLER_60_251
*4327 FILLER_60_253
*4328 FILLER_60_265
*4329 FILLER_60_27
*4330 FILLER_60_277
*4331 FILLER_60_289
*4332 FILLER_60_29
*4333 FILLER_60_301
*4334 FILLER_60_307
*4335 FILLER_60_309
*4336 FILLER_60_321
*4337 FILLER_60_333
*4338 FILLER_60_345
*4339 FILLER_60_357
*4340 FILLER_60_363
*4341 FILLER_60_365
*4342 FILLER_60_377
*4343 FILLER_60_389
*4344 FILLER_60_401
*4345 FILLER_60_41
*4346 FILLER_60_413
*4347 FILLER_60_419
*4348 FILLER_60_421
*4349 FILLER_60_433
*4350 FILLER_60_445
*4351 FILLER_60_457
*4352 FILLER_60_469
*4353 FILLER_60_475
*4354 FILLER_60_477
*4355 FILLER_60_489
*4356 FILLER_60_501
*4357 FILLER_60_513
*4358 FILLER_60_525
*4359 FILLER_60_53
*4360 FILLER_60_531
*4361 FILLER_60_533
*4362 FILLER_60_545
*4363 FILLER_60_557
*4364 FILLER_60_569
*4365 FILLER_60_581
*4366 FILLER_60_587
*4367 FILLER_60_589
*4368 FILLER_60_601
*4369 FILLER_60_613
*4370 FILLER_60_65
*4371 FILLER_60_7
*4372 FILLER_60_77
*4373 FILLER_60_83
*4374 FILLER_60_85
*4375 FILLER_60_97
*4376 FILLER_61_105
*4377 FILLER_61_111
*4378 FILLER_61_113
*4379 FILLER_61_125
*4380 FILLER_61_137
*4381 FILLER_61_149
*4382 FILLER_61_15
*4383 FILLER_61_161
*4384 FILLER_61_167
*4385 FILLER_61_169
*4386 FILLER_61_181
*4387 FILLER_61_193
*4388 FILLER_61_205
*4389 FILLER_61_217
*4390 FILLER_61_223
*4391 FILLER_61_225
*4392 FILLER_61_237
*4393 FILLER_61_249
*4394 FILLER_61_261
*4395 FILLER_61_27
*4396 FILLER_61_273
*4397 FILLER_61_279
*4398 FILLER_61_281
*4399 FILLER_61_293
*4400 FILLER_61_3
*4401 FILLER_61_305
*4402 FILLER_61_317
*4403 FILLER_61_329
*4404 FILLER_61_335
*4405 FILLER_61_337
*4406 FILLER_61_349
*4407 FILLER_61_361
*4408 FILLER_61_373
*4409 FILLER_61_385
*4410 FILLER_61_39
*4411 FILLER_61_391
*4412 FILLER_61_393
*4413 FILLER_61_405
*4414 FILLER_61_417
*4415 FILLER_61_429
*4416 FILLER_61_441
*4417 FILLER_61_447
*4418 FILLER_61_449
*4419 FILLER_61_461
*4420 FILLER_61_473
*4421 FILLER_61_485
*4422 FILLER_61_497
*4423 FILLER_61_503
*4424 FILLER_61_505
*4425 FILLER_61_51
*4426 FILLER_61_517
*4427 FILLER_61_529
*4428 FILLER_61_541
*4429 FILLER_61_55
*4430 FILLER_61_553
*4431 FILLER_61_559
*4432 FILLER_61_561
*4433 FILLER_61_57
*4434 FILLER_61_573
*4435 FILLER_61_585
*4436 FILLER_61_597
*4437 FILLER_61_609
*4438 FILLER_61_615
*4439 FILLER_61_617
*4440 FILLER_61_69
*4441 FILLER_61_81
*4442 FILLER_61_93
*4443 FILLER_62_109
*4444 FILLER_62_121
*4445 FILLER_62_133
*4446 FILLER_62_139
*4447 FILLER_62_141
*4448 FILLER_62_153
*4449 FILLER_62_165
*4450 FILLER_62_177
*4451 FILLER_62_189
*4452 FILLER_62_19
*4453 FILLER_62_195
*4454 FILLER_62_197
*4455 FILLER_62_209
*4456 FILLER_62_221
*4457 FILLER_62_233
*4458 FILLER_62_245
*4459 FILLER_62_251
*4460 FILLER_62_253
*4461 FILLER_62_265
*4462 FILLER_62_27
*4463 FILLER_62_277
*4464 FILLER_62_289
*4465 FILLER_62_29
*4466 FILLER_62_301
*4467 FILLER_62_307
*4468 FILLER_62_309
*4469 FILLER_62_321
*4470 FILLER_62_333
*4471 FILLER_62_345
*4472 FILLER_62_357
*4473 FILLER_62_363
*4474 FILLER_62_365
*4475 FILLER_62_377
*4476 FILLER_62_389
*4477 FILLER_62_401
*4478 FILLER_62_41
*4479 FILLER_62_413
*4480 FILLER_62_419
*4481 FILLER_62_421
*4482 FILLER_62_433
*4483 FILLER_62_445
*4484 FILLER_62_457
*4485 FILLER_62_469
*4486 FILLER_62_475
*4487 FILLER_62_477
*4488 FILLER_62_489
*4489 FILLER_62_501
*4490 FILLER_62_513
*4491 FILLER_62_525
*4492 FILLER_62_53
*4493 FILLER_62_531
*4494 FILLER_62_533
*4495 FILLER_62_545
*4496 FILLER_62_557
*4497 FILLER_62_569
*4498 FILLER_62_581
*4499 FILLER_62_587
*4500 FILLER_62_589
*4501 FILLER_62_601
*4502 FILLER_62_613
*4503 FILLER_62_65
*4504 FILLER_62_7
*4505 FILLER_62_77
*4506 FILLER_62_83
*4507 FILLER_62_85
*4508 FILLER_62_97
*4509 FILLER_63_105
*4510 FILLER_63_111
*4511 FILLER_63_113
*4512 FILLER_63_125
*4513 FILLER_63_137
*4514 FILLER_63_149
*4515 FILLER_63_161
*4516 FILLER_63_167
*4517 FILLER_63_169
*4518 FILLER_63_181
*4519 FILLER_63_19
*4520 FILLER_63_193
*4521 FILLER_63_205
*4522 FILLER_63_217
*4523 FILLER_63_223
*4524 FILLER_63_225
*4525 FILLER_63_237
*4526 FILLER_63_249
*4527 FILLER_63_261
*4528 FILLER_63_273
*4529 FILLER_63_279
*4530 FILLER_63_281
*4531 FILLER_63_293
*4532 FILLER_63_305
*4533 FILLER_63_31
*4534 FILLER_63_317
*4535 FILLER_63_329
*4536 FILLER_63_335
*4537 FILLER_63_337
*4538 FILLER_63_349
*4539 FILLER_63_361
*4540 FILLER_63_373
*4541 FILLER_63_385
*4542 FILLER_63_391
*4543 FILLER_63_393
*4544 FILLER_63_405
*4545 FILLER_63_417
*4546 FILLER_63_429
*4547 FILLER_63_43
*4548 FILLER_63_441
*4549 FILLER_63_447
*4550 FILLER_63_449
*4551 FILLER_63_461
*4552 FILLER_63_473
*4553 FILLER_63_485
*4554 FILLER_63_497
*4555 FILLER_63_503
*4556 FILLER_63_505
*4557 FILLER_63_517
*4558 FILLER_63_529
*4559 FILLER_63_541
*4560 FILLER_63_55
*4561 FILLER_63_553
*4562 FILLER_63_559
*4563 FILLER_63_561
*4564 FILLER_63_57
*4565 FILLER_63_573
*4566 FILLER_63_585
*4567 FILLER_63_597
*4568 FILLER_63_609
*4569 FILLER_63_615
*4570 FILLER_63_617
*4571 FILLER_63_69
*4572 FILLER_63_7
*4573 FILLER_63_81
*4574 FILLER_63_93
*4575 FILLER_64_109
*4576 FILLER_64_121
*4577 FILLER_64_133
*4578 FILLER_64_139
*4579 FILLER_64_141
*4580 FILLER_64_15
*4581 FILLER_64_153
*4582 FILLER_64_165
*4583 FILLER_64_177
*4584 FILLER_64_189
*4585 FILLER_64_195
*4586 FILLER_64_197
*4587 FILLER_64_209
*4588 FILLER_64_221
*4589 FILLER_64_233
*4590 FILLER_64_245
*4591 FILLER_64_251
*4592 FILLER_64_253
*4593 FILLER_64_265
*4594 FILLER_64_27
*4595 FILLER_64_277
*4596 FILLER_64_289
*4597 FILLER_64_29
*4598 FILLER_64_3
*4599 FILLER_64_301
*4600 FILLER_64_307
*4601 FILLER_64_309
*4602 FILLER_64_321
*4603 FILLER_64_333
*4604 FILLER_64_345
*4605 FILLER_64_357
*4606 FILLER_64_363
*4607 FILLER_64_365
*4608 FILLER_64_377
*4609 FILLER_64_389
*4610 FILLER_64_401
*4611 FILLER_64_41
*4612 FILLER_64_413
*4613 FILLER_64_419
*4614 FILLER_64_421
*4615 FILLER_64_433
*4616 FILLER_64_445
*4617 FILLER_64_457
*4618 FILLER_64_469
*4619 FILLER_64_475
*4620 FILLER_64_477
*4621 FILLER_64_489
*4622 FILLER_64_501
*4623 FILLER_64_513
*4624 FILLER_64_525
*4625 FILLER_64_53
*4626 FILLER_64_531
*4627 FILLER_64_533
*4628 FILLER_64_545
*4629 FILLER_64_557
*4630 FILLER_64_569
*4631 FILLER_64_581
*4632 FILLER_64_587
*4633 FILLER_64_589
*4634 FILLER_64_601
*4635 FILLER_64_613
*4636 FILLER_64_65
*4637 FILLER_64_77
*4638 FILLER_64_83
*4639 FILLER_64_85
*4640 FILLER_64_97
*4641 FILLER_65_105
*4642 FILLER_65_111
*4643 FILLER_65_113
*4644 FILLER_65_125
*4645 FILLER_65_137
*4646 FILLER_65_149
*4647 FILLER_65_161
*4648 FILLER_65_167
*4649 FILLER_65_169
*4650 FILLER_65_181
*4651 FILLER_65_19
*4652 FILLER_65_193
*4653 FILLER_65_205
*4654 FILLER_65_217
*4655 FILLER_65_223
*4656 FILLER_65_225
*4657 FILLER_65_237
*4658 FILLER_65_249
*4659 FILLER_65_261
*4660 FILLER_65_273
*4661 FILLER_65_279
*4662 FILLER_65_281
*4663 FILLER_65_293
*4664 FILLER_65_305
*4665 FILLER_65_31
*4666 FILLER_65_317
*4667 FILLER_65_329
*4668 FILLER_65_335
*4669 FILLER_65_337
*4670 FILLER_65_349
*4671 FILLER_65_361
*4672 FILLER_65_373
*4673 FILLER_65_385
*4674 FILLER_65_391
*4675 FILLER_65_393
*4676 FILLER_65_405
*4677 FILLER_65_417
*4678 FILLER_65_429
*4679 FILLER_65_43
*4680 FILLER_65_441
*4681 FILLER_65_447
*4682 FILLER_65_449
*4683 FILLER_65_461
*4684 FILLER_65_473
*4685 FILLER_65_485
*4686 FILLER_65_497
*4687 FILLER_65_503
*4688 FILLER_65_505
*4689 FILLER_65_517
*4690 FILLER_65_529
*4691 FILLER_65_541
*4692 FILLER_65_55
*4693 FILLER_65_553
*4694 FILLER_65_559
*4695 FILLER_65_561
*4696 FILLER_65_57
*4697 FILLER_65_573
*4698 FILLER_65_585
*4699 FILLER_65_597
*4700 FILLER_65_609
*4701 FILLER_65_615
*4702 FILLER_65_617
*4703 FILLER_65_69
*4704 FILLER_65_7
*4705 FILLER_65_81
*4706 FILLER_65_93
*4707 FILLER_66_109
*4708 FILLER_66_121
*4709 FILLER_66_133
*4710 FILLER_66_139
*4711 FILLER_66_141
*4712 FILLER_66_153
*4713 FILLER_66_165
*4714 FILLER_66_177
*4715 FILLER_66_189
*4716 FILLER_66_19
*4717 FILLER_66_195
*4718 FILLER_66_197
*4719 FILLER_66_209
*4720 FILLER_66_221
*4721 FILLER_66_233
*4722 FILLER_66_245
*4723 FILLER_66_251
*4724 FILLER_66_253
*4725 FILLER_66_265
*4726 FILLER_66_27
*4727 FILLER_66_277
*4728 FILLER_66_289
*4729 FILLER_66_29
*4730 FILLER_66_301
*4731 FILLER_66_307
*4732 FILLER_66_309
*4733 FILLER_66_321
*4734 FILLER_66_333
*4735 FILLER_66_345
*4736 FILLER_66_357
*4737 FILLER_66_363
*4738 FILLER_66_365
*4739 FILLER_66_377
*4740 FILLER_66_389
*4741 FILLER_66_401
*4742 FILLER_66_41
*4743 FILLER_66_413
*4744 FILLER_66_419
*4745 FILLER_66_421
*4746 FILLER_66_433
*4747 FILLER_66_445
*4748 FILLER_66_457
*4749 FILLER_66_469
*4750 FILLER_66_475
*4751 FILLER_66_477
*4752 FILLER_66_489
*4753 FILLER_66_501
*4754 FILLER_66_513
*4755 FILLER_66_525
*4756 FILLER_66_53
*4757 FILLER_66_531
*4758 FILLER_66_533
*4759 FILLER_66_545
*4760 FILLER_66_557
*4761 FILLER_66_569
*4762 FILLER_66_581
*4763 FILLER_66_587
*4764 FILLER_66_589
*4765 FILLER_66_601
*4766 FILLER_66_613
*4767 FILLER_66_65
*4768 FILLER_66_7
*4769 FILLER_66_77
*4770 FILLER_66_83
*4771 FILLER_66_85
*4772 FILLER_66_97
*4773 FILLER_67_105
*4774 FILLER_67_111
*4775 FILLER_67_113
*4776 FILLER_67_125
*4777 FILLER_67_137
*4778 FILLER_67_149
*4779 FILLER_67_161
*4780 FILLER_67_167
*4781 FILLER_67_169
*4782 FILLER_67_181
*4783 FILLER_67_19
*4784 FILLER_67_193
*4785 FILLER_67_205
*4786 FILLER_67_217
*4787 FILLER_67_223
*4788 FILLER_67_225
*4789 FILLER_67_237
*4790 FILLER_67_249
*4791 FILLER_67_261
*4792 FILLER_67_273
*4793 FILLER_67_279
*4794 FILLER_67_281
*4795 FILLER_67_293
*4796 FILLER_67_305
*4797 FILLER_67_31
*4798 FILLER_67_317
*4799 FILLER_67_329
*4800 FILLER_67_335
*4801 FILLER_67_337
*4802 FILLER_67_349
*4803 FILLER_67_361
*4804 FILLER_67_373
*4805 FILLER_67_385
*4806 FILLER_67_391
*4807 FILLER_67_393
*4808 FILLER_67_405
*4809 FILLER_67_417
*4810 FILLER_67_429
*4811 FILLER_67_43
*4812 FILLER_67_441
*4813 FILLER_67_447
*4814 FILLER_67_449
*4815 FILLER_67_461
*4816 FILLER_67_473
*4817 FILLER_67_485
*4818 FILLER_67_497
*4819 FILLER_67_503
*4820 FILLER_67_505
*4821 FILLER_67_517
*4822 FILLER_67_529
*4823 FILLER_67_541
*4824 FILLER_67_55
*4825 FILLER_67_553
*4826 FILLER_67_559
*4827 FILLER_67_561
*4828 FILLER_67_57
*4829 FILLER_67_573
*4830 FILLER_67_585
*4831 FILLER_67_597
*4832 FILLER_67_609
*4833 FILLER_67_615
*4834 FILLER_67_617
*4835 FILLER_67_69
*4836 FILLER_67_7
*4837 FILLER_67_81
*4838 FILLER_67_93
*4839 FILLER_68_109
*4840 FILLER_68_113
*4841 FILLER_68_125
*4842 FILLER_68_137
*4843 FILLER_68_141
*4844 FILLER_68_15
*4845 FILLER_68_153
*4846 FILLER_68_165
*4847 FILLER_68_169
*4848 FILLER_68_181
*4849 FILLER_68_193
*4850 FILLER_68_200
*4851 FILLER_68_212
*4852 FILLER_68_225
*4853 FILLER_68_23
*4854 FILLER_68_237
*4855 FILLER_68_249
*4856 FILLER_68_253
*4857 FILLER_68_265
*4858 FILLER_68_27
*4859 FILLER_68_276
*4860 FILLER_68_281
*4861 FILLER_68_293
*4862 FILLER_68_305
*4863 FILLER_68_309
*4864 FILLER_68_32
*4865 FILLER_68_321
*4866 FILLER_68_333
*4867 FILLER_68_337
*4868 FILLER_68_349
*4869 FILLER_68_361
*4870 FILLER_68_365
*4871 FILLER_68_377
*4872 FILLER_68_389
*4873 FILLER_68_393
*4874 FILLER_68_405
*4875 FILLER_68_417
*4876 FILLER_68_421
*4877 FILLER_68_433
*4878 FILLER_68_439
*4879 FILLER_68_44
*4880 FILLER_68_447
*4881 FILLER_68_449
*4882 FILLER_68_461
*4883 FILLER_68_473
*4884 FILLER_68_477
*4885 FILLER_68_489
*4886 FILLER_68_501
*4887 FILLER_68_505
*4888 FILLER_68_517
*4889 FILLER_68_521
*4890 FILLER_68_529
*4891 FILLER_68_533
*4892 FILLER_68_545
*4893 FILLER_68_557
*4894 FILLER_68_561
*4895 FILLER_68_57
*4896 FILLER_68_573
*4897 FILLER_68_585
*4898 FILLER_68_589
*4899 FILLER_68_597
*4900 FILLER_68_603
*4901 FILLER_68_615
*4902 FILLER_68_617
*4903 FILLER_68_69
*4904 FILLER_68_7
*4905 FILLER_68_81
*4906 FILLER_68_85
*4907 FILLER_68_97
*4908 FILLER_6_102
*4909 FILLER_6_114
*4910 FILLER_6_126
*4911 FILLER_6_138
*4912 FILLER_6_141
*4913 FILLER_6_145
*4914 FILLER_6_152
*4915 FILLER_6_162
*4916 FILLER_6_172
*4917 FILLER_6_182
*4918 FILLER_6_194
*4919 FILLER_6_197
*4920 FILLER_6_209
*4921 FILLER_6_221
*4922 FILLER_6_233
*4923 FILLER_6_24
*4924 FILLER_6_245
*4925 FILLER_6_251
*4926 FILLER_6_253
*4927 FILLER_6_265
*4928 FILLER_6_277
*4929 FILLER_6_289
*4930 FILLER_6_301
*4931 FILLER_6_307
*4932 FILLER_6_309
*4933 FILLER_6_32
*4934 FILLER_6_321
*4935 FILLER_6_333
*4936 FILLER_6_345
*4937 FILLER_6_357
*4938 FILLER_6_363
*4939 FILLER_6_365
*4940 FILLER_6_377
*4941 FILLER_6_389
*4942 FILLER_6_401
*4943 FILLER_6_413
*4944 FILLER_6_419
*4945 FILLER_6_421
*4946 FILLER_6_433
*4947 FILLER_6_44
*4948 FILLER_6_445
*4949 FILLER_6_457
*4950 FILLER_6_469
*4951 FILLER_6_475
*4952 FILLER_6_477
*4953 FILLER_6_489
*4954 FILLER_6_501
*4955 FILLER_6_513
*4956 FILLER_6_525
*4957 FILLER_6_531
*4958 FILLER_6_533
*4959 FILLER_6_545
*4960 FILLER_6_557
*4961 FILLER_6_56
*4962 FILLER_6_569
*4963 FILLER_6_581
*4964 FILLER_6_587
*4965 FILLER_6_589
*4966 FILLER_6_601
*4967 FILLER_6_613
*4968 FILLER_6_68
*4969 FILLER_6_7
*4970 FILLER_6_80
*4971 FILLER_6_85
*4972 FILLER_6_93
*4973 FILLER_7_108
*4974 FILLER_7_113
*4975 FILLER_7_125
*4976 FILLER_7_13
*4977 FILLER_7_137
*4978 FILLER_7_149
*4979 FILLER_7_160
*4980 FILLER_7_169
*4981 FILLER_7_17
*4982 FILLER_7_177
*4983 FILLER_7_182
*4984 FILLER_7_202
*4985 FILLER_7_21
*4986 FILLER_7_214
*4987 FILLER_7_222
*4988 FILLER_7_225
*4989 FILLER_7_237
*4990 FILLER_7_249
*4991 FILLER_7_261
*4992 FILLER_7_273
*4993 FILLER_7_279
*4994 FILLER_7_28
*4995 FILLER_7_281
*4996 FILLER_7_293
*4997 FILLER_7_305
*4998 FILLER_7_317
*4999 FILLER_7_329
*5000 FILLER_7_335
*5001 FILLER_7_337
*5002 FILLER_7_349
*5003 FILLER_7_361
*5004 FILLER_7_373
*5005 FILLER_7_385
*5006 FILLER_7_391
*5007 FILLER_7_393
*5008 FILLER_7_40
*5009 FILLER_7_405
*5010 FILLER_7_417
*5011 FILLER_7_429
*5012 FILLER_7_441
*5013 FILLER_7_447
*5014 FILLER_7_449
*5015 FILLER_7_461
*5016 FILLER_7_473
*5017 FILLER_7_485
*5018 FILLER_7_497
*5019 FILLER_7_503
*5020 FILLER_7_505
*5021 FILLER_7_517
*5022 FILLER_7_52
*5023 FILLER_7_529
*5024 FILLER_7_541
*5025 FILLER_7_553
*5026 FILLER_7_559
*5027 FILLER_7_561
*5028 FILLER_7_573
*5029 FILLER_7_585
*5030 FILLER_7_597
*5031 FILLER_7_609
*5032 FILLER_7_615
*5033 FILLER_7_617
*5034 FILLER_7_73
*5035 FILLER_7_85
*5036 FILLER_7_91
*5037 FILLER_8_103
*5038 FILLER_8_115
*5039 FILLER_8_134
*5040 FILLER_8_141
*5041 FILLER_8_149
*5042 FILLER_8_158
*5043 FILLER_8_166
*5044 FILLER_8_177
*5045 FILLER_8_19
*5046 FILLER_8_190
*5047 FILLER_8_197
*5048 FILLER_8_209
*5049 FILLER_8_221
*5050 FILLER_8_233
*5051 FILLER_8_245
*5052 FILLER_8_251
*5053 FILLER_8_253
*5054 FILLER_8_265
*5055 FILLER_8_27
*5056 FILLER_8_277
*5057 FILLER_8_289
*5058 FILLER_8_3
*5059 FILLER_8_301
*5060 FILLER_8_307
*5061 FILLER_8_309
*5062 FILLER_8_321
*5063 FILLER_8_333
*5064 FILLER_8_345
*5065 FILLER_8_35
*5066 FILLER_8_357
*5067 FILLER_8_363
*5068 FILLER_8_365
*5069 FILLER_8_377
*5070 FILLER_8_389
*5071 FILLER_8_401
*5072 FILLER_8_413
*5073 FILLER_8_419
*5074 FILLER_8_421
*5075 FILLER_8_433
*5076 FILLER_8_445
*5077 FILLER_8_457
*5078 FILLER_8_469
*5079 FILLER_8_475
*5080 FILLER_8_477
*5081 FILLER_8_489
*5082 FILLER_8_501
*5083 FILLER_8_513
*5084 FILLER_8_525
*5085 FILLER_8_53
*5086 FILLER_8_531
*5087 FILLER_8_533
*5088 FILLER_8_545
*5089 FILLER_8_557
*5090 FILLER_8_569
*5091 FILLER_8_581
*5092 FILLER_8_587
*5093 FILLER_8_589
*5094 FILLER_8_601
*5095 FILLER_8_613
*5096 FILLER_8_68
*5097 FILLER_8_76
*5098 FILLER_8_85
*5099 FILLER_8_90
*5100 FILLER_9_10
*5101 FILLER_9_102
*5102 FILLER_9_110
*5103 FILLER_9_113
*5104 FILLER_9_128
*5105 FILLER_9_142
*5106 FILLER_9_152
*5107 FILLER_9_16
*5108 FILLER_9_164
*5109 FILLER_9_169
*5110 FILLER_9_181
*5111 FILLER_9_193
*5112 FILLER_9_205
*5113 FILLER_9_217
*5114 FILLER_9_223
*5115 FILLER_9_225
*5116 FILLER_9_237
*5117 FILLER_9_24
*5118 FILLER_9_249
*5119 FILLER_9_261
*5120 FILLER_9_273
*5121 FILLER_9_279
*5122 FILLER_9_281
*5123 FILLER_9_293
*5124 FILLER_9_305
*5125 FILLER_9_317
*5126 FILLER_9_329
*5127 FILLER_9_335
*5128 FILLER_9_337
*5129 FILLER_9_349
*5130 FILLER_9_361
*5131 FILLER_9_373
*5132 FILLER_9_385
*5133 FILLER_9_391
*5134 FILLER_9_393
*5135 FILLER_9_405
*5136 FILLER_9_417
*5137 FILLER_9_429
*5138 FILLER_9_43
*5139 FILLER_9_441
*5140 FILLER_9_447
*5141 FILLER_9_449
*5142 FILLER_9_461
*5143 FILLER_9_473
*5144 FILLER_9_485
*5145 FILLER_9_497
*5146 FILLER_9_503
*5147 FILLER_9_505
*5148 FILLER_9_517
*5149 FILLER_9_52
*5150 FILLER_9_529
*5151 FILLER_9_541
*5152 FILLER_9_553
*5153 FILLER_9_559
*5154 FILLER_9_561
*5155 FILLER_9_573
*5156 FILLER_9_585
*5157 FILLER_9_597
*5158 FILLER_9_6
*5159 FILLER_9_609
*5160 FILLER_9_615
*5161 FILLER_9_617
*5162 FILLER_9_66
*5163 FILLER_9_78
*5164 FILLER_9_90
*5165 INSDIODE2_0
*5166 INSDIODE2_1
*5167 PHY_0
*5168 PHY_1
*5169 PHY_10
*5170 PHY_100
*5171 PHY_101
*5172 PHY_102
*5173 PHY_103
*5174 PHY_104
*5175 PHY_105
*5176 PHY_106
*5177 PHY_107
*5178 PHY_108
*5179 PHY_109
*5180 PHY_11
*5181 PHY_110
*5182 PHY_111
*5183 PHY_112
*5184 PHY_113
*5185 PHY_114
*5186 PHY_115
*5187 PHY_116
*5188 PHY_117
*5189 PHY_118
*5190 PHY_119
*5191 PHY_12
*5192 PHY_120
*5193 PHY_121
*5194 PHY_122
*5195 PHY_123
*5196 PHY_124
*5197 PHY_125
*5198 PHY_126
*5199 PHY_127
*5200 PHY_128
*5201 PHY_129
*5202 PHY_13
*5203 PHY_130
*5204 PHY_131
*5205 PHY_132
*5206 PHY_133
*5207 PHY_134
*5208 PHY_135
*5209 PHY_136
*5210 PHY_137
*5211 PHY_14
*5212 PHY_15
*5213 PHY_16
*5214 PHY_17
*5215 PHY_18
*5216 PHY_19
*5217 PHY_2
*5218 PHY_20
*5219 PHY_21
*5220 PHY_22
*5221 PHY_23
*5222 PHY_24
*5223 PHY_25
*5224 PHY_26
*5225 PHY_27
*5226 PHY_28
*5227 PHY_29
*5228 PHY_3
*5229 PHY_30
*5230 PHY_31
*5231 PHY_32
*5232 PHY_33
*5233 PHY_34
*5234 PHY_35
*5235 PHY_36
*5236 PHY_37
*5237 PHY_38
*5238 PHY_39
*5239 PHY_4
*5240 PHY_40
*5241 PHY_41
*5242 PHY_42
*5243 PHY_43
*5244 PHY_44
*5245 PHY_45
*5246 PHY_46
*5247 PHY_47
*5248 PHY_48
*5249 PHY_49
*5250 PHY_5
*5251 PHY_50
*5252 PHY_51
*5253 PHY_52
*5254 PHY_53
*5255 PHY_54
*5256 PHY_55
*5257 PHY_56
*5258 PHY_57
*5259 PHY_58
*5260 PHY_59
*5261 PHY_6
*5262 PHY_60
*5263 PHY_61
*5264 PHY_62
*5265 PHY_63
*5266 PHY_64
*5267 PHY_65
*5268 PHY_66
*5269 PHY_67
*5270 PHY_68
*5271 PHY_69
*5272 PHY_7
*5273 PHY_70
*5274 PHY_71
*5275 PHY_72
*5276 PHY_73
*5277 PHY_74
*5278 PHY_75
*5279 PHY_76
*5280 PHY_77
*5281 PHY_78
*5282 PHY_79
*5283 PHY_8
*5284 PHY_80
*5285 PHY_81
*5286 PHY_82
*5287 PHY_83
*5288 PHY_84
*5289 PHY_85
*5290 PHY_86
*5291 PHY_87
*5292 PHY_88
*5293 PHY_89
*5294 PHY_9
*5295 PHY_90
*5296 PHY_91
*5297 PHY_92
*5298 PHY_93
*5299 PHY_94
*5300 PHY_95
*5301 PHY_96
*5302 PHY_97
*5303 PHY_98
*5304 PHY_99
*5305 TAP_138
*5306 TAP_139
*5307 TAP_140
*5308 TAP_141
*5309 TAP_142
*5310 TAP_143
*5311 TAP_144
*5312 TAP_145
*5313 TAP_146
*5314 TAP_147
*5315 TAP_148
*5316 TAP_149
*5317 TAP_150
*5318 TAP_151
*5319 TAP_152
*5320 TAP_153
*5321 TAP_154
*5322 TAP_155
*5323 TAP_156
*5324 TAP_157
*5325 TAP_158
*5326 TAP_159
*5327 TAP_160
*5328 TAP_161
*5329 TAP_162
*5330 TAP_163
*5331 TAP_164
*5332 TAP_165
*5333 TAP_166
*5334 TAP_167
*5335 TAP_168
*5336 TAP_169
*5337 TAP_170
*5338 TAP_171
*5339 TAP_172
*5340 TAP_173
*5341 TAP_174
*5342 TAP_175
*5343 TAP_176
*5344 TAP_177
*5345 TAP_178
*5346 TAP_179
*5347 TAP_180
*5348 TAP_181
*5349 TAP_182
*5350 TAP_183
*5351 TAP_184
*5352 TAP_185
*5353 TAP_186
*5354 TAP_187
*5355 TAP_188
*5356 TAP_189
*5357 TAP_190
*5358 TAP_191
*5359 TAP_192
*5360 TAP_193
*5361 TAP_194
*5362 TAP_195
*5363 TAP_196
*5364 TAP_197
*5365 TAP_198
*5366 TAP_199
*5367 TAP_200
*5368 TAP_201
*5369 TAP_202
*5370 TAP_203
*5371 TAP_204
*5372 TAP_205
*5373 TAP_206
*5374 TAP_207
*5375 TAP_208
*5376 TAP_209
*5377 TAP_210
*5378 TAP_211
*5379 TAP_212
*5380 TAP_213
*5381 TAP_214
*5382 TAP_215
*5383 TAP_216
*5384 TAP_217
*5385 TAP_218
*5386 TAP_219
*5387 TAP_220
*5388 TAP_221
*5389 TAP_222
*5390 TAP_223
*5391 TAP_224
*5392 TAP_225
*5393 TAP_226
*5394 TAP_227
*5395 TAP_228
*5396 TAP_229
*5397 TAP_230
*5398 TAP_231
*5399 TAP_232
*5400 TAP_233
*5401 TAP_234
*5402 TAP_235
*5403 TAP_236
*5404 TAP_237
*5405 TAP_238
*5406 TAP_239
*5407 TAP_240
*5408 TAP_241
*5409 TAP_242
*5410 TAP_243
*5411 TAP_244
*5412 TAP_245
*5413 TAP_246
*5414 TAP_247
*5415 TAP_248
*5416 TAP_249
*5417 TAP_250
*5418 TAP_251
*5419 TAP_252
*5420 TAP_253
*5421 TAP_254
*5422 TAP_255
*5423 TAP_256
*5424 TAP_257
*5425 TAP_258
*5426 TAP_259
*5427 TAP_260
*5428 TAP_261
*5429 TAP_262
*5430 TAP_263
*5431 TAP_264
*5432 TAP_265
*5433 TAP_266
*5434 TAP_267
*5435 TAP_268
*5436 TAP_269
*5437 TAP_270
*5438 TAP_271
*5439 TAP_272
*5440 TAP_273
*5441 TAP_274
*5442 TAP_275
*5443 TAP_276
*5444 TAP_277
*5445 TAP_278
*5446 TAP_279
*5447 TAP_280
*5448 TAP_281
*5449 TAP_282
*5450 TAP_283
*5451 TAP_284
*5452 TAP_285
*5453 TAP_286
*5454 TAP_287
*5455 TAP_288
*5456 TAP_289
*5457 TAP_290
*5458 TAP_291
*5459 TAP_292
*5460 TAP_293
*5461 TAP_294
*5462 TAP_295
*5463 TAP_296
*5464 TAP_297
*5465 TAP_298
*5466 TAP_299
*5467 TAP_300
*5468 TAP_301
*5469 TAP_302
*5470 TAP_303
*5471 TAP_304
*5472 TAP_305
*5473 TAP_306
*5474 TAP_307
*5475 TAP_308
*5476 TAP_309
*5477 TAP_310
*5478 TAP_311
*5479 TAP_312
*5480 TAP_313
*5481 TAP_314
*5482 TAP_315
*5483 TAP_316
*5484 TAP_317
*5485 TAP_318
*5486 TAP_319
*5487 TAP_320
*5488 TAP_321
*5489 TAP_322
*5490 TAP_323
*5491 TAP_324
*5492 TAP_325
*5493 TAP_326
*5494 TAP_327
*5495 TAP_328
*5496 TAP_329
*5497 TAP_330
*5498 TAP_331
*5499 TAP_332
*5500 TAP_333
*5501 TAP_334
*5502 TAP_335
*5503 TAP_336
*5504 TAP_337
*5505 TAP_338
*5506 TAP_339
*5507 TAP_340
*5508 TAP_341
*5509 TAP_342
*5510 TAP_343
*5511 TAP_344
*5512 TAP_345
*5513 TAP_346
*5514 TAP_347
*5515 TAP_348
*5516 TAP_349
*5517 TAP_350
*5518 TAP_351
*5519 TAP_352
*5520 TAP_353
*5521 TAP_354
*5522 TAP_355
*5523 TAP_356
*5524 TAP_357
*5525 TAP_358
*5526 TAP_359
*5527 TAP_360
*5528 TAP_361
*5529 TAP_362
*5530 TAP_363
*5531 TAP_364
*5532 TAP_365
*5533 TAP_366
*5534 TAP_367
*5535 TAP_368
*5536 TAP_369
*5537 TAP_370
*5538 TAP_371
*5539 TAP_372
*5540 TAP_373
*5541 TAP_374
*5542 TAP_375
*5543 TAP_376
*5544 TAP_377
*5545 TAP_378
*5546 TAP_379
*5547 TAP_380
*5548 TAP_381
*5549 TAP_382
*5550 TAP_383
*5551 TAP_384
*5552 TAP_385
*5553 TAP_386
*5554 TAP_387
*5555 TAP_388
*5556 TAP_389
*5557 TAP_390
*5558 TAP_391
*5559 TAP_392
*5560 TAP_393
*5561 TAP_394
*5562 TAP_395
*5563 TAP_396
*5564 TAP_397
*5565 TAP_398
*5566 TAP_399
*5567 TAP_400
*5568 TAP_401
*5569 TAP_402
*5570 TAP_403
*5571 TAP_404
*5572 TAP_405
*5573 TAP_406
*5574 TAP_407
*5575 TAP_408
*5576 TAP_409
*5577 TAP_410
*5578 TAP_411
*5579 TAP_412
*5580 TAP_413
*5581 TAP_414
*5582 TAP_415
*5583 TAP_416
*5584 TAP_417
*5585 TAP_418
*5586 TAP_419
*5587 TAP_420
*5588 TAP_421
*5589 TAP_422
*5590 TAP_423
*5591 TAP_424
*5592 TAP_425
*5593 TAP_426
*5594 TAP_427
*5595 TAP_428
*5596 TAP_429
*5597 TAP_430
*5598 TAP_431
*5599 TAP_432
*5600 TAP_433
*5601 TAP_434
*5602 TAP_435
*5603 TAP_436
*5604 TAP_437
*5605 TAP_438
*5606 TAP_439
*5607 TAP_440
*5608 TAP_441
*5609 TAP_442
*5610 TAP_443
*5611 TAP_444
*5612 TAP_445
*5613 TAP_446
*5614 TAP_447
*5615 TAP_448
*5616 TAP_449
*5617 TAP_450
*5618 TAP_451
*5619 TAP_452
*5620 TAP_453
*5621 TAP_454
*5622 TAP_455
*5623 TAP_456
*5624 TAP_457
*5625 TAP_458
*5626 TAP_459
*5627 TAP_460
*5628 TAP_461
*5629 TAP_462
*5630 TAP_463
*5631 TAP_464
*5632 TAP_465
*5633 TAP_466
*5634 TAP_467
*5635 TAP_468
*5636 TAP_469
*5637 TAP_470
*5638 TAP_471
*5639 TAP_472
*5640 TAP_473
*5641 TAP_474
*5642 TAP_475
*5643 TAP_476
*5644 TAP_477
*5645 TAP_478
*5646 TAP_479
*5647 TAP_480
*5648 TAP_481
*5649 TAP_482
*5650 TAP_483
*5651 TAP_484
*5652 TAP_485
*5653 TAP_486
*5654 TAP_487
*5655 TAP_488
*5656 TAP_489
*5657 TAP_490
*5658 TAP_491
*5659 TAP_492
*5660 TAP_493
*5661 TAP_494
*5662 TAP_495
*5663 TAP_496
*5664 TAP_497
*5665 TAP_498
*5666 TAP_499
*5667 TAP_500
*5668 TAP_501
*5669 TAP_502
*5670 TAP_503
*5671 TAP_504
*5672 TAP_505
*5673 TAP_506
*5674 TAP_507
*5675 TAP_508
*5676 TAP_509
*5677 TAP_510
*5678 TAP_511
*5679 TAP_512
*5680 TAP_513
*5681 TAP_514
*5682 TAP_515
*5683 TAP_516
*5684 TAP_517
*5685 TAP_518
*5686 TAP_519
*5687 TAP_520
*5688 TAP_521
*5689 TAP_522
*5690 TAP_523
*5691 TAP_524
*5692 TAP_525
*5693 TAP_526
*5694 TAP_527
*5695 TAP_528
*5696 TAP_529
*5697 TAP_530
*5698 TAP_531
*5699 TAP_532
*5700 TAP_533
*5701 TAP_534
*5702 TAP_535
*5703 TAP_536
*5704 TAP_537
*5705 TAP_538
*5706 TAP_539
*5707 TAP_540
*5708 TAP_541
*5709 TAP_542
*5710 TAP_543
*5711 TAP_544
*5712 TAP_545
*5713 TAP_546
*5714 TAP_547
*5715 TAP_548
*5716 TAP_549
*5717 TAP_550
*5718 TAP_551
*5719 TAP_552
*5720 TAP_553
*5721 TAP_554
*5722 TAP_555
*5723 TAP_556
*5724 TAP_557
*5725 TAP_558
*5726 TAP_559
*5727 TAP_560
*5728 TAP_561
*5729 TAP_562
*5730 TAP_563
*5731 TAP_564
*5732 TAP_565
*5733 TAP_566
*5734 TAP_567
*5735 TAP_568
*5736 TAP_569
*5737 TAP_570
*5738 TAP_571
*5739 TAP_572
*5740 TAP_573
*5741 TAP_574
*5742 TAP_575
*5743 TAP_576
*5744 TAP_577
*5745 TAP_578
*5746 TAP_579
*5747 TAP_580
*5748 TAP_581
*5749 TAP_582
*5750 TAP_583
*5751 TAP_584
*5752 TAP_585
*5753 TAP_586
*5754 TAP_587
*5755 TAP_588
*5756 TAP_589
*5757 TAP_590
*5758 TAP_591
*5759 TAP_592
*5760 TAP_593
*5761 TAP_594
*5762 TAP_595
*5763 TAP_596
*5764 TAP_597
*5765 TAP_598
*5766 TAP_599
*5767 TAP_600
*5768 TAP_601
*5769 TAP_602
*5770 TAP_603
*5771 TAP_604
*5772 TAP_605
*5773 TAP_606
*5774 TAP_607
*5775 TAP_608
*5776 TAP_609
*5777 TAP_610
*5778 TAP_611
*5779 TAP_612
*5780 TAP_613
*5781 TAP_614
*5782 TAP_615
*5783 TAP_616
*5784 TAP_617
*5785 TAP_618
*5786 TAP_619
*5787 TAP_620
*5788 TAP_621
*5789 TAP_622
*5790 TAP_623
*5791 TAP_624
*5792 TAP_625
*5793 TAP_626
*5794 TAP_627
*5795 TAP_628
*5796 TAP_629
*5797 TAP_630
*5798 TAP_631
*5799 TAP_632
*5800 TAP_633
*5801 TAP_634
*5802 TAP_635
*5803 TAP_636
*5804 TAP_637
*5805 TAP_638
*5806 TAP_639
*5807 TAP_640
*5808 TAP_641
*5809 TAP_642
*5810 TAP_643
*5811 TAP_644
*5812 TAP_645
*5813 TAP_646
*5814 TAP_647
*5815 TAP_648
*5816 TAP_649
*5817 TAP_650
*5818 TAP_651
*5819 TAP_652
*5820 TAP_653
*5821 TAP_654
*5822 TAP_655
*5823 TAP_656
*5824 TAP_657
*5825 TAP_658
*5826 TAP_659
*5827 TAP_660
*5828 TAP_661
*5829 TAP_662
*5830 TAP_663
*5831 TAP_664
*5832 TAP_665
*5833 TAP_666
*5834 TAP_667
*5835 TAP_668
*5836 TAP_669
*5837 TAP_670
*5838 TAP_671
*5839 TAP_672
*5840 TAP_673
*5841 TAP_674
*5842 TAP_675
*5843 TAP_676
*5844 TAP_677
*5845 TAP_678
*5846 TAP_679
*5847 TAP_680
*5848 TAP_681
*5849 TAP_682
*5850 TAP_683
*5851 TAP_684
*5852 TAP_685
*5853 TAP_686
*5854 TAP_687
*5855 TAP_688
*5856 TAP_689
*5857 TAP_690
*5858 TAP_691
*5859 TAP_692
*5860 TAP_693
*5861 TAP_694
*5862 TAP_695
*5863 TAP_696
*5864 TAP_697
*5865 TAP_698
*5866 TAP_699
*5867 TAP_700
*5868 TAP_701
*5869 TAP_702
*5870 TAP_703
*5871 TAP_704
*5872 TAP_705
*5873 TAP_706
*5874 TAP_707
*5875 TAP_708
*5876 TAP_709
*5877 TAP_710
*5878 TAP_711
*5879 TAP_712
*5880 TAP_713
*5881 TAP_714
*5882 TAP_715
*5883 TAP_716
*5884 TAP_717
*5885 TAP_718
*5886 TAP_719
*5887 TAP_720
*5888 TAP_721
*5889 TAP_722
*5890 TAP_723
*5891 TAP_724
*5892 TAP_725
*5893 TAP_726
*5894 TAP_727
*5895 TAP_728
*5896 TAP_729
*5897 TAP_730
*5898 TAP_731
*5899 TAP_732
*5900 TAP_733
*5901 TAP_734
*5902 TAP_735
*5903 TAP_736
*5904 TAP_737
*5905 TAP_738
*5906 TAP_739
*5907 TAP_740
*5908 TAP_741
*5909 TAP_742
*5910 TAP_743
*5911 TAP_744
*5912 TAP_745
*5913 TAP_746
*5914 TAP_747
*5915 TAP_748
*5916 TAP_749
*5917 TAP_750
*5918 TAP_751
*5919 TAP_752
*5920 TAP_753
*5921 TAP_754
*5922 TAP_755
*5923 TAP_756
*5924 TAP_757
*5925 TAP_758
*5926 TAP_759
*5927 TAP_760
*5928 TAP_761
*5929 TAP_762
*5930 TAP_763
*5931 TAP_764
*5932 TAP_765
*5933 TAP_766
*5934 TAP_767
*5935 TAP_768
*5936 TAP_769
*5937 TAP_770
*5938 TAP_771
*5939 TAP_772
*5940 TAP_773
*5941 TAP_774
*5942 TAP_775
*5943 TAP_776
*5944 TAP_777
*5945 TAP_778
*5946 TAP_779
*5947 TAP_780
*5948 TAP_781
*5949 TAP_782
*5950 TAP_783
*5951 TAP_784
*5952 TAP_785
*5953 TAP_786
*5954 TAP_787
*5955 TAP_788
*5956 TAP_789
*5957 TAP_790
*5958 TAP_791
*5959 TAP_792
*5960 TAP_793
*5961 TAP_794
*5962 TAP_795
*5963 TAP_796
*5964 TAP_797
*5965 TAP_798
*5966 TAP_799
*5967 TAP_800
*5968 TAP_801
*5969 TAP_802
*5970 TAP_803
*5971 TAP_804
*5972 TAP_805
*5973 TAP_806
*5974 TAP_807
*5975 TAP_808
*5976 TAP_809
*5977 TAP_810
*5978 TAP_811
*5979 TAP_812
*5980 TAP_813
*5981 TAP_814
*5982 TAP_815
*5983 TAP_816
*5984 TAP_817
*5985 TAP_818
*5986 TAP_819
*5987 TAP_820
*5988 TAP_821
*5989 TAP_822
*5990 TAP_823
*5991 TAP_824
*5992 TAP_825
*5993 TAP_826
*5994 TAP_827
*5995 TAP_828
*5996 TAP_829
*5997 TAP_830
*5998 TAP_831
*5999 TAP_832
*6000 TAP_833
*6001 TAP_834
*6002 TAP_835
*6003 TAP_836
*6004 TAP_837
*6005 TAP_838
*6006 TAP_839
*6007 TAP_840
*6008 TAP_841
*6009 TAP_842
*6010 TAP_843
*6011 TAP_844
*6012 TAP_845
*6013 TAP_846
*6014 TAP_847
*6015 TAP_848
*6016 TAP_849
*6017 TAP_850
*6018 TAP_851
*6019 TAP_852
*6020 TAP_853
*6021 TAP_854
*6022 TAP_855
*6023 TAP_856
*6024 TAP_857
*6025 TAP_858
*6026 TAP_859
*6027 TAP_860
*6028 TAP_861
*6029 TAP_862
*6030 TAP_863
*6031 TAP_864
*6032 TAP_865
*6033 TAP_866
*6034 TAP_867
*6035 TAP_868
*6036 TAP_869
*6037 TAP_870
*6038 TAP_871
*6039 TAP_872
*6040 TAP_873
*6041 TAP_874
*6042 TAP_875
*6043 TAP_876
*6044 TAP_877
*6045 TAP_878
*6046 TAP_879
*6047 TAP_880
*6048 TAP_881
*6049 TAP_882
*6050 TAP_883
*6051 TAP_884
*6052 TAP_885
*6053 TAP_886
*6054 TAP_887
*6055 TAP_888
*6056 TAP_889
*6057 TAP_890
*6058 TAP_891
*6059 TAP_892
*6060 TAP_893
*6061 TAP_894
*6062 TAP_895
*6063 TAP_896
*6064 TAP_897
*6065 TAP_898
*6066 TAP_899
*6067 TAP_900
*6068 TAP_901
*6069 TAP_902
*6070 TAP_903
*6071 TAP_904
*6072 TAP_905
*6073 TAP_906
*6074 TAP_907
*6075 TAP_908
*6076 TAP_909
*6077 TAP_910
*6078 TAP_911
*6079 TAP_912
*6080 TAP_913
*6081 TAP_914
*6082 TAP_915
*6083 TAP_916
*6084 TAP_917
*6085 TAP_918
*6086 _220_
*6087 _221_
*6088 _222_
*6089 _223_
*6090 _224_
*6091 _225_
*6092 _226_
*6093 _227_
*6094 _228_
*6095 _229_
*6096 _230_
*6097 _231_
*6098 _232_
*6099 _233_
*6100 _234_
*6101 _235_
*6102 _236_
*6103 _237_
*6104 _238_
*6105 _239_
*6106 _240_
*6107 _241_
*6108 _242_
*6109 _243_
*6110 _244_
*6111 _245_
*6112 _246_
*6113 _247_
*6114 _248_
*6115 _249_
*6116 _250_
*6117 _251_
*6118 _252_
*6119 _253_
*6120 _254_
*6121 _255_
*6122 _256_
*6123 _257_
*6124 _258_
*6125 _259_
*6126 _260_
*6127 _261_
*6128 _262_
*6129 _263_
*6130 _264_
*6131 _265_
*6132 _266_
*6133 _267_
*6134 _268_
*6135 _269_
*6136 _270_
*6137 _271_
*6138 _272_
*6139 _273_
*6140 _274_
*6141 _275_
*6142 _276_
*6143 _277_
*6144 _278_
*6145 _279_
*6146 _280_
*6147 _281_
*6148 _282_
*6149 _283_
*6150 _284_
*6151 _285_
*6152 _286_
*6153 _287_
*6154 _288_
*6155 _289_
*6156 _290_
*6157 _291_
*6158 _292_
*6159 _293_
*6160 _294_
*6161 _295_
*6162 _296_
*6163 _297_
*6164 _298_
*6165 _299_
*6166 _300_
*6167 _301_
*6168 _302_
*6169 _303_
*6170 _304_
*6171 _305_
*6172 _306_
*6173 _307_
*6174 _308_
*6175 _309_
*6176 _310_
*6177 _311_
*6178 _312_
*6179 _313_
*6180 _314_
*6181 _315_
*6182 _316_
*6183 _317_
*6184 _318_
*6185 _319_
*6186 _320_
*6187 _321_
*6188 _322_
*6189 _323_
*6190 _324_
*6191 _325_
*6192 _326_
*6193 _327_
*6194 _328_
*6195 _329_
*6196 _330_
*6197 _331_
*6198 _332_
*6199 _333_
*6200 _334_
*6201 _335_
*6202 _336_
*6203 _337_
*6204 _338_
*6205 _339_
*6206 _340_
*6207 _341_
*6208 _342_
*6209 _343_
*6210 _344_
*6211 _345_
*6212 _346_
*6213 _347_
*6214 _348_
*6215 _349_
*6216 _350_
*6217 _351_
*6218 _352_
*6219 _353_
*6220 _354_
*6221 _355_
*6222 _356_
*6223 _357_
*6224 _358_
*6225 _359_
*6226 _360_
*6227 _361_
*6228 _362_
*6229 _363_
*6230 _364_
*6231 _365_
*6232 _366_
*6233 _367_
*6234 _368_
*6235 _369_
*6236 _370_
*6237 _371_
*6238 _372_
*6239 _373_
*6240 _374_
*6241 _375_
*6242 _376_
*6243 _377_
*6244 _378_
*6245 _379_
*6246 _380_
*6247 _381_
*6248 _382_
*6249 _383_
*6250 _384_
*6251 _385_
*6252 _386_
*6253 _387_
*6254 _388_
*6255 _389_
*6256 _390_
*6257 _391_
*6258 _392_
*6259 _393_
*6260 _394_
*6261 _395_
*6262 _396_
*6263 _397_
*6264 _398_
*6265 _399_
*6266 _400_
*6267 _401_
*6268 _402_
*6269 _403_
*6270 _404_
*6271 _405_
*6272 _406_
*6273 _407_
*6274 _408_
*6275 _409_
*6276 _410_
*6277 _411_
*6278 _412_
*6279 _413_
*6280 _414_
*6281 _415_
*6282 _416_
*6283 _417_
*6284 _418_
*6285 _419_
*6286 _420_
*6287 _421_
*6288 _422_
*6289 _423_
*6290 _424_
*6291 _425_
*6292 _426_
*6293 _427_
*6294 _428_
*6295 _429_
*6296 _430_
*6297 _431_
*6298 _432_
*6299 _433_
*6300 _434_
*6301 _435_
*6302 _436_
*6303 _437_
*6304 _438_
*6305 _439_
*6306 _440_
*6307 _441_
*6308 _442_
*6309 _443_
*6310 _444_
*6311 _445_
*6312 _446_
*6313 _447_
*6314 _448_
*6315 _449_
*6316 _450_
*6317 _451_
*6318 _452_
*6319 _453_
*6320 _454_
*6321 _455_
*6322 _456_
*6323 _457_
*6324 _458_
*6325 _459_
*6326 _460_
*6327 _461_
*6328 _462_
*6329 _463_
*6330 _464_
*6331 _465_
*6332 _466_
*6333 _467_
*6334 _468_
*6335 _469_
*6336 _470_
*6337 _471_
*6338 _472_
*6339 _473_
*6340 _474_
*6341 _475_
*6342 _476_
*6343 _477_
*6344 _478_
*6345 _479_
*6346 _480_
*6347 _481_
*6348 _482_
*6349 _483_
*6350 _484_
*6351 _485_
*6352 _486_
*6353 _487_
*6354 _488_
*6355 _489_
*6356 _490_
*6357 _491_
*6358 _492_
*6359 _493_
*6360 _494_
*6361 _495_
*6362 _496_
*6363 _497_
*6364 _498_
*6365 _499_
*6366 _500_
*6367 _501_
*6368 _502_
*6369 _503_
*6370 _504_
*6371 _505_
*6372 _506_
*6373 _507_
*6374 _508_
*6375 _509_
*6376 _510_
*6377 _511_
*6378 _512_
*6379 _513__157
*6380 _514__158
*6381 _515__159
*6382 _516__160
*6383 _517__161
*6384 _518__162
*6385 _519__163
*6386 _520__111
*6387 _521__112
*6388 _522__113
*6389 _523__114
*6390 _524__115
*6391 _525__116
*6392 _526__117
*6393 _527__118
*6394 _528__119
*6395 _529__120
*6396 _530__121
*6397 _531__122
*6398 _532__123
*6399 _533__124
*6400 _534__125
*6401 _535__126
*6402 _536__127
*6403 _537__128
*6404 _538__129
*6405 _539__130
*6406 _540__131
*6407 _541__132
*6408 _542__133
*6409 _543__134
*6410 _544__135
*6411 _545__136
*6412 _546__137
*6413 _547__138
*6414 _548__139
*6415 _549__140
*6416 _550__141
*6417 _551__142
*6418 _552__143
*6419 _553__144
*6420 _554__145
*6421 _555__146
*6422 _556__147
*6423 _557__148
*6424 _558__149
*6425 _559__150
*6426 _560__151
*6427 _561__152
*6428 _562__153
*6429 _563__154
*6430 _564__155
*6431 _565__156
*6432 _566_
*6433 _567_
*6434 _568_
*6435 input1
*6436 input10
*6437 input11
*6438 input12
*6439 input13
*6440 input14
*6441 input15
*6442 input16
*6443 input17
*6444 input18
*6445 input19
*6446 input2
*6447 input20
*6448 input21
*6449 input22
*6450 input23
*6451 input24
*6452 input25
*6453 input26
*6454 input27
*6455 input28
*6456 input29
*6457 input3
*6458 input30
*6459 input31
*6460 input32
*6461 input33
*6462 input34
*6463 input35
*6464 input36
*6465 input37
*6466 input38
*6467 input39
*6468 input4
*6469 input40
*6470 input41
*6471 input42
*6472 input43
*6473 input44
*6474 input45
*6475 input46
*6476 input47
*6477 input48
*6478 input49
*6479 input5
*6480 input50
*6481 input51
*6482 input52
*6483 input53
*6484 input54
*6485 input55
*6486 input56
*6487 input57
*6488 input58
*6489 input59
*6490 input6
*6491 input60
*6492 input61
*6493 input62
*6494 input63
*6495 input7
*6496 input8
*6497 input9
*6498 output100
*6499 output101
*6500 output102
*6501 output103
*6502 output104
*6503 output105
*6504 output106
*6505 output107
*6506 output108
*6507 output109
*6508 output110
*6509 output64
*6510 output65
*6511 output66
*6512 output67
*6513 output68
*6514 output69
*6515 output70
*6516 output71
*6517 output72
*6518 output73
*6519 output74
*6520 output75
*6521 output76
*6522 output77
*6523 output78
*6524 output79
*6525 output80
*6526 output81
*6527 output82
*6528 output83
*6529 output84
*6530 output85
*6531 output86
*6532 output87
*6533 output88
*6534 output89
*6535 output90
*6536 output91
*6537 output92
*6538 output93
*6539 output94
*6540 output95
*6541 output96
*6542 output97
*6543 output98
*6544 output99
*PORTS
flash_csb O
flash_io0_read I
flash_io0_we O
flash_io0_write O
flash_io1_read I
flash_io1_we O
flash_io1_write O
flash_sck O
sram_addr0[0] O
sram_addr0[1] O
sram_addr0[2] O
sram_addr0[3] O
sram_addr0[4] O
sram_addr0[5] O
sram_addr0[6] O
sram_addr0[7] O
sram_addr0[8] O
sram_addr1[0] O
sram_addr1[1] O
sram_addr1[2] O
sram_addr1[3] O
sram_addr1[4] O
sram_addr1[5] O
sram_addr1[6] O
sram_addr1[7] O
sram_addr1[8] O
sram_clk0 O
sram_clk1 O
sram_csb0 O
sram_csb1 O
sram_din0[0] O
sram_din0[10] O
sram_din0[11] O
sram_din0[12] O
sram_din0[13] O
sram_din0[14] O
sram_din0[15] O
sram_din0[16] O
sram_din0[17] O
sram_din0[18] O
sram_din0[19] O
sram_din0[1] O
sram_din0[20] O
sram_din0[21] O
sram_din0[22] O
sram_din0[23] O
sram_din0[24] O
sram_din0[25] O
sram_din0[26] O
sram_din0[27] O
sram_din0[28] O
sram_din0[29] O
sram_din0[2] O
sram_din0[30] O
sram_din0[31] O
sram_din0[3] O
sram_din0[4] O
sram_din0[5] O
sram_din0[6] O
sram_din0[7] O
sram_din0[8] O
sram_din0[9] O
sram_dout0[0] I
sram_dout0[10] I
sram_dout0[11] I
sram_dout0[12] I
sram_dout0[13] I
sram_dout0[14] I
sram_dout0[15] I
sram_dout0[16] I
sram_dout0[17] I
sram_dout0[18] I
sram_dout0[19] I
sram_dout0[1] I
sram_dout0[20] I
sram_dout0[21] I
sram_dout0[22] I
sram_dout0[23] I
sram_dout0[24] I
sram_dout0[25] I
sram_dout0[26] I
sram_dout0[27] I
sram_dout0[28] I
sram_dout0[29] I
sram_dout0[2] I
sram_dout0[30] I
sram_dout0[31] I
sram_dout0[3] I
sram_dout0[4] I
sram_dout0[5] I
sram_dout0[6] I
sram_dout0[7] I
sram_dout0[8] I
sram_dout0[9] I
sram_dout1[0] I
sram_dout1[10] I
sram_dout1[11] I
sram_dout1[12] I
sram_dout1[13] I
sram_dout1[14] I
sram_dout1[15] I
sram_dout1[16] I
sram_dout1[17] I
sram_dout1[18] I
sram_dout1[19] I
sram_dout1[1] I
sram_dout1[20] I
sram_dout1[21] I
sram_dout1[22] I
sram_dout1[23] I
sram_dout1[24] I
sram_dout1[25] I
sram_dout1[26] I
sram_dout1[27] I
sram_dout1[28] I
sram_dout1[29] I
sram_dout1[2] I
sram_dout1[30] I
sram_dout1[31] I
sram_dout1[3] I
sram_dout1[4] I
sram_dout1[5] I
sram_dout1[6] I
sram_dout1[7] I
sram_dout1[8] I
sram_dout1[9] I
sram_web0 O
sram_wmask0[0] O
sram_wmask0[1] O
sram_wmask0[2] O
sram_wmask0[3] O
wb_ack_o O
wb_adr_i[0] I
wb_adr_i[10] I
wb_adr_i[11] I
wb_adr_i[12] I
wb_adr_i[13] I
wb_adr_i[14] I
wb_adr_i[15] I
wb_adr_i[16] I
wb_adr_i[17] I
wb_adr_i[18] I
wb_adr_i[19] I
wb_adr_i[1] I
wb_adr_i[20] I
wb_adr_i[21] I
wb_adr_i[22] I
wb_adr_i[23] I
wb_adr_i[2] I
wb_adr_i[3] I
wb_adr_i[4] I
wb_adr_i[5] I
wb_adr_i[6] I
wb_adr_i[7] I
wb_adr_i[8] I
wb_adr_i[9] I
wb_clk_i I
wb_cyc_i I
wb_data_i[0] I
wb_data_i[10] I
wb_data_i[11] I
wb_data_i[12] I
wb_data_i[13] I
wb_data_i[14] I
wb_data_i[15] I
wb_data_i[16] I
wb_data_i[17] I
wb_data_i[18] I
wb_data_i[19] I
wb_data_i[1] I
wb_data_i[20] I
wb_data_i[21] I
wb_data_i[22] I
wb_data_i[23] I
wb_data_i[24] I
wb_data_i[25] I
wb_data_i[26] I
wb_data_i[27] I
wb_data_i[28] I
wb_data_i[29] I
wb_data_i[2] I
wb_data_i[30] I
wb_data_i[31] I
wb_data_i[3] I
wb_data_i[4] I
wb_data_i[5] I
wb_data_i[6] I
wb_data_i[7] I
wb_data_i[8] I
wb_data_i[9] I
wb_data_o[0] O
wb_data_o[10] O
wb_data_o[11] O
wb_data_o[12] O
wb_data_o[13] O
wb_data_o[14] O
wb_data_o[15] O
wb_data_o[16] O
wb_data_o[17] O
wb_data_o[18] O
wb_data_o[19] O
wb_data_o[1] O
wb_data_o[20] O
wb_data_o[21] O
wb_data_o[22] O
wb_data_o[23] O
wb_data_o[24] O
wb_data_o[25] O
wb_data_o[26] O
wb_data_o[27] O
wb_data_o[28] O
wb_data_o[29] O
wb_data_o[2] O
wb_data_o[30] O
wb_data_o[31] O
wb_data_o[3] O
wb_data_o[4] O
wb_data_o[5] O
wb_data_o[6] O
wb_data_o[7] O
wb_data_o[8] O
wb_data_o[9] O
wb_error_o O
wb_rst_i I
wb_sel_i[0] I
wb_sel_i[1] I
wb_sel_i[2] I
wb_sel_i[3] I
wb_stall_o O
wb_stb_i I
wb_we_i I
*D_NET *1 0.00101485
*CONN
*P flash_csb O
*I *6386:LO O *D sky130_fd_sc_hd__conb_1
*CAP
1 flash_csb 0.000507427
2 *6386:LO 0.000507427
3 flash_csb wb_data_o[30] 0
4 flash_csb wb_data_o[31] 0
*RES
1 *6386:LO flash_csb 25.8409
*END
*D_NET *3 0.00111134
*CONN
*P flash_io0_we O
*I *6379:HI O *D sky130_fd_sc_hd__conb_1
*CAP
1 flash_io0_we 0.000555668
2 *6379:HI 0.000555668
*RES
1 *6379:HI flash_io0_we 28.3352
*END
*D_NET *4 0.000988674
*CONN
*P flash_io0_write O
*I *6387:LO O *D sky130_fd_sc_hd__conb_1
*CAP
1 flash_io0_write 0.000490158
2 *6387:LO 0.000490158
3 flash_io0_write *526:9 8.35699e-06
*RES
1 *6387:LO flash_io0_write 26.1901
*END
*D_NET *6 0.000882484
*CONN
*P flash_io1_we O
*I *6388:LO O *D sky130_fd_sc_hd__conb_1
*CAP
1 flash_io1_we 0.000441242
2 *6388:LO 0.000441242
*RES
1 *6388:LO flash_io1_we 26.0357
*END
*D_NET *7 0.00101201
*CONN
*P flash_io1_write O
*I *6389:LO O *D sky130_fd_sc_hd__conb_1
*CAP
1 flash_io1_write 0.000500013
2 *6389:LO 0.000500013
3 flash_io1_write *526:9 1.19836e-05
*RES
1 *6389:LO flash_io1_write 25.8409
*END
*D_NET *8 0.00101638
*CONN
*P flash_sck O
*I *6509:X O *D sky130_fd_sc_hd__buf_2
*CAP
1 flash_sck 0.000498976
2 *6509:X 0.000498976
3 flash_sck *6509:A 1.84293e-05
*RES
1 *6509:X flash_sck 25.8409
*END
*D_NET *9 0.00261817
*CONN
*P sram_addr0[0] O
*I *6390:LO O *D sky130_fd_sc_hd__conb_1
*CAP
1 sram_addr0[0] 0.000851084
2 *6390:LO 0.000851084
3 sram_addr0[0] sram_clk1 0.000160617
4 sram_addr0[0] sram_web0 0
5 sram_addr0[0] wb_stall_o 9.03621e-05
6 sram_addr0[0] *6228:A 0.000169173
7 sram_addr0[0] *6520:A 0.000283521
8 sram_addr0[0] *134:18 0.000170058
9 sram_addr0[0] *516:6 4.22662e-05
*RES
1 *6390:LO sram_addr0[0] 39.2852
*END
*D_NET *10 0.00173399
*CONN
*P sram_addr0[1] O
*I *6391:LO O *D sky130_fd_sc_hd__conb_1
*CAP
1 sram_addr0[1] 0.000827566
2 *6391:LO 0.000827566
3 sram_addr0[1] sram_addr1[1] 7.88559e-05
4 sram_addr0[1] sram_din0[1] 0
5 sram_addr0[1] sram_wmask0[0] 0
6 sram_addr0[1] *6435:A 0
7 sram_addr0[1] *6511:A 0
*RES
1 *6391:LO sram_addr0[1] 35.1298
*END
*D_NET *11 0.00165485
*CONN
*P sram_addr0[2] O
*I *6392:LO O *D sky130_fd_sc_hd__conb_1
*CAP
1 sram_addr0[2] 0.000680012
2 *6392:LO 0.000680012
3 sram_addr0[2] sram_addr1[2] 0
4 sram_addr0[2] sram_din0[2] 0
5 sram_addr0[2] sram_wmask0[1] 0
6 sram_addr0[2] *6253:A2 2.15348e-05
7 sram_addr0[2] *6512:A 0.00027329
*RES
1 *6392:LO sram_addr0[2] 29.9962
*END
*D_NET *12 0.00183323
*CONN
*P sram_addr0[3] O
*I *6393:LO O *D sky130_fd_sc_hd__conb_1
*CAP
1 sram_addr0[3] 0.000716277
2 *6393:LO 0.000716277
3 sram_addr0[3] sram_addr1[3] 0
4 sram_addr0[3] sram_wmask0[2] 0
5 sram_addr0[3] *6260:A2 0
6 sram_addr0[3] *6513:A 0
7 sram_addr0[3] *312:8 0
8 sram_addr0[3] *313:14 0.000123176
9 sram_addr0[3] *315:11 0.000277502
10 sram_addr0[3] *324:19 0
*RES
1 *6393:LO sram_addr0[3] 33.5942
*END
*D_NET *13 0.00210434
*CONN
*P sram_addr0[4] O
*I *6394:LO O *D sky130_fd_sc_hd__conb_1
*CAP
1 sram_addr0[4] 0.000782198
2 *6394:LO 0.000782198
3 sram_addr0[4] sram_addr1[4] 0
4 sram_addr0[4] sram_wmask0[3] 0
5 sram_addr0[4] *324:8 0
6 sram_addr0[4] *340:10 0.000258002
7 sram_addr0[4] *343:8 6.30724e-05
8 sram_addr0[4] *471:21 0
9 sram_addr0[4] *473:14 0.000218871
*RES
1 *6394:LO sram_addr0[4] 34.9765
*END
*D_NET *14 0.00256764
*CONN
*P sram_addr0[5] O
*I *6395:LO O *D sky130_fd_sc_hd__conb_1
*CAP
1 sram_addr0[5] 0.000544267
2 *6395:LO 0.000544267
3 sram_addr0[5] sram_addr1[5] 0
4 sram_addr0[5] *6101:A2 9.47831e-05
5 sram_addr0[5] *6283:A2 0.000242981
6 sram_addr0[5] *6283:B1 3.31733e-05
7 sram_addr0[5] *6454:A 0
8 sram_addr0[5] *6515:A 0.000217951
9 sram_addr0[5] *348:10 0.000304791
10 sram_addr0[5] *474:16 0.000309259
11 sram_addr0[5] *554:5 0.000276172
*RES
1 *6395:LO sram_addr0[5] 35.1298
*END
*D_NET *15 0.00253266
*CONN
*P sram_addr0[6] O
*I *6396:LO O *D sky130_fd_sc_hd__conb_1
*CAP
1 sram_addr0[6] 0.000621705
2 *6396:LO 0.000621705
3 sram_addr0[6] sram_addr1[6] 0
4 sram_addr0[6] *6283:A2 0.000398196
5 sram_addr0[6] *6455:A 0
6 sram_addr0[6] *6516:A 0
7 sram_addr0[6] *499:23 0.000891059
*RES
1 *6396:LO sram_addr0[6] 34.0206
*END
*D_NET *16 0.00174527
*CONN
*P sram_addr0[7] O
*I *6397:LO O *D sky130_fd_sc_hd__conb_1
*CAP
1 sram_addr0[7] 0.000759551
2 *6397:LO 0.000759551
3 sram_addr0[7] sram_addr1[7] 0
4 sram_addr0[7] sram_din0[7] 0
5 sram_addr0[7] *6456:A 0
6 sram_addr0[7] *6517:A 0.000224395
7 sram_addr0[7] *510:25 1.77537e-06
*RES
1 *6397:LO sram_addr0[7] 28.887
*END
*D_NET *17 0.00126938
*CONN
*P sram_addr0[8] O
*I *6398:LO O *D sky130_fd_sc_hd__conb_1
*CAP
1 sram_addr0[8] 0.000516522
2 *6398:LO 0.000516522
3 sram_addr0[8] sram_addr1[8] 0
4 sram_addr0[8] *6458:A 0
5 sram_addr0[8] *490:18 0.000118166
6 sram_addr0[8] *499:23 0.000118166
*RES
1 *6398:LO sram_addr0[8] 25.2863
*END
*D_NET *18 0.00186279
*CONN
*P sram_addr1[0] O
*I *6510:X O *D sky130_fd_sc_hd__buf_2
*CAP
1 sram_addr1[0] 0.000538667
2 *6510:X 0.000538667
3 sram_addr1[0] sram_csb0 0.000129184
4 sram_addr1[0] sram_din0[0] 0
5 sram_addr1[0] wb_error_o 0.000321445
6 sram_addr1[0] *134:18 0.000112975
7 sram_addr1[0] *160:11 0.000221847
*RES
1 *6510:X sram_addr1[0] 28.8926
*END
*D_NET *19 0.00121854
*CONN
*P sram_addr1[1] O
*I *6511:X O *D sky130_fd_sc_hd__buf_2
*CAP
1 sram_addr1[1] 0.00056984
2 *6511:X 0.00056984
3 sram_addr1[1] sram_din0[1] 0
4 sram_addr0[1] sram_addr1[1] 7.88559e-05
*RES
1 *6511:X sram_addr1[1] 26.6742
*END
*D_NET *20 0.000964144
*CONN
*P sram_addr1[2] O
*I *6512:X O *D sky130_fd_sc_hd__buf_2
*CAP
1 sram_addr1[2] 0.000468781
2 *6512:X 0.000468781
3 sram_addr1[2] sram_din0[2] 0
4 sram_addr1[2] *6512:A 2.65831e-05
5 sram_addr0[2] sram_addr1[2] 0
*RES
1 *6512:X sram_addr1[2] 25.0104
*END
*D_NET *21 0.000880352
*CONN
*P sram_addr1[3] O
*I *6513:X O *D sky130_fd_sc_hd__buf_2
*CAP
1 sram_addr1[3] 0.000437652
2 *6513:X 0.000437652
3 sram_addr1[3] sram_din0[3] 0
4 sram_addr1[3] *6513:A 5.04829e-06
5 sram_addr0[3] sram_addr1[3] 0
*RES
1 *6513:X sram_addr1[3] 24.4558
*END
*D_NET *22 0.00121725
*CONN
*P sram_addr1[4] O
*I *6514:X O *D sky130_fd_sc_hd__buf_2
*CAP
1 sram_addr1[4] 0.000405817
2 *6514:X 0.000405817
3 sram_addr1[4] sram_din0[4] 0
4 sram_addr1[4] sram_wmask0[3] 0.000167076
5 sram_addr1[4] *471:21 0.000202721
6 sram_addr1[4] *472:25 3.58185e-05
7 sram_addr0[4] sram_addr1[4] 0
*RES
1 *6514:X sram_addr1[4] 25.0104
*END
*D_NET *23 0.00157812
*CONN
*P sram_addr1[5] O
*I *6515:X O *D sky130_fd_sc_hd__buf_2
*CAP
1 sram_addr1[5] 0.000569418
2 *6515:X 0.000569418
3 sram_addr1[5] sram_din0[5] 0
4 sram_addr1[5] *6101:A2 4.08753e-05
5 sram_addr1[5] *6283:A2 0.000313495
6 sram_addr1[5] *6311:A2 8.49152e-05
7 sram_addr0[5] sram_addr1[5] 0
*RES
1 *6515:X sram_addr1[5] 26.6742
*END
*D_NET *24 0.00113426
*CONN
*P sram_addr1[6] O
*I *6516:X O *D sky130_fd_sc_hd__buf_2
*CAP
1 sram_addr1[6] 0.000461805
2 *6516:X 0.000461805
3 sram_addr1[6] sram_din0[6] 0.000210648
4 sram_addr0[6] sram_addr1[6] 0
*RES
1 *6516:X sram_addr1[6] 27.2082
*END
*D_NET *25 0.00128492
*CONN
*P sram_addr1[7] O
*I *6517:X O *D sky130_fd_sc_hd__buf_2
*CAP
1 sram_addr1[7] 0.000556815
2 *6517:X 0.000556815
3 sram_addr1[7] sram_din0[7] 0
4 sram_addr1[7] *6283:A2 0.000171288
5 sram_addr0[7] sram_addr1[7] 0
*RES
1 *6517:X sram_addr1[7] 25.0104
*END
*D_NET *26 0.00128492
*CONN
*P sram_addr1[8] O
*I *6518:X O *D sky130_fd_sc_hd__buf_2
*CAP
1 sram_addr1[8] 0.000556815
2 *6518:X 0.000556815
3 sram_addr1[8] sram_din0[8] 0
4 sram_addr1[8] *490:18 0.000171288
5 sram_addr0[8] sram_addr1[8] 0
*RES
1 *6518:X sram_addr1[8] 25.0104
*END
*D_NET *27 0.0019957
*CONN
*P sram_clk0 O
*I *6519:X O *D sky130_fd_sc_hd__buf_2
*CAP
1 sram_clk0 0.000970028
2 *6519:X 0.000970028
3 sram_clk0 sram_clk1 0
4 sram_clk0 *6519:A 5.56461e-05
*RES
1 *6519:X sram_clk0 38.3097
*END
*D_NET *28 0.00283663
*CONN
*P sram_clk1 O
*I *6520:X O *D sky130_fd_sc_hd__buf_2
*CAP
1 sram_clk1 0.00131668
2 *6520:X 0.00131668
3 sram_clk1 sram_csb0 0
4 sram_clk1 *6487:A 0
5 sram_clk1 *518:8 4.26566e-05
6 sram_addr0[0] sram_clk1 0.000160617
7 sram_clk0 sram_clk1 0
*RES
1 *6520:X sram_clk1 39.9791
*END
*D_NET *29 0.00298525
*CONN
*P sram_csb0 O
*I *6380:HI O *D sky130_fd_sc_hd__conb_1
*CAP
1 sram_csb0 0.00107626
2 *6380:HI 0.00107626
3 sram_csb0 *6485:A 0.000333579
4 sram_csb0 *6487:A 5.66868e-06
5 sram_csb0 *232:17 0.000364289
6 sram_addr1[0] sram_csb0 0.000129184
7 sram_clk1 sram_csb0 0
*RES
1 *6380:HI sram_csb0 45.1127
*END
*D_NET *30 0.00212414
*CONN
*P sram_csb1 O
*I *6521:X O *D sky130_fd_sc_hd__buf_2
*CAP
1 sram_csb1 0.000878461
2 *6521:X 0.000878461
3 sram_csb1 sram_web0 6.08467e-05
4 sram_csb1 *6485:A 0.000111987
5 sram_csb1 *6487:A 0.000103311
6 sram_csb1 *6519:A 5.04829e-06
7 sram_csb1 *6521:A 5.07314e-05
8 sram_csb1 *518:8 2.7618e-05
9 sram_csb1 *540:17 7.6719e-06
*RES
1 *6521:X sram_csb1 34.7766
*END
*D_NET *31 0.00264118
*CONN
*P sram_din0[0] O
*I *6399:LO O *D sky130_fd_sc_hd__conb_1
*CAP
1 sram_din0[0] 0.000736796
2 *6399:LO 0.000736796
3 sram_din0[0] sram_web0 0.000113968
4 sram_din0[0] *6435:A 0
5 sram_din0[0] *6494:A 6.38933e-05
6 sram_din0[0] *6510:A 6.17339e-05
7 sram_din0[0] *6520:A 4.42418e-05
8 sram_din0[0] *160:11 0
9 sram_din0[0] *516:19 0.000315549
10 sram_din0[0] *540:17 0.000568198
11 sram_addr1[0] sram_din0[0] 0
*RES
1 *6399:LO sram_din0[0] 36.9218
*END
*D_NET *32 0.00135929
*CONN
*P sram_din0[10] O
*I *6409:LO O *D sky130_fd_sc_hd__conb_1
*CAP
1 sram_din0[10] 0.000508359
2 *6409:LO 0.000508359
3 sram_din0[10] *6446:A 0
4 sram_din0[10] *6460:A 0
5 sram_din0[10] *472:13 0.000171288
6 sram_din0[10] *499:15 0.000171288
*RES
1 *6409:LO sram_din0[10] 25.8409
*END
*D_NET *33 0.00136512
*CONN
*P sram_din0[11] O
*I *6410:LO O *D sky130_fd_sc_hd__conb_1
*CAP
1 sram_din0[11] 0.000511272
2 *6410:LO 0.000511272
3 sram_din0[11] *6446:A 0
4 sram_din0[11] *6457:A 0
5 sram_din0[11] *472:13 0.000171288
6 sram_din0[11] *499:15 0.000171288
*RES
1 *6410:LO sram_din0[11] 25.8409
*END
*D_NET *34 0.00136512
*CONN
*P sram_din0[12] O
*I *6411:LO O *D sky130_fd_sc_hd__conb_1
*CAP
1 sram_din0[12] 0.000511272
2 *6411:LO 0.000511272
3 sram_din0[12] *6457:A 0
4 sram_din0[12] *472:13 0.000171288
5 sram_din0[12] *499:15 0.000171288
*RES
1 *6411:LO sram_din0[12] 25.8409
*END
*D_NET *35 0.00152045
*CONN
*P sram_din0[13] O
*I *6412:LO O *D sky130_fd_sc_hd__conb_1
*CAP
1 sram_din0[13] 0.000738895
2 *6412:LO 0.000738895
3 sram_din0[13] *6468:A 0
4 sram_din0[13] *510:7 4.26566e-05
*RES
1 *6412:LO sram_din0[13] 28.3324
*END
*D_NET *36 0.00125786
*CONN
*P sram_din0[14] O
*I *6413:LO O *D sky130_fd_sc_hd__conb_1
*CAP
1 sram_din0[14] 0.000521957
2 *6413:LO 0.000521957
3 sram_din0[14] *6479:A 0
4 sram_din0[14] *472:13 0.000171288
5 sram_din0[14] *554:5 4.26566e-05
*RES
1 *6413:LO sram_din0[14] 25.8409
*END
*D_NET *37 0.00149542
*CONN
*P sram_din0[15] O
*I *6414:LO O *D sky130_fd_sc_hd__conb_1
*CAP
1 sram_din0[15] 0.000700401
2 *6414:LO 0.000700401
3 sram_din0[15] *6490:A 0
4 sram_din0[15] *6495:A 0
5 sram_din0[15] *480:5 6.91561e-06
6 sram_din0[15] *521:8 8.7706e-05
*RES
1 *6414:LO sram_din0[15] 28.6816
*END
*D_NET *38 0.00186828
*CONN
*P sram_din0[16] O
*I *6415:LO O *D sky130_fd_sc_hd__conb_1
*CAP
1 sram_din0[16] 0.000884661
2 *6415:LO 0.000884661
3 sram_din0[16] *6495:A 0
4 sram_din0[16] *480:5 2.86753e-05
5 sram_din0[16] *532:8 7.02862e-05
*RES
1 *6415:LO sram_din0[16] 31.1055
*END
*D_NET *39 0.00146302
*CONN
*P sram_din0[17] O
*I *6416:LO O *D sky130_fd_sc_hd__conb_1
*CAP
1 sram_din0[17] 0.000548232
2 *6416:LO 0.000548232
3 sram_din0[17] *6496:A 0
4 sram_din0[17] *472:9 0.00033061
5 sram_din0[17] *543:8 3.59505e-05
*RES
1 *6416:LO sram_din0[17] 27.5047
*END
*D_NET *40 0.00145438
*CONN
*P sram_din0[18] O
*I *6417:LO O *D sky130_fd_sc_hd__conb_1
*CAP
1 sram_din0[18] 0.000721921
2 *6417:LO 0.000721921
3 sram_din0[18] *6436:A 0
4 sram_din0[18] *6497:A 0
5 sram_din0[18] *480:5 1.05422e-05
*RES
1 *6417:LO sram_din0[18] 28.3324
*END
*D_NET *41 0.00136067
*CONN
*P sram_din0[19] O
*I *6418:LO O *D sky130_fd_sc_hd__conb_1
*CAP
1 sram_din0[19] 0.000532592
2 *6418:LO 0.000532592
3 sram_din0[19] *6436:A 0
4 sram_din0[19] *6437:A 0
5 sram_din0[19] *456:8 1.79807e-05
6 sram_din0[19] *472:9 0.000277502
*RES
1 *6418:LO sram_din0[19] 26.9501
*END
*D_NET *42 0.00196391
*CONN
*P sram_din0[1] O
*I *6400:LO O *D sky130_fd_sc_hd__conb_1
*CAP
1 sram_din0[1] 0.000668
2 *6400:LO 0.000668
3 sram_din0[1] *6375:CLK 0.000169093
4 sram_din0[1] *6511:A 0.000148129
5 sram_din0[1] *516:37 3.31882e-05
6 sram_din0[1] *540:17 0.000277502
7 sram_addr0[1] sram_din0[1] 0
8 sram_addr1[1] sram_din0[1] 0
*RES
1 *6400:LO sram_din0[1] 33.5942
*END
*D_NET *43 0.00142706
*CONN
*P sram_din0[20] O
*I *6419:LO O *D sky130_fd_sc_hd__conb_1
*CAP
1 sram_din0[20] 0.000708258
2 *6419:LO 0.000708258
3 sram_din0[20] *6437:A 0
4 sram_din0[20] *6439:A 0
5 sram_din0[20] *467:8 0
6 sram_din0[20] *480:5 1.05422e-05
*RES
1 *6419:LO sram_din0[20] 28.3324
*END
*D_NET *44 0.00139826
*CONN
*P sram_din0[21] O
*I *6420:LO O *D sky130_fd_sc_hd__conb_1
*CAP
1 sram_din0[21] 0.000674529
2 *6420:LO 0.000674529
3 sram_din0[21] *6439:A 0
4 sram_din0[21] *6440:A 0
5 sram_din0[21] *470:8 3.86628e-05
6 sram_din0[21] *480:5 1.05422e-05
*RES
1 *6420:LO sram_din0[21] 28.3324
*END
*D_NET *45 0.00125933
*CONN
*P sram_din0[22] O
*I *6421:LO O *D sky130_fd_sc_hd__conb_1
*CAP
1 sram_din0[22] 0.000508078
2 *6421:LO 0.000508078
3 sram_din0[22] *6440:A 0
4 sram_din0[22] *471:8 7.18429e-05
5 sram_din0[22] *472:7 0.000132816
6 sram_din0[22] *472:9 3.8519e-05
*RES
1 *6421:LO sram_din0[22] 27.5047
*END
*D_NET *46 0.00180977
*CONN
*P sram_din0[23] O
*I *6422:LO O *D sky130_fd_sc_hd__conb_1
*CAP
1 sram_din0[23] 0.000888736
2 *6422:LO 0.000888736
3 sram_din0[23] *6441:A 0
4 sram_din0[23] *480:5 3.23019e-05
*RES
1 *6422:LO sram_din0[23] 31.6601
*END
*D_NET *47 0.00117967
*CONN
*P sram_din0[24] O
*I *6423:LO O *D sky130_fd_sc_hd__conb_1
*CAP
1 sram_din0[24] 0.000550521
2 *6423:LO 0.000550521
3 sram_din0[24] *6442:A 0
4 sram_din0[24] *473:9 7.86297e-05
*RES
1 *6423:LO sram_din0[24] 27.5047
*END
*D_NET *48 0.00137761
*CONN
*P sram_din0[25] O
*I *6424:LO O *D sky130_fd_sc_hd__conb_1
*CAP
1 sram_din0[25] 0.000633263
2 *6424:LO 0.000633263
3 sram_din0[25] *6443:A 0
4 sram_din0[25] *474:10 0.00010054
5 sram_din0[25] *480:5 1.05422e-05
*RES
1 *6424:LO sram_din0[25] 28.3324
*END
*D_NET *49 0.00113411
*CONN
*P sram_din0[26] O
*I *6425:LO O *D sky130_fd_sc_hd__conb_1
*CAP
1 sram_din0[26] 0.000543239
2 *6425:LO 0.000543239
3 sram_din0[26] *6444:A 0
4 sram_din0[26] *475:11 4.76318e-05
*RES
1 *6425:LO sram_din0[26] 27.5047
*END
*D_NET *50 0.00138124
*CONN
*P sram_din0[27] O
*I *6426:LO O *D sky130_fd_sc_hd__conb_1
*CAP
1 sram_din0[27] 0.000605251
2 *6426:LO 0.000605251
3 sram_din0[27] *6445:A 0
4 sram_din0[27] *476:9 0.000160195
5 sram_din0[27] *480:5 1.05422e-05
*RES
1 *6426:LO sram_din0[27] 28.3324
*END
*D_NET *51 0.00126578
*CONN
*P sram_din0[28] O
*I *6427:LO O *D sky130_fd_sc_hd__conb_1
*CAP
1 sram_din0[28] 0.000623683
2 *6427:LO 0.000623683
3 sram_din0[28] *6447:A 0
4 sram_din0[28] *6448:A 0
5 sram_din0[28] *478:11 7.86825e-06
6 sram_din0[28] *480:5 1.05422e-05
*RES
1 *6427:LO sram_din0[28] 28.3324
*END
*D_NET *52 0.00103612
*CONN
*P sram_din0[29] O
*I *6428:LO O *D sky130_fd_sc_hd__conb_1
*CAP
1 sram_din0[29] 0.000511766
2 *6428:LO 0.000511766
3 sram_din0[29] *6448:A 0
4 sram_din0[29] *6449:A 0
5 sram_din0[29] *479:9 1.25923e-05
*RES
1 *6428:LO sram_din0[29] 26.9501
*END
*D_NET *53 0.00167854
*CONN
*P sram_din0[2] O
*I *6401:LO O *D sky130_fd_sc_hd__conb_1
*CAP
1 sram_din0[2] 0.000673753
2 *6401:LO 0.000673753
3 sram_din0[2] *6253:B1 0
4 sram_din0[2] *6257:A2 0
5 sram_din0[2] *6263:A2 0
6 sram_din0[2] *6512:A 0
7 sram_din0[2] *311:6 0.00017419
8 sram_din0[2] *313:14 6.73351e-05
9 sram_din0[2] *315:8 1.44467e-05
10 sram_din0[2] *315:11 6.50727e-05
11 sram_din0[2] *587:21 9.99386e-06
12 sram_addr0[2] sram_din0[2] 0
13 sram_addr1[2] sram_din0[2] 0
*RES
1 *6401:LO sram_din0[2] 32.485
*END
*D_NET *54 0.00152003
*CONN
*P sram_din0[30] O
*I *6429:LO O *D sky130_fd_sc_hd__conb_1
*CAP
1 sram_din0[30] 0.000480998
2 *6429:LO 0.000480998
3 sram_din0[30] *6449:A 0
4 sram_din0[30] *6451:A 0.000489932
5 sram_din0[30] *482:8 6.81008e-05
*RES
1 *6429:LO sram_din0[30] 29.1685
*END
*D_NET *55 0.00145334
*CONN
*P sram_din0[31] O
*I *6430:LO O *D sky130_fd_sc_hd__conb_1
*CAP
1 sram_din0[31] 0.000726672
2 *6430:LO 0.000726672
3 sram_din0[31] *6451:A 0
4 sram_din0[31] *6452:A 0
*RES
1 *6430:LO sram_din0[31] 29.9962
*END
*D_NET *56 0.00137009
*CONN
*P sram_din0[3] O
*I *6402:LO O *D sky130_fd_sc_hd__conb_1
*CAP
1 sram_din0[3] 0.00056482
2 *6402:LO 0.00056482
3 sram_din0[3] *6265:B1 0
4 sram_din0[3] *312:8 5.54078e-05
5 sram_din0[3] *321:15 0
6 sram_din0[3] *334:6 1.79672e-05
7 sram_din0[3] *587:21 0.000167076
8 sram_addr1[3] sram_din0[3] 0
*RES
1 *6402:LO sram_din0[3] 28.3324
*END
*D_NET *57 0.00235796
*CONN
*P sram_din0[4] O
*I *6403:LO O *D sky130_fd_sc_hd__conb_1
*CAP
1 sram_din0[4] 0.000740299
2 *6403:LO 0.000740299
3 sram_din0[4] *6272:A2 0.000299916
4 sram_din0[4] *6454:A 0
5 sram_din0[4] *313:14 0.000210992
6 sram_din0[4] *470:15 0
7 sram_din0[4] *472:25 0.000158867
8 sram_din0[4] *482:14 0.000207587
9 sram_addr1[4] sram_din0[4] 0
*RES
1 *6403:LO sram_din0[4] 34.9765
*END
*D_NET *58 0.00223842
*CONN
*P sram_din0[5] O
*I *6404:LO O *D sky130_fd_sc_hd__conb_1
*CAP
1 sram_din0[5] 0.000593877
2 *6404:LO 0.000593877
3 sram_din0[5] *6311:A2 0.000144732
4 sram_din0[5] *6315:A2 0.00061925
5 sram_din0[5] *315:11 0.000158371
6 sram_din0[5] *483:23 0.000128309
7 sram_addr1[5] sram_din0[5] 0
*RES
1 *6404:LO sram_din0[5] 32.485
*END
*D_NET *59 0.00169943
*CONN
*P sram_din0[6] O
*I *6405:LO O *D sky130_fd_sc_hd__conb_1
*CAP
1 sram_din0[6] 0.00065875
2 *6405:LO 0.00065875
3 sram_din0[6] *6456:A 0
4 sram_din0[6] *6516:A 0
5 sram_din0[6] *510:25 0.000171288
6 sram_addr1[6] sram_din0[6] 0.000210648
*RES
1 *6405:LO sram_din0[6] 28.3324
*END
*D_NET *60 0.00171761
*CONN
*P sram_din0[7] O
*I *6406:LO O *D sky130_fd_sc_hd__conb_1
*CAP
1 sram_din0[7] 0.000528197
2 *6406:LO 0.000528197
3 sram_din0[7] *6283:A2 0.00033061
4 sram_din0[7] *499:23 0.00033061
5 sram_addr0[7] sram_din0[7] 0
6 sram_addr1[7] sram_din0[7] 0
*RES
1 *6406:LO sram_din0[7] 27.5047
*END
*D_NET *61 0.00178017
*CONN
*P sram_din0[8] O
*I *6407:LO O *D sky130_fd_sc_hd__conb_1
*CAP
1 sram_din0[8] 0.000513295
2 *6407:LO 0.000513295
3 sram_din0[8] *6459:A 0
4 sram_din0[8] *472:13 0.000277502
5 sram_din0[8] *472:18 0.000128881
6 sram_din0[8] *490:18 1.65872e-05
7 sram_din0[8] *499:15 0.00033061
8 sram_addr1[8] sram_din0[8] 0
*RES
1 *6407:LO sram_din0[8] 27.5047
*END
*D_NET *62 0.00182118
*CONN
*P sram_din0[9] O
*I *6408:LO O *D sky130_fd_sc_hd__conb_1
*CAP
1 sram_din0[9] 0.000526873
2 *6408:LO 0.000526873
3 sram_din0[9] *6459:A 0
4 sram_din0[9] *6460:A 0
5 sram_din0[9] *472:13 0.000383717
6 sram_din0[9] *499:15 0.000383717
*RES
1 *6408:LO sram_din0[9] 28.0593
*END
*D_NET *95 0.00222477
*CONN
*P sram_dout1[0] I
*I *6435:A I *D sky130_fd_sc_hd__clkbuf_1
*CAP
1 sram_dout1[0] 0.000759149
2 *6435:A 0.000759149
3 *6435:A sram_wmask0[0] 0
4 *6435:A *6207:S 4.31703e-05
5 *6435:A *6228:A 0.00036275
6 *6435:A *6494:A 0.000160384
7 *6435:A *6510:A 0
8 *6435:A *232:17 9.69997e-05
9 *6435:A *524:23 4.31703e-05
10 sram_addr0[1] *6435:A 0
11 sram_din0[0] *6435:A 0
*RES
1 sram_dout1[0] *6435:A 37.1332
*END
*D_NET *96 0.0011405
*CONN
*P sram_dout1[10] I
*I *6446:A I *D sky130_fd_sc_hd__clkbuf_1
*CAP
1 sram_dout1[10] 0.000505179
2 *6446:A 0.000505179
3 *6446:A *472:13 6.50727e-05
4 *6446:A *499:15 6.50727e-05
5 sram_din0[10] *6446:A 0
6 sram_din0[11] *6446:A 0
*RES
1 sram_dout1[10] *6446:A 24.7317
*END
*D_NET *97 0.0011405
*CONN
*P sram_dout1[11] I
*I *6457:A I *D sky130_fd_sc_hd__clkbuf_1
*CAP
1 sram_dout1[11] 0.000505179
2 *6457:A 0.000505179
3 *6457:A *472:13 6.50727e-05
4 *6457:A *499:15 6.50727e-05
5 sram_din0[11] *6457:A 0
6 sram_din0[12] *6457:A 0
*RES
1 sram_dout1[11] *6457:A 24.7317
*END
*D_NET *98 0.00136512
*CONN
*P sram_dout1[12] I
*I *6468:A I *D sky130_fd_sc_hd__dlymetal6s2s_1
*CAP
1 sram_dout1[12] 0.000511272
2 *6468:A 0.000511272
3 *6468:A *472:13 0.000171288
4 *6468:A *499:15 0.000171288
5 sram_din0[13] *6468:A 0
*RES
1 sram_dout1[12] *6468:A 25.8409
*END
*D_NET *99 0.00139637
*CONN
*P sram_dout1[13] I
*I *6479:A I *D sky130_fd_sc_hd__dlymetal6s2s_1
*CAP
1 sram_dout1[13] 0.000665646
2 *6479:A 0.000665646
3 *6479:A *510:7 6.50727e-05
4 sram_din0[14] *6479:A 0
*RES
1 sram_dout1[13] *6479:A 28.0537
*END
*D_NET *100 0.00110945
*CONN
*P sram_dout1[14] I
*I *6490:A I *D sky130_fd_sc_hd__dlymetal6s2s_1
*CAP
1 sram_dout1[14] 0.000515833
2 *6490:A 0.000515833
3 *6490:A *472:13 6.3657e-05
4 *6490:A *521:8 0
5 *6490:A *554:5 1.41307e-05
6 sram_din0[15] *6490:A 0
*RES
1 sram_dout1[14] *6490:A 24.7317
*END
*D_NET *101 0.00107054
*CONN
*P sram_dout1[15] I
*I *6495:A I *D sky130_fd_sc_hd__clkbuf_2
*CAP
1 sram_dout1[15] 0.000490382
2 *6495:A 0.000490382
3 *6495:A *472:9 5.07314e-05
4 *6495:A *532:8 3.905e-05
5 sram_din0[15] *6495:A 0
6 sram_din0[16] *6495:A 0
*RES
1 sram_dout1[15] *6495:A 24.8711
*END
*D_NET *102 0.00105136
*CONN
*P sram_dout1[16] I
*I *6496:A I *D sky130_fd_sc_hd__clkbuf_2
*CAP
1 sram_dout1[16] 0.00048978
2 *6496:A 0.00048978
3 *6496:A *472:9 5.07314e-05
4 *6496:A *543:8 2.10723e-05
5 sram_din0[17] *6496:A 0
*RES
1 sram_dout1[16] *6496:A 24.8711
*END
*D_NET *103 0.00139773
*CONN
*P sram_dout1[17] I
*I *6497:A I *D sky130_fd_sc_hd__clkbuf_2
*CAP
1 sram_dout1[17] 0.000604826
2 *6497:A 0.000604826
3 *6497:A *472:9 0.000188077
4 sram_din0[18] *6497:A 0
*RES
1 sram_dout1[17] *6497:A 28.1987
*END
*D_NET *104 0.00103478
*CONN
*P sram_dout1[18] I
*I *6436:A I *D sky130_fd_sc_hd__clkbuf_2
*CAP
1 sram_dout1[18] 0.000483034
2 *6436:A 0.000483034
3 *6436:A *456:8 1.79807e-05
4 *6436:A *472:9 5.07314e-05
5 sram_din0[18] *6436:A 0
6 sram_din0[19] *6436:A 0
*RES
1 sram_dout1[18] *6436:A 24.8711
*END
*D_NET *105 0.00143713
*CONN
*P sram_dout1[19] I
*I *6437:A I *D sky130_fd_sc_hd__clkbuf_2
*CAP
1 sram_dout1[19] 0.000612454
2 *6437:A 0.000612454
3 *6437:A *472:9 0.000212225
4 sram_din0[19] *6437:A 0
5 sram_din0[20] *6437:A 0
*RES
1 sram_dout1[19] *6437:A 28.7533
*END
*D_NET *106 0.00153565
*CONN
*P sram_dout1[1] I
*I *6438:A I *D sky130_fd_sc_hd__clkbuf_1
*CAP
1 sram_dout1[1] 0.000708161
2 *6438:A 0.000708161
3 *6438:A sram_wmask0[1] 0
4 *6438:A *6207:A0 1.45944e-05
5 *6438:A *6232:A 0
6 *6438:A *469:11 0.000104731
*RES
1 sram_dout1[1] *6438:A 32.485
*END
*D_NET *107 0.00101648
*CONN
*P sram_dout1[20] I
*I *6439:A I *D sky130_fd_sc_hd__clkbuf_2
*CAP
1 sram_dout1[20] 0.000463542
2 *6439:A 0.000463542
3 *6439:A *467:8 0
4 *6439:A *470:8 3.86628e-05
5 *6439:A *472:9 5.07314e-05
6 sram_din0[20] *6439:A 0
7 sram_din0[21] *6439:A 0
*RES
1 sram_dout1[20] *6439:A 24.8711
*END
*D_NET *108 0.000992684
*CONN
*P sram_dout1[21] I
*I *6440:A I *D sky130_fd_sc_hd__buf_2
*CAP
1 sram_dout1[21] 0.000441877
2 *6440:A 0.000441877
3 *6440:A *471:8 4.38575e-05
4 *6440:A *472:9 6.50727e-05
5 sram_din0[21] *6440:A 0
6 sram_din0[22] *6440:A 0
*RES
1 sram_dout1[21] *6440:A 24.7317
*END
*D_NET *109 0.000943425
*CONN
*P sram_dout1[22] I
*I *6441:A I *D sky130_fd_sc_hd__buf_2
*CAP
1 sram_dout1[22] 0.000458421
2 *6441:A 0.000458421
3 *6441:A *472:7 2.65831e-05
4 sram_din0[23] *6441:A 0
*RES
1 sram_dout1[22] *6441:A 24.7317
*END
*D_NET *110 0.000943299
*CONN
*P sram_dout1[23] I
*I *6442:A I *D sky130_fd_sc_hd__buf_2
*CAP
1 sram_dout1[23] 0.000447473
2 *6442:A 0.000447473
3 *6442:A *473:9 4.83521e-05
4 sram_din0[24] *6442:A 0
*RES
1 sram_dout1[23] *6442:A 24.7317
*END
*D_NET *111 0.0012999
*CONN
*P sram_dout1[24] I
*I *6443:A I *D sky130_fd_sc_hd__buf_2
*CAP
1 sram_dout1[24] 0.000559026
2 *6443:A 0.000559026
3 *6443:A *474:10 0.000181846
4 sram_din0[25] *6443:A 0
*RES
1 sram_dout1[24] *6443:A 28.6139
*END
*D_NET *112 0.000904151
*CONN
*P sram_dout1[25] I
*I *6444:A I *D sky130_fd_sc_hd__buf_2
*CAP
1 sram_dout1[25] 0.000436993
2 *6444:A 0.000436993
3 *6444:A *475:11 3.01656e-05
4 sram_din0[26] *6444:A 0
*RES
1 sram_dout1[25] *6444:A 24.7317
*END
*D_NET *113 0.00101892
*CONN
*P sram_dout1[26] I
*I *6445:A I *D sky130_fd_sc_hd__buf_2
*CAP
1 sram_dout1[26] 0.000509462
2 *6445:A 0.000509462
3 sram_din0[27] *6445:A 0
*RES
1 sram_dout1[26] *6445:A 26.3955
*END
*D_NET *114 0.0008676
*CONN
*P sram_dout1[27] I
*I *6447:A I *D sky130_fd_sc_hd__buf_2
*CAP
1 sram_dout1[27] 0.0004338
2 *6447:A 0.0004338
3 *6447:A *476:9 0
4 sram_din0[28] *6447:A 0
*RES
1 sram_dout1[27] *6447:A 24.7317
*END
*D_NET *115 0.000857226
*CONN
*P sram_dout1[28] I
*I *6448:A I *D sky130_fd_sc_hd__buf_2
*CAP
1 sram_dout1[28] 0.000422598
2 *6448:A 0.000422598
3 *6448:A *479:9 1.20291e-05
4 sram_din0[28] *6448:A 0
5 sram_din0[29] *6448:A 0
*RES
1 sram_dout1[28] *6448:A 24.7317
*END
*D_NET *116 0.0011443
*CONN
*P sram_dout1[29] I
*I *6449:A I *D sky130_fd_sc_hd__buf_2
*CAP
1 sram_dout1[29] 0.000558856
2 *6449:A 0.000558856
3 *6449:A *480:5 2.65831e-05
4 *6449:A *482:8 0
5 sram_din0[29] *6449:A 0
6 sram_din0[30] *6449:A 0
*RES
1 sram_dout1[29] *6449:A 28.469
*END
*D_NET *117 0.00168269
*CONN
*P sram_dout1[2] I
*I *6450:A I *D sky130_fd_sc_hd__clkbuf_1
*CAP
1 sram_dout1[2] 0.000598643
2 *6450:A 0.000598643
3 *6450:A sram_wmask0[2] 0
4 *6450:A *6253:A1 2.0833e-05
5 *6450:A *6253:B1 2.19276e-05
6 *6450:A *6262:A1 5.76926e-05
7 *6450:A *6262:A2 0
8 *6450:A *6263:A2 0.000293291
9 *6450:A *313:14 2.65831e-05
10 *6450:A *315:11 6.50727e-05
*RES
1 sram_dout1[2] *6450:A 31.3757
*END
*D_NET *118 0.0017529
*CONN
*P sram_dout1[30] I
*I *6451:A I *D sky130_fd_sc_hd__clkbuf_4
*CAP
1 sram_dout1[30] 0.000631484
2 *6451:A 0.000631484
3 sram_din0[30] *6451:A 0.000489932
4 sram_din0[31] *6451:A 0
*RES
1 sram_dout1[30] *6451:A 30.9717
*END
*D_NET *119 0.00217141
*CONN
*P sram_dout1[31] I
*I *6452:A I *D sky130_fd_sc_hd__clkbuf_4
*CAP
1 sram_dout1[31] 0.00105107
2 *6452:A 0.00105107
3 *6452:A *483:5 6.92705e-05
4 sram_din0[31] *6452:A 0
*RES
1 sram_dout1[31] *6452:A 41.498
*END
*D_NET *120 0.00168937
*CONN
*P sram_dout1[3] I
*I *6453:A I *D sky130_fd_sc_hd__clkbuf_1
*CAP
1 sram_dout1[3] 0.000603064
2 *6453:A 0.000603064
3 *6453:A sram_wmask0[3] 0
4 *6453:A *6265:A2 9.31335e-05
5 *6453:A *313:14 5.07314e-05
6 *6453:A *315:11 0.00011818
7 *6453:A *321:9 0.0002212
8 *6453:A *321:15 0
9 *6453:A *334:6 0
10 *6453:A *473:14 0
*RES
1 sram_dout1[3] *6453:A 31.9304
*END
*D_NET *121 0.00290727
*CONN
*P sram_dout1[4] I
*I *6454:A I *D sky130_fd_sc_hd__clkbuf_1
*CAP
1 sram_dout1[4] 0.000773505
2 *6454:A 0.000773505
3 *6454:A *6144:A2 0.000341222
4 *6454:A *6272:A2 2.16355e-05
5 *6454:A *323:36 9.2346e-06
6 *6454:A *365:7 3.02534e-05
7 *6454:A *470:15 0.000957912
8 *6454:A *474:16 0
9 sram_addr0[5] *6454:A 0
10 sram_din0[4] *6454:A 0
*RES
1 sram_dout1[4] *6454:A 39.129
*END
*D_NET *122 0.00204118
*CONN
*P sram_dout1[5] I
*I *6455:A I *D sky130_fd_sc_hd__clkbuf_1
*CAP
1 sram_dout1[5] 0.000595176
2 *6455:A 0.000595176
3 *6455:A *6303:A2 0.000319337
4 *6455:A *6315:A2 0
5 *6455:A *476:17 0.000531489
6 sram_addr0[6] *6455:A 0
*RES
1 sram_dout1[5] *6455:A 30.6524
*END
*D_NET *123 0.00200439
*CONN
*P sram_dout1[6] I
*I *6456:A I *D sky130_fd_sc_hd__clkbuf_1
*CAP
1 sram_dout1[6] 0.00086118
2 *6456:A 0.00086118
3 *6456:A *6280:A2 9.60366e-05
4 *6456:A *6287:A2 0.000171288
5 *6456:A *478:15 0
6 *6456:A *510:25 1.47102e-05
7 sram_addr0[7] *6456:A 0
8 sram_din0[6] *6456:A 0
*RES
1 sram_dout1[6] *6456:A 32.485
*END
*D_NET *124 0.00176555
*CONN
*P sram_dout1[7] I
*I *6458:A I *D sky130_fd_sc_hd__clkbuf_1
*CAP
1 sram_dout1[7] 0.000645712
2 *6458:A 0.000645712
3 *6458:A *480:5 7.48797e-05
4 *6458:A *489:8 0.000150003
5 *6458:A *490:18 7.79578e-05
6 *6458:A *510:11 0.000171288
7 sram_addr0[8] *6458:A 0
*RES
1 sram_dout1[7] *6458:A 29.5782
*END
*D_NET *125 0.00138461
*CONN
*P sram_dout1[8] I
*I *6459:A I *D sky130_fd_sc_hd__clkbuf_1
*CAP
1 sram_dout1[8] 0.000521017
2 *6459:A 0.000521017
3 *6459:A *472:13 0.000171288
4 *6459:A *472:18 0
5 *6459:A *499:15 0.000171288
6 sram_din0[8] *6459:A 0
7 sram_din0[9] *6459:A 0
*RES
1 sram_dout1[8] *6459:A 25.8409
*END
*D_NET *126 0.00166777
*CONN
*P sram_dout1[9] I
*I *6460:A I *D sky130_fd_sc_hd__clkbuf_1
*CAP
1 sram_dout1[9] 0.000710802
2 *6460:A 0.000710802
3 *6460:A *480:5 7.48797e-05
4 *6460:A *510:11 0.000171288
5 sram_din0[10] *6460:A 0
6 sram_din0[9] *6460:A 0
*RES
1 sram_dout1[9] *6460:A 29.5782
*END
*D_NET *127 0.0029459
*CONN
*P sram_web0 O
*I *6381:HI O *D sky130_fd_sc_hd__conb_1
*CAP
1 sram_web0 0.000918864
2 *6381:HI 0.000918864
3 sram_web0 wb_stall_o 0.000158371
4 sram_web0 *6508:A 0.000111722
5 sram_web0 *516:6 0.000346767
6 sram_web0 *518:8 3.52002e-05
7 sram_web0 *540:17 0.000281298
8 sram_addr0[0] sram_web0 0
9 sram_csb1 sram_web0 6.08467e-05
10 sram_din0[0] sram_web0 0.000113968
*RES
1 *6381:HI sram_web0 40.7379
*END
*D_NET *128 0.000803051
*CONN
*P sram_wmask0[0] O
*I *6382:HI O *D sky130_fd_sc_hd__conb_1
*CAP
1 sram_wmask0[0] 0.000401525
2 *6382:HI 0.000401525
3 sram_addr0[1] sram_wmask0[0] 0
4 *6435:A sram_wmask0[0] 0
*RES
1 *6382:HI sram_wmask0[0] 23.9012
*END
*D_NET *129 0.000803051
*CONN
*P sram_wmask0[1] O
*I *6383:HI O *D sky130_fd_sc_hd__conb_1
*CAP
1 sram_wmask0[1] 0.000401525
2 *6383:HI 0.000401525
3 sram_addr0[2] sram_wmask0[1] 0
4 *6438:A sram_wmask0[1] 0
*RES
1 *6383:HI sram_wmask0[1] 23.9012
*END
*D_NET *130 0.00168859
*CONN
*P sram_wmask0[2] O
*I *6384:HI O *D sky130_fd_sc_hd__conb_1
*CAP
1 sram_wmask0[2] 0.000568375
2 *6384:HI 0.000568375
3 sram_wmask0[2] *6262:A1 1.2693e-05
4 sram_wmask0[2] *6262:A2 0.000325947
5 sram_wmask0[2] *6513:A 0.000148129
6 sram_wmask0[2] *315:11 6.50727e-05
7 sram_addr0[3] sram_wmask0[2] 0
8 *6450:A sram_wmask0[2] 0
*RES
1 *6384:HI sram_wmask0[2] 30.5452
*END
*D_NET *131 0.00218761
*CONN
*P sram_wmask0[3] O
*I *6385:HI O *D sky130_fd_sc_hd__conb_1
*CAP
1 sram_wmask0[3] 0.000731677
2 *6385:HI 0.000731677
3 sram_wmask0[3] *6237:A 0.000266846
4 sram_wmask0[3] *6514:A 0.00015888
5 sram_wmask0[3] *473:14 7.59976e-05
6 sram_wmask0[3] *554:5 5.54592e-05
7 sram_addr0[4] sram_wmask0[3] 0
8 sram_addr1[4] sram_wmask0[3] 0.000167076
9 *6453:A sram_wmask0[3] 0
*RES
1 *6385:HI sram_wmask0[3] 34.8539
*END
*D_NET *134 0.00496731
*CONN
*P wb_ack_o O
*I *6522:X O *D sky130_fd_sc_hd__buf_2
*CAP
1 wb_ack_o 0.00046632
2 *6522:X 0.000854142
3 *134:18 0.00132046
4 wb_ack_o *6485:A 0.000119388
5 wb_ack_o *160:11 0
6 *134:18 wb_error_o 0.000899306
7 *134:18 wb_stall_o 0.000375121
8 *134:18 *6349:D 0.000127573
9 *134:18 *6350:CLK 0
10 *134:18 *6519:A 0.000200786
11 *134:18 *516:6 0
12 *134:18 *516:737 0
13 *134:18 *524:23 5.01524e-05
14 *134:18 *540:17 0.00027103
15 sram_addr0[0] *134:18 0.000170058
16 sram_addr1[0] *134:18 0.000112975
*RES
1 *6522:X *134:18 47.4058
2 *134:18 wb_ack_o 5.26774
*END
*D_NET *136 0.000962545
*CONN
*P wb_adr_i[10] I
*I *6461:A I *D sky130_fd_sc_hd__clkbuf_1
*CAP
1 wb_adr_i[10] 0.000258639
2 *6461:A 0.000258639
3 *6461:A wb_data_o[10] 0
4 *6461:A wb_data_o[9] 0.000154831
5 *6461:A *558:18 5.92342e-05
6 *6461:A *560:14 0.000181022
7 *6461:A *563:30 5.01784e-05
*RES
1 wb_adr_i[10] *6461:A 21.2314
*END
*D_NET *137 0.000814414
*CONN
*P wb_adr_i[11] I
*I *6462:A I *D sky130_fd_sc_hd__clkbuf_1
*CAP
1 wb_adr_i[11] 0.000259248
2 *6462:A 0.000259248
3 *6462:A wb_data_o[10] 0.000103627
4 *6462:A wb_data_o[11] 0
5 *6462:A *558:18 9.61451e-05
6 *6462:A *560:14 9.61451e-05
*RES
1 wb_adr_i[11] *6462:A 19.5704
*END
*D_NET *138 0.00111161
*CONN
*P wb_adr_i[12] I
*I *6463:A I *D sky130_fd_sc_hd__clkbuf_1
*CAP
1 wb_adr_i[12] 0.000271799
2 *6463:A 0.000271799
3 *6463:A wb_data_o[11] 0.000153847
4 *6463:A wb_data_o[12] 0
5 *6463:A *558:18 0.000207083
6 *6463:A *560:14 0.000207083
*RES
1 wb_adr_i[12] *6463:A 21.6467
*END
*D_NET *139 0.00201298
*CONN
*P wb_adr_i[13] I
*I *6464:A I *D sky130_fd_sc_hd__clkbuf_1
*CAP
1 wb_adr_i[13] 0.000381558
2 *6464:A 0.000381558
3 *6464:A wb_data_o[12] 0.000128996
4 *6464:A wb_data_o[13] 0
5 *6464:A *6176:A1 4.31703e-05
6 *6464:A *558:18 0.000531426
7 *6464:A *563:30 0.000546266
*RES
1 wb_adr_i[13] *6464:A 28.5852
*END
*D_NET *140 0.00128685
*CONN
*P wb_adr_i[14] I
*I *6465:A I *D sky130_fd_sc_hd__clkbuf_1
*CAP
1 wb_adr_i[14] 0.000495826
2 *6465:A 0.000495826
3 *6465:A wb_data_o[13] 0.00014296
4 *6465:A wb_data_o[14] 0
5 *6465:A *551:23 0.000108103
6 *6465:A *564:37 4.41363e-05
*RES
1 wb_adr_i[14] *6465:A 20.5211
*END
*D_NET *141 0.00113842
*CONN
*P wb_adr_i[15] I
*I *6466:A I *D sky130_fd_sc_hd__clkbuf_1
*CAP
1 wb_adr_i[15] 0.000254061
2 *6466:A 0.000254061
3 *6466:A wb_data_o[14] 0.000153847
4 *6466:A wb_data_o[15] 0
5 *6466:A *558:18 0.000238226
6 *6466:A *559:25 0.000238226
*RES
1 wb_adr_i[15] *6466:A 22.0619
*END
*D_NET *142 0.000970958
*CONN
*P wb_adr_i[16] I
*I *6467:A I *D sky130_fd_sc_hd__clkbuf_1
*CAP
1 wb_adr_i[16] 0.000275534
2 *6467:A 0.000275534
3 *6467:A wb_data_o[15] 0.00014916
4 *6467:A wb_data_o[16] 0
5 *6467:A *558:18 1.66626e-05
6 *6467:A *559:25 0.000181144
7 *6467:A *563:38 7.29227e-05
*RES
1 wb_adr_i[16] *6467:A 21.2314
*END
*D_NET *143 0.00176964
*CONN
*P wb_adr_i[17] I
*I *6469:A I *D sky130_fd_sc_hd__clkbuf_1
*CAP
1 wb_adr_i[17] 0.000388038
2 *6469:A 0.000388038
3 *6469:A wb_data_o[16] 0.000137253
4 *6469:A wb_data_o[17] 4.51062e-05
5 *6469:A *6185:A1 1.03403e-05
6 *6469:A *6187:A1 6.08467e-05
7 *6469:A *6470:A 8.76888e-05
8 *6469:A *559:26 0.000162078
9 *6469:A *563:38 0.000490251
*RES
1 wb_adr_i[17] *6469:A 27.6918
*END
*D_NET *144 0.00119934
*CONN
*P wb_adr_i[18] I
*I *6470:A I *D sky130_fd_sc_hd__clkbuf_1
*CAP
1 wb_adr_i[18] 0.000313908
2 *6470:A 0.000313908
3 *6470:A wb_data_o[17] 0.00014916
4 *6470:A wb_data_o[18] 0
5 *6470:A *6187:A1 4.27148e-05
6 *6470:A *559:26 0.000253252
7 *6470:A *563:38 1.49636e-05
8 *6470:A *563:40 2.37478e-05
9 *6469:A *6470:A 8.76888e-05
*RES
1 wb_adr_i[18] *6470:A 22.4772
*END
*D_NET *145 0.000963471
*CONN
*P wb_adr_i[19] I
*I *6471:A I *D sky130_fd_sc_hd__clkbuf_1
*CAP
1 wb_adr_i[19] 0.00024305
2 *6471:A 0.00024305
3 *6471:A wb_data_o[18] 0.00014916
4 *6471:A wb_data_o[19] 0
5 *6471:A *559:26 0.000164105
6 *6471:A *563:40 0.000164105
*RES
1 wb_adr_i[19] *6471:A 20.8161
*END
*D_NET *147 0.00160975
*CONN
*P wb_adr_i[20] I
*I *6472:A I *D sky130_fd_sc_hd__clkbuf_1
*CAP
1 wb_adr_i[20] 0.000476668
2 *6472:A 0.000476668
3 *6472:A wb_data_o[19] 0.000127641
4 *6472:A wb_data_o[20] 0
5 *6472:A *6192:A1 4.31703e-05
6 *6472:A *563:40 0.000485602
*RES
1 wb_adr_i[20] *6472:A 27.7547
*END
*D_NET *148 0.00119018
*CONN
*P wb_adr_i[21] I
*I *6473:A I *D sky130_fd_sc_hd__clkbuf_1
*CAP
1 wb_adr_i[21] 0.000469791
2 *6473:A 0.000469791
3 *6473:A wb_data_o[20] 0.00014916
4 *6473:A wb_data_o[21] 0
5 *6473:A *516:376 3.13394e-05
6 *6473:A *564:39 7.00991e-05
*RES
1 wb_adr_i[21] *6473:A 19.6906
*END
*D_NET *149 0.000971548
*CONN
*P wb_adr_i[22] I
*I *6474:A I *D sky130_fd_sc_hd__clkbuf_1
*CAP
1 wb_adr_i[22] 0.000242604
2 *6474:A 0.000242604
3 *6474:A wb_data_o[21] 0.000114071
4 *6474:A wb_data_o[22] 0
5 *6474:A *559:26 0.000186134
6 *6474:A *563:40 0.000186134
*RES
1 wb_adr_i[22] *6474:A 21.2314
*END
*D_NET *150 0.000885832
*CONN
*P wb_adr_i[23] I
*I *6475:A I *D sky130_fd_sc_hd__clkbuf_1
*CAP
1 wb_adr_i[23] 0.000228301
2 *6475:A 0.000228301
3 *6475:A wb_data_o[22] 0.000150739
4 *6475:A wb_data_o[23] 0
5 *6475:A *559:26 0.000139245
6 *6475:A *563:40 0.000139245
*RES
1 wb_adr_i[23] *6475:A 20.4009
*END
*D_NET *151 0.000778911
*CONN
*P wb_adr_i[2] I
*I *6476:A I *D sky130_fd_sc_hd__clkbuf_1
*CAP
1 wb_adr_i[2] 0.000324471
2 *6476:A 0.000324471
3 *6476:A wb_data_o[1] 0
4 *6476:A wb_data_o[2] 0
5 *6476:A *6203:A 0
6 *6476:A *6489:A 0.000129968
*RES
1 wb_adr_i[2] *6476:A 21.2314
*END
*D_NET *152 0.000549707
*CONN
*P wb_adr_i[3] I
*I *6477:A I *D sky130_fd_sc_hd__clkbuf_1
*CAP
1 wb_adr_i[3] 0.000192277
2 *6477:A 0.000192277
3 *6477:A wb_data_o[3] 0
4 *6477:A *6491:A 0.000165153
*RES
1 wb_adr_i[3] *6477:A 18.3246
*END
*D_NET *153 0.000739444
*CONN
*P wb_adr_i[4] I
*I *6478:A I *D sky130_fd_sc_hd__clkbuf_1
*CAP
1 wb_adr_i[4] 0.000282186
2 *6478:A 0.000282186
3 *6478:A wb_data_o[4] 0
4 *6478:A *6155:A1 2.36701e-05
5 *6478:A *6492:A 0.000151403
*RES
1 wb_adr_i[4] *6478:A 20.4009
*END
*D_NET *154 0.00107944
*CONN
*P wb_adr_i[5] I
*I *6480:A I *D sky130_fd_sc_hd__clkbuf_1
*CAP
1 wb_adr_i[5] 0.000291083
2 *6480:A 0.000291083
3 *6480:A wb_data_o[4] 0.00016408
4 *6480:A wb_data_o[5] 0
5 *6480:A *6162:A 0.000125695
6 *6480:A *511:10 0.000207503
*RES
1 wb_adr_i[5] *6480:A 22.4772
*END
*D_NET *155 0.000919136
*CONN
*P wb_adr_i[6] I
*I *6481:A I *D sky130_fd_sc_hd__clkbuf_1
*CAP
1 wb_adr_i[6] 0.000370967
2 *6481:A 0.000370967
3 *6481:A wb_data_o[5] 0.000165153
4 *6481:A wb_data_o[6] 0
5 *6481:A *6161:S 1.20478e-05
*RES
1 wb_adr_i[6] *6481:A 19.3518
*END
*D_NET *156 0.000742279
*CONN
*P wb_adr_i[7] I
*I *6482:A I *D sky130_fd_sc_hd__clkbuf_1
*CAP
1 wb_adr_i[7] 0.000266892
2 *6482:A 0.000266892
3 *6482:A wb_data_o[6] 0.000160478
4 *6482:A wb_data_o[7] 0
5 *6482:A *516:634 4.8017e-05
*RES
1 wb_adr_i[7] *6482:A 20.8161
*END
*D_NET *157 0.000640225
*CONN
*P wb_adr_i[8] I
*I *6483:A I *D sky130_fd_sc_hd__clkbuf_1
*CAP
1 wb_adr_i[8] 0.000229387
2 *6483:A 0.000229387
3 *6483:A wb_data_o[7] 0.000160478
4 *6483:A wb_data_o[8] 0
5 *6483:A *516:634 2.09735e-05
*RES
1 wb_adr_i[8] *6483:A 19.1551
*END
*D_NET *158 0.000850738
*CONN
*P wb_adr_i[9] I
*I *6484:A I *D sky130_fd_sc_hd__clkbuf_1
*CAP
1 wb_adr_i[9] 0.000316892
2 *6484:A 0.000316892
3 *6484:A wb_data_o[8] 0.000176868
4 *6484:A wb_data_o[9] 0
5 *6484:A *515:11 3.25584e-05
6 *6484:A *560:14 7.5268e-06
*RES
1 wb_adr_i[9] *6484:A 21.9412
*END
*D_NET *159 0.00162729
*CONN
*P wb_clk_i I
*I *6485:A I *D sky130_fd_sc_hd__buf_12
*CAP
1 wb_clk_i 0.000368462
2 *6485:A 0.000368462
3 *6485:A *6487:A 0.000206023
4 *6485:A *160:11 0.000119388
5 sram_csb0 *6485:A 0.000333579
6 sram_csb1 *6485:A 0.000111987
7 wb_ack_o *6485:A 0.000119388
*RES
1 wb_clk_i *6485:A 29.6151
*END
*D_NET *160 0.00481521
*CONN
*P wb_cyc_i I
*I *6486:A I *D sky130_fd_sc_hd__clkbuf_1
*CAP
1 wb_cyc_i 0.00153483
2 *6486:A 0
3 *160:11 0.00153483
4 *160:11 wb_error_o 0.000516617
5 *160:11 *6150:A1 3.00073e-05
6 *160:11 *6150:B1 9.10968e-05
7 *160:11 *6229:A 0.00023959
8 *160:11 *6350:CLK 0.000217937
9 *160:11 *6350:D 0.000104483
10 *160:11 *6494:A 0
11 *160:11 *6508:A 0.000103493
12 *160:11 *232:17 4.69495e-06
13 *160:11 *441:49 0
14 *160:11 *517:8 9.63981e-05
15 sram_addr1[0] *160:11 0.000221847
16 sram_din0[0] *160:11 0
17 wb_ack_o *160:11 0
18 *6485:A *160:11 0.000119388
*RES
1 wb_cyc_i *160:11 42.0521
2 *160:11 *6486:A 9.24915
*END
*D_NET *193 0.000631263
*CONN
*P wb_data_o[0] O
*I *6523:X O *D sky130_fd_sc_hd__buf_2
*CAP
1 wb_data_o[0] 0.000233055
2 *6523:X 0.000233055
3 wb_data_o[0] *6488:A 0.000165153
4 wb_data_o[0] *6494:A 0
5 wb_data_o[0] *518:8 0
*RES
1 *6523:X wb_data_o[0] 19.1551
*END
*D_NET *194 0.000598934
*CONN
*P wb_data_o[10] O
*I *6524:X O *D sky130_fd_sc_hd__buf_2
*CAP
1 wb_data_o[10] 0.000228515
2 *6524:X 0.000228515
3 wb_data_o[10] *558:18 1.91391e-05
4 wb_data_o[10] *560:14 1.91391e-05
5 *6461:A wb_data_o[10] 0
6 *6462:A wb_data_o[10] 0.000103627
*RES
1 *6524:X wb_data_o[10] 18.3246
*END
*D_NET *195 0.000861405
*CONN
*P wb_data_o[11] O
*I *6525:X O *D sky130_fd_sc_hd__buf_2
*CAP
1 wb_data_o[11] 0.000240701
2 *6525:X 0.000240701
3 wb_data_o[11] *558:18 0.000113077
4 wb_data_o[11] *560:14 0.000113077
5 *6462:A wb_data_o[11] 0
6 *6463:A wb_data_o[11] 0.000153847
*RES
1 *6525:X wb_data_o[11] 19.9856
*END
*D_NET *196 0.000734523
*CONN
*P wb_data_o[12] O
*I *6526:X O *D sky130_fd_sc_hd__buf_2
*CAP
1 wb_data_o[12] 0.000241656
2 *6526:X 0.000241656
3 wb_data_o[12] wb_data_o[13] 0
4 wb_data_o[12] *558:18 6.11074e-05
5 wb_data_o[12] *560:14 6.11074e-05
6 *6463:A wb_data_o[12] 0
7 *6464:A wb_data_o[12] 0.000128996
*RES
1 *6526:X wb_data_o[12] 19.1551
*END
*D_NET *197 0.000620883
*CONN
*P wb_data_o[13] O
*I *6527:X O *D sky130_fd_sc_hd__buf_2
*CAP
1 wb_data_o[13] 0.000219822
2 *6527:X 0.000219822
3 wb_data_o[13] *558:18 1.91391e-05
4 wb_data_o[13] *559:25 1.91391e-05
5 wb_data_o[12] wb_data_o[13] 0
6 *6464:A wb_data_o[13] 0
7 *6465:A wb_data_o[13] 0.00014296
*RES
1 *6527:X wb_data_o[13] 18.3246
*END
*D_NET *198 0.000662924
*CONN
*P wb_data_o[14] O
*I *6528:X O *D sky130_fd_sc_hd__buf_2
*CAP
1 wb_data_o[14] 0.000209421
2 *6528:X 0.000209421
3 wb_data_o[14] *558:18 4.51176e-05
4 wb_data_o[14] *559:25 4.51176e-05
5 *6465:A wb_data_o[14] 0
6 *6466:A wb_data_o[14] 0.000153847
*RES
1 *6528:X wb_data_o[14] 18.7399
*END
*D_NET *199 0.000733893
*CONN
*P wb_data_o[15] O
*I *6529:X O *D sky130_fd_sc_hd__buf_2
*CAP
1 wb_data_o[15] 0.000231259
2 *6529:X 0.000231259
3 wb_data_o[15] *558:18 6.11074e-05
4 wb_data_o[15] *559:25 6.11074e-05
5 *6466:A wb_data_o[15] 0
6 *6467:A wb_data_o[15] 0.00014916
*RES
1 *6529:X wb_data_o[15] 19.1551
*END
*D_NET *200 0.000579096
*CONN
*P wb_data_o[16] O
*I *6530:X O *D sky130_fd_sc_hd__buf_2
*CAP
1 wb_data_o[16] 0.000207784
2 *6530:X 0.000207784
3 wb_data_o[16] *559:25 1.91391e-05
4 wb_data_o[16] *563:38 7.13655e-06
5 *6467:A wb_data_o[16] 0
6 *6469:A wb_data_o[16] 0.000137253
*RES
1 *6530:X wb_data_o[16] 18.3246
*END
*D_NET *201 0.000650812
*CONN
*P wb_data_o[17] O
*I *6531:X O *D sky130_fd_sc_hd__buf_2
*CAP
1 wb_data_o[17] 0.00020572
2 *6531:X 0.00020572
3 wb_data_o[17] *559:26 4.51062e-05
4 *6469:A wb_data_o[17] 4.51062e-05
5 *6470:A wb_data_o[17] 0.00014916
*RES
1 *6531:X wb_data_o[17] 18.7399
*END
*D_NET *202 0.0008351
*CONN
*P wb_data_o[18] O
*I *6532:X O *D sky130_fd_sc_hd__buf_2
*CAP
1 wb_data_o[18] 0.000255816
2 *6532:X 0.000255816
3 wb_data_o[18] *559:26 8.71534e-05
4 wb_data_o[18] *563:40 8.71534e-05
5 *6470:A wb_data_o[18] 0
6 *6471:A wb_data_o[18] 0.00014916
*RES
1 *6532:X wb_data_o[18] 19.5704
*END
*D_NET *203 0.000595691
*CONN
*P wb_data_o[19] O
*I *6533:X O *D sky130_fd_sc_hd__buf_2
*CAP
1 wb_data_o[19] 0.000214886
2 *6533:X 0.000214886
3 wb_data_o[19] wb_data_o[20] 0
4 wb_data_o[19] *559:26 1.91391e-05
5 wb_data_o[19] *563:40 1.91391e-05
6 *6471:A wb_data_o[19] 0
7 *6472:A wb_data_o[19] 0.000127641
*RES
1 *6533:X wb_data_o[19] 18.3246
*END
*D_NET *204 0.000628689
*CONN
*P wb_data_o[1] O
*I *6534:X O *D sky130_fd_sc_hd__buf_2
*CAP
1 wb_data_o[1] 0.000250524
2 *6534:X 0.000250524
3 wb_data_o[1] *6488:A 0
4 wb_data_o[1] *6489:A 0.000127641
5 wb_data_o[1] *518:8 0
6 *6476:A wb_data_o[1] 0
*RES
1 *6534:X wb_data_o[1] 19.1551
*END
*D_NET *205 0.000586821
*CONN
*P wb_data_o[20] O
*I *6535:X O *D sky130_fd_sc_hd__buf_2
*CAP
1 wb_data_o[20] 0.000199706
2 *6535:X 0.000199706
3 wb_data_o[20] *559:26 1.91246e-05
4 wb_data_o[20] *563:40 1.91246e-05
5 wb_data_o[19] wb_data_o[20] 0
6 *6472:A wb_data_o[20] 0
7 *6473:A wb_data_o[20] 0.00014916
*RES
1 *6535:X wb_data_o[20] 18.3246
*END
*D_NET *206 0.000641662
*CONN
*P wb_data_o[21] O
*I *6536:X O *D sky130_fd_sc_hd__buf_2
*CAP
1 wb_data_o[21] 0.000218678
2 *6536:X 0.000218678
3 wb_data_o[21] *559:26 4.51176e-05
4 wb_data_o[21] *563:40 4.51176e-05
5 *6473:A wb_data_o[21] 0
6 *6474:A wb_data_o[21] 0.000114071
*RES
1 *6536:X wb_data_o[21] 18.7399
*END
*D_NET *207 0.000598615
*CONN
*P wb_data_o[22] O
*I *6537:X O *D sky130_fd_sc_hd__buf_2
*CAP
1 wb_data_o[22] 0.000204799
2 *6537:X 0.000204799
3 wb_data_o[22] *559:26 1.91391e-05
4 wb_data_o[22] *563:40 1.91391e-05
5 *6474:A wb_data_o[22] 0
6 *6475:A wb_data_o[22] 0.000150739
*RES
1 *6537:X wb_data_o[22] 18.3246
*END
*D_NET *208 0.000607238
*CONN
*P wb_data_o[23] O
*I *6538:X O *D sky130_fd_sc_hd__buf_2
*CAP
1 wb_data_o[23] 0.000259592
2 *6538:X 0.000259592
3 wb_data_o[23] wb_data_o[24] 0
4 wb_data_o[23] *559:26 4.40272e-05
5 wb_data_o[23] *563:40 4.40272e-05
6 *6475:A wb_data_o[23] 0
*RES
1 *6538:X wb_data_o[23] 18.7399
*END
*D_NET *209 0.000666108
*CONN
*P wb_data_o[24] O
*I *6539:X O *D sky130_fd_sc_hd__buf_2
*CAP
1 wb_data_o[24] 0.000271946
2 *6539:X 0.000271946
3 wb_data_o[24] wb_data_o[25] 0
4 wb_data_o[24] *559:26 6.11074e-05
5 wb_data_o[24] *563:40 6.11074e-05
6 wb_data_o[23] wb_data_o[24] 0
*RES
1 *6539:X wb_data_o[24] 19.1551
*END
*D_NET *210 0.000534567
*CONN
*P wb_data_o[25] O
*I *6540:X O *D sky130_fd_sc_hd__buf_2
*CAP
1 wb_data_o[25] 0.000254803
2 *6540:X 0.000254803
3 wb_data_o[25] wb_data_o[26] 0
4 wb_data_o[25] *560:16 4.75721e-06
5 wb_data_o[25] *563:40 2.02035e-05
6 wb_data_o[24] wb_data_o[25] 0
*RES
1 *6540:X wb_data_o[25] 18.3246
*END
*D_NET *211 0.00055424
*CONN
*P wb_data_o[26] O
*I *6541:X O *D sky130_fd_sc_hd__buf_2
*CAP
1 wb_data_o[26] 0.00026755
2 *6541:X 0.00026755
3 wb_data_o[26] wb_data_o[27] 0
4 wb_data_o[26] *563:40 1.91391e-05
5 wb_data_o[25] wb_data_o[26] 0
*RES
1 *6541:X wb_data_o[26] 18.3246
*END
*D_NET *212 0.000749856
*CONN
*P wb_data_o[27] O
*I *6542:X O *D sky130_fd_sc_hd__buf_2
*CAP
1 wb_data_o[27] 0.000318389
2 *6542:X 0.000318389
3 wb_data_o[27] wb_data_o[28] 0
4 wb_data_o[27] *563:40 0.000113077
5 wb_data_o[27] *564:39 0
6 wb_data_o[26] wb_data_o[27] 0
*RES
1 *6542:X wb_data_o[27] 19.9856
*END
*D_NET *213 0.00055369
*CONN
*P wb_data_o[28] O
*I *6543:X O *D sky130_fd_sc_hd__buf_2
*CAP
1 wb_data_o[28] 0.000267275
2 *6543:X 0.000267275
3 wb_data_o[28] wb_data_o[29] 0
4 wb_data_o[28] *563:40 1.91391e-05
5 wb_data_o[27] wb_data_o[28] 0
*RES
1 *6543:X wb_data_o[28] 18.3246
*END
*D_NET *214 0.00175788
*CONN
*P wb_data_o[29] O
*I *6544:X O *D sky130_fd_sc_hd__buf_2
*CAP
1 wb_data_o[29] 0.000796955
2 *6544:X 0.000796955
3 wb_data_o[29] wb_data_o[30] 0
4 wb_data_o[29] wb_data_o[31] 0.00016156
5 wb_data_o[29] *6544:A 2.41274e-06
6 wb_data_o[28] wb_data_o[29] 0
*RES
1 *6544:X wb_data_o[29] 24.7523
*END
*D_NET *215 0.000571552
*CONN
*P wb_data_o[2] O
*I *6498:X O *D sky130_fd_sc_hd__buf_2
*CAP
1 wb_data_o[2] 0.000210075
2 *6498:X 0.000210075
3 wb_data_o[2] *6491:A 0.000151403
4 *6476:A wb_data_o[2] 0
*RES
1 *6498:X wb_data_o[2] 18.3246
*END
*D_NET *216 0.00179285
*CONN
*P wb_data_o[30] O
*I *6499:X O *D sky130_fd_sc_hd__buf_2
*CAP
1 wb_data_o[30] 0.000783763
2 *6499:X 0.000783763
3 wb_data_o[30] wb_data_o[31] 0.000225322
4 flash_csb wb_data_o[30] 0
5 wb_data_o[29] wb_data_o[30] 0
*RES
1 *6499:X wb_data_o[30] 27.023
*END
*D_NET *217 0.00387996
*CONN
*P wb_data_o[31] O
*I *6500:X O *D sky130_fd_sc_hd__buf_2
*CAP
1 wb_data_o[31] 0.00160429
2 *6500:X 0.00160429
3 wb_data_o[31] *6542:A 0
4 wb_data_o[31] *458:29 9.47659e-05
5 wb_data_o[31] *459:18 0.000189732
6 wb_data_o[31] *564:39 0
7 flash_csb wb_data_o[31] 0
8 wb_data_o[29] wb_data_o[31] 0.00016156
9 wb_data_o[30] wb_data_o[31] 0.000225322
*RES
1 *6500:X wb_data_o[31] 46.4303
*END
*D_NET *218 0.000523974
*CONN
*P wb_data_o[3] O
*I *6501:X O *D sky130_fd_sc_hd__buf_2
*CAP
1 wb_data_o[3] 0.000204996
2 *6501:X 0.000204996
3 wb_data_o[3] *6492:A 0.000113982
4 *6477:A wb_data_o[3] 0
*RES
1 *6501:X wb_data_o[3] 18.3246
*END
*D_NET *219 0.000656487
*CONN
*P wb_data_o[4] O
*I *6502:X O *D sky130_fd_sc_hd__buf_2
*CAP
1 wb_data_o[4] 0.00022961
2 *6502:X 0.00022961
3 wb_data_o[4] *511:10 3.31882e-05
4 *6478:A wb_data_o[4] 0
5 *6480:A wb_data_o[4] 0.00016408
*RES
1 *6502:X wb_data_o[4] 19.1551
*END
*D_NET *220 0.000713756
*CONN
*P wb_data_o[5] O
*I *6503:X O *D sky130_fd_sc_hd__buf_2
*CAP
1 wb_data_o[5] 0.000274302
2 *6503:X 0.000274302
3 *6480:A wb_data_o[5] 0
4 *6481:A wb_data_o[5] 0.000165153
*RES
1 *6503:X wb_data_o[5] 19.9856
*END
*D_NET *221 0.000534859
*CONN
*P wb_data_o[6] O
*I *6504:X O *D sky130_fd_sc_hd__buf_2
*CAP
1 wb_data_o[6] 0.000187191
2 *6504:X 0.000187191
3 *6481:A wb_data_o[6] 0
4 *6482:A wb_data_o[6] 0.000160478
*RES
1 *6504:X wb_data_o[6] 18.3246
*END
*D_NET *222 0.000533343
*CONN
*P wb_data_o[7] O
*I *6505:X O *D sky130_fd_sc_hd__buf_2
*CAP
1 wb_data_o[7] 0.000184335
2 *6505:X 0.000184335
3 wb_data_o[7] *516:634 4.19401e-06
4 *6482:A wb_data_o[7] 0
5 *6483:A wb_data_o[7] 0.000160478
*RES
1 *6505:X wb_data_o[7] 18.3246
*END
*D_NET *223 0.000813803
*CONN
*P wb_data_o[8] O
*I *6506:X O *D sky130_fd_sc_hd__buf_2
*CAP
1 wb_data_o[8] 0.000262461
2 *6506:X 0.000262461
3 wb_data_o[8] wb_data_o[9] 0
4 wb_data_o[8] *560:14 0.000112013
5 *6483:A wb_data_o[8] 0
6 *6484:A wb_data_o[8] 0.000176868
*RES
1 *6506:X wb_data_o[8] 19.9856
*END
*D_NET *224 0.000578303
*CONN
*P wb_data_o[9] O
*I *6507:X O *D sky130_fd_sc_hd__buf_2
*CAP
1 wb_data_o[9] 0.00019861
2 *6507:X 0.00019861
3 wb_data_o[9] *560:14 1.91246e-05
4 wb_data_o[9] *563:30 7.12632e-06
5 wb_data_o[8] wb_data_o[9] 0
6 *6461:A wb_data_o[9] 0.000154831
7 *6484:A wb_data_o[9] 0
*RES
1 *6507:X wb_data_o[9] 18.3246
*END
*D_NET *225 0.00555576
*CONN
*P wb_error_o O
*I *6431:LO O *D sky130_fd_sc_hd__conb_1
*CAP
1 wb_error_o 0.00154886
2 *6431:LO 0.00154886
3 wb_error_o wb_stall_o 0
4 wb_error_o *6150:A1 6.79599e-05
5 wb_error_o *6150:B1 0.00013689
6 wb_error_o *6349:D 2.22923e-05
7 wb_error_o *6350:CLK 9.14669e-05
8 wb_error_o *6487:A 0.000117703
9 wb_error_o *6508:A 0.000257987
10 wb_error_o *232:17 1.91246e-05
11 wb_error_o *517:8 7.25324e-06
12 wb_error_o *524:24 0
13 sram_addr1[0] wb_error_o 0.000321445
14 *134:18 wb_error_o 0.000899306
15 *160:11 wb_error_o 0.000516617
*RES
1 *6431:LO wb_error_o 49.5529
*END
*D_NET *226 0.00124584
*CONN
*P wb_rst_i I
*I *6487:A I *D sky130_fd_sc_hd__dlymetal6s2s_1
*CAP
1 wb_rst_i 0.000347717
2 *6487:A 0.000347717
3 *6487:A wb_stall_o 0.000117703
4 sram_clk1 *6487:A 0
5 sram_csb0 *6487:A 5.66868e-06
6 sram_csb1 *6487:A 0.000103311
7 wb_error_o *6487:A 0.000117703
8 *6485:A *6487:A 0.000206023
*RES
1 wb_rst_i *6487:A 24.8157
*END
*D_NET *227 0.00304926
*CONN
*P wb_sel_i[0] I
*I *6488:A I *D sky130_fd_sc_hd__clkbuf_1
*CAP
1 wb_sel_i[0] 0.00110614
2 *6488:A 0.00110614
3 *6488:A *6150:A2 0.000348192
4 *6488:A *6151:A0 8.52968e-05
5 *6488:A *6227:A 0.000238333
6 *6488:A *525:8 0
7 wb_data_o[0] *6488:A 0.000165153
8 wb_data_o[1] *6488:A 0
*RES
1 wb_sel_i[0] *6488:A 26.1269
*END
*D_NET *228 0.000557196
*CONN
*P wb_sel_i[1] I
*I *6489:A I *D sky130_fd_sc_hd__dlymetal6s2s_1
*CAP
1 wb_sel_i[1] 0.000149794
2 *6489:A 0.000149794
3 *6489:A *6148:A_N 0
4 wb_data_o[1] *6489:A 0.000127641
5 *6476:A *6489:A 0.000129968
*RES
1 wb_sel_i[1] *6489:A 19.0022
*END
*D_NET *229 0.0011114
*CONN
*P wb_sel_i[2] I
*I *6491:A I *D sky130_fd_sc_hd__clkbuf_1
*CAP
1 wb_sel_i[2] 0.000397424
2 *6491:A 0.000397424
3 *6491:A *418:10 0
4 wb_data_o[2] *6491:A 0.000151403
5 *6477:A *6491:A 0.000165153
*RES
1 wb_sel_i[2] *6491:A 20.1823
*END
*D_NET *230 0.000685016
*CONN
*P wb_sel_i[3] I
*I *6492:A I *D sky130_fd_sc_hd__clkbuf_1
*CAP
1 wb_sel_i[3] 0.000209816
2 *6492:A 0.000209816
3 wb_data_o[3] *6492:A 0.000113982
4 *6478:A *6492:A 0.000151403
*RES
1 wb_sel_i[3] *6492:A 19.1551
*END
*D_NET *231 0.00254173
*CONN
*P wb_stall_o O
*I *6508:X O *D sky130_fd_sc_hd__buf_2
*CAP
1 wb_stall_o 0.000751181
2 *6508:X 0.000751181
3 wb_stall_o *232:17 0.000297812
4 wb_stall_o *516:6 0
5 sram_addr0[0] wb_stall_o 9.03621e-05
6 sram_web0 wb_stall_o 0.000158371
7 wb_error_o wb_stall_o 0
8 *6487:A wb_stall_o 0.000117703
9 *134:18 wb_stall_o 0.000375121
*RES
1 *6508:X wb_stall_o 27.7956
*END
*D_NET *232 0.00496585
*CONN
*P wb_stb_i I
*I *6493:A I *D sky130_fd_sc_hd__clkbuf_1
*CAP
1 wb_stb_i 0.00173592
2 *6493:A 0
3 *232:17 0.00173592
4 *232:17 *6207:S 0.000148594
5 *232:17 *6230:A 0
6 *232:17 *6494:A 0.000416238
7 *232:17 *524:23 9.14834e-05
8 *232:17 *539:10 5.47736e-05
9 sram_csb0 *232:17 0.000364289
10 wb_error_o *232:17 1.91246e-05
11 wb_stall_o *232:17 0.000297812
12 *6435:A *232:17 9.69997e-05
13 *160:11 *232:17 4.69495e-06
*RES
1 wb_stb_i *232:17 43.9177
2 *232:17 *6493:A 9.24915
*END
*D_NET *233 0.00502812
*CONN
*P wb_we_i I
*I *6494:A I *D sky130_fd_sc_hd__clkbuf_1
*CAP
1 wb_we_i 0.0015274
2 *6494:A 0.0015274
3 *6494:A *6150:A2 0
4 *6494:A *6228:A 4.52324e-05
5 *6494:A *6520:A 0.000112013
6 *6494:A *6521:A 0.000561725
7 *6494:A *516:19 1.47102e-05
8 *6494:A *539:10 0
9 *6494:A *540:17 0.000565951
10 *6494:A *565:38 3.31733e-05
11 sram_din0[0] *6494:A 6.38933e-05
12 wb_data_o[0] *6494:A 0
13 *6435:A *6494:A 0.000160384
14 *160:11 *6494:A 0
15 *232:17 *6494:A 0.000416238
*RES
1 wb_we_i *6494:A 49.197
*END
*D_NET *234 0.000487347
*CONN
*I *6316:D I *D sky130_fd_sc_hd__dfxtp_1
*I *6257:X O *D sky130_fd_sc_hd__a21o_1
*CAP
1 *6316:D 0.000133463
2 *6257:X 0.000133463
3 *6316:D *313:8 0.000101133
4 *6316:D *315:8 0.000101133
5 *6316:D *541:7 1.81547e-05
*RES
1 *6257:X *6316:D 31.2171
*END
*D_NET *235 0.000395176
*CONN
*I *6317:D I *D sky130_fd_sc_hd__dfxtp_1
*I *6260:X O *D sky130_fd_sc_hd__a21o_1
*CAP
1 *6317:D 0.000171666
2 *6260:X 0.000171666
3 *6317:D *6260:A1 2.36494e-05
4 *6317:D *6260:B1 1.84293e-05
5 *6317:D *553:12 9.76457e-06
*RES
1 *6260:X *6317:D 31.1448
*END
*D_NET *236 0.00049931
*CONN
*I *6318:D I *D sky130_fd_sc_hd__dfxtp_1
*I *6263:X O *D sky130_fd_sc_hd__a21o_1
*CAP
1 *6318:D 0.000106477
2 *6263:X 0.000106477
3 *6318:D *314:6 0.000156823
4 *6318:D *319:16 4.15008e-05
5 *6318:D *387:21 1.87611e-05
6 *6318:D *553:22 6.92705e-05
*RES
1 *6263:X *6318:D 31.1072
*END
*D_NET *237 0.000353636
*CONN
*I *6319:D I *D sky130_fd_sc_hd__dfxtp_1
*I *6266:X O *D sky130_fd_sc_hd__a21o_1
*CAP
1 *6319:D 0.000155468
2 *6266:X 0.000155468
3 *6319:D *6264:A 0
4 *6319:D *328:10 4.27003e-05
*RES
1 *6266:X *6319:D 31.2171
*END
*D_NET *238 0.000542973
*CONN
*I *6320:D I *D sky130_fd_sc_hd__dfxtp_1
*I *6273:X O *D sky130_fd_sc_hd__a21o_1
*CAP
1 *6320:D 0.000166206
2 *6273:X 0.000166206
3 *6320:D *312:7 3.18826e-06
4 *6320:D *328:8 0.000151726
5 *6320:D *378:8 0
6 *6320:D *523:11 5.56461e-05
*RES
1 *6273:X *6320:D 31.6618
*END
*D_NET *239 0.00195227
*CONN
*I *6321:D I *D sky130_fd_sc_hd__dfxtp_1
*I *6278:X O *D sky130_fd_sc_hd__a21o_1
*CAP
1 *6321:D 0.000431914
2 *6278:X 0.000431914
3 *6321:D *6278:B1 5.04734e-05
4 *6321:D *327:25 0.000987494
5 *6321:D *338:8 5.04734e-05
*RES
1 *6278:X *6321:D 40.5061
*END
*D_NET *240 0.000813158
*CONN
*I *6322:D I *D sky130_fd_sc_hd__dfxtp_1
*I *6281:X O *D sky130_fd_sc_hd__a21o_1
*CAP
1 *6322:D 0.00023594
2 *6281:X 0.00023594
3 *6322:D *6281:A1 0.000149628
4 *6322:D *6322:CLK 9.73548e-05
5 *6322:D *336:24 5.04829e-06
6 *6322:D *344:15 1.31897e-05
7 *6322:D *344:25 7.97098e-06
8 *6322:D *464:19 6.80864e-05
*RES
1 *6281:X *6322:D 33.1508
*END
*D_NET *241 0.0014616
*CONN
*I *6323:D I *D sky130_fd_sc_hd__dfxtp_1
*I *6284:X O *D sky130_fd_sc_hd__a21o_1
*CAP
1 *6323:D 0.000358524
2 *6284:X 0.000358524
3 *6323:D *6284:B1 1.61631e-05
4 *6323:D *516:207 0.000728387
*RES
1 *6284:X *6323:D 28.1496
*END
*D_NET *242 0.000384996
*CONN
*I *6324:D I *D sky130_fd_sc_hd__dfxtp_1
*I *6288:X O *D sky130_fd_sc_hd__a21o_1
*CAP
1 *6324:D 0.000138249
2 *6288:X 0.000138249
3 *6324:D *6284:A2 0
4 *6324:D *6324:CLK 7.34948e-06
5 *6324:D *363:6 0.000101148
*RES
1 *6288:X *6324:D 30.976
*END
*D_NET *243 0.000675626
*CONN
*I *6325:D I *D sky130_fd_sc_hd__dfxtp_1
*I *6293:X O *D sky130_fd_sc_hd__a21o_1
*CAP
1 *6325:D 0.000240425
2 *6293:X 0.000240425
3 *6325:D *6293:B1 3.14978e-05
4 *6325:D *6298:A2 0
5 *6325:D *363:6 0.000163278
*RES
1 *6293:X *6325:D 34.4293
*END
*D_NET *244 0.000901289
*CONN
*I *6326:D I *D sky130_fd_sc_hd__dfxtp_1
*I *6298:X O *D sky130_fd_sc_hd__a21o_1
*CAP
1 *6326:D 0.000312525
2 *6298:X 0.000312525
3 *6326:D *6327:D 0
4 *6326:D *372:8 0
5 *6326:D *542:5 0.000276239
*RES
1 *6298:X *6326:D 35.6484
*END
*D_NET *245 0.000721001
*CONN
*I *6327:D I *D sky130_fd_sc_hd__dfxtp_1
*I *6301:X O *D sky130_fd_sc_hd__a21o_1
*CAP
1 *6327:D 0.000263064
2 *6301:X 0.000263064
3 *6327:D *6299:A 0
4 *6327:D *6301:B1 4.27003e-05
5 *6327:D *352:35 4.5539e-05
6 *6327:D *372:8 0
7 *6327:D *544:9 0.000106635
8 *6326:D *6327:D 0
*RES
1 *6301:X *6327:D 34.6402
*END
*D_NET *246 0.0005739
*CONN
*I *6328:D I *D sky130_fd_sc_hd__dfxtp_1
*I *6304:X O *D sky130_fd_sc_hd__a21o_1
*CAP
1 *6328:D 0.000158564
2 *6304:X 0.000158564
3 *6328:D *352:40 2.71953e-05
4 *6328:D *372:8 0
5 *6328:D *545:7 0.000229576
*RES
1 *6304:X *6328:D 32.6023
*END
*D_NET *247 0.00113872
*CONN
*I *6329:D I *D sky130_fd_sc_hd__dfxtp_1
*I *6307:X O *D sky130_fd_sc_hd__a21o_1
*CAP
1 *6329:D 0.000171807
2 *6307:X 0.000171807
3 *6329:D *380:6 0.000153225
4 *6329:D *465:36 0.000153225
5 *6329:D *546:7 0.000488655
*RES
1 *6307:X *6329:D 35.3753
*END
*D_NET *248 0.000586833
*CONN
*I *6330:D I *D sky130_fd_sc_hd__dfxtp_1
*I *6312:X O *D sky130_fd_sc_hd__a21o_1
*CAP
1 *6330:D 0.000250109
2 *6312:X 0.000250109
3 *6330:D *376:8 4.27003e-05
4 *6330:D *380:6 4.27003e-05
5 *6330:D *547:10 1.21461e-06
*RES
1 *6312:X *6330:D 33.4356
*END
*D_NET *249 0.000665149
*CONN
*I *6331:D I *D sky130_fd_sc_hd__dfxtp_1
*I *6087:X O *D sky130_fd_sc_hd__a21o_1
*CAP
1 *6331:D 0.000245425
2 *6087:X 0.000245425
3 *6331:D *6313:A 0.000169093
4 *6331:D *372:8 0
5 *6331:D *548:7 5.20546e-06
*RES
1 *6087:X *6331:D 33.5721
*END
*D_NET *250 0.000651588
*CONN
*I *6332:D I *D sky130_fd_sc_hd__dfxtp_1
*I *6091:X O *D sky130_fd_sc_hd__a21o_1
*CAP
1 *6332:D 0.000325794
2 *6091:X 0.000325794
3 *6332:D *6088:A 0
4 *6332:D *6331:CLK 0
*RES
1 *6091:X *6332:D 35.0938
*END
*D_NET *251 0.000889489
*CONN
*I *6333:D I *D sky130_fd_sc_hd__dfxtp_1
*I *6094:X O *D sky130_fd_sc_hd__a21o_1
*CAP
1 *6333:D 0.000303403
2 *6094:X 0.000303403
3 *6333:D *6092:A 0
4 *6333:D *516:474 0
5 *6333:D *516:479 0.000282684
*RES
1 *6094:X *6333:D 35.6484
*END
*D_NET *252 0.00176243
*CONN
*I *6334:D I *D sky130_fd_sc_hd__dfxtp_1
*I *6097:X O *D sky130_fd_sc_hd__a21o_1
*CAP
1 *6334:D 0.000273163
2 *6097:X 0.000273163
3 *6334:D *6097:A1 0.000271044
4 *6334:D *542:19 0.000945057
*RES
1 *6097:X *6334:D 28.7042
*END
*D_NET *253 0.000555564
*CONN
*I *6335:D I *D sky130_fd_sc_hd__dfxtp_1
*I *6102:X O *D sky130_fd_sc_hd__a21o_1
*CAP
1 *6335:D 0.000141644
2 *6102:X 0.000141644
3 *6335:D *373:18 4.27003e-05
4 *6335:D *381:34 0
5 *6335:D *516:281 0.000229576
*RES
1 *6102:X *6335:D 31.7717
*END
*D_NET *254 0.000827788
*CONN
*I *6336:D I *D sky130_fd_sc_hd__dfxtp_1
*I *6107:X O *D sky130_fd_sc_hd__a21o_1
*CAP
1 *6336:D 0.000378115
2 *6107:X 0.000378115
3 *6336:D *6103:A 0
4 *6336:D *6110:B1 7.15593e-05
*RES
1 *6107:X *6336:D 36.7576
*END
*D_NET *255 0.00074613
*CONN
*I *6337:D I *D sky130_fd_sc_hd__dfxtp_1
*I *6110:X O *D sky130_fd_sc_hd__a21o_1
*CAP
1 *6337:D 0.000293152
2 *6110:X 0.000293152
3 *6337:D *6110:B1 5.56461e-05
4 *6337:D *516:291 3.18826e-06
5 *6337:D *561:23 0.000100991
*RES
1 *6110:X *6337:D 34.9839
*END
*D_NET *256 0.00133968
*CONN
*I *6338:D I *D sky130_fd_sc_hd__dfxtp_1
*I *6113:X O *D sky130_fd_sc_hd__a21o_1
*CAP
1 *6338:D 0.000525162
2 *6113:X 0.000525162
3 *6338:D *6111:C 1.43983e-05
4 *6338:D *557:10 0.000274963
*RES
1 *6113:X *6338:D 33.141
*END
*D_NET *257 0.0019594
*CONN
*I *6339:D I *D sky130_fd_sc_hd__dfxtp_1
*I *6116:X O *D sky130_fd_sc_hd__a21o_1
*CAP
1 *6339:D 0.00026554
2 *6116:X 0.00026554
3 *6339:D *551:11 0.00127064
4 *6339:D *558:15 0.000157684
*RES
1 *6116:X *6339:D 32.0318
*END
*D_NET *258 0.000726406
*CONN
*I *6340:D I *D sky130_fd_sc_hd__dfxtp_1
*I *6122:X O *D sky130_fd_sc_hd__a21o_1
*CAP
1 *6340:D 0.000191321
2 *6122:X 0.000191321
3 *6340:D *392:21 0.000148144
4 *6340:D *394:12 0.000148144
5 *6340:D *559:12 4.74773e-05
*RES
1 *6122:X *6340:D 33.1569
*END
*D_NET *259 0.00328015
*CONN
*I *6341:D I *D sky130_fd_sc_hd__dfxtp_2
*I *6127:X O *D sky130_fd_sc_hd__a21o_1
*CAP
1 *6341:D 0.00023029
2 *6127:X 0.00023029
3 *6341:D *6123:A 0.000338076
4 *6341:D *6123:B 6.12686e-06
5 *6341:D *6127:A1 0.000264586
6 *6341:D *6128:A 6.23875e-05
7 *6341:D *560:11 0.000639794
8 *6341:D *562:26 3.61993e-05
9 *6341:D *562:29 0.0014724
*RES
1 *6127:X *6341:D 37.5779
*END
*D_NET *260 0.00118785
*CONN
*I *6342:D I *D sky130_fd_sc_hd__dfxtp_2
*I *6130:X O *D sky130_fd_sc_hd__a21o_1
*CAP
1 *6342:D 0.000337945
2 *6130:X 0.000337945
3 *6342:D *387:28 0.000101133
4 *6342:D *392:21 9.75356e-05
5 *6342:D *464:30 1.74341e-05
6 *6342:D *516:670 0.000282684
7 *6342:D *561:8 1.31764e-05
*RES
1 *6130:X *6342:D 36.3774
*END
*D_NET *261 0.000673353
*CONN
*I *6343:D I *D sky130_fd_sc_hd__dfxtp_2
*I *6133:X O *D sky130_fd_sc_hd__a21o_1
*CAP
1 *6343:D 0.000315326
2 *6133:X 0.000315326
3 *6343:D *6136:B1 4.27003e-05
4 *6343:D *318:21 0
*RES
1 *6133:X *6343:D 34.5448
*END
*D_NET *262 0.000871782
*CONN
*I *6344:D I *D sky130_fd_sc_hd__dfxtp_2
*I *6136:X O *D sky130_fd_sc_hd__a21o_1
*CAP
1 *6344:D 0.000322493
2 *6136:X 0.000322493
3 *6344:D *6094:A2 0
4 *6344:D *6136:A1 1.47102e-05
5 *6344:D *6309:A 0
6 *6344:D *6344:CLK 1.07248e-05
7 *6344:D *318:21 0
8 *6344:D *544:9 0.000106635
9 *6344:D *546:7 6.92705e-05
10 *6344:D *563:11 2.54559e-05
*RES
1 *6136:X *6344:D 35.3636
*END
*D_NET *263 0.00112411
*CONN
*I *6345:D I *D sky130_fd_sc_hd__dfxtp_2
*I *6139:X O *D sky130_fd_sc_hd__a21o_1
*CAP
1 *6345:D 0.000366828
2 *6139:X 0.000366828
3 *6345:D *6137:A 0.00013715
4 *6345:D *466:29 6.04266e-05
5 *6345:D *466:31 6.95207e-05
6 *6345:D *516:580 0.000123361
*RES
1 *6139:X *6345:D 28.7042
*END
*D_NET *264 0.00126291
*CONN
*I *6346:D I *D sky130_fd_sc_hd__dfxtp_2
*I *6142:X O *D sky130_fd_sc_hd__a21o_1
*CAP
1 *6346:D 0.000244204
2 *6142:X 0.000244204
3 *6346:D *6142:B1 4.87198e-05
4 *6346:D *327:18 1.12605e-05
5 *6346:D *384:8 0
6 *6346:D *458:8 5.85213e-05
7 *6346:D *516:138 0.000647374
8 *6346:D *516:145 8.62625e-06
*RES
1 *6142:X *6346:D 37.0391
*END
*D_NET *265 0.00118135
*CONN
*I *6347:D I *D sky130_fd_sc_hd__dfxtp_2
*I *6145:X O *D sky130_fd_sc_hd__a21o_1
*CAP
1 *6347:D 0.000119706
2 *6145:X 0.000119706
3 *6347:D *6289:A 0
4 *6347:D *411:13 0.000116971
5 *6347:D *459:7 0.000412485
6 *6347:D *516:240 0.00011818
7 *6347:D *516:507 0.00015511
8 *6347:D *516:519 0.000139195
*RES
1 *6145:X *6347:D 34.1643
*END
*D_NET *266 0.000382375
*CONN
*I *6348:D I *D sky130_fd_sc_hd__dfxtp_1
*I *6147:X O *D sky130_fd_sc_hd__o41a_1
*CAP
1 *6348:D 0.000151755
2 *6147:X 0.000151755
3 *6348:D *416:16 2.65667e-05
4 *6348:D *516:64 3.67528e-06
5 *6348:D *516:71 2.98609e-05
6 *6348:D *520:9 1.87611e-05
*RES
1 *6147:X *6348:D 30.692
*END
*D_NET *267 0.000470842
*CONN
*I *6349:D I *D sky130_fd_sc_hd__dfxtp_1
*I *6150:X O *D sky130_fd_sc_hd__a21o_1
*CAP
1 *6349:D 8.98172e-05
2 *6150:X 8.98172e-05
3 *6349:D *6350:CLK 0
4 *6349:D *524:23 0.000123361
5 *6349:D *524:24 1.79807e-05
6 wb_error_o *6349:D 2.22923e-05
7 *134:18 *6349:D 0.000127573
*RES
1 *6150:X *6349:D 30.6625
*END
*D_NET *268 0.000674428
*CONN
*I *6350:D I *D sky130_fd_sc_hd__dfxtp_1
*I *6152:X O *D sky130_fd_sc_hd__clkbuf_1
*CAP
1 *6350:D 0.000174571
2 *6152:X 0.000174571
3 *6350:D *6150:B1 5.00217e-05
4 *6350:D *6151:A1 5.39608e-05
5 *6350:D *517:8 0.000116821
6 *6350:D *524:24 0
7 *160:11 *6350:D 0.000104483
*RES
1 *6152:X *6350:D 33.7434
*END
*D_NET *269 0.00050569
*CONN
*I *6351:D I *D sky130_fd_sc_hd__dfxtp_1
*I *6154:X O *D sky130_fd_sc_hd__clkbuf_1
*CAP
1 *6351:D 0.000213149
2 *6154:X 0.000213149
3 *6351:D *6154:A 6.21063e-05
4 *6351:D *6351:CLK 3.18826e-06
5 *6351:D *6501:A 1.40978e-05
*RES
1 *6154:X *6351:D 31.6618
*END
*D_NET *270 0.000410919
*CONN
*I *6352:D I *D sky130_fd_sc_hd__dfxtp_1
*I *6156:X O *D sky130_fd_sc_hd__clkbuf_1
*CAP
1 *6352:D 0.000139136
2 *6156:X 0.000139136
3 *6352:D *6352:CLK 0.000132646
*RES
1 *6156:X *6352:D 30.976
*END
*D_NET *271 0.000992396
*CONN
*I *6353:D I *D sky130_fd_sc_hd__dfxtp_1
*I *6160:X O *D sky130_fd_sc_hd__clkbuf_1
*CAP
1 *6353:D 0.000196655
2 *6160:X 0.000196655
3 *6353:D *6222:A 1.41976e-05
4 *6353:D *6240:A 0.000412485
5 *6353:D *516:128 0.000153393
6 *6353:D *583:28 1.90101e-05
*RES
1 *6160:X *6353:D 25.1596
*END
*D_NET *272 0.000666321
*CONN
*I *6354:D I *D sky130_fd_sc_hd__dfxtp_1
*I *6162:X O *D sky130_fd_sc_hd__clkbuf_1
*CAP
1 *6354:D 0.000254365
2 *6162:X 0.000254365
3 *6354:D *6354:CLK 0.00015759
*RES
1 *6162:X *6354:D 24.605
*END
*D_NET *273 0.000907024
*CONN
*I *6355:D I *D sky130_fd_sc_hd__dfxtp_1
*I *6164:X O *D sky130_fd_sc_hd__clkbuf_1
*CAP
1 *6355:D 0.000209474
2 *6164:X 0.000209474
3 *6355:D *6164:A 7.73065e-05
4 *6355:D *6504:A 2.13584e-05
5 *6355:D *387:28 0.000175674
6 *6355:D *458:20 0.000213739
*RES
1 *6164:X *6355:D 33.1863
*END
*D_NET *274 0.000476935
*CONN
*I *6356:D I *D sky130_fd_sc_hd__dfxtp_1
*I *6166:X O *D sky130_fd_sc_hd__clkbuf_1
*CAP
1 *6356:D 0.000111042
2 *6166:X 0.000111042
3 *6356:D *6166:A 0.000123582
4 *6356:D *458:27 3.18826e-06
5 *6356:D *513:11 1.03403e-05
6 *6356:D *565:29 0.000117741
*RES
1 *6166:X *6356:D 30.692
*END
*D_NET *275 0.000337642
*CONN
*I *6357:D I *D sky130_fd_sc_hd__dfxtp_1
*I *6168:X O *D sky130_fd_sc_hd__clkbuf_1
*CAP
1 *6357:D 8.10141e-05
2 *6168:X 8.10141e-05
3 *6357:D *515:11 0.000101148
4 *6357:D *516:631 7.44658e-05
5 *6357:D *516:634 0
*RES
1 *6168:X *6357:D 30.1079
*END
*D_NET *276 0.000438268
*CONN
*I *6358:D I *D sky130_fd_sc_hd__dfxtp_1
*I *6171:X O *D sky130_fd_sc_hd__clkbuf_1
*CAP
1 *6358:D 0.000103494
2 *6171:X 0.000103494
3 *6358:D *6171:A 9.60216e-05
4 *6358:D *429:16 3.42931e-05
5 *6358:D *465:37 6.08467e-05
6 *6358:D *516:652 1.87611e-05
7 *6358:D *560:11 2.13584e-05
*RES
1 *6171:X *6358:D 30.2767
*END
*D_NET *277 0.00036489
*CONN
*I *6359:D I *D sky130_fd_sc_hd__dfxtp_1
*I *6173:X O *D sky130_fd_sc_hd__clkbuf_1
*CAP
1 *6359:D 8.07047e-05
2 *6173:X 8.07047e-05
3 *6359:D *6525:A 0.000101133
4 *6359:D *492:11 0.000101133
5 *6359:D *559:12 1.21461e-06
*RES
1 *6173:X *6359:D 30.1079
*END
*D_NET *278 0.00113118
*CONN
*I *6360:D I *D sky130_fd_sc_hd__dfxtp_1
*I *6175:X O *D sky130_fd_sc_hd__clkbuf_1
*CAP
1 *6360:D 0.000535073
2 *6175:X 0.000535073
3 *6360:D *6219:A 5.14419e-05
4 *6360:D *6360:CLK 9.58927e-06
*RES
1 *6175:X *6360:D 29.2829
*END
*D_NET *279 0.000512797
*CONN
*I *6361:D I *D sky130_fd_sc_hd__dfxtp_1
*I *6177:X O *D sky130_fd_sc_hd__clkbuf_1
*CAP
1 *6361:D 0.000102465
2 *6177:X 0.000102465
3 *6361:D *516:309 2.13584e-05
4 *6361:D *546:19 6.50727e-05
5 *6361:D *551:23 6.46135e-05
6 *6361:D *564:37 0.000156823
*RES
1 *6177:X *6361:D 31.1072
*END
*D_NET *280 0.000376293
*CONN
*I *6362:D I *D sky130_fd_sc_hd__dfxtp_1
*I *6179:X O *D sky130_fd_sc_hd__clkbuf_1
*CAP
1 *6362:D 0.00011764
2 *6179:X 0.00011764
3 *6362:D *6219:D 0
4 *6362:D *6362:CLK 8.96314e-06
5 *6362:D *305:10 3.37994e-05
6 *6362:D *569:9 9.82494e-05
*RES
1 *6179:X *6362:D 30.4214
*END
*D_NET *281 0.000499929
*CONN
*I *6363:D I *D sky130_fd_sc_hd__dfxtp_1
*I *6182:X O *D sky130_fd_sc_hd__clkbuf_1
*CAP
1 *6363:D 0.00010671
2 *6182:X 0.00010671
3 *6363:D *6181:A1 6.50727e-05
4 *6363:D *6182:A 6.46135e-05
5 *6363:D *564:37 0.000156823
*RES
1 *6182:X *6363:D 31.1072
*END
*D_NET *282 0.000787288
*CONN
*I *6364:D I *D sky130_fd_sc_hd__dfxtp_1
*I *6184:X O *D sky130_fd_sc_hd__clkbuf_1
*CAP
1 *6364:D 0.000232511
2 *6184:X 0.000232511
3 *6364:D *6184:A 0.000149628
4 *6364:D *6224:A 6.46135e-05
5 *6364:D *548:28 0.000108025
*RES
1 *6184:X *6364:D 33.1508
*END
*D_NET *283 0.000241354
*CONN
*I *6365:D I *D sky130_fd_sc_hd__dfxtp_1
*I *6186:X O *D sky130_fd_sc_hd__clkbuf_1
*CAP
1 *6365:D 5.29915e-05
2 *6186:X 5.29915e-05
3 *6365:D *516:343 4.05943e-06
4 *6365:D *551:27 3.01634e-05
5 *6365:D *564:39 0.000101148
*RES
1 *6186:X *6365:D 29.5533
*END
*D_NET *284 0.000721124
*CONN
*I *6366:D I *D sky130_fd_sc_hd__dfxtp_1
*I *6188:X O *D sky130_fd_sc_hd__clkbuf_1
*CAP
1 *6366:D 0.000220093
2 *6188:X 0.000220093
3 *6366:D *6188:A 8.60778e-05
4 *6366:D *550:18 9.74302e-05
5 *6366:D *573:7 9.74302e-05
*RES
1 *6188:X *6366:D 32.254
*END
*D_NET *285 0.000956748
*CONN
*I *6367:D I *D sky130_fd_sc_hd__dfxtp_1
*I *6190:X O *D sky130_fd_sc_hd__clkbuf_1
*CAP
1 *6367:D 0.000170108
2 *6190:X 0.000170108
3 *6367:D *6187:A1 6.50727e-05
4 *6367:D *6190:A 7.14746e-05
5 *6367:D *516:357 1.87611e-05
6 *6367:D *562:30 0.000119987
7 *6367:D *564:39 0.000341237
*RES
1 *6190:X *6367:D 34.4293
*END
*D_NET *286 0.000468004
*CONN
*I *6368:D I *D sky130_fd_sc_hd__dfxtp_1
*I *6193:X O *D sky130_fd_sc_hd__clkbuf_1
*CAP
1 *6368:D 0.000109992
2 *6193:X 0.000109992
3 *6368:D *6535:A 2.65831e-05
4 *6368:D *516:376 0.000156823
5 *6368:D *564:39 6.46135e-05
*RES
1 *6193:X *6368:D 31.1072
*END
*D_NET *287 0.000733555
*CONN
*I *6369:D I *D sky130_fd_sc_hd__dfxtp_1
*I *6195:X O *D sky130_fd_sc_hd__clkbuf_1
*CAP
1 *6369:D 0.000196201
2 *6195:X 0.000196201
3 *6369:D *6195:A 0.000144531
4 *6369:D *6223:B 4.94e-06
5 *6369:D *6223:C 0
6 *6369:D *6369:CLK 1.87611e-05
7 *6369:D *304:10 3.18826e-06
8 *6369:D *555:25 0.000169733
*RES
1 *6195:X *6369:D 32.2164
*END
*D_NET *288 0.00101199
*CONN
*I *6370:D I *D sky130_fd_sc_hd__dfxtp_1
*I *6197:X O *D sky130_fd_sc_hd__clkbuf_1
*CAP
1 *6370:D 0.00024174
2 *6197:X 0.00024174
3 *6370:D *6197:A 0.000170577
4 *6370:D *505:11 0.000216458
5 *6370:D *516:398 6.86306e-05
6 *6370:D *577:10 7.28425e-05
*RES
1 *6197:X *6370:D 33.7409
*END
*D_NET *289 0.00056672
*CONN
*I *6371:D I *D sky130_fd_sc_hd__dfxtp_1
*I *6199:X O *D sky130_fd_sc_hd__clkbuf_1
*CAP
1 *6371:D 0.000148979
2 *6199:X 0.000148979
3 *6371:D *6371:CLK 2.13584e-05
4 *6371:D *505:11 0.00011818
5 *6371:D *516:390 1.61631e-05
6 *6371:D *560:16 7.15593e-05
7 *6371:D *564:39 4.15008e-05
*RES
1 *6199:X *6371:D 31.6618
*END
*D_NET *290 0.000644558
*CONN
*I *6372:D I *D sky130_fd_sc_hd__dfxtp_1
*I *6202:X O *D sky130_fd_sc_hd__clkbuf_1
*CAP
1 *6372:D 0.000156249
2 *6202:X 0.000156249
3 *6372:D *302:9 6.50727e-05
4 *6372:D *387:24 0
5 *6372:D *460:19 0.000106635
6 *6372:D *516:103 0.000127179
7 *6372:D *516:110 3.31733e-05
*RES
1 *6202:X *6372:D 32.0416
*END
*D_NET *291 0.00072567
*CONN
*I *6373:D I *D sky130_fd_sc_hd__dfxtp_1
*I *6204:X O *D sky130_fd_sc_hd__o221a_1
*CAP
1 *6373:D 0.000215585
2 *6204:X 0.000215585
3 *6373:D *6147:A1 3.14978e-05
4 *6373:D *301:22 9.7734e-05
5 *6373:D *387:24 0.000146507
6 *6373:D *422:19 1.87611e-05
*RES
1 *6204:X *6373:D 34.4293
*END
*D_NET *292 0.000356066
*CONN
*I *6374:D I *D sky130_fd_sc_hd__dfxtp_2
*I *6206:X O *D sky130_fd_sc_hd__a21o_1
*CAP
1 *6374:D 8.58327e-05
2 *6206:X 8.58327e-05
3 *6374:D *6203:C 1.87611e-05
4 *6374:D *6204:B1 3.18826e-06
5 *6374:D *416:16 1.07248e-05
6 *6374:D *441:49 0.000151726
*RES
1 *6206:X *6374:D 30.3838
*END
*D_NET *293 0.000722368
*CONN
*I *6375:D I *D sky130_fd_sc_hd__dfxtp_1
*I *6208:X O *D sky130_fd_sc_hd__clkbuf_1
*CAP
1 *6375:D 0.000167129
2 *6208:X 0.000167129
3 *6375:D *6230:A 3.01634e-05
4 *6375:D *6511:A 1.00937e-05
5 *6375:D *455:13 0.000347853
*RES
1 *6208:X *6375:D 32.6398
*END
*D_NET *294 0.000876841
*CONN
*I *6376:D I *D sky130_fd_sc_hd__dfxtp_1
*I *6210:X O *D sky130_fd_sc_hd__clkbuf_1
*CAP
1 *6376:D 0.000237995
2 *6210:X 0.000237995
3 *6376:D *6376:CLK 0.000213725
4 *6376:D *588:7 0.000187125
*RES
1 *6210:X *6376:D 24.605
*END
*D_NET *295 0.00100705
*CONN
*I *6377:D I *D sky130_fd_sc_hd__dfxtp_1
*I *6212:X O *D sky130_fd_sc_hd__clkbuf_1
*CAP
1 *6377:D 0.000248407
2 *6212:X 0.000248407
3 *6377:D *6211:A1 4.01437e-05
4 *6377:D *312:8 0.000341237
5 *6377:D *322:9 0.000107496
6 *6377:D *334:6 0
7 *6377:D *520:15 2.13584e-05
*RES
1 *6212:X *6377:D 34.9839
*END
*D_NET *296 0.000404336
*CONN
*I *6378:D I *D sky130_fd_sc_hd__dfxtp_1
*I *6214:X O *D sky130_fd_sc_hd__clkbuf_1
*CAP
1 *6378:D 7.72216e-05
2 *6214:X 7.72216e-05
3 *6378:D *6214:A 4.27148e-05
4 *6378:D *340:10 0.000104731
5 *6378:D *402:7 0.000102447
*RES
1 *6214:X *6378:D 30.4214
*END
*D_NET *297 0.00994939
*CONN
*I *6233:A I *D sky130_fd_sc_hd__clkbuf_1
*I *6148:B_N I *D sky130_fd_sc_hd__and4bb_2
*I *6216:A I *D sky130_fd_sc_hd__dlymetal6s2s_1
*I *6215:X O *D sky130_fd_sc_hd__or2_1
*CAP
1 *6233:A 0.000361947
2 *6148:B_N 0.000441821
3 *6216:A 0
4 *6215:X 4.51842e-05
5 *297:16 0.000441821
6 *297:14 0.00163578
7 *297:7 0.00204291
8 *6148:B_N *6206:A2 0.000498133
9 *6148:B_N *6206:B1 6.50727e-05
10 *6148:B_N *416:16 0.000386551
11 *6148:B_N *417:8 0.000268798
12 *6233:A *6244:A 0.000100941
13 *6233:A *6246:B 0.000290644
14 *6233:A *6250:C 1.09738e-05
15 *6233:A *310:7 6.23875e-05
16 *6233:A *390:8 0.000100934
17 *6233:A *405:10 7.06474e-05
18 *6233:A *454:8 0.000177819
19 *6233:A *583:28 3.20069e-06
20 *297:7 *592:40 6.08467e-05
21 *297:14 *6146:B 0
22 *297:14 *6147:A2 0
23 *297:14 *6147:A4 0.000322335
24 *297:14 *6250:C 0.000117593
25 *297:14 *311:6 0
26 *297:14 *387:21 0.000457821
27 *297:14 *390:8 6.15995e-05
28 *297:14 *454:8 0.000343299
29 *297:14 *520:9 0.00139428
30 *297:14 *539:10 0.000127179
31 *297:14 *565:38 5.88662e-05
*RES
1 *6215:X *297:7 14.4725
2 *297:7 *297:14 46.0866
3 *297:14 *297:16 4.5
4 *297:16 *6216:A 9.24915
5 *297:16 *6148:B_N 26.6589
6 *297:7 *6233:A 26.9645
*END
*D_NET *298 0.00629995
*CONN
*I *6204:B2 I *D sky130_fd_sc_hd__o221a_1
*I *6217:B I *D sky130_fd_sc_hd__and2_1
*I *6231:B I *D sky130_fd_sc_hd__and2_1
*I *6229:B I *D sky130_fd_sc_hd__and2_1
*I *6227:B I *D sky130_fd_sc_hd__and2_1
*I *6216:X O *D sky130_fd_sc_hd__dlymetal6s2s_1
*CAP
1 *6204:B2 0.00054556
2 *6217:B 0.000221928
3 *6231:B 0.000162527
4 *6229:B 1.90605e-05
5 *6227:B 9.86068e-05
6 *6216:X 0
7 *298:11 0.000148138
8 *298:10 0.000321052
9 *298:6 0.000667778
10 *298:5 0.000863356
11 *6204:B2 *6200:A 1.86035e-05
12 *6204:B2 *6204:B1 0.000720575
13 *6204:B2 *518:14 1.2601e-05
14 *6204:B2 *539:10 4.87198e-05
15 *6217:B *6217:A 0.000585477
16 *6227:B *6229:A 0.000165655
17 *6227:B *541:11 0.000477721
18 *6229:B *6229:A 4.80635e-06
19 *6231:B *6230:A 6.66012e-05
20 *6231:B *541:11 0.000636352
21 *298:6 *6231:A 0
22 *298:6 *518:14 6.23202e-05
23 *298:6 *539:10 0.000250586
24 *298:10 *6231:A 0
25 *298:10 *539:10 8.36615e-05
26 *298:11 *6230:A 4.30017e-06
27 *298:11 *541:11 0.000113968
*RES
1 *6216:X *298:5 13.7491
2 *298:5 *298:6 10.137
3 *298:6 *298:10 7.993
4 *298:10 *298:11 1.278
5 *298:11 *6227:B 14.9881
6 *298:11 *6229:B 9.82786
7 *298:10 *6231:B 16.6519
8 *298:6 *6217:B 21.1278
9 *298:5 *6204:B2 24.9183
*END
*D_NET *299 0.0117437
*CONN
*I *6218:A I *D sky130_fd_sc_hd__clkbuf_1
*I *6217:X O *D sky130_fd_sc_hd__and2_1
*CAP
1 *6218:A 0.000126714
2 *6217:X 0
3 *299:5 0.00417832
4 *299:4 0.00405161
5 *299:5 *6096:A2 0.000156351
6 *299:5 *6096:B1 2.65667e-05
7 *299:5 *6248:A 0.000360145
8 *299:5 *6248:B 0.00036013
9 *299:5 *6248:C 0.000119593
10 *299:5 *6258:B 0.000744813
11 *299:5 *6258:C 0.000342204
12 *299:5 *6300:A1 2.57986e-05
13 *299:5 *6311:A1 7.16533e-05
14 *299:5 *369:7 7.44535e-05
15 *299:5 *488:9 0.00105301
16 *299:5 *588:22 5.23847e-05
*RES
1 *6217:X *299:4 9.24915
2 *299:4 *299:5 102.77
3 *299:5 *6218:A 21.3269
*END
*D_NET *300 0.000874857
*CONN
*I *6225:A I *D sky130_fd_sc_hd__or4_1
*I *6219:X O *D sky130_fd_sc_hd__or4_1
*CAP
1 *6225:A 0.0003292
2 *6219:X 0.0003292
3 *6225:A *516:305 0.000216458
*RES
1 *6219:X *6225:A 24.0926
*END
*D_NET *301 0.00549469
*CONN
*I *6147:A1 I *D sky130_fd_sc_hd__o41a_1
*I *6204:A1 I *D sky130_fd_sc_hd__o221a_1
*I *6222:A I *D sky130_fd_sc_hd__or4_1
*I *6220:Y O *D sky130_fd_sc_hd__inv_2
*CAP
1 *6147:A1 0.000176205
2 *6204:A1 0
3 *6222:A 0.000948722
4 *6220:Y 0
5 *301:22 0.00088246
6 *301:4 0.00165498
7 *6147:A1 *6147:A3 3.67528e-06
8 *6147:A1 *6204:A2 0.000224381
9 *6147:A1 *6254:A 0
10 *6147:A1 *387:24 0.000216088
11 *6147:A1 *516:71 0
12 *6222:A *6160:A 6.31665e-05
13 *6222:A *6201:B 0.000195139
14 *6222:A *6231:A 0
15 *6222:A *422:19 6.50586e-05
16 *6222:A *516:110 0
17 *6222:A *539:10 0
18 *6222:A *565:38 6.50586e-05
19 *6222:A *583:28 0.000110206
20 *6222:A *592:20 7.50722e-05
21 *301:22 *387:24 0
22 *301:22 *422:19 0.00067105
23 *301:22 *516:71 0
24 *6353:D *6222:A 1.41976e-05
25 *6373:D *6147:A1 3.14978e-05
26 *6373:D *301:22 9.7734e-05
*RES
1 *6220:Y *301:4 9.24915
2 *301:4 *6222:A 43.4054
3 *301:4 *301:22 24.0242
4 *301:22 *6204:A1 9.24915
5 *301:22 *6147:A1 24.5446
*END
*D_NET *302 0.00991125
*CONN
*I *6246:A_N I *D sky130_fd_sc_hd__and2b_2
*I *6250:A_N I *D sky130_fd_sc_hd__nand3b_2
*I *6147:A2 I *D sky130_fd_sc_hd__o41a_1
*I *6201:A_N I *D sky130_fd_sc_hd__and3b_1
*I *6222:B I *D sky130_fd_sc_hd__or4_1
*I *6221:X O *D sky130_fd_sc_hd__dlymetal6s2s_1
*CAP
1 *6246:A_N 0.000190433
2 *6250:A_N 1.23957e-05
3 *6147:A2 0.000840442
4 *6201:A_N 0
5 *6222:B 0.000407068
6 *6221:X 0.000129712
7 *302:26 0.00111826
8 *302:25 0.000455855
9 *302:9 0.0012202
10 *302:7 0.000942845
11 *6147:A2 *6147:A4 0.000202229
12 *6147:A2 *311:6 0
13 *6147:A2 *326:15 0.000534335
14 *6147:A2 *390:8 8.65002e-05
15 *6222:B *422:19 0.000872067
16 *6222:B *565:38 0.000868485
17 *6246:A_N *6244:B 0.000144546
18 *6246:A_N *311:6 0
19 *6246:A_N *390:8 0.000134115
20 *6246:A_N *523:11 2.65831e-05
21 *6250:A_N *6250:B 0.00011818
22 *6250:A_N *6250:C 0.00011818
23 *302:7 *6215:B 1.96041e-05
24 *302:9 *6215:B 0.000198568
25 *302:9 *592:20 7.98171e-06
26 *302:9 *592:32 0.00086098
27 *302:26 *6244:B 2.24484e-05
28 *302:26 *311:6 0
29 *302:26 *390:8 0.00016602
30 *302:26 *591:8 0.000148144
31 *6372:D *302:9 6.50727e-05
32 *297:14 *6147:A2 0
*RES
1 *6221:X *302:7 12.2151
2 *302:7 *302:9 21.2437
3 *302:9 *6222:B 36.8656
4 *302:9 *6201:A_N 9.24915
5 *302:7 *302:25 4.5
6 *302:25 *302:26 7.64553
7 *302:26 *6147:A2 30.0247
8 *302:26 *6250:A_N 15.0271
9 *302:25 *6246:A_N 20.0418
*END
*D_NET *303 0.00650533
*CONN
*I *6225:B I *D sky130_fd_sc_hd__or4_1
*I *6222:X O *D sky130_fd_sc_hd__or4_1
*CAP
1 *6225:B 0
2 *6222:X 0
3 *303:6 0.00198075
4 *303:5 0.00198075
5 *303:6 *6225:C 3.06126e-05
6 *303:6 *6226:A 5.19205e-05
7 *303:6 *6362:CLK 0
8 *303:6 *305:10 8.92568e-06
9 *303:6 *422:8 7.8435e-05
10 *303:6 *422:19 0.000115617
11 *303:6 *514:8 0.000795413
12 *303:6 *516:298 0
13 *303:6 *516:302 0
14 *303:6 *539:10 0.0014629
*RES
1 *6222:X *303:5 13.7491
2 *303:5 *303:6 63.2893
3 *303:6 *6225:B 13.7491
*END
*D_NET *304 0.0067245
*CONN
*I *6225:C I *D sky130_fd_sc_hd__or4_1
*I *6223:X O *D sky130_fd_sc_hd__or4_1
*CAP
1 *6225:C 0.000747883
2 *6223:X 0.00160692
3 *304:10 0.0023548
4 *6225:C *6224:C 0
5 *6225:C *305:10 0.00160909
6 *304:10 *6195:A 3.69003e-05
7 *304:10 *6223:B 0.000296718
8 *304:10 *6369:CLK 3.62106e-05
9 *304:10 *576:7 2.18041e-06
10 *6369:D *304:10 3.18826e-06
11 *303:6 *6225:C 3.06126e-05
*RES
1 *6223:X *304:10 49.653
2 *304:10 *6225:C 41.5344
*END
*D_NET *305 0.00390853
*CONN
*I *6225:D I *D sky130_fd_sc_hd__or4_1
*I *6224:X O *D sky130_fd_sc_hd__or4_1
*CAP
1 *6225:D 0
2 *6224:X 0.000916969
3 *305:10 0.000916969
4 *305:10 *6219:C 0
5 *305:10 *6219:D 0
6 *305:10 *6224:C 0
7 *305:10 *6362:CLK 0.00015321
8 *305:10 *549:22 0.000269565
9 *6225:C *305:10 0.00160909
10 *6362:D *305:10 3.37994e-05
11 *303:6 *305:10 8.92568e-06
*RES
1 *6224:X *305:10 49.1838
2 *305:10 *6225:D 9.24915
*END
*D_NET *306 0.00130784
*CONN
*I *6226:A I *D sky130_fd_sc_hd__dlymetal6s2s_1
*I *6225:X O *D sky130_fd_sc_hd__or4_1
*CAP
1 *6226:A 0.000339281
2 *6225:X 0.000339281
3 *6226:A *539:9 0.000577362
4 *303:6 *6226:A 5.19205e-05
*RES
1 *6225:X *6226:A 37.6788
*END
*D_NET *307 0.00168812
*CONN
*I *6228:A I *D sky130_fd_sc_hd__clkbuf_1
*I *6227:X O *D sky130_fd_sc_hd__and2_1
*CAP
1 *6228:A 0.000523659
2 *6227:X 0.000523659
3 *6228:A *6229:A 6.36477e-05
4 *6228:A *6520:A 0
5 *6228:A *441:49 0
6 sram_addr0[0] *6228:A 0.000169173
7 *6435:A *6228:A 0.00036275
8 *6494:A *6228:A 4.52324e-05
*RES
1 *6227:X *6228:A 41.164
*END
*D_NET *308 0.00124542
*CONN
*I *6230:A I *D sky130_fd_sc_hd__clkbuf_1
*I *6229:X O *D sky130_fd_sc_hd__and2_1
*CAP
1 *6230:A 0.000572176
2 *6229:X 0.000572176
3 *6230:A *455:13 0
4 *6230:A *516:37 0
5 *6230:A *539:10 0
6 *6231:B *6230:A 6.66012e-05
7 *6375:D *6230:A 3.01634e-05
8 *232:17 *6230:A 0
9 *298:11 *6230:A 4.30017e-06
*RES
1 *6229:X *6230:A 39.3314
*END
*D_NET *309 0.00240491
*CONN
*I *6232:A I *D sky130_fd_sc_hd__clkbuf_1
*I *6231:X O *D sky130_fd_sc_hd__and2_1
*CAP
1 *6232:A 0.00100292
2 *6231:X 0.00100292
3 *6232:A *6207:A0 0
4 *6232:A *6253:A2 0.000122378
5 *6232:A *469:11 0.00011818
6 *6232:A *541:11 0.000158506
7 *6438:A *6232:A 0
*RES
1 *6231:X *6232:A 47.2646
*END
*D_NET *310 0.00244169
*CONN
*I *6242:B I *D sky130_fd_sc_hd__and2_1
*I *6240:B I *D sky130_fd_sc_hd__and2_1
*I *6238:B I *D sky130_fd_sc_hd__and2_1
*I *6234:B I *D sky130_fd_sc_hd__and2_1
*I *6236:B I *D sky130_fd_sc_hd__and2_1
*I *6233:X O *D sky130_fd_sc_hd__clkbuf_1
*CAP
1 *6242:B 0.000121286
2 *6240:B 0.000102067
3 *6238:B 6.39893e-05
4 *6234:B 0
5 *6236:B 0
6 *6233:X 0.000282757
7 *310:25 0.000166057
8 *310:23 0.000277018
9 *310:16 0.000353953
10 *310:7 0.000480978
11 *6238:B *6240:A 0.000224381
12 *6240:B *6240:A 0.00015709
13 *6242:B *315:8 0
14 *6242:B *316:17 0
15 *6242:B *390:8 0
16 *6242:B *516:132 0
17 *310:16 *311:6 6.81008e-05
18 *310:16 *312:7 3.25584e-05
19 *310:16 *313:8 8.92568e-06
20 *310:16 *390:8 0
21 *310:23 *313:8 4.01437e-05
22 *310:23 *315:8 0
23 *310:23 *390:8 0
24 *6233:A *310:7 6.23875e-05
*RES
1 *6233:X *310:7 15.398
2 *310:7 *6236:B 9.24915
3 *310:7 *310:16 9.96496
4 *310:16 *6234:B 13.7491
5 *310:16 *310:23 3.90826
6 *310:23 *310:25 4.5
7 *310:25 *6238:B 11.6364
8 *310:25 *6240:B 12.191
9 *310:23 *6242:B 16.8269
*END
*D_NET *311 0.00645562
*CONN
*I *6235:A I *D sky130_fd_sc_hd__clkbuf_1
*I *6234:X O *D sky130_fd_sc_hd__and2_1
*CAP
1 *6235:A 2.40619e-05
2 *6234:X 0
3 *311:6 0.0014752
4 *311:5 0.00145114
5 *6235:A *6253:A2 0.000222149
6 *6235:A *469:11 0.000217937
7 *311:6 *6244:B 0
8 *311:6 *6316:CLK 0.000129891
9 *311:6 *313:8 0.00257998
10 *311:6 *315:8 1.41761e-05
11 *311:6 *326:15 7.65861e-05
12 *311:6 *390:8 0
13 *311:6 *587:21 2.22198e-05
14 *311:6 *591:8 0
15 sram_din0[2] *311:6 0.00017419
16 *6147:A2 *311:6 0
17 *6246:A_N *311:6 0
18 *297:14 *311:6 0
19 *302:26 *311:6 0
20 *310:16 *311:6 6.81008e-05
*RES
1 *6234:X *311:5 13.7491
2 *311:5 *311:6 49.1707
3 *311:6 *6235:A 16.1364
*END
*D_NET *312 0.00908211
*CONN
*I *6237:A I *D sky130_fd_sc_hd__clkbuf_1
*I *6236:X O *D sky130_fd_sc_hd__and2_1
*CAP
1 *6237:A 0.000490203
2 *6236:X 0.00140507
3 *312:8 0.00236558
4 *312:7 0.00328044
5 *6237:A *6514:A 0.000113968
6 *6237:A *321:9 0.000208197
7 *312:8 *6212:A 0.000116971
8 *312:8 *6260:A1 7.88145e-05
9 *312:8 *6260:A2 0.00014506
10 *312:8 *6266:A1 3.55432e-05
11 *312:8 *324:19 0
12 *312:8 *328:8 0
13 *312:8 *328:10 0
14 *312:8 *328:17 0
15 *312:8 *334:6 0
16 *312:8 *378:8 0
17 *312:8 *460:11 0.000143032
18 *312:8 *553:12 0
19 *312:8 *553:21 0
20 sram_addr0[3] *312:8 0
21 sram_din0[3] *312:8 5.54078e-05
22 sram_wmask0[3] *6237:A 0.000266846
23 *6320:D *312:7 3.18826e-06
24 *6377:D *312:8 0.000341237
25 *310:16 *312:7 3.25584e-05
*RES
1 *6236:X *312:7 33.3291
2 *312:7 *312:8 48.7555
3 *312:8 *6237:A 27.4213
*END
*D_NET *313 0.0134757
*CONN
*I *6239:A I *D sky130_fd_sc_hd__clkbuf_1
*I *6238:X O *D sky130_fd_sc_hd__and2_1
*CAP
1 *6239:A 0.000124091
2 *6238:X 0.000175863
3 *313:14 0.000802225
4 *313:8 0.00170736
5 *313:7 0.00120509
6 *6239:A *6515:A 3.82228e-05
7 *6239:A *587:33 0.000413238
8 *313:7 *6240:A 0.000115615
9 *313:8 *6318:CLK 0.000127179
10 *313:8 *315:8 0.00109744
11 *313:14 *6252:A 0.000557411
12 *313:14 *314:9 0.0031612
13 *313:14 *315:11 0.000534336
14 *313:14 *482:14 0.000207394
15 sram_addr0[3] *313:14 0.000123176
16 sram_din0[2] *313:14 6.73351e-05
17 sram_din0[4] *313:14 0.000210992
18 *6316:D *313:8 0.000101133
19 *6450:A *313:14 2.65831e-05
20 *6453:A *313:14 5.07314e-05
21 *310:16 *313:8 8.92568e-06
22 *310:23 *313:8 4.01437e-05
23 *311:6 *313:8 0.00257998
*RES
1 *6238:X *313:7 16.691
2 *313:7 *313:8 48.3402
3 *313:8 *313:14 49.1263
4 *313:14 *6239:A 18.3548
*END
*D_NET *314 0.0164826
*CONN
*I *6241:A I *D sky130_fd_sc_hd__clkbuf_1
*I *6240:X O *D sky130_fd_sc_hd__and2_1
*CAP
1 *6241:A 0.000206291
2 *6240:X 0
3 *314:9 0.00309531
4 *314:8 0.00288902
5 *314:6 0.00125317
6 *314:5 0.00125317
7 *6241:A *6280:A2 0.000307037
8 *6241:A *6306:A2 5.74037e-05
9 *6241:A *342:32 0.000311235
10 *6241:A *479:14 9.57348e-05
11 *314:6 *6257:A1 0.000386733
12 *314:6 *6257:A2 0
13 *314:6 *6263:A2 0
14 *314:6 *315:8 0.000941774
15 *314:6 *319:16 0
16 *314:6 *326:27 0.000713394
17 *314:6 *328:24 0.00055528
18 *314:9 *6252:A 0.000179546
19 *314:9 *323:36 1.92336e-05
20 *314:9 *483:23 0.000900252
21 *6318:D *314:6 0.000156823
22 *313:14 *314:9 0.0031612
*RES
1 *6240:X *314:5 13.7491
2 *314:5 *314:6 47.925
3 *314:6 *314:8 4.5
4 *314:8 *314:9 50.6377
5 *314:9 *6241:A 26.0691
*END
*D_NET *315 0.0163102
*CONN
*I *6243:A I *D sky130_fd_sc_hd__clkbuf_1
*I *6242:X O *D sky130_fd_sc_hd__and2_1
*CAP
1 *6243:A 0.000728431
2 *6242:X 0.000127943
3 *315:11 0.00258399
4 *315:10 0.00185556
5 *315:8 0.00141872
6 *315:7 0.00154667
7 *6243:A *6280:A2 0.000689473
8 *6243:A *6287:A1 0.000161243
9 *6243:A *6287:A2 4.15559e-05
10 *6243:A *6292:A2 0.000745368
11 *6243:A *480:5 2.41483e-05
12 *6243:A *480:13 6.96846e-05
13 *6243:A *483:15 2.398e-06
14 *6243:A *483:23 8.58411e-05
15 *315:7 *516:132 5.04829e-06
16 *315:8 *6257:A2 0
17 *315:8 *6318:CLK 0.000123582
18 *315:8 *316:17 0
19 *315:8 *326:27 0
20 *315:8 *328:24 0.000553766
21 *315:11 *6252:A 0.000394684
22 *315:11 *6262:A2 0.000266832
23 *315:11 *6265:A2 0.000167076
24 *315:11 *6276:A2 0.000756967
25 *315:11 *323:36 4.56831e-05
26 *315:11 *342:8 0.000217937
27 *315:11 *483:23 0.000245054
28 sram_addr0[3] *315:11 0.000277502
29 sram_din0[2] *315:8 1.44467e-05
30 sram_din0[2] *315:11 6.50727e-05
31 sram_din0[5] *315:11 0.000158371
32 sram_wmask0[2] *315:11 6.50727e-05
33 *6242:B *315:8 0
34 *6316:D *315:8 0.000101133
35 *6450:A *315:11 6.50727e-05
36 *6453:A *315:11 0.00011818
37 *310:23 *315:8 0
38 *311:6 *315:8 1.41761e-05
39 *313:8 *315:8 0.00109744
40 *313:14 *315:11 0.000534336
41 *314:6 *315:8 0.000941774
*RES
1 *6242:X *315:7 15.5817
2 *315:7 *315:8 52.908
3 *315:8 *315:10 4.5
4 *315:10 *315:11 54.5199
5 *315:11 *6243:A 37.4962
*END
*D_NET *316 0.0100925
*CONN
*I *6098:A I *D sky130_fd_sc_hd__dlymetal6s2s_1
*I *6308:A I *D sky130_fd_sc_hd__dlymetal6s2s_1
*I *6117:A I *D sky130_fd_sc_hd__clkbuf_1
*I *6267:A I *D sky130_fd_sc_hd__dlymetal6s2s_1
*I *6245:A I *D sky130_fd_sc_hd__dlymetal6s2s_1
*I *6244:Y O *D sky130_fd_sc_hd__xnor2_2
*CAP
1 *6098:A 0.00027584
2 *6308:A 0.000251618
3 *6117:A 0
4 *6267:A 0.000166114
5 *6245:A 0.0001756
6 *6244:Y 0.000149983
7 *316:33 0.000788459
8 *316:26 0.00120011
9 *316:17 0.00184342
10 *316:7 0.00106378
11 *6098:A *6099:A 0
12 *6098:A *373:8 2.69064e-05
13 *6098:A *381:8 0.000122378
14 *6098:A *382:6 0
15 *6098:A *384:8 0
16 *6098:A *542:26 0.00011581
17 *6245:A *6247:A 7.12459e-05
18 *6245:A *319:8 0.000116986
19 *6245:A *326:27 0.000409182
20 *6245:A *384:8 0
21 *6245:A *399:15 6.08467e-05
22 *6245:A *405:5 2.65667e-05
23 *6267:A *318:18 0.000370815
24 *6267:A *337:5 3.83429e-05
25 *6267:A *337:15 4.02438e-05
26 *6267:A *458:20 0.000725452
27 *6308:A *6086:A 0.000224395
28 *6308:A *551:11 0.000282235
29 *316:7 *460:19 0.000360159
30 *316:17 *6247:A 6.36909e-05
31 *316:17 *318:18 0.000371714
32 *316:17 *326:27 0.000741276
33 *316:17 *516:132 0
34 *316:17 *516:580 0
35 *316:26 *6099:A 0
36 *316:26 *6134:B 0
37 *316:26 *6343:CLK 0
38 *316:26 *318:18 9.35753e-06
39 *316:26 *326:33 0
40 *316:26 *384:8 0
41 *316:26 *516:580 0
42 *316:33 *6099:A 0
43 *316:33 *384:8 0
44 *6242:B *316:17 0
45 *315:8 *316:17 0
*RES
1 *6244:Y *316:7 17.8002
2 *316:7 *6245:A 21.7028
3 *316:7 *316:17 25.9166
4 *316:17 *6267:A 22.7916
5 *316:17 *316:26 20.5183
6 *316:26 *6117:A 13.7491
7 *316:26 *316:33 6.39977
8 *316:33 *6308:A 22.7916
9 *316:33 *6098:A 21.4566
*END
*D_NET *317 0.00768146
*CONN
*I *6264:B I *D sky130_fd_sc_hd__or3_1
*I *6261:B I *D sky130_fd_sc_hd__or3_1
*I *6146:B I *D sky130_fd_sc_hd__nor2_1
*I *6258:B I *D sky130_fd_sc_hd__or3_1
*I *6248:B I *D sky130_fd_sc_hd__or3_1
*I *6245:X O *D sky130_fd_sc_hd__dlymetal6s2s_1
*CAP
1 *6264:B 0.000287004
2 *6261:B 0
3 *6146:B 0.000303061
4 *6258:B 0.000425871
5 *6248:B 0.000237306
6 *6245:X 9.77023e-05
7 *317:16 0.000893792
8 *317:12 0.000544483
9 *317:10 0.000175266
10 *317:8 0.000549165
11 *6146:B *326:15 0.000141701
12 *6146:B *326:27 0.000256047
13 *6146:B *520:15 7.40818e-05
14 *6264:B *522:9 0.000479276
15 *317:8 *319:8 0.000109859
16 *317:8 *399:15 5.07314e-05
17 *317:8 *405:5 0.00011818
18 *317:10 *319:8 8.01987e-05
19 *317:10 *319:10 0.000186445
20 *317:10 *319:12 0.000111358
21 *317:10 *384:8 0
22 *317:10 *457:21 0.000263099
23 *317:12 *319:12 5.0608e-05
24 *317:12 *457:21 5.92342e-05
25 *317:16 *6257:A1 0
26 *317:16 *6263:A1 0.000339753
27 *317:16 *6263:A2 0.000103821
28 *317:16 *319:12 3.31733e-05
29 *317:16 *319:16 0.000550228
30 *317:16 *457:12 3.26195e-05
31 *317:16 *457:21 2.24484e-05
32 *297:14 *6146:B 0
33 *299:5 *6248:B 0.00036013
34 *299:5 *6258:B 0.000744813
*RES
1 *6245:X *317:8 17.2744
2 *317:8 *317:10 6.81502
3 *317:10 *317:12 1.00149
4 *317:12 *317:16 15.8828
5 *317:16 *6248:B 13.3002
6 *317:16 *6258:B 17.1824
7 *317:12 *6146:B 31.3222
8 *317:10 *6261:B 13.7491
9 *317:8 *6264:B 18.9094
*END
*D_NET *318 0.00896755
*CONN
*I *6269:A I *D sky130_fd_sc_hd__clkbuf_1
*I *6309:A I *D sky130_fd_sc_hd__dlymetal6s2s_1
*I *6099:A I *D sky130_fd_sc_hd__clkbuf_1
*I *6118:A I *D sky130_fd_sc_hd__clkbuf_1
*I *6247:A I *D sky130_fd_sc_hd__clkbuf_2
*I *6246:X O *D sky130_fd_sc_hd__and2b_2
*CAP
1 *6269:A 0
2 *6309:A 0.000250625
3 *6099:A 0.000303428
4 *6118:A 3.0591e-05
5 *6247:A 0.000229005
6 *6246:X 0.000358088
7 *318:24 0.000479814
8 *318:21 0.00122426
9 *318:18 0.00147821
10 *318:7 0.00123747
11 *6099:A *6086:A 0
12 *6099:A *390:8 0
13 *6118:A *395:8 6.92705e-05
14 *6118:A *544:9 2.65667e-05
15 *6247:A *384:8 0.000137775
16 *6309:A *6344:CLK 0
17 *6309:A *384:8 0
18 *318:7 *523:11 0.000208792
19 *318:18 *326:33 0.000770866
20 *318:18 *337:5 5.0715e-05
21 *318:18 *384:8 0.000154892
22 *318:21 *6136:B1 0
23 *318:21 *6137:B 0
24 *318:21 *6139:B1 3.55296e-05
25 *318:21 *6140:C 1.50262e-05
26 *318:21 *384:8 0
27 *318:21 *403:39 2.0833e-05
28 *318:21 *563:11 2.36494e-05
29 *318:24 *6134:B 0.000324151
30 *318:24 *395:8 0.00021243
31 *318:24 *544:9 0.000368787
32 *318:24 *563:11 6.99486e-05
33 *6098:A *6099:A 0
34 *6245:A *6247:A 7.12459e-05
35 *6267:A *318:18 0.000370815
36 *6343:D *318:21 0
37 *6344:D *6309:A 0
38 *6344:D *318:21 0
39 *316:17 *6247:A 6.36909e-05
40 *316:17 *318:18 0.000371714
41 *316:26 *6099:A 0
42 *316:26 *318:18 9.35753e-06
43 *316:33 *6099:A 0
*RES
1 *6246:X *318:7 19.464
2 *318:7 *6247:A 21.8099
3 *318:7 *318:18 31.1456
4 *318:18 *318:21 24.1878
5 *318:21 *318:24 13.5424
6 *318:24 *6118:A 10.5513
7 *318:24 *6099:A 25.4794
8 *318:21 *6309:A 19.6294
9 *318:18 *6269:A 9.24915
*END
*D_NET *319 0.00856718
*CONN
*I *6264:C I *D sky130_fd_sc_hd__or3_1
*I *6204:A2 I *D sky130_fd_sc_hd__o221a_1
*I *6261:C I *D sky130_fd_sc_hd__or3_1
*I *6258:C I *D sky130_fd_sc_hd__or3_1
*I *6248:C I *D sky130_fd_sc_hd__or3_1
*I *6247:X O *D sky130_fd_sc_hd__clkbuf_2
*CAP
1 *6264:C 7.94175e-05
2 *6204:A2 0.000497969
3 *6261:C 0
4 *6258:C 0.000256622
5 *6248:C 0.000151547
6 *6247:X 0.000304122
7 *319:16 0.00069354
8 *319:12 0.000364713
9 *319:10 0.000664378
10 *319:8 0.000470608
11 *6204:A2 *390:7 0.000818793
12 *6204:A2 *394:9 0.00122563
13 *6248:C *6248:A 6.50586e-05
14 *6264:C *322:9 0.000525925
15 *6264:C *522:9 0.000223881
16 *319:8 *326:27 8.44399e-05
17 *319:8 *384:8 0
18 *319:10 *326:27 7.55409e-05
19 *319:12 *326:27 7.69735e-05
20 *319:16 *6257:A1 1.82832e-05
21 *319:16 *326:27 3.20069e-06
22 *6147:A1 *6204:A2 0.000224381
23 *6245:A *319:8 0.000116986
24 *6318:D *319:16 4.15008e-05
25 *299:5 *6248:C 0.000119593
26 *299:5 *6258:C 0.000342204
27 *314:6 *319:16 0
28 *317:8 *319:8 0.000109859
29 *317:10 *319:8 8.01987e-05
30 *317:10 *319:10 0.000186445
31 *317:10 *319:12 0.000111358
32 *317:12 *319:12 5.0608e-05
33 *317:16 *319:12 3.31733e-05
34 *317:16 *319:16 0.000550228
*RES
1 *6247:X *319:8 21.5663
2 *319:8 *319:10 3.493
3 *319:10 *319:12 3.493
4 *319:12 *319:16 14.2218
5 *319:16 *6248:C 13.3002
6 *319:16 *6258:C 17.737
7 *319:12 *6261:C 13.7491
8 *319:10 *6204:A2 34.4383
9 *319:8 *6264:C 19.464
*END
*D_NET *320 0.000796263
*CONN
*I *6257:A1 I *D sky130_fd_sc_hd__a21o_1
*I *6248:X O *D sky130_fd_sc_hd__or3_1
*CAP
1 *6257:A1 0.000195623
2 *6248:X 0.000195623
3 *6257:A1 *6263:A2 0
4 *314:6 *6257:A1 0.000386733
5 *317:16 *6257:A1 0
6 *319:16 *6257:A1 1.82832e-05
*RES
1 *6248:X *6257:A1 34.3133
*END
*D_NET *321 0.0065524
*CONN
*I *6272:A1 I *D sky130_fd_sc_hd__a21o_1
*I *6265:A1 I *D sky130_fd_sc_hd__a21o_1
*I *6259:A1 I *D sky130_fd_sc_hd__a21o_1
*I *6253:A1 I *D sky130_fd_sc_hd__a21o_1
*I *6262:A1 I *D sky130_fd_sc_hd__a21o_1
*I *6249:X O *D sky130_fd_sc_hd__dlymetal6s2s_1
*CAP
1 *6272:A1 0.000107535
2 *6265:A1 0
3 *6259:A1 2.35254e-05
4 *6253:A1 0.000111054
5 *6262:A1 0.000104716
6 *6249:X 0
7 *321:19 0.000319293
8 *321:15 0.000298349
9 *321:9 0.000393943
10 *321:4 0.000330178
11 *6253:A1 *6262:A2 7.65861e-05
12 *6253:A1 *469:11 0.000118166
13 *6259:A1 *469:11 8.70622e-06
14 *6262:A1 *6262:A2 0.000190028
15 *6272:A1 *324:9 0.000689473
16 *6272:A1 *343:7 2.20702e-05
17 *6272:A1 *587:33 0.000789244
18 *321:9 *473:14 0
19 *321:15 *6265:A2 0.000195139
20 *321:15 *6265:B1 8.3647e-05
21 *321:15 *324:9 9.97706e-05
22 *321:15 *324:14 0.000423922
23 *321:15 *469:11 3.14978e-05
24 *321:15 *587:21 0.000613744
25 *321:19 *6259:B1 6.50586e-05
26 *321:19 *469:11 0.000259585
27 *321:19 *587:21 0.000676557
28 sram_din0[3] *321:15 0
29 sram_wmask0[2] *6262:A1 1.2693e-05
30 *6237:A *321:9 0.000208197
31 *6450:A *6253:A1 2.0833e-05
32 *6450:A *6262:A1 5.76926e-05
33 *6453:A *321:9 0.0002212
34 *6453:A *321:15 0
*RES
1 *6249:X *321:4 9.24915
2 *321:4 *321:9 15.8501
3 *321:9 *321:15 19.7323
4 *321:15 *321:19 11.8786
5 *321:19 *6262:A1 17.6574
6 *321:19 *6253:A1 16.4439
7 *321:15 *6259:A1 9.82786
8 *321:9 *6265:A1 9.24915
9 *321:4 *6272:A1 18.3157
*END
*D_NET *322 0.00737732
*CONN
*I *6251:A I *D sky130_fd_sc_hd__dlymetal6s2s_1
*I *6104:A I *D sky130_fd_sc_hd__dlymetal6s2s_1
*I *6124:A I *D sky130_fd_sc_hd__dlymetal6s2s_1
*I *6314:A I *D sky130_fd_sc_hd__dlymetal6s2s_1
*I *6250:Y O *D sky130_fd_sc_hd__nand3b_2
*CAP
1 *6251:A 0
2 *6104:A 7.87969e-05
3 *6124:A 0.000277085
4 *6314:A 8.32595e-05
5 *6250:Y 0.00126482
6 *322:17 0.000360345
7 *322:15 0.000335335
8 *322:9 0.00152136
9 *6104:A *386:7 8.64351e-05
10 *6124:A *323:31 0.000167062
11 *6124:A *401:8 0.000122378
12 *6124:A *474:16 7.7321e-05
13 *6314:A *323:31 0.0002212
14 *6314:A *371:10 1.07248e-05
15 *6314:A *474:16 9.1128e-05
16 *322:9 *6212:A 0.000111722
17 *322:9 *323:10 0.000106513
18 *322:9 *392:10 0.000768181
19 *322:9 *457:24 0.000357898
20 *322:9 *472:25 6.08467e-05
21 *322:9 *522:9 7.7927e-05
22 *322:15 *369:10 0.000175689
23 *322:15 *386:7 0.00021369
24 *322:15 *482:14 0.000174175
25 *6264:C *322:9 0.000525925
26 *6377:D *322:9 0.000107496
*RES
1 *6250:Y *322:9 45.6601
2 *322:9 *322:15 17.7926
3 *322:15 *322:17 4.5
4 *322:17 *6314:A 17.6574
5 *322:17 *6124:A 21.9871
6 *322:15 *6104:A 11.6364
7 *322:9 *6251:A 9.24915
*END
*D_NET *323 0.00728812
*CONN
*I *6295:A I *D sky130_fd_sc_hd__dlymetal6s2s_1
*I *6275:A I *D sky130_fd_sc_hd__dlymetal6s2s_1
*I *6252:A I *D sky130_fd_sc_hd__dlymetal6s2s_1
*I *6144:B1 I *D sky130_fd_sc_hd__a21o_1
*I *6141:B1 I *D sky130_fd_sc_hd__a21o_1
*I *6251:X O *D sky130_fd_sc_hd__dlymetal6s2s_1
*CAP
1 *6295:A 8.752e-05
2 *6275:A 0
3 *6252:A 0.000244657
4 *6144:B1 0.000119566
5 *6141:B1 2.86212e-05
6 *6251:X 0
7 *323:36 0.000349745
8 *323:31 0.000828308
9 *323:10 0.000394778
10 *323:4 0.000882291
11 *6141:B1 *399:7 6.08467e-05
12 *6144:B1 *6213:A0 0.000171288
13 *6144:B1 *369:10 0
14 *6144:B1 *376:8 0.000160467
15 *6144:B1 *411:7 7.48797e-05
16 *6144:B1 *482:14 3.20069e-06
17 *323:10 *376:8 0.000195124
18 *323:10 *482:14 8.01741e-05
19 *323:31 *6144:A2 0.00132269
20 *323:31 *371:10 0.000168707
21 *323:31 *474:16 0.000160299
22 *323:36 *6144:A2 0.000148129
23 *323:36 *474:16 4.11983e-05
24 *323:36 *483:23 6.50586e-05
25 *6124:A *323:31 0.000167062
26 *6314:A *323:31 0.0002212
27 *6454:A *323:36 9.2346e-06
28 *313:14 *6252:A 0.000557411
29 *314:9 *6252:A 0.000179546
30 *314:9 *323:36 1.92336e-05
31 *315:11 *6252:A 0.000394684
32 *315:11 *323:36 4.56831e-05
33 *322:9 *323:10 0.000106513
*RES
1 *6251:X *323:4 9.24915
2 *323:4 *323:10 12.0681
3 *323:10 *6141:B1 14.4725
4 *323:10 *6144:B1 18.6595
5 *323:4 *323:31 31.4215
6 *323:31 *323:36 8.85575
7 *323:36 *6252:A 20.51
8 *323:36 *6275:A 9.24915
9 *323:31 *6295:A 15.5817
*END
*D_NET *324 0.0056109
*CONN
*I *6272:B1 I *D sky130_fd_sc_hd__a21o_1
*I *6265:B1 I *D sky130_fd_sc_hd__a21o_1
*I *6259:B1 I *D sky130_fd_sc_hd__a21o_1
*I *6262:B1 I *D sky130_fd_sc_hd__a21o_1
*I *6253:B1 I *D sky130_fd_sc_hd__a21o_1
*I *6252:X O *D sky130_fd_sc_hd__dlymetal6s2s_1
*CAP
1 *6272:B1 0
2 *6265:B1 0.000129179
3 *6259:B1 9.36721e-06
4 *6262:B1 2.06324e-05
5 *6253:B1 0.000220766
6 *6252:X 6.98902e-05
7 *324:19 0.000677775
8 *324:14 0.000696184
9 *324:9 0.000678427
10 *324:8 0.000368698
11 *6253:B1 *6253:A2 6.08467e-05
12 *6253:B1 *6263:A2 0.000137921
13 *6253:B1 *469:11 6.08467e-05
14 *6259:B1 *469:11 6.50586e-05
15 *6265:B1 *6265:A2 4.3116e-06
16 *6265:B1 *334:6 5.33121e-05
17 *324:8 *343:8 0.000122098
18 *324:8 *471:21 0.000169108
19 *324:9 *340:7 7.22498e-05
20 *324:9 *343:7 2.65667e-05
21 *324:9 *587:21 3.8122e-05
22 *324:9 *587:33 6.22539e-05
23 *324:19 *6513:A 0.000483488
24 sram_addr0[3] *324:19 0
25 sram_addr0[4] *324:8 0
26 sram_din0[2] *6253:B1 0
27 sram_din0[3] *6265:B1 0
28 *6272:A1 *324:9 0.000689473
29 *6450:A *6253:B1 2.19276e-05
30 *312:8 *324:19 0
31 *321:15 *6265:B1 8.3647e-05
32 *321:15 *324:9 9.97706e-05
33 *321:15 *324:14 0.000423922
34 *321:19 *6259:B1 6.50586e-05
*RES
1 *6252:X *324:8 21.3269
2 *324:8 *324:9 11.2609
3 *324:9 *324:14 10.962
4 *324:14 *324:19 15.9262
5 *324:19 *6253:B1 24.2981
6 *324:19 *6262:B1 9.82786
7 *324:14 *6259:B1 14.4725
8 *324:9 *6265:B1 22.1574
9 *324:8 *6272:B1 9.24915
*END
*D_NET *325 0.00077094
*CONN
*I *6257:A2 I *D sky130_fd_sc_hd__a21o_1
*I *6253:X O *D sky130_fd_sc_hd__a21o_1
*CAP
1 *6257:A2 0.000256317
2 *6253:X 0.000256317
3 *6257:A2 *6260:B1 0.000118166
4 *6257:A2 *6263:A2 0.00014014
5 sram_din0[2] *6257:A2 0
6 *314:6 *6257:A2 0
7 *315:8 *6257:A2 0
*RES
1 *6253:X *6257:A2 34.7608
*END
*D_NET *326 0.0159337
*CONN
*I *6255:A I *D sky130_fd_sc_hd__clkbuf_2
*I *6086:A I *D sky130_fd_sc_hd__clkbuf_2
*I *6106:A I *D sky130_fd_sc_hd__dlymetal6s2s_1
*I *6126:A I *D sky130_fd_sc_hd__clkbuf_2
*I *6146:A I *D sky130_fd_sc_hd__nor2_1
*I *6254:X O *D sky130_fd_sc_hd__buf_2
*CAP
1 *6255:A 2.93219e-05
2 *6086:A 0.000456877
3 *6106:A 0.000169503
4 *6126:A 2.40619e-05
5 *6146:A 0
6 *6254:X 0.000254822
7 *326:42 0.00109393
8 *326:33 0.00108262
9 *326:27 0.0015577
10 *326:15 0.0011922
11 *6086:A *390:8 0.000177099
12 *6086:A *551:11 0.000668413
13 *6106:A *388:10 0.000115934
14 *6106:A *395:8 0.000572588
15 *6106:A *544:9 0.000314639
16 *6106:A *545:15 0.000114678
17 *6126:A *464:30 0.000222149
18 *6126:A *545:7 0.000217937
19 *326:15 *6147:A4 0.000224381
20 *326:15 *520:15 0.000178925
21 *326:27 *520:15 0.000350173
22 *326:33 *6137:A 5.77352e-05
23 *326:33 *384:8 0.000431395
24 *326:33 *464:30 0.000885726
25 *326:33 *545:7 0.000897691
26 *326:33 *564:27 8.52968e-05
27 *326:42 *6130:A1 0.000273277
28 *326:42 *6343:CLK 0
29 *326:42 *390:8 0.000176646
30 *6099:A *6086:A 0
31 *6146:B *326:15 0.000141701
32 *6146:B *326:27 0.000256047
33 *6147:A2 *326:15 0.000534335
34 *6245:A *326:27 0.000409182
35 *6308:A *6086:A 0.000224395
36 *311:6 *326:15 7.65861e-05
37 *314:6 *326:27 0.000713394
38 *315:8 *326:27 0
39 *316:17 *326:27 0.000741276
40 *316:26 *326:33 0
41 *318:18 *326:33 0.000770866
42 *319:8 *326:27 8.44399e-05
43 *319:10 *326:27 7.55409e-05
44 *319:12 *326:27 7.69735e-05
45 *319:16 *326:27 3.20069e-06
*RES
1 *6254:X *326:15 29.07
2 *326:15 *6146:A 9.24915
3 *326:15 *326:27 46.4772
4 *326:27 *326:33 38.7001
5 *326:33 *6126:A 11.6364
6 *326:33 *326:42 17.5438
7 *326:42 *6106:A 23.3462
8 *326:42 *6086:A 30.9087
9 *326:27 *6255:A 9.97254
*END
*D_NET *327 0.00800012
*CONN
*I *6142:B1 I *D sky130_fd_sc_hd__a21o_1
*I *6277:A I *D sky130_fd_sc_hd__clkbuf_2
*I *6297:A I *D sky130_fd_sc_hd__clkbuf_2
*I *6145:B1 I *D sky130_fd_sc_hd__a21o_1
*I *6256:A I *D sky130_fd_sc_hd__clkbuf_2
*I *6255:X O *D sky130_fd_sc_hd__clkbuf_2
*CAP
1 *6142:B1 0.000128973
2 *6277:A 4.35271e-05
3 *6297:A 0.000297194
4 *6145:B1 0.000135034
5 *6256:A 0.00017493
6 *6255:X 0.000112397
7 *327:28 0.000907064
8 *327:25 0.000986065
9 *327:18 0.000897483
10 *327:5 0.000588136
11 *6142:B1 *458:8 0
12 *6142:B1 *516:240 0
13 *6145:B1 *6145:A1 0.000122378
14 *6145:B1 *6145:A2 0.000220183
15 *6145:B1 *6290:A 4.0752e-05
16 *6145:B1 *337:23 2.65667e-05
17 *6256:A *384:8 0
18 *6256:A *516:145 0
19 *6277:A *6278:B1 0.000159322
20 *6297:A *6289:A 0
21 *6297:A *380:6 0
22 *6297:A *459:7 0.000579046
23 *6297:A *516:519 0.000935664
24 *327:18 *6274:A 0
25 *327:18 *516:145 9.82516e-05
26 *327:25 *6278:B1 6.50727e-05
27 *327:25 *462:7 0.000161312
28 *327:25 *516:138 0
29 *327:25 *516:230 0.00027329
30 *327:28 *6268:A 0
31 *327:28 *6270:A 0
32 *327:28 *6289:A 0
33 *327:28 *338:8 0
34 *327:28 *380:6 0
35 *327:28 *413:8 0
36 *6321:D *327:25 0.000987494
37 *6346:D *6142:B1 4.87198e-05
38 *6346:D *327:18 1.12605e-05
*RES
1 *6255:X *327:5 11.6364
2 *327:5 *6256:A 22.5727
3 *327:5 *327:18 11.6288
4 *327:18 *327:25 22.0544
5 *327:25 *327:28 15.4675
6 *327:28 *6145:B1 18.3789
7 *327:28 *6297:A 26.1481
8 *327:25 *6277:A 11.0817
9 *327:18 *6142:B1 17.2421
*END
*D_NET *328 0.008284
*CONN
*I *6273:B1 I *D sky130_fd_sc_hd__a21o_1
*I *6266:B1 I *D sky130_fd_sc_hd__a21o_1
*I *6263:B1 I *D sky130_fd_sc_hd__a21o_1
*I *6257:B1 I *D sky130_fd_sc_hd__a21o_1
*I *6260:B1 I *D sky130_fd_sc_hd__a21o_1
*I *6256:X O *D sky130_fd_sc_hd__clkbuf_2
*CAP
1 *6273:B1 8.30131e-05
2 *6266:B1 0
3 *6263:B1 0
4 *6257:B1 0
5 *6260:B1 0.000549938
6 *6256:X 0.000290083
7 *328:24 0.000812331
8 *328:17 0.00121536
9 *328:10 0.00131036
10 *328:8 0.000730481
11 *6273:B1 *523:11 0.00027329
12 *328:8 *461:13 0.000115615
13 *328:8 *516:145 8.07794e-05
14 *328:8 *516:149 8.18934e-05
15 *328:10 *6264:A 0
16 *328:10 *6266:A1 5.22654e-06
17 *328:10 *516:149 0.000109048
18 *328:17 *6212:A 0
19 *328:17 *6264:A 0
20 *328:17 *6266:A1 5.8261e-05
21 *328:17 *6317:CLK 0.000138357
22 *328:17 *384:7 1.65872e-05
23 *328:17 *392:10 0
24 *328:17 *516:158 0
25 *328:17 *553:21 2.95757e-05
26 *328:17 *553:22 0.000838097
27 *328:24 *553:22 0.000105636
28 *6257:A2 *6260:B1 0.000118166
29 *6317:D *6260:B1 1.84293e-05
30 *6319:D *328:10 4.27003e-05
31 *6320:D *328:8 0.000151726
32 *312:8 *328:8 0
33 *312:8 *328:10 0
34 *312:8 *328:17 0
35 *314:6 *328:24 0.00055528
36 *315:8 *328:24 0.000553766
*RES
1 *6256:X *328:8 23.0907
2 *328:8 *328:10 9.72179
3 *328:10 *328:17 31.4568
4 *328:17 *328:24 21.6636
5 *328:24 *6260:B1 22.7767
6 *328:24 *6257:B1 9.24915
7 *328:17 *6263:B1 9.24915
8 *328:10 *6266:B1 13.7491
9 *328:8 *6273:B1 16.691
*END
*D_NET *329 0.000429011
*CONN
*I *6260:A1 I *D sky130_fd_sc_hd__a21o_1
*I *6258:X O *D sky130_fd_sc_hd__or3_1
*CAP
1 *6260:A1 0.000152705
2 *6258:X 0.000152705
3 *6260:A1 *6317:CLK 0
4 *6260:A1 *553:12 2.11368e-05
5 *6317:D *6260:A1 2.36494e-05
6 *312:8 *6260:A1 7.88145e-05
*RES
1 *6258:X *6260:A1 32.2371
*END
*D_NET *330 0.00118074
*CONN
*I *6260:A2 I *D sky130_fd_sc_hd__a21o_1
*I *6259:X O *D sky130_fd_sc_hd__a21o_1
*CAP
1 *6260:A2 0.00037699
2 *6259:X 0.00037699
3 *6260:A2 *469:11 0.0002817
4 sram_addr0[3] *6260:A2 0
5 *312:8 *6260:A2 0.00014506
*RES
1 *6259:X *6260:A2 36.4246
*END
*D_NET *331 0.00101905
*CONN
*I *6263:A1 I *D sky130_fd_sc_hd__a21o_1
*I *6261:X O *D sky130_fd_sc_hd__or3_1
*CAP
1 *6263:A1 0.000212095
2 *6261:X 0.000212095
3 *6263:A1 *6263:A2 1.07248e-05
4 *6263:A1 *384:8 5.75903e-05
5 *6263:A1 *457:12 7.50722e-05
6 *6263:A1 *520:15 0.000111722
7 *317:16 *6263:A1 0.000339753
*RES
1 *6261:X *6263:A1 34.7608
*END
*D_NET *332 0.00202032
*CONN
*I *6263:A2 I *D sky130_fd_sc_hd__a21o_1
*I *6262:X O *D sky130_fd_sc_hd__a21o_1
*CAP
1 *6263:A2 0.000667211
2 *6262:X 0.000667211
3 sram_din0[2] *6263:A2 0
4 *6253:B1 *6263:A2 0.000137921
5 *6257:A1 *6263:A2 0
6 *6257:A2 *6263:A2 0.00014014
7 *6263:A1 *6263:A2 1.07248e-05
8 *6450:A *6263:A2 0.000293291
9 *314:6 *6263:A2 0
10 *317:16 *6263:A2 0.000103821
*RES
1 *6262:X *6263:A2 47.2594
*END
*D_NET *333 0.000881659
*CONN
*I *6266:A1 I *D sky130_fd_sc_hd__a21o_1
*I *6264:X O *D sky130_fd_sc_hd__or3_1
*CAP
1 *6266:A1 0.000253686
2 *6264:X 0.000253686
3 *6266:A1 *522:9 0.000275256
4 *312:8 *6266:A1 3.55432e-05
5 *328:10 *6266:A1 5.22654e-06
6 *328:17 *6266:A1 5.8261e-05
*RES
1 *6264:X *6266:A1 33.1026
*END
*D_NET *334 0.00455378
*CONN
*I *6266:A2 I *D sky130_fd_sc_hd__a21o_1
*I *6265:X O *D sky130_fd_sc_hd__a21o_1
*CAP
1 *6266:A2 3.5898e-05
2 *6265:X 0.00124902
3 *334:6 0.00128492
4 *6266:A2 *399:7 0.000377259
5 *6266:A2 *422:31 0.000377259
6 *334:6 *6211:A1 0.000237038
7 *334:6 *6265:A2 0
8 *334:6 *378:8 0.00092111
9 sram_din0[3] *334:6 1.79672e-05
10 *6265:B1 *334:6 5.33121e-05
11 *6377:D *334:6 0
12 *6453:A *334:6 0
13 *312:8 *334:6 0
*RES
1 *6265:X *334:6 49.6318
2 *334:6 *6266:A2 17.8002
*END
*D_NET *335 0.00493136
*CONN
*I *6137:B I *D sky130_fd_sc_hd__or3_1
*I *6289:A I *D sky130_fd_sc_hd__dlymetal6s2s_1
*I *6268:A I *D sky130_fd_sc_hd__dlymetal6s2s_1
*I *6143:B I *D sky130_fd_sc_hd__or3_1
*I *6140:B I *D sky130_fd_sc_hd__or3_1
*I *6267:X O *D sky130_fd_sc_hd__dlymetal6s2s_1
*CAP
1 *6137:B 0.000283729
2 *6289:A 0.000353873
3 *6268:A 7.82428e-05
4 *6143:B 0
5 *6140:B 0.000214652
6 *6267:X 0
7 *335:26 0.000837972
8 *335:18 0.000868432
9 *335:6 0.000717673
10 *335:5 0.000324174
11 *6137:B *6137:C 4.55535e-05
12 *6137:B *6139:B1 0
13 *6137:B *6140:C 0
14 *6137:B *378:8 0
15 *6137:B *466:29 0.00011818
16 *6137:B *516:240 0
17 *6140:B *458:20 0
18 *6140:B *463:13 4.34469e-05
19 *6268:A *6270:A 5.53789e-05
20 *6289:A *6270:A 2.04806e-05
21 *6289:A *380:6 0
22 *6289:A *411:13 0
23 *6289:A *464:22 0.00033061
24 *6289:A *516:243 5.34081e-05
25 *6289:A *545:7 0.000147325
26 *335:6 *458:20 0
27 *335:6 *516:240 0
28 *335:18 *337:15 5.81185e-06
29 *335:18 *337:19 0.000114786
30 *335:18 *337:23 5.56461e-05
31 *335:26 *6290:A 4.0752e-05
32 *335:26 *337:23 0.000221235
33 *6297:A *6289:A 0
34 *6347:D *6289:A 0
35 *318:21 *6137:B 0
36 *327:28 *6268:A 0
37 *327:28 *6289:A 0
*RES
1 *6267:X *335:5 13.7491
2 *335:5 *335:6 1.00149
3 *335:6 *6140:B 17.5531
4 *335:6 *335:18 11.8786
5 *335:18 *6143:B 9.24915
6 *335:18 *335:26 11.324
7 *335:26 *6268:A 15.9964
8 *335:26 *6289:A 24.8911
9 *335:5 *6137:B 19.7659
*END
*D_NET *336 0.00855774
*CONN
*I *6285:B I *D sky130_fd_sc_hd__or3_1
*I *6274:B I *D sky130_fd_sc_hd__or3_1
*I *6271:B I *D sky130_fd_sc_hd__or3_1
*I *6282:B I *D sky130_fd_sc_hd__or3_1
*I *6279:B I *D sky130_fd_sc_hd__or3_1
*I *6268:X O *D sky130_fd_sc_hd__dlymetal6s2s_1
*CAP
1 *6285:B 3.968e-05
2 *6274:B 0
3 *6271:B 0.00024982
4 *6282:B 0.000141397
5 *6279:B 0
6 *6268:X 0.00096684
7 *336:34 0.00160821
8 *336:33 0.00139807
9 *336:24 0.000605788
10 *336:7 0.00143123
11 *6271:B *6274:A 8.65358e-05
12 *6271:B *6274:C 7.92757e-06
13 *6271:B *378:8 0
14 *6271:B *407:10 1.79672e-05
15 *6282:B *6282:A 9.2346e-06
16 *6282:B *6282:C 0.000310079
17 *6282:B *346:14 8.53518e-05
18 *6282:B *365:10 0
19 *6282:B *516:207 0.000196638
20 *6285:B *6285:C 1.43983e-05
21 *336:7 *463:13 0.000202692
22 *336:24 *6281:A1 0.000320804
23 *336:24 *6282:C 7.30564e-05
24 *336:24 *344:25 4.58003e-05
25 *336:24 *346:14 0.000103835
26 *336:24 *463:10 1.32509e-05
27 *336:24 *464:19 8.53807e-05
28 *336:24 *465:31 0.000414263
29 *336:34 *6274:C 6.06823e-05
30 *336:34 *6278:A1 1.91182e-05
31 *336:34 *6278:A2 1.43983e-05
32 *336:34 *6285:C 7.92757e-06
33 *336:34 *338:32 2.23124e-05
34 *6322:D *336:24 5.04829e-06
*RES
1 *6268:X *336:7 24.2717
2 *336:7 *6279:B 9.24915
3 *336:7 *336:24 29.1584
4 *336:24 *6282:B 20.5642
5 *336:24 *336:33 4.5
6 *336:33 *336:34 19.5799
7 *336:34 *6271:B 22.6077
8 *336:34 *6274:B 9.24915
9 *336:33 *6285:B 9.97254
*END
*D_NET *337 0.00427972
*CONN
*I *6137:C I *D sky130_fd_sc_hd__or3_1
*I *6143:C I *D sky130_fd_sc_hd__or3_1
*I *6290:A I *D sky130_fd_sc_hd__dlymetal6s2s_1
*I *6270:A I *D sky130_fd_sc_hd__dlymetal6s2s_1
*I *6140:C I *D sky130_fd_sc_hd__or3_1
*I *6269:X O *D sky130_fd_sc_hd__clkbuf_1
*CAP
1 *6137:C 8.78185e-05
2 *6143:C 0
3 *6290:A 0.000105356
4 *6270:A 0.00024564
5 *6140:C 0.000154471
6 *6269:X 0.000180834
7 *337:23 0.000535676
8 *337:19 0.000397546
9 *337:15 0.000603009
10 *337:5 0.000637629
11 *6137:C *516:240 2.66039e-05
12 *6140:C *384:8 0
13 *6140:C *458:8 3.67708e-05
14 *6140:C *458:20 0.0001425
15 *6270:A *411:13 0
16 *6270:A *413:8 3.20069e-06
17 *6290:A *6145:A2 0.000271058
18 *337:23 *6145:A1 8.03127e-05
19 *6137:B *6137:C 4.55535e-05
20 *6137:B *6140:C 0
21 *6145:B1 *6290:A 4.0752e-05
22 *6145:B1 *337:23 2.65667e-05
23 *6267:A *337:5 3.83429e-05
24 *6267:A *337:15 4.02438e-05
25 *6268:A *6270:A 5.53789e-05
26 *6289:A *6270:A 2.04806e-05
27 *318:18 *337:5 5.0715e-05
28 *318:21 *6140:C 1.50262e-05
29 *327:28 *6270:A 0
30 *335:18 *337:15 5.81185e-06
31 *335:18 *337:19 0.000114786
32 *335:18 *337:23 5.56461e-05
33 *335:26 *6290:A 4.0752e-05
34 *335:26 *337:23 0.000221235
*RES
1 *6269:X *337:5 12.191
2 *337:5 *6140:C 22.9879
3 *337:5 *337:15 4.60562
4 *337:15 *337:19 6.14887
5 *337:19 *337:23 7.40275
6 *337:23 *6270:A 24.6489
7 *337:23 *6290:A 13.3002
8 *337:19 *6143:C 9.24915
9 *337:15 *6137:C 20.4964
*END
*D_NET *338 0.00592035
*CONN
*I *6279:C I *D sky130_fd_sc_hd__or3_1
*I *6285:C I *D sky130_fd_sc_hd__or3_1
*I *6282:C I *D sky130_fd_sc_hd__or3_1
*I *6274:C I *D sky130_fd_sc_hd__or3_1
*I *6271:C I *D sky130_fd_sc_hd__or3_1
*I *6270:X O *D sky130_fd_sc_hd__dlymetal6s2s_1
*CAP
1 *6279:C 9.69426e-05
2 *6285:C 5.83844e-05
3 *6282:C 0.000119754
4 *6274:C 0.000141786
5 *6271:C 0.000454098
6 *6270:X 0
7 *338:32 0.000423642
8 *338:28 0.000978762
9 *338:8 0.000869976
10 *338:4 0.000910407
11 *6271:C *6271:A 6.92705e-05
12 *6271:C *343:8 0
13 *6271:C *413:8 6.36773e-05
14 *6274:C *6274:A 6.08467e-05
15 *6274:C *6278:A1 6.99486e-05
16 *6279:C *6307:A2 0
17 *6279:C *464:19 0.000200251
18 *6282:C *6282:A 0.000247231
19 *6282:C *464:19 0.000144531
20 *338:8 *6278:B1 0
21 *338:8 *413:8 0.000100284
22 *338:32 *6307:A2 0
23 *338:32 *365:10 0
24 *338:32 *464:19 0.0003637
25 *6271:B *6274:C 7.92757e-06
26 *6282:B *6282:C 0.000310079
27 *6285:B *6285:C 1.43983e-05
28 *6321:D *338:8 5.04734e-05
29 *327:28 *338:8 0
30 *336:24 *6282:C 7.30564e-05
31 *336:34 *6274:C 6.06823e-05
32 *336:34 *6285:C 7.92757e-06
33 *336:34 *338:32 2.23124e-05
*RES
1 *6270:X *338:4 9.24915
2 *338:4 *338:8 12.1778
3 *338:8 *6271:C 24.4814
4 *338:8 *6274:C 17.8002
5 *338:4 *338:28 18.5339
6 *338:28 *338:32 12.1778
7 *338:32 *6282:C 25.0642
8 *338:32 *6285:C 10.5271
9 *338:28 *6279:C 17.2421
*END
*D_NET *339 0.00160666
*CONN
*I *6273:A1 I *D sky130_fd_sc_hd__a21o_1
*I *6271:X O *D sky130_fd_sc_hd__or3_1
*CAP
1 *6273:A1 0.000365875
2 *6271:X 0.000365875
3 *6273:A1 *6273:A2 0.000483474
4 *6273:A1 *378:8 0
5 *6273:A1 *407:10 0.000367283
6 *6273:A1 *461:10 0
7 *6273:A1 *523:11 2.41483e-05
*RES
1 *6271:X *6273:A1 39.6129
*END
*D_NET *340 0.00688285
*CONN
*I *6273:A2 I *D sky130_fd_sc_hd__a21o_1
*I *6272:X O *D sky130_fd_sc_hd__a21o_1
*CAP
1 *6273:A2 0.00020986
2 *6272:X 0.000109908
3 *340:10 0.00160868
4 *340:7 0.00150873
5 *6273:A2 *523:11 0.000988757
6 *340:10 *6214:A 5.53879e-05
7 *340:10 *343:8 0
8 *340:10 *413:8 0
9 *340:10 *422:32 0
10 *340:10 *473:14 0.00148307
11 sram_addr0[4] *340:10 0.000258002
12 *6273:A1 *6273:A2 0.000483474
13 *6378:D *340:10 0.000104731
14 *324:9 *340:7 7.22498e-05
*RES
1 *6272:X *340:7 15.5817
2 *340:7 *340:10 46.6115
3 *340:10 *6273:A2 19.9554
*END
*D_NET *341 0.000768027
*CONN
*I *6278:A1 I *D sky130_fd_sc_hd__a21o_1
*I *6274:X O *D sky130_fd_sc_hd__or3_1
*CAP
1 *6278:A1 0.000336956
2 *6274:X 0.000336956
3 *6278:A1 *6278:A2 5.04829e-06
4 *6274:C *6278:A1 6.99486e-05
5 *336:34 *6278:A1 1.91182e-05
*RES
1 *6274:X *6278:A1 23.6585
*END
*D_NET *342 0.00444447
*CONN
*I *6276:B1 I *D sky130_fd_sc_hd__a21o_1
*I *6287:B1 I *D sky130_fd_sc_hd__a21o_1
*I *6292:B1 I *D sky130_fd_sc_hd__a21o_1
*I *6280:B1 I *D sky130_fd_sc_hd__a21o_1
*I *6283:B1 I *D sky130_fd_sc_hd__a21o_1
*I *6275:X O *D sky130_fd_sc_hd__dlymetal6s2s_1
*CAP
1 *6276:B1 0
2 *6287:B1 0.000133857
3 *6292:B1 0
4 *6280:B1 1.93962e-05
5 *6283:B1 0.000107705
6 *6275:X 0.000116849
7 *342:32 0.000288139
8 *342:22 0.000382954
9 *342:17 0.000209276
10 *342:8 0.000224554
11 *6280:B1 *6280:A2 3.01683e-06
12 *6283:B1 *6101:A2 0.00020588
13 *6283:B1 *474:16 7.69735e-05
14 *6287:B1 *6280:A2 6.50586e-05
15 *6287:B1 *6292:A1 9.24241e-05
16 *6287:B1 *6303:A2 0
17 *6287:B1 *350:18 3.31882e-05
18 *6287:B1 *480:13 0
19 *6287:B1 *510:25 2.41483e-05
20 *342:8 *6101:A2 0.000165495
21 *342:8 *474:16 6.07931e-05
22 *342:8 *483:23 9.14669e-05
23 *342:22 *6280:A1 0.000162975
24 *342:22 *6515:A 0.000258128
25 *342:22 *587:33 9.82331e-05
26 *342:22 *587:35 3.01683e-06
27 *342:32 *6280:A1 4.31703e-05
28 *342:32 *6280:A2 0.000175662
29 *342:32 *6292:A2 1.03434e-05
30 *342:32 *510:25 0.000829421
31 sram_addr0[5] *6283:B1 3.31733e-05
32 *6241:A *342:32 0.000311235
33 *315:11 *342:8 0.000217937
*RES
1 *6275:X *342:8 19.2141
2 *342:8 *6283:B1 17.6574
3 *342:8 *342:17 4.5
4 *342:17 *342:22 7.57154
5 *342:22 *6280:B1 9.82786
6 *342:22 *342:32 10.1758
7 *342:32 *6292:B1 9.24915
8 *342:32 *6287:B1 22.0503
9 *342:17 *6276:B1 9.24915
*END
*D_NET *343 0.00808159
*CONN
*I *6278:A2 I *D sky130_fd_sc_hd__a21o_1
*I *6276:X O *D sky130_fd_sc_hd__a21o_1
*CAP
1 *6278:A2 2.77428e-05
2 *6276:X 0.000783115
3 *343:8 0.00165245
4 *343:7 0.00240782
5 *343:7 *6272:A2 5.97411e-05
6 *343:7 *587:33 0.00077755
7 *343:8 *6112:B1 4.87343e-05
8 *343:8 *6213:A1 0.000388247
9 *343:8 *6214:A 0.000315191
10 *343:8 *6278:B1 5.22654e-06
11 *343:8 *380:6 0.000274719
12 *343:8 *413:8 0
13 *343:8 *471:21 0.0010878
14 sram_addr0[4] *343:8 6.30724e-05
15 *6271:C *343:8 0
16 *6272:A1 *343:7 2.20702e-05
17 *6278:A1 *6278:A2 5.04829e-06
18 *324:8 *343:8 0.000122098
19 *324:9 *343:7 2.65667e-05
20 *336:34 *6278:A2 1.43983e-05
21 *340:10 *343:8 0
*RES
1 *6276:X *343:7 26.6738
2 *343:7 *343:8 52.4928
3 *343:8 *6278:A2 14.4725
*END
*D_NET *344 0.00563692
*CONN
*I *6293:B1 I *D sky130_fd_sc_hd__a21o_1
*I *6284:B1 I *D sky130_fd_sc_hd__a21o_1
*I *6288:B1 I *D sky130_fd_sc_hd__a21o_1
*I *6281:B1 I *D sky130_fd_sc_hd__a21o_1
*I *6278:B1 I *D sky130_fd_sc_hd__a21o_1
*I *6277:X O *D sky130_fd_sc_hd__clkbuf_2
*CAP
1 *6293:B1 0.000204483
2 *6284:B1 0.000304107
3 *6288:B1 3.36663e-05
4 *6281:B1 2.06324e-05
5 *6278:B1 0.000238946
6 *6277:X 0
7 *344:26 0.000500807
8 *344:25 0.000847234
9 *344:15 0.00102067
10 *344:4 0.000759265
11 *6278:B1 *380:6 0
12 *6284:B1 *6288:A1 0.00070141
13 *6288:B1 *351:10 0
14 *6288:B1 *355:8 0
15 *6288:B1 *363:6 5.41227e-05
16 *6293:B1 *355:8 0
17 *6293:B1 *363:6 0.000248798
18 *344:26 *355:8 0
19 *344:26 *363:6 0.000308064
20 *6277:A *6278:B1 0.000159322
21 *6321:D *6278:B1 5.04734e-05
22 *6322:D *344:15 1.31897e-05
23 *6322:D *344:25 7.97098e-06
24 *6323:D *6284:B1 1.61631e-05
25 *6325:D *6293:B1 3.14978e-05
26 *327:25 *6278:B1 6.50727e-05
27 *336:24 *344:25 4.58003e-05
28 *338:8 *6278:B1 0
29 *343:8 *6278:B1 5.22654e-06
*RES
1 *6277:X *344:4 9.24915
2 *344:4 *6278:B1 24.4081
3 *344:4 *344:15 10.7063
4 *344:15 *6281:B1 9.82786
5 *344:15 *344:25 14.6517
6 *344:25 *344:26 5.56926
7 *344:26 *6288:B1 14.7506
8 *344:26 *6284:B1 23.3944
9 *344:25 *6293:B1 20.4599
*END
*D_NET *345 0.000794284
*CONN
*I *6281:A1 I *D sky130_fd_sc_hd__a21o_1
*I *6279:X O *D sky130_fd_sc_hd__or3_1
*CAP
1 *6281:A1 0.000120436
2 *6279:X 0.000120436
3 *6281:A1 *346:14 1.07248e-05
4 *6281:A1 *463:10 3.00073e-05
5 *6281:A1 *464:19 2.69951e-05
6 *6281:A1 *465:31 1.5254e-05
7 *6322:D *6281:A1 0.000149628
8 *336:24 *6281:A1 0.000320804
*RES
1 *6279:X *6281:A1 33.4828
*END
*D_NET *346 0.00666442
*CONN
*I *6281:A2 I *D sky130_fd_sc_hd__a21o_1
*I *6280:X O *D sky130_fd_sc_hd__a21o_1
*CAP
1 *6281:A2 0
2 *6280:X 0.000540936
3 *346:14 0.00130438
4 *346:10 0.00184532
5 *346:10 *6101:A2 0.000884308
6 *346:10 *6276:A2 7.50872e-05
7 *346:10 *6280:A1 0.000315461
8 *346:10 *6311:B1 0.000219154
9 *346:10 *348:10 0.00013216
10 *346:10 *357:8 0.000179286
11 *346:10 *474:16 0
12 *346:10 *587:33 1.61631e-05
13 *346:14 *6144:A2 0
14 *346:14 *6284:A2 0
15 *346:14 *6288:A1 3.90891e-05
16 *346:14 *372:8 0
17 *346:14 *401:8 0.0001514
18 *346:14 *401:18 8.68133e-05
19 *346:14 *465:31 0.000200251
20 *346:14 *474:16 0.000278054
21 *346:14 *516:207 0.000196638
22 *6281:A1 *346:14 1.07248e-05
23 *6282:B *346:14 8.53518e-05
24 *336:24 *346:14 0.000103835
*RES
1 *6280:X *346:10 34.1366
2 *346:10 *346:14 43.9123
3 *346:14 *6281:A2 9.24915
*END
*D_NET *347 0.000649746
*CONN
*I *6284:A1 I *D sky130_fd_sc_hd__a21o_1
*I *6282:X O *D sky130_fd_sc_hd__or3_1
*CAP
1 *6284:A1 0.000215407
2 *6282:X 0.000215407
3 *6284:A1 *6284:A2 7.50872e-05
4 *6284:A1 *372:8 7.50872e-05
5 *6284:A1 *516:207 6.87578e-05
*RES
1 *6282:X *6284:A1 31.8568
*END
*D_NET *348 0.00724815
*CONN
*I *6284:A2 I *D sky130_fd_sc_hd__a21o_1
*I *6283:X O *D sky130_fd_sc_hd__a21o_1
*CAP
1 *6284:A2 0.000772397
2 *6283:X 0.000653526
3 *348:10 0.00142592
4 *6284:A2 *6121:B1 0.0003637
5 *6284:A2 *6138:A2 0.00111651
6 *6284:A2 *6376:CLK 0.000163529
7 *6284:A2 *363:6 0
8 *6284:A2 *372:8 0.000617972
9 *348:10 *6138:A2 0
10 *348:10 *6276:A2 4.5539e-05
11 *348:10 *6283:A1 8.21849e-06
12 *348:10 *6311:A2 0.000915999
13 *348:10 *6311:B1 0.000104733
14 *348:10 *6515:A 0.000118485
15 *348:10 *357:8 7.58884e-05
16 *348:10 *554:5 0.000353686
17 sram_addr0[5] *348:10 0.000304791
18 *6284:A1 *6284:A2 7.50872e-05
19 *6324:D *6284:A2 0
20 *346:10 *348:10 0.00013216
21 *346:14 *6284:A2 0
*RES
1 *6283:X *348:10 39.5521
2 *348:10 *6284:A2 46.5174
*END
*D_NET *349 0.0014321
*CONN
*I *6288:A1 I *D sky130_fd_sc_hd__a21o_1
*I *6285:X O *D sky130_fd_sc_hd__or3_1
*CAP
1 *6288:A1 0.000320554
2 *6285:X 0.000320554
3 *6288:A1 *372:8 5.04879e-05
4 *6284:B1 *6288:A1 0.00070141
5 *346:14 *6288:A1 3.90891e-05
*RES
1 *6285:X *6288:A1 38.2575
*END
*D_NET *350 0.00317089
*CONN
*I *6300:A1 I *D sky130_fd_sc_hd__a21o_1
*I *6296:A1 I *D sky130_fd_sc_hd__a21o_1
*I *6287:A1 I *D sky130_fd_sc_hd__a21o_1
*I *6292:A1 I *D sky130_fd_sc_hd__a21o_1
*I *6303:A1 I *D sky130_fd_sc_hd__a21o_1
*I *6286:X O *D sky130_fd_sc_hd__dlymetal6s2s_1
*CAP
1 *6300:A1 0.000134532
2 *6296:A1 8.73233e-05
3 *6287:A1 3.53476e-05
4 *6292:A1 0.000133522
5 *6303:A1 0.000188995
6 *6286:X 0
7 *350:18 0.000333263
8 *350:16 0.000319221
9 *350:5 0.000316323
10 *350:4 0.000194356
11 *6287:A1 *483:23 6.53312e-05
12 *6292:A1 *6280:A2 0.000114518
13 *6292:A1 *355:8 2.22923e-05
14 *6296:A1 *6296:B1 2.16355e-05
15 *6296:A1 *480:13 3.50956e-06
16 *6300:A1 *6300:B1 0.000200251
17 *6303:A1 *6286:A 0.00020502
18 *6303:A1 *6303:A2 6.50586e-05
19 *6303:A1 *6303:B1 4.80635e-06
20 *6303:A1 *357:23 4.99897e-05
21 *350:5 *357:23 1.65872e-05
22 *350:16 *6296:B1 9.75356e-05
23 *350:16 *6300:B1 4.70104e-05
24 *350:16 *358:6 5.302e-05
25 *350:18 *6296:B1 5.56367e-05
26 *350:18 *355:8 6.25383e-05
27 *350:18 *358:6 3.06126e-05
28 *350:18 *480:13 0
29 *6243:A *6287:A1 0.000161243
30 *6287:B1 *6292:A1 9.24241e-05
31 *6287:B1 *350:18 3.31882e-05
32 *299:5 *6300:A1 2.57986e-05
*RES
1 *6286:X *350:4 9.24915
2 *350:4 *350:5 1.278
3 *350:5 *6303:A1 14.4335
4 *350:5 *350:16 7.1625
5 *350:16 *350:18 5.15401
6 *350:18 *6292:A1 16.8591
7 *350:18 *6287:A1 15.5817
8 *350:16 *6296:A1 15.0271
9 *350:4 *6300:A1 22.4655
*END
*D_NET *351 0.00590734
*CONN
*I *6288:A2 I *D sky130_fd_sc_hd__a21o_1
*I *6287:X O *D sky130_fd_sc_hd__a21o_1
*CAP
1 *6288:A2 0.000104537
2 *6287:X 0.000109908
3 *351:10 0.00126362
4 *351:7 0.00126899
5 *351:7 *483:23 7.22498e-05
6 *351:10 *6129:B1 0
7 *351:10 *6135:A1 0
8 *351:10 *6135:A2 0
9 *351:10 *6303:A2 0.000113591
10 *351:10 *6306:A1 5.05252e-05
11 *351:10 *355:8 0.00265423
12 *351:10 *363:6 0
13 *351:10 *475:12 0
14 *351:10 *476:17 0
15 *351:10 *479:14 0
16 *351:10 *588:22 0.000269694
17 *6288:B1 *351:10 0
*RES
1 *6287:X *351:7 15.5817
2 *351:7 *351:10 49.9335
3 *351:10 *6288:A2 11.6364
*END
*D_NET *352 0.00658945
*CONN
*I *6299:B I *D sky130_fd_sc_hd__or3_1
*I *6302:B I *D sky130_fd_sc_hd__or3_1
*I *6291:B I *D sky130_fd_sc_hd__or3_1
*I *6294:B I *D sky130_fd_sc_hd__or3_1
*I *6305:B I *D sky130_fd_sc_hd__or3_1
*I *6289:X O *D sky130_fd_sc_hd__dlymetal6s2s_1
*CAP
1 *6299:B 0
2 *6302:B 0
3 *6291:B 0.000110864
4 *6294:B 0
5 *6305:B 0
6 *6289:X 0.000229689
7 *352:40 0.000704902
8 *352:35 0.0010738
9 *352:18 0.0011856
10 *352:8 0.000935519
11 *6291:B *6291:C 7.50722e-05
12 *6291:B *6304:A1 7.14746e-05
13 *6291:B *466:25 0.000111708
14 *352:8 *6307:A1 0
15 *352:8 *6307:A2 0.000165495
16 *352:8 *6312:A2 0.000299916
17 *352:8 *464:22 0.000170325
18 *352:8 *545:7 0.000410992
19 *352:18 *6294:A 0.000147325
20 *352:18 *6294:C 0.000123131
21 *352:18 *6307:A1 0
22 *352:18 *6312:A2 0.000308064
23 *352:18 *359:22 6.11359e-06
24 *352:35 *6294:A 4.80635e-06
25 *352:35 *6298:B1 1.09551e-05
26 *352:35 *6301:A1 0
27 *352:35 *6301:B1 8.92069e-05
28 *352:35 *359:22 0.000197904
29 *352:35 *372:8 0
30 *352:40 *6298:A2 0
31 *352:40 *6301:A1 0
32 *352:40 *6302:A 3.42827e-05
33 *352:40 *372:8 0
34 *352:40 *545:7 4.95737e-05
35 *6327:D *352:35 4.5539e-05
36 *6328:D *352:40 2.71953e-05
*RES
1 *6289:X *352:8 23.924
2 *352:8 *6305:B 13.7491
3 *352:8 *352:18 19.1117
4 *352:18 *6294:B 9.24915
5 *352:18 *352:35 18.2436
6 *352:35 *352:40 15.7841
7 *352:40 *6291:B 20.9439
8 *352:40 *6302:B 9.24915
9 *352:35 *6299:B 13.7491
*END
*D_NET *353 0.00800513
*CONN
*I *6294:C I *D sky130_fd_sc_hd__or3_1
*I *6305:C I *D sky130_fd_sc_hd__or3_1
*I *6302:C I *D sky130_fd_sc_hd__or3_1
*I *6291:C I *D sky130_fd_sc_hd__or3_1
*I *6299:C I *D sky130_fd_sc_hd__or3_1
*I *6290:X O *D sky130_fd_sc_hd__dlymetal6s2s_1
*CAP
1 *6294:C 0.000200882
2 *6305:C 4.92104e-05
3 *6302:C 0
4 *6291:C 0.000155274
5 *6299:C 0
6 *6290:X 0.000377812
7 *353:44 0.000348369
8 *353:24 0.000408667
9 *353:15 0.000923896
10 *353:8 0.00114659
11 *6291:C *6298:A2 0.000204753
12 *6291:C *6304:A1 8.04301e-05
13 *6291:C *361:6 3.26358e-05
14 *6291:C *466:25 6.23875e-05
15 *6294:C *6294:A 0.0002817
16 *6294:C *6312:A2 0.000165495
17 *6294:C *6312:B1 1.44611e-05
18 *6294:C *359:19 5.79544e-05
19 *6294:C *359:22 0.000848767
20 *6305:C *6305:A 0.000107496
21 *353:8 *6145:A2 0.000319954
22 *353:8 *6312:A2 0.000531326
23 *353:8 *359:8 0.000127461
24 *353:8 *376:8 5.22555e-05
25 *353:8 *415:8 2.95757e-05
26 *353:15 *6307:A1 6.98314e-05
27 *353:15 *6307:A2 0.000154145
28 *353:15 *465:31 0.000130409
29 *353:24 *6298:A2 7.06474e-05
30 *353:24 *6299:A 0.000434578
31 *353:24 *361:6 7.58739e-05
32 *353:44 *6312:A2 0.000238537
33 *353:44 *359:8 2.96862e-05
34 *353:44 *359:19 7.58595e-05
35 *6291:B *6291:C 7.50722e-05
36 *352:18 *6294:C 0.000123131
*RES
1 *6290:X *353:8 27.5219
2 *353:8 *353:15 21.4998
3 *353:15 *6299:C 9.24915
4 *353:15 *353:24 13.2926
5 *353:24 *6291:C 20.3938
6 *353:24 *6302:C 13.7491
7 *353:8 *353:44 4.32351
8 *353:44 *6305:C 15.0271
9 *353:44 *6294:C 25.8693
*END
*D_NET *354 0.00168299
*CONN
*I *6293:A1 I *D sky130_fd_sc_hd__a21o_1
*I *6291:X O *D sky130_fd_sc_hd__or3_1
*CAP
1 *6293:A1 0.000549771
2 *6291:X 0.000549771
3 *6293:A1 *6293:A2 2.65667e-05
4 *6293:A1 *361:6 0.000471467
5 *6293:A1 *466:11 8.54125e-05
*RES
1 *6291:X *6293:A1 40.3335
*END
*D_NET *355 0.00825792
*CONN
*I *6293:A2 I *D sky130_fd_sc_hd__a21o_1
*I *6292:X O *D sky130_fd_sc_hd__a21o_1
*CAP
1 *6293:A2 2.52739e-05
2 *6292:X 3.91978e-05
3 *355:8 0.00107966
4 *355:7 0.00109358
5 *355:7 *6280:A2 6.92705e-05
6 *355:8 *6303:A2 5.29763e-05
7 *355:8 *358:6 0.00313234
8 *6288:B1 *355:8 0
9 *6292:A1 *355:8 2.22923e-05
10 *6293:A1 *6293:A2 2.65667e-05
11 *6293:B1 *355:8 0
12 *344:26 *355:8 0
13 *350:18 *355:8 6.25383e-05
14 *351:10 *355:8 0.00265423
*RES
1 *6292:X *355:7 14.4725
2 *355:7 *355:8 59.1368
3 *355:8 *6293:A2 14.4725
*END
*D_NET *356 0.00105093
*CONN
*I *6298:A1 I *D sky130_fd_sc_hd__a21o_1
*I *6294:X O *D sky130_fd_sc_hd__or3_1
*CAP
1 *6298:A1 0.000283728
2 *6294:X 0.000283728
3 *6298:A1 *6301:B1 0.000102003
4 *6298:A1 *359:22 0.000381471
*RES
1 *6294:X *6298:A1 23.6585
*END
*D_NET *357 0.00430777
*CONN
*I *6311:B1 I *D sky130_fd_sc_hd__a21o_1
*I *6306:B1 I *D sky130_fd_sc_hd__a21o_1
*I *6300:B1 I *D sky130_fd_sc_hd__a21o_1
*I *6296:B1 I *D sky130_fd_sc_hd__a21o_1
*I *6303:B1 I *D sky130_fd_sc_hd__a21o_1
*I *6295:X O *D sky130_fd_sc_hd__dlymetal6s2s_1
*CAP
1 *6311:B1 6.87575e-05
2 *6306:B1 0
3 *6300:B1 9.82009e-05
4 *6296:B1 0.000114579
5 *6303:B1 1.90605e-05
6 *6295:X 0.000148736
7 *357:23 0.00041843
8 *357:13 0.000457326
9 *357:10 0.000232615
10 *357:8 0.000217494
11 *6296:B1 *480:13 1.43983e-05
12 *6300:B1 *358:6 5.62468e-05
13 *357:13 *6306:A1 0.000612765
14 *357:13 *6306:A2 0.000260374
15 *357:23 *6286:A 0.00020502
16 *357:23 *6303:A2 6.50586e-05
17 *357:23 *6306:A1 0.00024619
18 *6296:A1 *6296:B1 2.16355e-05
19 *6300:A1 *6300:B1 0.000200251
20 *6303:A1 *6303:B1 4.80635e-06
21 *6303:A1 *357:23 4.99897e-05
22 *346:10 *6311:B1 0.000219154
23 *346:10 *357:8 0.000179286
24 *348:10 *6311:B1 0.000104733
25 *348:10 *357:8 7.58884e-05
26 *350:5 *357:23 1.65872e-05
27 *350:16 *6296:B1 9.75356e-05
28 *350:16 *6300:B1 4.70104e-05
29 *350:18 *6296:B1 5.56367e-05
*RES
1 *6295:X *357:8 18.1049
2 *357:8 *357:10 4.5
3 *357:10 *357:13 8.51196
4 *357:13 *6303:B1 9.82786
5 *357:13 *357:23 10.7694
6 *357:23 *6296:B1 17.135
7 *357:23 *6300:B1 18.0727
8 *357:10 *6306:B1 9.24915
9 *357:8 *6311:B1 17.6574
*END
*D_NET *358 0.0104651
*CONN
*I *6298:A2 I *D sky130_fd_sc_hd__a21o_1
*I *6296:X O *D sky130_fd_sc_hd__a21o_1
*CAP
1 *6298:A2 0.000971856
2 *6296:X 0
3 *358:6 0.0017672
4 *358:5 0.00079534
5 *6298:A2 *6299:A 0.000368797
6 *6298:A2 *6301:A1 6.80864e-05
7 *6298:A2 *6301:B1 0.000164829
8 *6298:A2 *6304:A1 1.46079e-05
9 *6298:A2 *361:6 0
10 *6298:A2 *363:6 0
11 *358:6 *361:6 0.00276679
12 *358:6 *363:6 0
13 *6291:C *6298:A2 0.000204753
14 *6300:B1 *358:6 5.62468e-05
15 *6325:D *6298:A2 0
16 *350:16 *358:6 5.302e-05
17 *350:18 *358:6 3.06126e-05
18 *352:40 *6298:A2 0
19 *353:24 *6298:A2 7.06474e-05
20 *355:8 *358:6 0.00313234
*RES
1 *6296:X *358:5 13.7491
2 *358:5 *358:6 54.3614
3 *358:6 *6298:A2 41.6283
*END
*D_NET *359 0.00812859
*CONN
*I *6304:B1 I *D sky130_fd_sc_hd__a21o_1
*I *6312:B1 I *D sky130_fd_sc_hd__a21o_1
*I *6301:B1 I *D sky130_fd_sc_hd__a21o_1
*I *6298:B1 I *D sky130_fd_sc_hd__a21o_1
*I *6307:B1 I *D sky130_fd_sc_hd__a21o_1
*I *6297:X O *D sky130_fd_sc_hd__clkbuf_2
*CAP
1 *6304:B1 0.000357228
2 *6312:B1 0.00010816
3 *6301:B1 0.000194323
4 *6298:B1 1.81917e-05
5 *6307:B1 6.95428e-05
6 *6297:X 0
7 *359:22 0.000676636
8 *359:19 0.000692978
9 *359:8 0.000443643
10 *359:4 0.000610632
11 *6301:B1 *6301:A1 5.22654e-06
12 *6304:B1 *6307:A2 0
13 *6304:B1 *464:19 1.03986e-05
14 *6304:B1 *466:25 0.000231325
15 *6304:B1 *516:519 0.000472818
16 *6307:B1 *6307:A1 3.06765e-05
17 *6307:B1 *465:31 0.000111708
18 *6312:B1 *6312:A2 6.78595e-05
19 *6312:B1 *376:8 0.000246684
20 *6312:B1 *544:9 6.08467e-05
21 *359:8 *376:8 0.000383151
22 *359:8 *466:25 0.000572588
23 *359:8 *516:519 0.000283891
24 *359:19 *376:8 0.000306565
25 *359:22 *6294:A 2.41483e-05
26 *6294:C *6312:B1 1.44611e-05
27 *6294:C *359:19 5.79544e-05
28 *6294:C *359:22 0.000848767
29 *6298:A1 *6301:B1 0.000102003
30 *6298:A1 *359:22 0.000381471
31 *6298:A2 *6301:B1 0.000164829
32 *6327:D *6301:B1 4.27003e-05
33 *352:18 *359:22 6.11359e-06
34 *352:35 *6298:B1 1.09551e-05
35 *352:35 *6301:B1 8.92069e-05
36 *352:35 *359:22 0.000197904
37 *353:8 *359:8 0.000127461
38 *353:44 *359:8 2.96862e-05
39 *353:44 *359:19 7.58595e-05
*RES
1 *6297:X *359:4 9.24915
2 *359:4 *359:8 17.5845
3 *359:8 *6307:B1 16.0158
4 *359:8 *359:19 5.56926
5 *359:19 *359:22 20.7523
6 *359:22 *6298:B1 9.82786
7 *359:22 *6301:B1 24.4081
8 *359:19 *6312:B1 18.7961
9 *359:4 *6304:B1 29.9569
*END
*D_NET *360 0.000446535
*CONN
*I *6301:A1 I *D sky130_fd_sc_hd__a21o_1
*I *6299:X O *D sky130_fd_sc_hd__or3_1
*CAP
1 *6301:A1 0.000158788
2 *6299:X 0.000158788
3 *6301:A1 *6299:A 5.56461e-05
4 *6298:A2 *6301:A1 6.80864e-05
5 *6301:B1 *6301:A1 5.22654e-06
6 *352:35 *6301:A1 0
7 *352:40 *6301:A1 0
*RES
1 *6299:X *6301:A1 31.4388
*END
*D_NET *361 0.00769353
*CONN
*I *6301:A2 I *D sky130_fd_sc_hd__a21o_1
*I *6300:X O *D sky130_fd_sc_hd__a21o_1
*CAP
1 *6301:A2 0.000145569
2 *6300:X 0
3 *361:6 0.00189726
4 *361:5 0.00175169
5 *361:6 *6299:A 5.93657e-05
6 *361:6 *6304:A1 0.000186445
7 *361:6 *465:11 0.000273262
8 *361:6 *466:11 3.31736e-05
9 *6291:C *361:6 3.26358e-05
10 *6293:A1 *361:6 0.000471467
11 *6298:A2 *361:6 0
12 *353:24 *361:6 7.58739e-05
13 *358:6 *361:6 0.00276679
*RES
1 *6300:X *361:5 13.7491
2 *361:5 *361:6 65.7808
3 *361:6 *6301:A2 16.691
*END
*D_NET *362 0.00159333
*CONN
*I *6304:A1 I *D sky130_fd_sc_hd__a21o_1
*I *6302:X O *D sky130_fd_sc_hd__or3_1
*CAP
1 *6304:A1 0.000575538
2 *6302:X 0.000575538
3 *6304:A1 *6302:A 1.21461e-06
4 *6304:A1 *6304:A2 2.65831e-05
5 *6304:A1 *466:25 6.14944e-05
6 *6291:B *6304:A1 7.14746e-05
7 *6291:C *6304:A1 8.04301e-05
8 *6298:A2 *6304:A1 1.46079e-05
9 *361:6 *6304:A1 0.000186445
*RES
1 *6302:X *6304:A1 38.5387
*END
*D_NET *363 0.00687381
*CONN
*I *6304:A2 I *D sky130_fd_sc_hd__a21o_1
*I *6303:X O *D sky130_fd_sc_hd__a21o_1
*CAP
1 *6304:A2 1.59132e-05
2 *6303:X 0
3 *363:6 0.00164403
4 *363:5 0.00162811
5 *6304:A2 *466:25 2.65831e-05
6 *363:6 *6135:A2 6.42864e-05
7 *363:6 *6135:B1 5.01668e-05
8 *363:6 *6209:A0 7.50872e-05
9 *363:6 *6315:A2 0
10 *363:6 *6376:CLK 0
11 *363:6 *372:8 0
12 *363:6 *398:16 0.000116986
13 *363:6 *398:32 0.000268195
14 *363:6 *479:14 0.0016892
15 *363:6 *588:8 0.000295725
16 *363:6 *588:12 9.75356e-05
17 *6284:A2 *363:6 0
18 *6288:B1 *363:6 5.41227e-05
19 *6293:B1 *363:6 0.000248798
20 *6298:A2 *363:6 0
21 *6304:A1 *6304:A2 2.65831e-05
22 *6324:D *363:6 0.000101148
23 *6325:D *363:6 0.000163278
24 *344:26 *363:6 0.000308064
25 *351:10 *363:6 0
26 *358:6 *363:6 0
*RES
1 *6303:X *363:5 13.7491
2 *363:5 *363:6 58.7215
3 *363:6 *6304:A2 14.4725
*END
*D_NET *364 0.000906684
*CONN
*I *6307:A1 I *D sky130_fd_sc_hd__a21o_1
*I *6305:X O *D sky130_fd_sc_hd__or3_1
*CAP
1 *6307:A1 0.000219098
2 *6305:X 0.000219098
3 *6307:A1 *6307:A2 0.000205006
4 *6307:A1 *465:31 0.000162975
5 *6307:B1 *6307:A1 3.06765e-05
6 *352:8 *6307:A1 0
7 *352:18 *6307:A1 0
8 *353:15 *6307:A1 6.98314e-05
*RES
1 *6305:X *6307:A1 34.9299
*END
*D_NET *365 0.00800059
*CONN
*I *6307:A2 I *D sky130_fd_sc_hd__a21o_1
*I *6306:X O *D sky130_fd_sc_hd__a21o_1
*CAP
1 *6307:A2 0.000694055
2 *6306:X 0.00050222
3 *365:10 0.00223814
4 *365:7 0.00204631
5 *6307:A2 *6312:A2 0.000385366
6 *6307:A2 *369:10 1.07248e-05
7 *6307:A2 *464:19 0
8 *365:7 *6272:A2 7.0826e-06
9 *365:10 *6090:A2 6.77678e-05
10 *365:10 *6096:A2 0.000144546
11 *365:10 *6141:A1 9.24241e-05
12 *365:10 *6144:A2 0
13 *365:10 *6282:A 0
14 *365:10 *369:10 0.000979165
15 *365:10 *464:19 0
16 *365:10 *470:15 0.000107296
17 *365:10 *482:14 0
18 *365:10 *590:8 0.000170592
19 *6279:C *6307:A2 0
20 *6282:B *365:10 0
21 *6304:B1 *6307:A2 0
22 *6307:A1 *6307:A2 0.000205006
23 *6454:A *365:7 3.02534e-05
24 *338:32 *6307:A2 0
25 *338:32 *365:10 0
26 *352:8 *6307:A2 0.000165495
27 *353:15 *6307:A2 0.000154145
*RES
1 *6306:X *365:7 20.5732
2 *365:7 *365:10 47.4731
3 *365:10 *6307:A2 34.9326
*END
*D_NET *366 0.00642739
*CONN
*I *6310:B I *D sky130_fd_sc_hd__or3_1
*I *6095:B I *D sky130_fd_sc_hd__or3_1
*I *6092:B I *D sky130_fd_sc_hd__or3_1
*I *6313:B I *D sky130_fd_sc_hd__or3_1
*I *6088:B I *D sky130_fd_sc_hd__or3_1
*I *6308:X O *D sky130_fd_sc_hd__dlymetal6s2s_1
*CAP
1 *6310:B 0.000566203
2 *6095:B 0
3 *6092:B 0.000132654
4 *6313:B 0.000289779
5 *6088:B 0
6 *6308:X 0.000462746
7 *366:34 0.000611492
8 *366:15 0.000895655
9 *366:12 0.000895515
10 *366:9 0.00066502
11 *6092:B *6092:C 0.000158338
12 *6092:B *367:25 8.52802e-05
13 *6092:B *459:15 9.29906e-05
14 *6092:B *547:22 0
15 *6310:B *6310:C 0.00042956
16 *6313:B *6088:A 0.000168843
17 *6313:B *6313:C 2.39581e-05
18 *6313:B *367:28 4.30017e-06
19 *366:9 *551:11 0.000226406
20 *366:12 *367:8 8.52802e-05
21 *366:12 *367:25 0.00029937
22 *366:12 *459:15 0.000110998
23 *366:15 *367:28 0.000213959
24 *366:34 *6310:C 5.04829e-06
25 *366:34 *551:11 3.99086e-06
*RES
1 *6308:X *366:9 18.8944
2 *366:9 *366:12 11.315
3 *366:12 *366:15 14.0971
4 *366:15 *6088:B 9.24915
5 *366:15 *6313:B 14.4094
6 *366:12 *6092:B 19.3184
7 *366:9 *366:34 0.723396
8 *366:34 *6095:B 9.24915
9 *366:34 *6310:B 19.4008
*END
*D_NET *367 0.00650478
*CONN
*I *6092:C I *D sky130_fd_sc_hd__or3_1
*I *6313:C I *D sky130_fd_sc_hd__or3_1
*I *6088:C I *D sky130_fd_sc_hd__or3_1
*I *6095:C I *D sky130_fd_sc_hd__or3_1
*I *6310:C I *D sky130_fd_sc_hd__or3_1
*I *6309:X O *D sky130_fd_sc_hd__dlymetal6s2s_1
*CAP
1 *6092:C 0.000144927
2 *6313:C 4.8877e-05
3 *6088:C 0
4 *6095:C 0
5 *6310:C 0.000172416
6 *6309:X 0.000401896
7 *367:28 0.000303526
8 *367:25 0.000595392
9 *367:10 0.000172416
10 *367:8 0.000597712
11 *6092:C *6092:A 6.92705e-05
12 *6092:C *373:28 0.000164815
13 *6092:C *547:22 0
14 *6310:C *6095:A 1.09551e-05
15 *6310:C *547:22 0.000324688
16 *6310:C *551:9 4.82966e-05
17 *6310:C *551:11 2.65831e-05
18 *6313:C *6087:B1 0.000481241
19 *6313:C *6088:A 0.000377259
20 *367:8 *6344:CLK 0.000334808
21 *367:8 *459:15 0
22 *367:8 *516:253 0.000620189
23 *367:25 *547:22 0
24 *367:25 *551:9 2.692e-05
25 *367:28 *6087:B1 0.000277502
26 *6092:B *6092:C 0.000158338
27 *6092:B *367:25 8.52802e-05
28 *6310:B *6310:C 0.00042956
29 *6313:B *6313:C 2.39581e-05
30 *6313:B *367:28 4.30017e-06
31 *366:12 *367:8 8.52802e-05
32 *366:12 *367:25 0.00029937
33 *366:15 *367:28 0.000213959
34 *366:34 *6310:C 5.04829e-06
*RES
1 *6309:X *367:8 27.3938
2 *367:8 *367:10 4.5
3 *367:10 *6310:C 20.5582
4 *367:10 *6095:C 9.24915
5 *367:8 *367:25 6.81502
6 *367:25 *367:28 13.5424
7 *367:28 *6088:C 9.24915
8 *367:28 *6313:C 14.4094
9 *367:25 *6092:C 18.6595
*END
*D_NET *368 0.000708711
*CONN
*I *6312:A1 I *D sky130_fd_sc_hd__a21o_1
*I *6310:X O *D sky130_fd_sc_hd__or3_1
*CAP
1 *6312:A1 0.000153205
2 *6310:X 0.000153205
3 *6312:A1 *376:8 0.000271778
4 *6312:A1 *542:19 6.1252e-05
5 *6312:A1 *544:9 6.92705e-05
*RES
1 *6310:X *6312:A1 32.9604
*END
*D_NET *369 0.0094039
*CONN
*I *6312:A2 I *D sky130_fd_sc_hd__a21o_1
*I *6311:X O *D sky130_fd_sc_hd__a21o_1
*CAP
1 *6312:A2 0.000700554
2 *6311:X 0.000539514
3 *369:10 0.00187033
4 *369:7 0.00170929
5 *6312:A2 *6294:A 0
6 *6312:A2 *415:8 0.000219033
7 *6312:A2 *544:9 6.50586e-05
8 *369:7 *6096:A2 6.73022e-05
9 *369:10 *6141:A1 9.24241e-05
10 *369:10 *376:8 0
11 *369:10 *415:8 0.000351428
12 *369:10 *482:14 0.000390407
13 *369:10 *590:8 0.000161966
14 *6144:B1 *369:10 0
15 *6294:C *6312:A2 0.000165495
16 *6307:A2 *6312:A2 0.000385366
17 *6307:A2 *369:10 1.07248e-05
18 *6312:B1 *6312:A2 6.78595e-05
19 *299:5 *369:7 7.44535e-05
20 *322:15 *369:10 0.000175689
21 *352:8 *6312:A2 0.000299916
22 *352:18 *6312:A2 0.000308064
23 *353:8 *6312:A2 0.000531326
24 *353:44 *6312:A2 0.000238537
25 *365:10 *369:10 0.000979165
*RES
1 *6311:X *369:7 21.6824
2 *369:7 *369:10 44.1511
3 *369:10 *6312:A2 43.6501
*END
*D_NET *370 0.00123115
*CONN
*I *6087:A1 I *D sky130_fd_sc_hd__a21o_1
*I *6313:X O *D sky130_fd_sc_hd__or3_1
*CAP
1 *6087:A1 0.000400102
2 *6313:X 0.000400102
3 *6087:A1 *6087:B1 0.000430942
*RES
1 *6313:X *6087:A1 25.3223
*END
*D_NET *371 0.00393464
*CONN
*I *6315:B1 I *D sky130_fd_sc_hd__a21o_1
*I *6090:B1 I *D sky130_fd_sc_hd__a21o_1
*I *6096:B1 I *D sky130_fd_sc_hd__a21o_1
*I *6093:B1 I *D sky130_fd_sc_hd__a21o_1
*I *6101:B1 I *D sky130_fd_sc_hd__a21o_1
*I *6314:X O *D sky130_fd_sc_hd__dlymetal6s2s_1
*CAP
1 *6315:B1 0.000253221
2 *6090:B1 2.06324e-05
3 *6096:B1 8.88637e-05
4 *6093:B1 6.93404e-05
5 *6101:B1 0
6 *6314:X 0.000170925
7 *371:19 0.000260381
8 *371:17 0.000266043
9 *371:15 0.000417087
10 *371:10 0.000191558
11 *6093:B1 *6093:A1 5.04829e-06
12 *6093:B1 *384:7 4.31539e-05
13 *6096:B1 *6093:A2 0.000174205
14 *6096:B1 *380:6 0.000153225
15 *6096:B1 *471:21 1.78514e-05
16 *6096:B1 *472:25 9.22013e-06
17 *6315:B1 *6101:A1 4.0752e-05
18 *6315:B1 *6101:A2 6.50586e-05
19 *6315:B1 *372:7 2.65831e-05
20 *371:10 *6209:A1 0.000111722
21 *371:10 *6209:S 0.000111722
22 *371:10 *474:16 0.000393329
23 *371:17 *6090:A2 6.50586e-05
24 *371:17 *6101:A1 0.000138843
25 *371:17 *375:28 4.0752e-05
26 *371:17 *384:7 0.00016804
27 *371:19 *6093:A1 4.97617e-05
28 *371:19 *375:28 0.000110701
29 *371:19 *376:7 0.000164829
30 *371:19 *384:7 0.000100738
31 *6314:A *371:10 1.07248e-05
32 *299:5 *6096:B1 2.65667e-05
33 *323:31 *371:10 0.000168707
*RES
1 *6314:X *371:10 26.3422
2 *371:10 *6101:B1 9.24915
3 *371:10 *371:15 0.578717
4 *371:15 *371:17 5.71483
5 *371:17 *371:19 4.60562
6 *371:19 *6093:B1 11.1059
7 *371:19 *6096:B1 22.4655
8 *371:17 *6090:B1 9.82786
9 *371:15 *6315:B1 15.5427
*END
*D_NET *372 0.00781783
*CONN
*I *6087:A2 I *D sky130_fd_sc_hd__a21o_1
*I *6315:X O *D sky130_fd_sc_hd__a21o_1
*CAP
1 *6087:A2 8.16248e-05
2 *6315:X 4.51695e-05
3 *372:8 0.00297598
4 *372:7 0.00293953
5 *372:8 *6121:B1 0.0003637
6 *372:8 *6313:A 0
7 *372:8 *6322:CLK 0.000108003
8 *372:8 *6326:CLK 5.01511e-05
9 *372:8 *401:8 9.28446e-05
10 *372:8 *401:18 6.26091e-05
11 *372:8 *465:31 0
12 *372:8 *516:520 5.47516e-05
13 *372:8 *516:540 5.47516e-05
14 *372:8 *516:549 0.000218583
15 *6284:A1 *372:8 7.50872e-05
16 *6284:A2 *372:8 0.000617972
17 *6288:A1 *372:8 5.04879e-05
18 *6315:B1 *372:7 2.65831e-05
19 *6326:D *372:8 0
20 *6327:D *372:8 0
21 *6328:D *372:8 0
22 *6331:D *372:8 0
23 *346:14 *372:8 0
24 *352:35 *372:8 0
25 *352:40 *372:8 0
26 *363:6 *372:8 0
*RES
1 *6315:X *372:7 14.4725
2 *372:7 *372:8 82.3909
3 *372:8 *6087:A2 15.5817
*END
*D_NET *373 0.00744698
*CONN
*I *6091:B1 I *D sky130_fd_sc_hd__a21o_1
*I *6087:B1 I *D sky130_fd_sc_hd__a21o_1
*I *6097:B1 I *D sky130_fd_sc_hd__a21o_1
*I *6094:B1 I *D sky130_fd_sc_hd__a21o_1
*I *6102:B1 I *D sky130_fd_sc_hd__a21o_1
*I *6086:X O *D sky130_fd_sc_hd__clkbuf_2
*CAP
1 *6091:B1 0.000148387
2 *6087:B1 0.000431436
3 *6097:B1 0.000187577
4 *6094:B1 0
5 *6102:B1 0
6 *6086:X 0.000285646
7 *373:31 0.00071591
8 *373:28 0.000662433
9 *373:18 0.000926137
10 *373:8 0.000794634
11 *6087:B1 *6313:A 0.000252883
12 *6091:B1 *6331:CLK 0.000171473
13 *6097:B1 *376:8 0
14 *6097:B1 *380:6 0
15 *6097:B1 *547:22 0
16 *373:8 *381:8 0
17 *373:8 *381:27 0
18 *373:8 *381:34 0
19 *373:8 *384:8 9.77476e-05
20 *373:8 *551:11 0.000122378
21 *373:18 *6100:A 0
22 *373:18 *381:34 0
23 *373:18 *384:8 3.67528e-06
24 *373:18 *516:281 0.000585491
25 *373:28 *6092:A 6.49003e-05
26 *373:28 *6094:A1 0.000521446
27 *373:28 *6331:CLK 5.0715e-05
28 *373:31 *6091:A1 0
29 *373:31 *376:8 0
30 *373:31 *547:22 0
31 *6087:A1 *6087:B1 0.000430942
32 *6092:C *373:28 0.000164815
33 *6098:A *373:8 2.69064e-05
34 *6313:C *6087:B1 0.000481241
35 *6335:D *373:18 4.27003e-05
36 *367:28 *6087:B1 0.000277502
*RES
1 *6086:X *373:8 21.0117
2 *373:8 *6102:B1 13.7491
3 *373:8 *373:18 19.3932
4 *373:18 *6094:B1 9.24915
5 *373:18 *373:28 13.1176
6 *373:28 *373:31 6.74725
7 *373:31 *6097:B1 18.0727
8 *373:31 *6087:B1 30.1943
9 *373:28 *6091:B1 13.8789
*END
*D_NET *374 0.000168
*CONN
*I *6091:A1 I *D sky130_fd_sc_hd__a21o_1
*I *6088:X O *D sky130_fd_sc_hd__or3_1
*CAP
1 *6091:A1 4.46577e-05
2 *6088:X 4.46577e-05
3 *6091:A1 *376:8 7.86847e-05
4 *373:31 *6091:A1 0
*RES
1 *6088:X *6091:A1 28.915
*END
*D_NET *375 0.00282267
*CONN
*I *6105:A1 I *D sky130_fd_sc_hd__a21o_1
*I *6101:A1 I *D sky130_fd_sc_hd__a21o_1
*I *6090:A1 I *D sky130_fd_sc_hd__a21o_1
*I *6093:A1 I *D sky130_fd_sc_hd__a21o_1
*I *6096:A1 I *D sky130_fd_sc_hd__a21o_1
*I *6089:X O *D sky130_fd_sc_hd__dlymetal6s2s_1
*CAP
1 *6105:A1 0.000131479
2 *6101:A1 0.000227146
3 *6090:A1 0
4 *6093:A1 9.08106e-05
5 *6096:A1 4.72091e-05
6 *6089:X 4.17154e-05
7 *375:28 0.000381776
8 *375:21 0.00024544
9 *375:12 0.000184341
10 *375:7 0.000310326
11 *6096:A1 *472:25 0.000101133
12 *6096:A1 *482:14 2.69064e-05
13 *6101:A1 *6090:A2 6.50586e-05
14 *6105:A1 *6209:S 0.000113968
15 *6105:A1 *376:8 0.000141001
16 *6105:A1 *482:14 6.46135e-05
17 *375:12 *376:8 0.000144531
18 *375:12 *472:25 3.31396e-05
19 *375:12 *482:14 8.62165e-05
20 *6093:B1 *6093:A1 5.04829e-06
21 *6315:B1 *6101:A1 4.0752e-05
22 *371:17 *6101:A1 0.000138843
23 *371:17 *375:28 4.0752e-05
24 *371:19 *6093:A1 4.97617e-05
25 *371:19 *375:28 0.000110701
*RES
1 *6089:X *375:7 14.4725
2 *375:7 *375:12 4.81204
3 *375:12 *6096:A1 15.5811
4 *375:12 *375:21 4.5
5 *375:21 *6093:A1 11.0817
6 *375:21 *375:28 4.05102
7 *375:28 *6090:A1 9.24915
8 *375:28 *6101:A1 14.964
9 *375:7 *6105:A1 17.6896
*END
*D_NET *376 0.0100268
*CONN
*I *6091:A2 I *D sky130_fd_sc_hd__a21o_1
*I *6090:X O *D sky130_fd_sc_hd__a21o_1
*CAP
1 *6091:A2 0
2 *6090:X 2.64091e-05
3 *376:8 0.00242693
4 *376:7 0.00245334
5 *376:7 *384:7 0.000160617
6 *376:8 *6088:A 5.33945e-05
7 *376:8 *6105:B1 0.00017419
8 *376:8 *6213:S 0.00016664
9 *376:8 *380:6 0
10 *376:8 *415:8 0.00075763
11 *376:8 *465:36 0.000126041
12 *376:8 *472:25 0.000707067
13 *376:8 *482:14 7.86414e-05
14 *376:8 *516:195 0.000640028
15 *376:8 *542:19 0
16 *376:8 *547:10 6.80864e-05
17 *6091:A1 *376:8 7.86847e-05
18 *6097:B1 *376:8 0
19 *6105:A1 *376:8 0.000141001
20 *6144:B1 *376:8 0.000160467
21 *6312:A1 *376:8 0.000271778
22 *6312:B1 *376:8 0.000246684
23 *6330:D *376:8 4.27003e-05
24 *323:10 *376:8 0.000195124
25 *353:8 *376:8 5.22555e-05
26 *359:8 *376:8 0.000383151
27 *359:19 *376:8 0.000306565
28 *369:10 *376:8 0
29 *371:19 *376:7 0.000164829
30 *373:31 *376:8 0
31 *375:12 *376:8 0.000144531
*RES
1 *6090:X *376:7 15.5817
2 *376:7 *376:8 85.7129
3 *376:8 *6091:A2 13.7491
*END
*D_NET *377 0.00117173
*CONN
*I *6094:A1 I *D sky130_fd_sc_hd__a21o_1
*I *6092:X O *D sky130_fd_sc_hd__or3_1
*CAP
1 *6094:A1 0.000325142
2 *6092:X 0.000325142
3 *373:28 *6094:A1 0.000521446
*RES
1 *6092:X *6094:A1 24.2131
*END
*D_NET *378 0.00984856
*CONN
*I *6094:A2 I *D sky130_fd_sc_hd__a21o_1
*I *6093:X O *D sky130_fd_sc_hd__a21o_1
*CAP
1 *6094:A2 0.000931727
2 *6093:X 0.000264081
3 *378:8 0.00339261
4 *378:7 0.00272497
5 *6094:A2 *459:15 0
6 *6094:A2 *516:268 0
7 *378:7 *384:7 0.001057
8 *378:8 *6089:A 0
9 *378:8 *6133:A1 0
10 *378:8 *6136:A1 0
11 *378:8 *6143:A 5.54078e-05
12 *378:8 *6274:A 0
13 *378:8 *407:10 0
14 *378:8 *459:15 0.000348427
15 *378:8 *460:11 0
16 *378:8 *461:10 0.000153225
17 *378:8 *462:26 0
18 *378:8 *516:240 0
19 *378:8 *562:9 0
20 *378:8 *589:17 0
21 *6137:B *378:8 0
22 *6271:B *378:8 0
23 *6273:A1 *378:8 0
24 *6320:D *378:8 0
25 *6344:D *6094:A2 0
26 *312:8 *378:8 0
27 *334:6 *378:8 0.00092111
*RES
1 *6093:X *378:7 25.01
2 *378:7 *378:8 65.793
3 *378:8 *6094:A2 35.1346
*END
*D_NET *379 0.00113366
*CONN
*I *6097:A1 I *D sky130_fd_sc_hd__a21o_1
*I *6095:X O *D sky130_fd_sc_hd__or3_1
*CAP
1 *6097:A1 0.000326172
2 *6095:X 0.000326172
3 *6097:A1 *542:19 5.65165e-05
4 *6097:A1 *547:22 7.50722e-05
5 *6097:A1 *551:9 7.86847e-05
6 *6334:D *6097:A1 0.000271044
*RES
1 *6095:X *6097:A1 34.0753
*END
*D_NET *380 0.00802656
*CONN
*I *6097:A2 I *D sky130_fd_sc_hd__a21o_1
*I *6096:X O *D sky130_fd_sc_hd__a21o_1
*CAP
1 *6097:A2 5.03868e-05
2 *6096:X 0
3 *380:6 0.00289331
4 *380:5 0.00284292
5 *6097:A2 *542:19 0.000171273
6 *380:6 *6112:A1 9.60366e-05
7 *380:6 *6112:B1 0.000163997
8 *380:6 *6209:S 8.3647e-05
9 *380:6 *6213:A1 0.00017046
10 *380:6 *6213:S 0.000221379
11 *380:6 *465:36 5.65074e-05
12 *380:6 *471:21 0.000312027
13 *380:6 *472:25 8.33713e-05
14 *380:6 *516:195 0.000195641
15 *380:6 *547:10 6.17339e-05
16 *6096:B1 *380:6 0.000153225
17 *6097:B1 *380:6 0
18 *6278:B1 *380:6 0
19 *6289:A *380:6 0
20 *6297:A *380:6 0
21 *6329:D *380:6 0.000153225
22 *6330:D *380:6 4.27003e-05
23 *327:28 *380:6 0
24 *343:8 *380:6 0.000274719
25 *376:8 *380:6 0
*RES
1 *6096:X *380:5 13.7491
2 *380:5 *380:6 81.9757
3 *380:6 *6097:A2 15.5817
*END
*D_NET *381 0.00592279
*CONN
*I *6108:B I *D sky130_fd_sc_hd__or3_1
*I *6103:B I *D sky130_fd_sc_hd__or3_1
*I *6100:B I *D sky130_fd_sc_hd__or3_1
*I *6114:B I *D sky130_fd_sc_hd__or3_1
*I *6111:B I *D sky130_fd_sc_hd__or3_1
*I *6098:X O *D sky130_fd_sc_hd__dlymetal6s2s_1
*CAP
1 *6108:B 0.00024921
2 *6103:B 0.000569548
3 *6100:B 0
4 *6114:B 0
5 *6111:B 0.000209022
6 *6098:X 6.45402e-05
7 *381:34 0.0010188
8 *381:27 0.000254294
9 *381:11 0.000891089
10 *381:8 0.000800863
11 *6103:B *382:16 7.06457e-05
12 *6103:B *516:291 0.000272194
13 *6108:B *6108:C 9.81825e-05
14 *6111:B *6113:A1 6.92705e-05
15 *6111:B *6114:A 1.19721e-05
16 *6111:B *542:26 0.000411006
17 *381:8 *382:6 3.49272e-05
18 *381:8 *542:26 0.00011818
19 *381:11 *542:26 0.000479549
20 *381:27 *382:6 2.68066e-05
21 *381:34 *6108:C 3.14544e-05
22 *381:34 *382:6 3.8079e-05
23 *381:34 *382:13 8.07794e-05
24 *6098:A *381:8 0.000122378
25 *6335:D *381:34 0
26 *373:8 *381:8 0
27 *373:8 *381:27 0
28 *373:8 *381:34 0
29 *373:18 *381:34 0
*RES
1 *6098:X *381:8 16.4439
2 *381:8 *381:11 16.3155
3 *381:11 *6111:B 15.5668
4 *381:11 *6114:B 9.24915
5 *381:8 *381:27 1.41674
6 *381:27 *6100:B 13.7491
7 *381:27 *381:34 5.56926
8 *381:34 *6103:B 23.3462
9 *381:34 *6108:B 18.1077
*END
*D_NET *382 0.00498428
*CONN
*I *6108:C I *D sky130_fd_sc_hd__or3_1
*I *6103:C I *D sky130_fd_sc_hd__or3_1
*I *6111:C I *D sky130_fd_sc_hd__or3_1
*I *6114:C I *D sky130_fd_sc_hd__or3_1
*I *6100:C I *D sky130_fd_sc_hd__or3_1
*I *6099:X O *D sky130_fd_sc_hd__clkbuf_1
*CAP
1 *6108:C 0.000234601
2 *6103:C 0
3 *6111:C 0.000469387
4 *6114:C 5.56284e-05
5 *6100:C 0
6 *6099:X 0.000224496
7 *382:21 0.000662992
8 *382:16 0.00037102
9 *382:13 0.000563595
10 *382:6 0.000320446
11 *6108:C *6110:A1 6.08167e-05
12 *6108:C *390:8 0
13 *6111:C *6113:A1 0
14 *6111:C *6113:B1 1.92793e-05
15 *6111:C *388:27 4.91225e-06
16 *6111:C *392:21 3.024e-05
17 *6111:C *542:26 9.34396e-06
18 *6111:C *561:22 0
19 *6114:C *387:28 0.000143032
20 *6114:C *392:21 0.000143032
21 *382:6 *390:8 0
22 *382:13 *390:8 0
23 *382:16 *6103:A 3.14978e-05
24 *382:16 *6107:A1 9.65932e-05
25 *382:16 *516:291 0.000536581
26 *382:21 *6103:A 9.14669e-05
27 *382:21 *6107:A1 9.14669e-05
28 *382:21 *387:28 0.000228412
29 *382:21 *392:21 8.16827e-05
30 *382:21 *561:23 0.000118485
31 *6098:A *382:6 0
32 *6103:B *382:16 7.06457e-05
33 *6108:B *6108:C 9.81825e-05
34 *6338:D *6111:C 1.43983e-05
35 *381:8 *382:6 3.49272e-05
36 *381:27 *382:6 2.68066e-05
37 *381:34 *6108:C 3.14544e-05
38 *381:34 *382:6 3.8079e-05
39 *381:34 *382:13 8.07794e-05
*RES
1 *6099:X *382:6 19.7337
2 *382:6 *6100:C 13.7491
3 *382:6 *382:13 3.07775
4 *382:13 *382:16 14.0971
5 *382:16 *382:21 11.2107
6 *382:21 *6114:C 16.4116
7 *382:21 *6111:C 30.5719
8 *382:16 *6103:C 9.24915
9 *382:13 *6108:C 20.7627
*END
*D_NET *383 0.000601191
*CONN
*I *6102:A1 I *D sky130_fd_sc_hd__a21o_1
*I *6100:X O *D sky130_fd_sc_hd__or3_1
*CAP
1 *6102:A1 0.000192026
2 *6100:X 0.000192026
3 *6102:A1 *6100:A 0.00021714
*RES
1 *6100:X *6102:A1 24.2372
*END
*D_NET *384 0.014132
*CONN
*I *6102:A2 I *D sky130_fd_sc_hd__a21o_1
*I *6101:X O *D sky130_fd_sc_hd__a21o_1
*CAP
1 *6102:A2 0
2 *6101:X 0.00213023
3 *384:8 0.00315595
4 *384:7 0.00528617
5 *384:7 *553:22 0.000589674
6 *384:8 *6100:A 9.35753e-06
7 *384:8 *6134:B 4.27003e-05
8 *384:8 *6137:A 5.41377e-05
9 *384:8 *6264:A 0
10 *384:8 *6317:CLK 0
11 *384:8 *6344:CLK 0
12 *384:8 *387:14 9.60366e-05
13 *384:8 *457:12 4.36698e-05
14 *384:8 *457:21 9.7734e-05
15 *384:8 *458:8 0
16 *384:8 *516:145 0
17 *384:8 *516:149 0
18 *384:8 *516:153 0
19 *384:8 *516:158 0
20 *384:8 *516:272 0
21 *384:8 *563:11 0.000101148
22 *384:8 *564:27 9.60216e-05
23 *6093:B1 *384:7 4.31539e-05
24 *6098:A *384:8 0
25 *6140:C *384:8 0
26 *6245:A *384:8 0
27 *6247:A *384:8 0.000137775
28 *6256:A *384:8 0
29 *6263:A1 *384:8 5.75903e-05
30 *6309:A *384:8 0
31 *6346:D *384:8 0
32 *316:26 *384:8 0
33 *316:33 *384:8 0
34 *317:10 *384:8 0
35 *318:18 *384:8 0.000154892
36 *318:21 *384:8 0
37 *319:8 *384:8 0
38 *326:33 *384:8 0.000431395
39 *328:17 *384:7 1.65872e-05
40 *371:17 *384:7 0.00016804
41 *371:19 *384:7 0.000100738
42 *373:8 *384:8 9.77476e-05
43 *373:18 *384:8 3.67528e-06
44 *376:7 *384:7 0.000160617
45 *378:7 *384:7 0.001057
*RES
1 *6101:X *384:7 48.858
2 *384:7 *384:8 82.3909
3 *384:8 *6102:A2 13.7491
*END
*D_NET *385 0.000893933
*CONN
*I *6107:A1 I *D sky130_fd_sc_hd__a21o_1
*I *6103:X O *D sky130_fd_sc_hd__or3_1
*CAP
1 *6107:A1 0.000350941
2 *6103:X 0.000350941
3 *6107:A1 *6103:A 3.99086e-06
4 *382:16 *6107:A1 9.65932e-05
5 *382:21 *6107:A1 9.14669e-05
*RES
1 *6103:X *6107:A1 24.2131
*END
*D_NET *386 0.00492298
*CONN
*I *6121:B1 I *D sky130_fd_sc_hd__a21o_1
*I *6105:B1 I *D sky130_fd_sc_hd__a21o_1
*I *6109:B1 I *D sky130_fd_sc_hd__a21o_1
*I *6112:B1 I *D sky130_fd_sc_hd__a21o_1
*I *6115:B1 I *D sky130_fd_sc_hd__a21o_1
*I *6104:X O *D sky130_fd_sc_hd__dlymetal6s2s_1
*CAP
1 *6121:B1 0.000251112
2 *6105:B1 6.60475e-05
3 *6109:B1 0
4 *6112:B1 7.29499e-05
5 *6115:B1 0.000142894
6 *6104:X 0
7 *386:23 0.000105166
8 *386:11 0.000305068
9 *386:7 0.000704067
10 *386:4 0.000759174
11 *6105:B1 *482:14 7.58739e-05
12 *6112:B1 *471:21 5.41227e-05
13 *6115:B1 *390:7 0.00041971
14 *6115:B1 *422:50 7.15245e-05
15 *6115:B1 *589:26 2.42273e-05
16 *6115:B1 *589:32 0.000162975
17 *6121:B1 *6121:A2 2.46524e-05
18 *6121:B1 *405:5 6.08467e-05
19 *386:11 *6109:A2 2.16355e-05
20 *386:11 *422:50 6.50586e-05
21 *386:11 *471:21 4.89898e-06
22 *386:23 *471:21 4.80635e-06
23 *386:23 *589:32 0.000111722
24 *6104:A *386:7 8.64351e-05
25 *6284:A2 *6121:B1 0.0003637
26 *322:15 *386:7 0.00021369
27 *343:8 *6112:B1 4.87343e-05
28 *372:8 *6121:B1 0.0003637
29 *376:8 *6105:B1 0.00017419
30 *380:6 *6112:B1 0.000163997
*RES
1 *6104:X *386:4 9.24915
2 *386:4 *386:7 12.2495
3 *386:7 *386:11 2.96592
4 *386:11 *6115:B1 16.6519
5 *386:11 *386:23 1.85672
6 *386:23 *6112:B1 21.3269
7 *386:23 *6109:B1 9.24915
8 *386:7 *6105:B1 21.3269
9 *386:4 *6121:B1 28.3382
*END
*D_NET *387 0.0165691
*CONN
*I *6107:A2 I *D sky130_fd_sc_hd__a21o_1
*I *6105:X O *D sky130_fd_sc_hd__a21o_1
*CAP
1 *6107:A2 0
2 *6105:X 0.001363
3 *387:28 0.00120095
4 *387:24 0.00254358
5 *387:21 0.00212608
6 *387:14 0.00214645
7 *387:14 *6209:A1 0.000442352
8 *387:14 *6209:S 6.08467e-05
9 *387:14 *520:15 0.00142459
10 *387:21 *6318:CLK 2.16355e-05
11 *387:21 *457:12 0.000164815
12 *387:21 *520:9 0.00011818
13 *387:21 *540:7 5.67813e-05
14 *387:24 *6147:A3 0
15 *387:24 *6147:A4 0
16 *387:24 *6159:S 0
17 *387:24 *392:16 0.00064352
18 *387:24 *392:21 1.07248e-05
19 *387:24 *394:12 8.83901e-05
20 *387:24 *520:15 4.20662e-05
21 *387:28 *6110:B1 3.67528e-06
22 *387:28 *6159:S 0
23 *387:28 *6164:A 3.80659e-05
24 *387:28 *6340:CLK 0
25 *387:28 *6355:CLK 0
26 *387:28 *388:10 0.000362201
27 *387:28 *388:40 3.54138e-05
28 *387:28 *392:21 0.000712452
29 *387:28 *561:8 0.000113374
30 *387:28 *561:22 0.00116897
31 *387:28 *564:27 9.75356e-05
32 *6114:C *387:28 0.000143032
33 *6147:A1 *387:24 0.000216088
34 *6318:D *387:21 1.87611e-05
35 *6342:D *387:28 0.000101133
36 *6355:D *387:28 0.000175674
37 *6372:D *387:24 0
38 *6373:D *387:24 0.000146507
39 *297:14 *387:21 0.000457821
40 *301:22 *387:24 0
41 *382:21 *387:28 0.000228412
42 *384:8 *387:14 9.60366e-05
*RES
1 *6105:X *387:14 47.6184
2 *387:14 *387:21 25.382
3 *387:21 *387:24 38.3375
4 *387:24 *387:28 49.8724
5 *387:28 *6107:A2 9.24915
*END
*D_NET *388 0.00488551
*CONN
*I *6122:B1 I *D sky130_fd_sc_hd__a21o_1
*I *6110:B1 I *D sky130_fd_sc_hd__a21o_1
*I *6107:B1 I *D sky130_fd_sc_hd__a21o_1
*I *6113:B1 I *D sky130_fd_sc_hd__a21o_1
*I *6116:B1 I *D sky130_fd_sc_hd__a21o_1
*I *6106:X O *D sky130_fd_sc_hd__dlymetal6s2s_1
*CAP
1 *6122:B1 0
2 *6110:B1 0.000389069
3 *6107:B1 0
4 *6113:B1 7.14373e-05
5 *6116:B1 0.000145428
6 *6106:X 0.000127473
7 *388:40 0.000487363
8 *388:27 0.000609242
9 *388:21 0.00054212
10 *388:10 0.000375509
11 *6110:B1 *6103:A 5.36834e-05
12 *6110:B1 *561:23 0
13 *6116:B1 *6116:A2 0.000211464
14 *6116:B1 *551:11 7.24449e-05
15 *388:10 *392:21 0.000358604
16 *388:10 *544:9 0.000111722
17 *388:21 *6116:A2 0.000160617
18 *388:21 *551:11 2.15348e-05
19 *388:27 *6122:A1 5.22654e-06
20 *388:27 *390:8 0
21 *388:27 *392:21 0.00030173
22 *388:27 *394:12 8.62625e-06
23 *388:27 *561:22 0.000158371
24 *388:40 *561:22 5.22654e-06
25 *6106:A *388:10 0.000115934
26 *6111:C *6113:B1 1.92793e-05
27 *6111:C *388:27 4.91225e-06
28 *6336:D *6110:B1 7.15593e-05
29 *6337:D *6110:B1 5.56461e-05
30 *387:28 *6110:B1 3.67528e-06
31 *387:28 *388:10 0.000362201
32 *387:28 *388:40 3.54138e-05
*RES
1 *6106:X *388:10 25.9269
2 *388:10 *6116:B1 13.8789
3 *388:10 *388:21 2.96592
4 *388:21 *388:27 20.8359
5 *388:27 *6113:B1 11.1059
6 *388:27 *388:40 7.32596
7 *388:40 *6107:B1 13.7491
8 *388:40 *6110:B1 24.4814
9 *388:21 *6122:B1 9.24915
*END
*D_NET *389 0.00085296
*CONN
*I *6110:A1 I *D sky130_fd_sc_hd__a21o_1
*I *6108:X O *D sky130_fd_sc_hd__or3_1
*CAP
1 *6110:A1 0.000361097
2 *6108:X 0.000361097
3 *6110:A1 *6110:A2 6.99486e-05
4 *6108:C *6110:A1 6.08167e-05
*RES
1 *6108:X *6110:A1 24.2131
*END
*D_NET *390 0.0132658
*CONN
*I *6110:A2 I *D sky130_fd_sc_hd__a21o_1
*I *6109:X O *D sky130_fd_sc_hd__a21o_1
*CAP
1 *6110:A2 5.9887e-05
2 *6109:X 0.00121816
3 *390:8 0.00293249
4 *390:7 0.00409076
5 *390:7 *6211:S 0.000589703
6 *390:7 *394:9 0.000337456
7 *390:7 *422:50 0.00041971
8 *390:7 *589:26 4.89898e-06
9 *390:8 *6108:A 3.20069e-06
10 *390:8 *6130:A1 0.000273277
11 *390:8 *6238:A 0
12 *390:8 *6244:A 0.000242164
13 *390:8 *6250:C 0.000317253
14 *390:8 *6343:CLK 0
15 *390:8 *396:8 3.69003e-05
16 *390:8 *396:12 4.12119e-05
17 *390:8 *399:16 0
18 *390:8 *405:10 0
19 *390:8 *516:128 7.23005e-05
20 *390:8 *516:132 0
21 *390:8 *516:291 5.47516e-05
22 *390:8 *516:580 0
23 *390:8 *562:26 1.9101e-05
24 *390:8 *583:28 0.000341237
25 *390:8 *584:6 0
26 *6086:A *390:8 0.000177099
27 *6099:A *390:8 0
28 *6108:C *390:8 0
29 *6110:A1 *6110:A2 6.99486e-05
30 *6115:B1 *390:7 0.00041971
31 *6147:A2 *390:8 8.65002e-05
32 *6204:A2 *390:7 0.000818793
33 *6233:A *390:8 0.000100934
34 *6242:B *390:8 0
35 *6246:A_N *390:8 0.000134115
36 *297:14 *390:8 6.15995e-05
37 *302:26 *390:8 0.00016602
38 *310:16 *390:8 0
39 *310:23 *390:8 0
40 *311:6 *390:8 0
41 *326:42 *390:8 0.000176646
42 *382:6 *390:8 0
43 *382:13 *390:8 0
44 *388:27 *390:8 0
*RES
1 *6109:X *390:7 49.4126
2 *390:7 *390:8 80.7299
3 *390:8 *6110:A2 15.5817
*END
*D_NET *391 0.000399545
*CONN
*I *6113:A1 I *D sky130_fd_sc_hd__a21o_1
*I *6111:X O *D sky130_fd_sc_hd__or3_1
*CAP
1 *6113:A1 0.000133554
2 *6111:X 0.000133554
3 *6113:A1 *561:22 6.31665e-05
4 *6111:B *6113:A1 6.92705e-05
5 *6111:C *6113:A1 0
*RES
1 *6111:X *6113:A1 30.8842
*END
*D_NET *392 0.0155393
*CONN
*I *6113:A2 I *D sky130_fd_sc_hd__a21o_1
*I *6112:X O *D sky130_fd_sc_hd__a21o_1
*CAP
1 *6113:A2 0
2 *6112:X 0.00054765
3 *392:21 0.00119555
4 *392:16 0.0017365
5 *392:13 0.00184779
6 *392:10 0.00185448
7 *392:10 *6212:A 0.000111722
8 *392:10 *6264:A 0.000122083
9 *392:10 *522:9 0.000738369
10 *392:13 *399:15 2.41483e-05
11 *392:13 *405:5 0.00166775
12 *392:13 *422:31 7.45422e-05
13 *392:16 *394:12 0.00147259
14 *392:21 *394:12 0.000757824
15 *392:21 *546:15 9.24241e-05
16 *6111:C *392:21 3.024e-05
17 *6114:C *392:21 0.000143032
18 *6340:D *392:21 0.000148144
19 *6342:D *392:21 9.75356e-05
20 *322:9 *392:10 0.000768181
21 *328:17 *392:10 0
22 *382:21 *392:21 8.16827e-05
23 *387:24 *392:16 0.00064352
24 *387:24 *392:21 1.07248e-05
25 *387:28 *392:21 0.000712452
26 *388:10 *392:21 0.000358604
27 *388:27 *392:21 0.00030173
*RES
1 *6112:X *392:10 40.6309
2 *392:10 *392:13 26.8529
3 *392:13 *392:16 26.2952
4 *392:16 *392:21 49.3529
5 *392:21 *6113:A2 9.24915
*END
*D_NET *393 0.00114429
*CONN
*I *6116:A1 I *D sky130_fd_sc_hd__a21o_1
*I *6114:X O *D sky130_fd_sc_hd__or3_1
*CAP
1 *6116:A1 0.000364555
2 *6114:X 0.000364555
3 *6116:A1 *6114:A 0.00036437
4 *6116:A1 *542:26 3.284e-05
5 *6116:A1 *561:22 1.79672e-05
*RES
1 *6114:X *6116:A1 34.0753
*END
*D_NET *394 0.0132657
*CONN
*I *6116:A2 I *D sky130_fd_sc_hd__a21o_1
*I *6115:X O *D sky130_fd_sc_hd__a21o_1
*CAP
1 *6116:A2 5.82866e-05
2 *6115:X 0.00116635
3 *394:12 0.00175334
4 *394:11 0.00169505
5 *394:9 0.00116635
6 *6116:A2 *551:11 0.000364356
7 *394:9 *6211:A0 0.000523665
8 *394:9 *6211:S 0.000236373
9 *394:9 *589:26 0.00015709
10 *394:12 *6119:A 0.000435258
11 *394:12 *6122:A1 3.3336e-05
12 *394:12 *6127:B1 0.000375993
13 *394:12 *6147:A4 0
14 *394:12 *395:12 6.538e-05
15 *394:12 *399:16 0
16 *394:12 *402:14 0.00050698
17 *394:12 *403:8 7.14746e-05
18 *394:12 *405:10 0
19 *394:12 *454:8 0
20 *394:12 *546:15 9.24241e-05
21 *394:12 *582:8 0.00015324
22 *6116:B1 *6116:A2 0.000211464
23 *6204:A2 *394:9 0.00122563
24 *6340:D *394:12 0.000148144
25 *387:24 *394:12 8.83901e-05
26 *388:21 *6116:A2 0.000160617
27 *388:27 *394:12 8.62625e-06
28 *390:7 *394:9 0.000337456
29 *392:16 *394:12 0.00147259
30 *392:21 *394:12 0.000757824
*RES
1 *6115:X *394:9 46.2147
2 *394:9 *394:11 4.5
3 *394:11 *394:12 66.6113
4 *394:12 *6116:A2 17.8002
*END
*D_NET *395 0.00770451
*CONN
*I *6134:B I *D sky130_fd_sc_hd__or3_1
*I *6119:B I *D sky130_fd_sc_hd__or3_1
*I *6131:B I *D sky130_fd_sc_hd__or3_1
*I *6128:B I *D sky130_fd_sc_hd__or3_1
*I *6123:B I *D sky130_fd_sc_hd__or3_1
*I *6117:X O *D sky130_fd_sc_hd__clkbuf_1
*CAP
1 *6134:B 0.000177749
2 *6119:B 0
3 *6131:B 0.000424582
4 *6128:B 0
5 *6123:B 0.000372485
6 *6117:X 0
7 *395:19 0.000621225
8 *395:12 0.000716615
9 *395:8 0.00046092
10 *395:4 0.000491183
11 *6123:B *562:26 0.000510762
12 *6131:B *6128:A 6.92705e-05
13 *6131:B *6131:C 1.67988e-05
14 *6131:B *562:26 0.00105898
15 *395:8 *6119:A 8.89094e-05
16 *395:8 *6122:A1 9.60216e-05
17 *395:8 *396:8 0.000477044
18 *395:8 *544:9 7.83311e-05
19 *395:8 *545:15 0.000107496
20 *395:12 *6119:A 5.77352e-05
21 *395:12 *6122:A1 0.000125695
22 *395:12 *6127:B1 7.14746e-05
23 *395:12 *402:14 7.50872e-05
24 *395:12 *545:12 3.85733e-05
25 *395:19 *6128:A 1.67988e-05
26 *395:19 *562:26 0.000258128
27 *6106:A *395:8 0.000572588
28 *6118:A *395:8 6.92705e-05
29 *6341:D *6123:B 6.12686e-06
30 *316:26 *6134:B 0
31 *318:24 *6134:B 0.000324151
32 *318:24 *395:8 0.00021243
33 *384:8 *6134:B 4.27003e-05
34 *394:12 *395:12 6.538e-05
*RES
1 *6117:X *395:4 9.24915
2 *395:4 *395:8 18.7021
3 *395:8 *395:12 10.0693
4 *395:12 *6123:B 15.5186
5 *395:12 *395:19 3.49641
6 *395:19 *6128:B 9.24915
7 *395:19 *6131:B 22.7767
8 *395:8 *6119:B 13.7491
9 *395:4 *6134:B 23.5776
*END
*D_NET *396 0.0080896
*CONN
*I *6134:C I *D sky130_fd_sc_hd__or3_1
*I *6119:C I *D sky130_fd_sc_hd__or3_1
*I *6123:C I *D sky130_fd_sc_hd__or3_1
*I *6131:C I *D sky130_fd_sc_hd__or3_1
*I *6128:C I *D sky130_fd_sc_hd__or3_1
*I *6118:X O *D sky130_fd_sc_hd__clkbuf_1
*CAP
1 *6134:C 0.000131336
2 *6119:C 0
3 *6123:C 0.000237412
4 *6131:C 9.88593e-05
5 *6128:C 0
6 *6118:X 0.000373751
7 *396:24 0.000329004
8 *396:23 0.000222927
9 *396:12 0.00020524
10 *396:8 0.000480132
11 *6123:C *6340:CLK 6.10852e-05
12 *6123:C *465:37 0.000566144
13 *6123:C *563:13 0.00023294
14 *6131:C *6128:A 6.92705e-05
15 *6131:C *546:7 0.001161
16 *6131:C *562:26 0.00105477
17 *6134:C *465:37 0.0011468
18 *6134:C *563:13 0.000511064
19 *396:8 *399:16 3.83955e-05
20 *396:12 *399:16 4.44689e-05
21 *396:24 *465:37 0.000392596
22 *396:24 *563:13 0.000160462
23 *6131:B *6131:C 1.67988e-05
24 *390:8 *396:8 3.69003e-05
25 *390:8 *396:12 4.12119e-05
26 *395:8 *396:8 0.000477044
*RES
1 *6118:X *396:8 21.5719
2 *396:8 *396:12 7.57775
3 *396:12 *6128:C 9.24915
4 *396:12 *6131:C 21.6192
5 *396:8 *396:23 4.5
6 *396:23 *396:24 4.60562
7 *396:24 *6123:C 27.5963
8 *396:24 *6119:C 9.24915
9 *396:23 *6134:C 21.6192
*END
*D_NET *397 0.0014767
*CONN
*I *6122:A1 I *D sky130_fd_sc_hd__a21o_1
*I *6119:X O *D sky130_fd_sc_hd__or3_1
*CAP
1 *6122:A1 0.00031975
2 *6119:X 0.00031975
3 *6122:A1 *6119:A 0.000129207
4 *6122:A1 *399:16 0.000200419
5 *6122:A1 *465:37 6.08467e-05
6 *6122:A1 *545:12 0.000186445
7 *388:27 *6122:A1 5.22654e-06
8 *394:12 *6122:A1 3.3336e-05
9 *395:8 *6122:A1 9.60216e-05
10 *395:12 *6122:A1 0.000125695
*RES
1 *6119:X *6122:A1 39.6045
*END
*D_NET *398 0.00385837
*CONN
*I *6125:A1 I *D sky130_fd_sc_hd__a21o_1
*I *6135:A1 I *D sky130_fd_sc_hd__a21o_1
*I *6129:A1 I *D sky130_fd_sc_hd__a21o_1
*I *6121:A1 I *D sky130_fd_sc_hd__a21o_1
*I *6132:A1 I *D sky130_fd_sc_hd__a21o_1
*I *6120:X O *D sky130_fd_sc_hd__dlymetal6s2s_1
*CAP
1 *6125:A1 0
2 *6135:A1 4.96918e-05
3 *6129:A1 0.000253535
4 *6121:A1 0.000147125
5 *6132:A1 0.000252508
6 *6120:X 0
7 *398:32 0.000302923
8 *398:16 0.000481675
9 *398:13 0.000334246
10 *398:4 0.000252508
11 *6121:A1 *6121:A2 6.50586e-05
12 *6121:A1 *405:5 2.81717e-05
13 *6129:A1 *405:5 1.01044e-05
14 *6129:A1 *476:17 1.43983e-05
15 *6132:A1 *6132:B1 0.000208642
16 *6132:A1 *401:24 7.13972e-05
17 *6132:A1 *407:7 0.000599835
18 *6135:A1 *6135:A2 7.50872e-05
19 *398:16 *6376:CLK 3.1218e-05
20 *398:32 *6125:A2 1.43983e-05
21 *398:32 *6125:B1 1.58551e-05
22 *398:32 *6135:B1 8.01837e-05
23 *398:32 *6376:CLK 3.89332e-06
24 *398:32 *401:24 0.000175689
25 *398:32 *402:7 5.04829e-06
26 *351:10 *6135:A1 0
27 *363:6 *398:16 0.000116986
28 *363:6 *398:32 0.000268195
*RES
1 *6120:X *398:4 9.24915
2 *398:4 *6132:A1 18.8703
3 *398:4 *398:13 4.5
4 *398:13 *398:16 6.74725
5 *398:16 *6121:A1 11.6364
6 *398:16 *6129:A1 12.7456
7 *398:13 *398:32 11.626
8 *398:32 *6135:A1 19.6659
9 *398:32 *6125:A1 9.24915
*END
*D_NET *399 0.0166505
*CONN
*I *6122:A2 I *D sky130_fd_sc_hd__a21o_1
*I *6121:X O *D sky130_fd_sc_hd__a21o_1
*CAP
1 *6122:A2 2.19858e-05
2 *6121:X 0
3 *399:16 0.00154771
4 *399:15 0.00180908
5 *399:7 0.0009991
6 *399:4 0.000715752
7 *6122:A2 *551:11 6.50586e-05
8 *399:7 *6121:A2 0.000175601
9 *399:7 *6141:A1 4.84406e-05
10 *399:7 *405:5 0.00385319
11 *399:7 *413:8 0.000158926
12 *399:7 *422:31 0.000644564
13 *399:15 *405:5 0.000632157
14 *399:15 *422:31 0.00131933
15 *399:16 *402:14 0.000863394
16 *399:16 *405:10 0.00228573
17 *399:16 *545:12 0.000646251
18 *399:16 *562:26 7.08723e-06
19 *6122:A1 *399:16 0.000200419
20 *6141:B1 *399:7 6.08467e-05
21 *6245:A *399:15 6.08467e-05
22 *6266:A2 *399:7 0.000377259
23 *317:8 *399:15 5.07314e-05
24 *390:8 *399:16 0
25 *392:13 *399:15 2.41483e-05
26 *394:12 *399:16 0
27 *396:8 *399:16 3.83955e-05
28 *396:12 *399:16 4.44689e-05
*RES
1 *6121:X *399:4 9.24915
2 *399:4 *399:7 42.7527
3 *399:7 *399:15 20.2459
4 *399:15 *399:16 60.3826
5 *399:16 *6122:A2 14.4725
*END
*D_NET *400 0.00104481
*CONN
*I *6127:A1 I *D sky130_fd_sc_hd__a21o_1
*I *6123:X O *D sky130_fd_sc_hd__or3_1
*CAP
1 *6127:A1 0.000305959
2 *6123:X 0.000305959
3 *6127:A1 *6128:A 7.68538e-06
4 *6127:A1 *546:7 0.000160617
5 *6341:D *6127:A1 0.000264586
*RES
1 *6123:X *6127:A1 23.6585
*END
*D_NET *401 0.00485268
*CONN
*I *6125:B1 I *D sky130_fd_sc_hd__a21o_1
*I *6135:B1 I *D sky130_fd_sc_hd__a21o_1
*I *6132:B1 I *D sky130_fd_sc_hd__a21o_1
*I *6129:B1 I *D sky130_fd_sc_hd__a21o_1
*I *6138:B1 I *D sky130_fd_sc_hd__a21o_1
*I *6124:X O *D sky130_fd_sc_hd__dlymetal6s2s_1
*CAP
1 *6125:B1 0.000100236
2 *6135:B1 0.000164878
3 *6132:B1 0.000221191
4 *6129:B1 0.000115661
5 *6138:B1 0
6 *6124:X 0.000323226
7 *401:24 0.000535638
8 *401:20 0.000363664
9 *401:18 0.000359376
10 *401:8 0.000582366
11 *6125:B1 *6125:A2 9.03913e-06
12 *6125:B1 *402:7 6.08467e-05
13 *6129:B1 *475:12 0.000190028
14 *6135:B1 *6376:CLK 8.53663e-05
15 *6135:B1 *409:7 1.43983e-05
16 *401:18 *402:7 0.000519495
17 *401:24 *6376:CLK 7.7321e-05
18 *401:24 *407:7 1.19721e-05
19 *6124:A *401:8 0.000122378
20 *6132:A1 *6132:B1 0.000208642
21 *6132:A1 *401:24 7.13972e-05
22 *346:14 *401:8 0.0001514
23 *346:14 *401:18 8.68133e-05
24 *351:10 *6129:B1 0
25 *363:6 *6135:B1 5.01668e-05
26 *372:8 *401:8 9.28446e-05
27 *372:8 *401:18 6.26091e-05
28 *398:32 *6125:B1 1.58551e-05
29 *398:32 *6135:B1 8.01837e-05
30 *398:32 *401:24 0.000175689
*RES
1 *6124:X *401:8 23.0907
2 *401:8 *6138:B1 13.7491
3 *401:8 *401:18 13.7078
4 *401:18 *401:20 4.5
5 *401:20 *401:24 10.5196
6 *401:24 *6129:B1 21.7421
7 *401:24 *6132:B1 15.5427
8 *401:20 *6135:B1 19.0748
9 *401:18 *6125:B1 11.6605
*END
*D_NET *402 0.0115565
*CONN
*I *6127:A2 I *D sky130_fd_sc_hd__a21o_1
*I *6125:X O *D sky130_fd_sc_hd__a21o_1
*CAP
1 *6127:A2 0
2 *6125:X 0.00137298
3 *402:14 0.00105241
4 *402:11 0.0019152
5 *402:7 0.00223578
6 *402:7 *516:181 0.000246721
7 *402:7 *516:195 0.000112737
8 *402:7 *590:8 2.23124e-05
9 *402:11 *6244:B 6.08467e-05
10 *402:11 *454:7 0.000211464
11 *402:11 *460:11 0.000259585
12 *402:11 *460:19 0.000573461
13 *402:11 *516:153 0.000266832
14 *402:11 *516:181 0.000497139
15 *402:14 *6127:B1 0.000140439
16 *402:14 *403:8 2.652e-05
17 *402:14 *545:12 0.000360672
18 *402:14 *582:8 6.81008e-05
19 *6125:B1 *402:7 6.08467e-05
20 *6378:D *402:7 0.000102447
21 *394:12 *402:14 0.00050698
22 *395:12 *402:14 7.50872e-05
23 *398:32 *402:7 5.04829e-06
24 *399:16 *402:14 0.000863394
25 *401:18 *402:7 0.000519495
*RES
1 *6125:X *402:7 45.0693
2 *402:7 *402:11 31.5671
3 *402:11 *402:14 45.3657
4 *402:14 *6127:A2 9.24915
*END
*D_NET *403 0.0071414
*CONN
*I *6133:B1 I *D sky130_fd_sc_hd__a21o_1
*I *6136:B1 I *D sky130_fd_sc_hd__a21o_1
*I *6139:B1 I *D sky130_fd_sc_hd__a21o_1
*I *6127:B1 I *D sky130_fd_sc_hd__a21o_1
*I *6130:B1 I *D sky130_fd_sc_hd__a21o_1
*I *6126:X O *D sky130_fd_sc_hd__clkbuf_2
*CAP
1 *6133:B1 0
2 *6136:B1 0.000216521
3 *6139:B1 0.000110651
4 *6127:B1 0.000152091
5 *6130:B1 2.86212e-05
6 *6126:X 0
7 *403:39 0.00028922
8 *403:28 0.00109806
9 *403:8 0.000518799
10 *403:4 0.0012528
11 *6127:B1 *6128:A 6.08467e-05
12 *6127:B1 *546:7 6.08467e-05
13 *6130:B1 *464:30 6.08467e-05
14 *6136:B1 *6133:A1 9.17188e-05
15 *6136:B1 *562:9 0.000122083
16 *403:8 *564:27 0.000470585
17 *403:28 *464:30 0.000170536
18 *403:28 *564:27 0.00110142
19 *403:39 *464:30 0.000277502
20 *403:39 *545:7 0.00027329
21 *6137:B *6139:B1 0
22 *6343:D *6136:B1 4.27003e-05
23 *318:21 *6136:B1 0
24 *318:21 *6139:B1 3.55296e-05
25 *318:21 *403:39 2.0833e-05
26 *394:12 *6127:B1 0.000375993
27 *394:12 *403:8 7.14746e-05
28 *395:12 *6127:B1 7.14746e-05
29 *402:14 *6127:B1 0.000140439
30 *402:14 *403:8 2.652e-05
*RES
1 *6126:X *403:4 9.24915
2 *403:4 *403:8 11.077
3 *403:8 *6130:B1 14.4725
4 *403:8 *6127:B1 21.2876
5 *403:4 *403:28 20.7523
6 *403:28 *6139:B1 16.4116
7 *403:28 *403:39 8.85855
8 *403:39 *6136:B1 25.0642
9 *403:39 *6133:B1 9.24915
*END
*D_NET *404 0.00180882
*CONN
*I *6130:A1 I *D sky130_fd_sc_hd__a21o_1
*I *6128:X O *D sky130_fd_sc_hd__or3_1
*CAP
1 *6130:A1 0.000403568
2 *6128:X 0.000403568
3 *6130:A1 *6130:A2 1.92336e-05
4 *6130:A1 *464:30 1.19721e-05
5 *6130:A1 *545:7 0.000423922
6 *326:42 *6130:A1 0.000273277
7 *390:8 *6130:A1 0.000273277
*RES
1 *6128:X *6130:A1 37.9519
*END
*D_NET *405 0.0157306
*CONN
*I *6130:A2 I *D sky130_fd_sc_hd__a21o_1
*I *6129:X O *D sky130_fd_sc_hd__a21o_1
*CAP
1 *6130:A2 3.20513e-05
2 *6129:X 0
3 *405:10 0.000975572
4 *405:5 0.0027226
5 *405:4 0.00177908
6 *6130:A2 *464:30 0.000160617
7 *6130:A2 *545:7 0.000111708
8 *405:5 *6121:A2 3.55126e-05
9 *405:10 *6238:A 0.000388247
10 *405:10 *6246:B 0.00028563
11 *405:10 *454:8 0.000348411
12 *405:10 *583:28 0
13 *405:10 *584:6 0.000118568
14 *6121:A1 *405:5 2.81717e-05
15 *6121:B1 *405:5 6.08467e-05
16 *6129:A1 *405:5 1.01044e-05
17 *6130:A1 *6130:A2 1.92336e-05
18 *6233:A *405:10 7.06474e-05
19 *6245:A *405:5 2.65667e-05
20 *317:8 *405:5 0.00011818
21 *390:8 *405:10 0
22 *392:13 *405:5 0.00166775
23 *394:12 *405:10 0
24 *399:7 *405:5 0.00385319
25 *399:15 *405:5 0.000632157
26 *399:16 *405:10 0.00228573
*RES
1 *6129:X *405:4 9.24915
2 *405:4 *405:5 61.1752
3 *405:5 *405:10 49.8657
4 *405:10 *6130:A2 11.0817
*END
*D_NET *406 0.00154303
*CONN
*I *6133:A1 I *D sky130_fd_sc_hd__a21o_1
*I *6131:X O *D sky130_fd_sc_hd__or3_1
*CAP
1 *6133:A1 0.000559652
2 *6131:X 0.000559652
3 *6133:A1 *546:7 3.99086e-06
4 *6133:A1 *562:9 0.000328016
5 *6136:B1 *6133:A1 9.17188e-05
6 *378:8 *6133:A1 0
*RES
1 *6131:X *6133:A1 39.0611
*END
*D_NET *407 0.0124962
*CONN
*I *6133:A2 I *D sky130_fd_sc_hd__a21o_1
*I *6132:X O *D sky130_fd_sc_hd__a21o_1
*CAP
1 *6133:A2 8.76267e-05
2 *6132:X 0.00215197
3 *407:10 0.00117632
4 *407:7 0.00324066
5 *6133:A2 *464:22 0.000233537
6 *6133:A2 *464:30 0.000255147
7 *6133:A2 *545:7 0.000795674
8 *407:7 *6120:A 2.97421e-05
9 *407:7 *6138:A2 6.23875e-05
10 *407:7 *6213:A0 0.000665901
11 *407:7 *411:7 9.6729e-05
12 *407:7 *590:32 0.000874803
13 *407:10 *6143:A 0
14 *407:10 *409:11 0.00165221
15 *407:10 *411:13 0.00017648
16 *407:10 *413:8 0
17 *407:10 *459:15 0
18 *407:10 *461:10 0
19 *6132:A1 *407:7 0.000599835
20 *6271:B *407:10 1.79672e-05
21 *6273:A1 *407:10 0.000367283
22 *378:8 *407:10 0
23 *401:24 *407:7 1.19721e-05
*RES
1 *6132:X *407:7 49.4126
2 *407:7 *407:10 42.4589
3 *407:10 *6133:A2 17.737
*END
*D_NET *408 0.00153493
*CONN
*I *6136:A1 I *D sky130_fd_sc_hd__a21o_1
*I *6134:X O *D sky130_fd_sc_hd__or3_1
*CAP
1 *6136:A1 0.000441934
2 *6134:X 0.000441934
3 *6136:A1 *465:37 0.000636352
4 *6344:D *6136:A1 1.47102e-05
5 *378:8 *6136:A1 0
*RES
1 *6134:X *6136:A1 35.7391
*END
*D_NET *409 0.0102175
*CONN
*I *6136:A2 I *D sky130_fd_sc_hd__a21o_1
*I *6135:X O *D sky130_fd_sc_hd__a21o_1
*CAP
1 *6136:A2 0
2 *6135:X 0.00194857
3 *409:11 0.00104628
4 *409:7 0.00299485
5 *409:7 *523:11 0.000293696
6 *409:11 *411:13 0.00141868
7 *409:11 *459:15 0
8 *409:11 *516:243 0
9 *409:11 *516:252 0
10 *409:11 *546:7 0.000848781
11 *6135:B1 *409:7 1.43983e-05
12 *407:10 *409:11 0.00165221
*RES
1 *6135:X *409:7 42.2027
2 *409:7 *409:11 49.4251
3 *409:11 *6136:A2 9.24915
*END
*D_NET *410 0.00113611
*CONN
*I *6139:A1 I *D sky130_fd_sc_hd__a21o_1
*I *6137:X O *D sky130_fd_sc_hd__or3_1
*CAP
1 *6139:A1 0.000323592
2 *6137:X 0.000323592
3 *6139:A1 *6137:A 1.41291e-05
4 *6139:A1 *411:13 0.000474797
*RES
1 *6137:X *6139:A1 24.2131
*END
*D_NET *411 0.0083772
*CONN
*I *6139:A2 I *D sky130_fd_sc_hd__a21o_1
*I *6138:X O *D sky130_fd_sc_hd__a21o_1
*CAP
1 *6139:A2 0
2 *6138:X 0.000790689
3 *411:13 0.00101338
4 *411:7 0.00180407
5 *411:7 *6144:A2 0.000118245
6 *411:7 *6213:A0 8.17966e-05
7 *411:7 *6213:S 0.000113968
8 *411:7 *6214:A 0.000171288
9 *411:7 *590:32 0.000100643
10 *411:13 *6137:A 0.00013715
11 *411:13 *413:8 0.000573587
12 *411:13 *459:7 0.000366589
13 *411:13 *466:29 2.1801e-05
14 *411:13 *516:240 0.000725452
15 *6139:A1 *411:13 0.000474797
16 *6144:B1 *411:7 7.48797e-05
17 *6270:A *411:13 0
18 *6289:A *411:13 0
19 *6347:D *411:13 0.000116971
20 *407:7 *411:7 9.6729e-05
21 *407:10 *411:13 0.00017648
22 *409:11 *411:13 0.00141868
*RES
1 *6138:X *411:7 34.4383
2 *411:7 *411:13 49.5942
3 *411:13 *6139:A2 9.24915
*END
*D_NET *412 0.000505252
*CONN
*I *6142:A1 I *D sky130_fd_sc_hd__a21o_1
*I *6140:X O *D sky130_fd_sc_hd__or3_1
*CAP
1 *6142:A1 0.000166989
2 *6140:X 0.000166989
3 *6142:A1 *458:8 0
4 *6142:A1 *463:13 0.000171273
5 *6142:A1 *516:240 0
*RES
1 *6140:X *6142:A1 30.7476
*END
*D_NET *413 0.0046952
*CONN
*I *6142:A2 I *D sky130_fd_sc_hd__a21o_1
*I *6141:X O *D sky130_fd_sc_hd__a21o_1
*CAP
1 *6142:A2 0.000466532
2 *6141:X 0.00135298
3 *413:8 0.00181951
4 *6142:A2 *462:26 9.14505e-05
5 *413:8 *422:31 6.50586e-05
6 *6270:A *413:8 3.20069e-06
7 *6271:C *413:8 6.36773e-05
8 *327:28 *413:8 0
9 *338:8 *413:8 0.000100284
10 *340:10 *413:8 0
11 *343:8 *413:8 0
12 *399:7 *413:8 0.000158926
13 *407:10 *413:8 0
14 *411:13 *413:8 0.000573587
*RES
1 *6141:X *413:8 47.599
2 *413:8 *6142:A2 24.4554
*END
*D_NET *414 0.00085344
*CONN
*I *6145:A1 I *D sky130_fd_sc_hd__a21o_1
*I *6143:X O *D sky130_fd_sc_hd__or3_1
*CAP
1 *6145:A1 0.000325375
2 *6143:X 0.000325375
3 *6145:B1 *6145:A1 0.000122378
4 *337:23 *6145:A1 8.03127e-05
*RES
1 *6143:X *6145:A1 23.6585
*END
*D_NET *415 0.0040396
*CONN
*I *6145:A2 I *D sky130_fd_sc_hd__a21o_1
*I *6144:X O *D sky130_fd_sc_hd__a21o_1
*CAP
1 *6145:A2 0.000210223
2 *6144:X 0.000725145
3 *415:8 0.000935368
4 *6145:B1 *6145:A2 0.000220183
5 *6290:A *6145:A2 0.000271058
6 *6312:A2 *415:8 0.000219033
7 *353:8 *6145:A2 0.000319954
8 *353:8 *415:8 2.95757e-05
9 *369:10 *415:8 0.000351428
10 *376:8 *415:8 0.00075763
*RES
1 *6144:X *415:8 47.4878
2 *415:8 *6145:A2 17.1824
*END
*D_NET *416 0.00802008
*CONN
*I *6147:B1 I *D sky130_fd_sc_hd__o41a_1
*I *6206:B1 I *D sky130_fd_sc_hd__a21o_1
*I *6150:A2 I *D sky130_fd_sc_hd__a21o_1
*I *6146:Y O *D sky130_fd_sc_hd__nor2_1
*CAP
1 *6147:B1 0
2 *6206:B1 9.34923e-06
3 *6150:A2 0.000503641
4 *6146:Y 0.000850938
5 *416:16 0.00149975
6 *416:7 0.00183769
7 *6150:A2 *6203:C 0
8 *6150:A2 *6227:A 4.31485e-06
9 *6150:A2 *6229:A 0.000324166
10 *6150:A2 *441:49 0.000319149
11 *6150:A2 *525:8 0
12 *6150:A2 *541:11 0.000172954
13 *6206:B1 *6206:A2 6.50727e-05
14 *416:7 *6254:A 0.000306748
15 *416:7 *520:15 0.000961455
16 *416:16 *6203:C 0
17 *416:16 *6254:A 0.000296326
18 *416:16 *441:49 3.14199e-05
19 *6148:B_N *6206:B1 6.50727e-05
20 *6148:B_N *416:16 0.000386551
21 *6348:D *416:16 2.65667e-05
22 *6374:D *416:16 1.07248e-05
23 *6488:A *6150:A2 0.000348192
24 *6494:A *6150:A2 0
*RES
1 *6146:Y *416:7 24.8263
2 *416:7 *416:16 25.4002
3 *416:16 *6150:A2 31.8138
4 *416:16 *6206:B1 14.4725
5 *416:7 *6147:B1 9.24915
*END
*D_NET *417 0.0148429
*CONN
*I *6157:A I *D sky130_fd_sc_hd__buf_2
*I *6180:A I *D sky130_fd_sc_hd__clkbuf_2
*I *6191:A I *D sky130_fd_sc_hd__clkbuf_4
*I *6149:A I *D sky130_fd_sc_hd__clkbuf_2
*I *6148:X O *D sky130_fd_sc_hd__and4bb_2
*CAP
1 *6157:A 3.86877e-05
2 *6180:A 0.000675376
3 *6191:A 0.000188691
4 *6149:A 3.07124e-05
5 *6148:X 0.000650506
6 *417:27 0.00166252
7 *417:24 0.00166625
8 *417:8 0.00151032
9 *6149:A *6501:A 6.08467e-05
10 *6157:A *513:11 0.000111722
11 *6180:A *6175:A 0
12 *6180:A *6178:A1 7.50722e-05
13 *6180:A *6219:A 0
14 *6180:A *6219:D 0.000228701
15 *6180:A *458:29 0
16 *6180:A *557:10 0.00148966
17 *6191:A *441:7 1.53125e-05
18 *6191:A *441:48 4.55936e-05
19 *6191:A *557:10 6.50586e-05
20 *417:8 *6148:C 1.43983e-05
21 *417:8 *6155:S 0.000102632
22 *417:8 *6229:A 0.000253801
23 *417:8 *6351:CLK 0
24 *417:8 *418:8 0.000337624
25 *417:8 *418:10 0.000551712
26 *417:8 *441:49 0
27 *417:8 *580:8 5.22071e-05
28 *417:24 *6155:A0 2.61213e-05
29 *417:24 *6155:S 0.000165578
30 *417:24 *6156:A 0.000308618
31 *417:24 *6161:A0 0
32 *417:24 *6168:A 0
33 *417:24 *6352:CLK 0.000201166
34 *417:24 *441:49 0
35 *417:24 *513:11 0.000313495
36 *417:24 *516:604 0.000144531
37 *417:24 *516:630 0.000624768
38 *417:24 *566:11 0.000910354
39 *417:27 *6338:CLK 0
40 *417:27 *441:49 0.00163839
41 *417:27 *458:27 0.00032505
42 *417:27 *458:29 0
43 *417:27 *565:8 8.85814e-05
44 *6148:B_N *417:8 0.000268798
*RES
1 *6148:X *417:8 37.2149
2 *417:8 *6149:A 14.4725
3 *417:8 *417:24 38.1388
4 *417:24 *417:27 35.3997
5 *417:27 *6191:A 18.3548
6 *417:27 *6180:A 40.081
7 *417:24 *6157:A 10.5271
*END
*D_NET *418 0.00574052
*CONN
*I *6155:S I *D sky130_fd_sc_hd__mux2_1
*I *6153:S I *D sky130_fd_sc_hd__mux2_1
*I *6206:A2 I *D sky130_fd_sc_hd__a21o_1
*I *6151:S I *D sky130_fd_sc_hd__mux2_1
*I *6150:B1 I *D sky130_fd_sc_hd__a21o_1
*I *6149:X O *D sky130_fd_sc_hd__clkbuf_2
*CAP
1 *6155:S 0.00013793
2 *6153:S 2.31818e-05
3 *6206:A2 0.000184584
4 *6151:S 0
5 *6150:B1 0.000261606
6 *6149:X 5.38744e-05
7 *418:12 0.000406019
8 *418:10 0.000589965
9 *418:8 0.000460036
10 *418:7 0.000367691
11 *6150:B1 *6151:A1 1.07248e-05
12 *6150:B1 *6229:A 5.62468e-05
13 *6150:B1 *524:24 0
14 *6150:B1 *541:11 4.31539e-05
15 *6153:S *6153:A0 6.50586e-05
16 *6155:S *6154:A 0
17 *6206:A2 *6206:A1 0.000367242
18 *418:7 *6501:A 1.03403e-05
19 *418:8 *6154:A 0
20 *418:10 *6151:A1 0.000278405
21 *418:10 *6154:A 0
22 *418:10 *6229:A 8.62321e-06
23 *418:12 *6151:A1 0.000323932
24 *418:12 *6229:A 9.31471e-05
25 wb_error_o *6150:B1 0.00013689
26 *6148:B_N *6206:A2 0.000498133
27 *6206:B1 *6206:A2 6.50727e-05
28 *6350:D *6150:B1 5.00217e-05
29 *6491:A *418:10 0
30 *160:11 *6150:B1 9.10968e-05
31 *417:8 *6155:S 0.000102632
32 *417:8 *418:8 0.000337624
33 *417:8 *418:10 0.000551712
34 *417:24 *6155:S 0.000165578
*RES
1 *6149:X *418:7 14.4725
2 *418:7 *418:8 5.98452
3 *418:8 *418:10 10.5523
4 *418:10 *418:12 5.98452
5 *418:12 *6150:B1 22.2574
6 *418:12 *6151:S 13.7491
7 *418:10 *6206:A2 21.7065
8 *418:8 *6153:S 14.4725
9 *418:7 *6155:S 18.4879
*END
*D_NET *419 0.000995056
*CONN
*I *6152:A I *D sky130_fd_sc_hd__clkbuf_1
*I *6151:X O *D sky130_fd_sc_hd__mux2_1
*CAP
1 *6152:A 8.0761e-05
2 *6151:X 8.0761e-05
3 *6152:A *517:8 0.000148129
4 *6152:A *518:14 0.000266832
5 *6152:A *520:9 0.000266832
6 *6152:A *524:24 0.000151741
*RES
1 *6151:X *6152:A 33.1026
*END
*D_NET *420 0.000856357
*CONN
*I *6154:A I *D sky130_fd_sc_hd__clkbuf_1
*I *6153:X O *D sky130_fd_sc_hd__mux2_1
*CAP
1 *6154:A 0.000338035
2 *6153:X 0.000338035
3 *6154:A *6501:A 0.00011818
4 *6155:S *6154:A 0
5 *6351:D *6154:A 6.21063e-05
6 *418:8 *6154:A 0
7 *418:10 *6154:A 0
*RES
1 *6153:X *6154:A 36.4218
*END
*D_NET *421 0.00134853
*CONN
*I *6156:A I *D sky130_fd_sc_hd__clkbuf_1
*I *6155:X O *D sky130_fd_sc_hd__mux2_1
*CAP
1 *6156:A 0.000292753
2 *6155:X 0.000292753
3 *6156:A *6352:CLK 0.000172706
4 *6156:A *523:9 0.0002817
5 *417:24 *6156:A 0.000308618
*RES
1 *6155:X *6156:A 36.0094
*END
*D_NET *422 0.0232782
*CONN
*I *6169:A I *D sky130_fd_sc_hd__clkbuf_2
*I *6158:A I *D sky130_fd_sc_hd__clkbuf_2
*I *6213:S I *D sky130_fd_sc_hd__mux2_1
*I *6209:S I *D sky130_fd_sc_hd__mux2_1
*I *6211:S I *D sky130_fd_sc_hd__mux2_1
*I *6157:X O *D sky130_fd_sc_hd__buf_2
*CAP
1 *6169:A 0.000136694
2 *6158:A 2.64699e-05
3 *6213:S 0.000342776
4 *6209:S 0.000930559
5 *6211:S 0.000115879
6 *6157:X 5.51407e-05
7 *422:50 0.00174071
8 *422:32 0.000937893
9 *422:31 0.0019195
10 *422:19 0.00278057
11 *422:8 0.00145456
12 *422:7 0.000404211
13 *6158:A *6503:A 2.65667e-05
14 *6169:A *6505:A 0.000523562
15 *6169:A *514:8 0.000165481
16 *6169:A *564:30 0.000523562
17 *6169:A *565:29 6.4266e-05
18 *6209:S *6209:A1 0.000526394
19 *6209:S *470:15 0.000317707
20 *6209:S *472:25 0.000191541
21 *6211:S *589:26 1.00846e-05
22 *6213:S *6112:A1 9.60366e-05
23 *6213:S *6213:A0 4.58003e-05
24 *6213:S *472:25 0.000233455
25 *422:7 *466:31 0.000470454
26 *422:7 *513:11 0.000472625
27 *422:8 *6166:A 0.000320272
28 *422:8 *514:8 0.000414278
29 *422:8 *565:29 0.00011271
30 *422:19 *6220:A 6.50727e-05
31 *422:19 *6373:CLK 0.000326398
32 *422:19 *516:78 0.000367717
33 *422:19 *539:10 0
34 *422:19 *565:29 0.000336698
35 *422:19 *565:38 0.000105254
36 *422:31 *591:8 0.000141262
37 *422:32 *473:14 1.15133e-05
38 *422:32 *589:17 0
39 *422:50 *473:14 8.24277e-06
40 *422:50 *589:17 0
41 *6105:A1 *6209:S 0.000113968
42 *6115:B1 *422:50 7.15245e-05
43 *6222:A *422:19 6.50586e-05
44 *6222:B *422:19 0.000872067
45 *6266:A2 *422:31 0.000377259
46 *6373:D *422:19 1.87611e-05
47 *301:22 *422:19 0.00067105
48 *303:6 *422:8 7.8435e-05
49 *303:6 *422:19 0.000115617
50 *340:10 *422:32 0
51 *371:10 *6209:S 0.000111722
52 *376:8 *6213:S 0.00016664
53 *380:6 *6209:S 8.3647e-05
54 *380:6 *6213:S 0.000221379
55 *386:11 *422:50 6.50586e-05
56 *387:14 *6209:S 6.08467e-05
57 *390:7 *6211:S 0.000589703
58 *390:7 *422:50 0.00041971
59 *392:13 *422:31 7.45422e-05
60 *394:9 *6211:S 0.000236373
61 *399:7 *422:31 0.000644564
62 *399:15 *422:31 0.00131933
63 *411:7 *6213:S 0.000113968
64 *413:8 *422:31 6.50586e-05
*RES
1 *6157:X *422:7 18.9094
2 *422:7 *422:8 10.5523
3 *422:8 *422:19 48.5667
4 *422:19 *422:31 45.396
5 *422:31 *422:32 7.85315
6 *422:32 *6211:S 21.13
7 *422:32 *422:50 17.1725
8 *422:50 *6209:S 39.1128
9 *422:50 *6213:S 26.4099
10 *422:8 *6158:A 14.4725
11 *422:7 *6169:A 22.5417
*END
*D_NET *423 0.00673068
*CONN
*I *6163:S I *D sky130_fd_sc_hd__mux2_1
*I *6159:S I *D sky130_fd_sc_hd__mux2_1
*I *6167:S I *D sky130_fd_sc_hd__mux2_1
*I *6161:S I *D sky130_fd_sc_hd__mux2_1
*I *6165:S I *D sky130_fd_sc_hd__mux2_1
*I *6158:X O *D sky130_fd_sc_hd__clkbuf_2
*CAP
1 *6163:S 9.73328e-06
2 *6159:S 0.000513417
3 *6167:S 2.06324e-05
4 *6161:S 0.000486971
5 *6165:S 0
6 *6158:X 0.000111385
7 *423:41 0.000688731
8 *423:19 0.00104653
9 *423:11 0.000796016
10 *423:5 0.000534056
11 *6159:S *6159:A0 0.000228593
12 *6159:S *6240:A 0
13 *6159:S *462:26 2.19102e-05
14 *6159:S *516:110 0
15 *6159:S *516:117 0
16 *6159:S *516:603 0
17 *6161:S *6161:A0 1.80647e-05
18 *6161:S *6161:A1 2.36494e-05
19 *6161:S *6504:A 2.82583e-05
20 *6161:S *515:11 7.44302e-05
21 *6161:S *516:603 2.41274e-06
22 *6161:S *516:630 0
23 *6163:S *6163:A0 0.000122378
24 *6163:S *462:26 8.34559e-05
25 *423:5 *6503:A 0.000375027
26 *423:11 *6165:A0 0.000271044
27 *423:11 *6240:A 0
28 *423:11 *539:10 0
29 *423:19 *6165:A0 5.99856e-05
30 *423:19 *6166:A 0.000165459
31 *423:19 *6504:A 0.000250625
32 *423:41 *6163:A0 1.61631e-05
33 *423:41 *6163:A1 2.41483e-05
34 *423:41 *6164:A 4.23874e-05
35 *423:41 *6503:A 0.000683952
36 *423:41 *462:26 1.92172e-05
37 *6481:A *6161:S 1.20478e-05
38 *387:24 *6159:S 0
39 *387:28 *6159:S 0
*RES
1 *6158:X *423:5 13.3002
2 *423:5 *423:11 15.8501
3 *423:11 *6165:S 9.24915
4 *423:11 *423:19 14.058
5 *423:19 *6161:S 29.8627
6 *423:19 *6167:S 9.82786
7 *423:5 *423:41 7.93324
8 *423:41 *6159:S 30.5216
9 *423:41 *6163:S 10.5271
*END
*D_NET *424 0.000257914
*CONN
*I *6160:A I *D sky130_fd_sc_hd__clkbuf_1
*I *6159:X O *D sky130_fd_sc_hd__mux2_1
*CAP
1 *6160:A 9.20112e-05
2 *6159:X 9.20112e-05
3 *6160:A *6240:A 1.07248e-05
4 *6160:A *516:110 0
5 *6160:A *516:117 0
6 *6222:A *6160:A 6.31665e-05
*RES
1 *6159:X *6160:A 30.1608
*END
*D_NET *425 0.000908897
*CONN
*I *6162:A I *D sky130_fd_sc_hd__clkbuf_1
*I *6161:X O *D sky130_fd_sc_hd__mux2_1
*CAP
1 *6162:A 0.000391601
2 *6161:X 0.000391601
3 *6480:A *6162:A 0.000125695
*RES
1 *6161:X *6162:A 34.3512
*END
*D_NET *426 0.00121899
*CONN
*I *6164:A I *D sky130_fd_sc_hd__clkbuf_1
*I *6163:X O *D sky130_fd_sc_hd__mux2_1
*CAP
1 *6164:A 0.000399388
2 *6163:X 0.000399388
3 *6164:A *6163:A0 2.41483e-05
4 *6164:A *6163:A1 0.000154662
5 *6164:A *6355:CLK 8.3647e-05
6 *6355:D *6164:A 7.73065e-05
7 *387:28 *6164:A 3.80659e-05
8 *423:41 *6164:A 4.23874e-05
*RES
1 *6163:X *6164:A 36.9792
*END
*D_NET *427 0.0011626
*CONN
*I *6166:A I *D sky130_fd_sc_hd__clkbuf_1
*I *6165:X O *D sky130_fd_sc_hd__mux2_1
*CAP
1 *6166:A 0.000204357
2 *6165:X 0.000204357
3 *6166:A *565:29 0.000144573
4 *6356:D *6166:A 0.000123582
5 *422:8 *6166:A 0.000320272
6 *423:19 *6166:A 0.000165459
*RES
1 *6165:X *6166:A 34.9002
*END
*D_NET *428 0.000837228
*CONN
*I *6168:A I *D sky130_fd_sc_hd__clkbuf_1
*I *6167:X O *D sky130_fd_sc_hd__mux2_1
*CAP
1 *6168:A 0.000240132
2 *6167:X 0.000240132
3 *6168:A *6504:A 1.00937e-05
4 *6168:A *515:11 0.00034687
5 *6168:A *516:630 0
6 *417:24 *6168:A 0
*RES
1 *6167:X *6168:A 34.3456
*END
*D_NET *429 0.00991256
*CONN
*I *6170:S I *D sky130_fd_sc_hd__mux2_1
*I *6178:S I *D sky130_fd_sc_hd__mux2_1
*I *6176:S I *D sky130_fd_sc_hd__mux2_1
*I *6174:S I *D sky130_fd_sc_hd__mux2_1
*I *6172:S I *D sky130_fd_sc_hd__mux2_1
*I *6169:X O *D sky130_fd_sc_hd__clkbuf_2
*CAP
1 *6170:S 2.57528e-05
2 *6178:S 0.000513591
3 *6176:S 8.07069e-05
4 *6174:S 4.97989e-05
5 *6172:S 3.64714e-05
6 *6169:X 0.000548545
7 *429:34 0.000688908
8 *429:25 0.0003512
9 *429:16 0.000742656
10 *429:11 0.00107369
11 *6170:S *6170:A0 2.15348e-05
12 *6170:S *492:11 1.61631e-05
13 *6170:S *514:8 2.41483e-05
14 *6172:S *6172:A0 0.000111722
15 *6172:S *551:11 1.65872e-05
16 *6172:S *558:15 0.000143891
17 *6174:S *6174:A0 0.000111722
18 *6174:S *6174:A1 7.92757e-06
19 *6174:S *6175:A 5.97576e-05
20 *6176:S *6176:A0 1.19856e-05
21 *6176:S *6176:A1 3.82228e-05
22 *6178:S *6177:A 0.000315206
23 *6178:S *6178:A0 2.44829e-05
24 *6178:S *6362:CLK 0
25 *6178:S *546:19 0.000107496
26 *6178:S *551:23 4.64786e-05
27 *6178:S *562:30 0.000384665
28 *429:11 *515:11 0
29 *429:11 *564:30 0.000733876
30 *429:11 *566:11 0
31 *429:16 *6170:A0 2.65667e-05
32 *429:16 *6171:A 3.47066e-05
33 *429:16 *6173:A 0.000320257
34 *429:16 *6525:A 4.09612e-05
35 *429:16 *492:11 0.00011818
36 *429:16 *515:11 5.82465e-05
37 *429:16 *562:30 0.000687602
38 *429:16 *566:11 0
39 *429:25 *6525:A 2.01595e-05
40 *429:25 *551:23 0.000727438
41 *429:25 *562:30 0.000770851
42 *429:34 *551:23 0.000391283
43 *429:34 *562:30 0.000394828
44 *6358:D *429:16 3.42931e-05
*RES
1 *6169:X *429:11 28.5931
2 *429:11 *429:16 22.5591
3 *429:16 *6172:S 15.5817
4 *429:16 *429:25 13.4591
5 *429:25 *6174:S 15.5817
6 *429:25 *429:34 6.81502
7 *429:34 *6176:S 15.5817
8 *429:34 *6178:S 29.8236
9 *429:11 *6170:S 10.5513
*END
*D_NET *430 0.000967088
*CONN
*I *6171:A I *D sky130_fd_sc_hd__clkbuf_1
*I *6170:X O *D sky130_fd_sc_hd__mux2_1
*CAP
1 *6171:A 0.000141883
2 *6170:X 0.000141883
3 *6171:A *465:37 0.00011818
4 *6171:A *492:11 0.00030861
5 *6171:A *515:11 7.50722e-05
6 *6171:A *563:13 5.07314e-05
7 *6358:D *6171:A 9.60216e-05
8 *429:16 *6171:A 3.47066e-05
*RES
1 *6170:X *6171:A 34.3456
*END
*D_NET *431 0.00107893
*CONN
*I *6173:A I *D sky130_fd_sc_hd__clkbuf_1
*I *6172:X O *D sky130_fd_sc_hd__mux2_1
*CAP
1 *6173:A 0.000165458
2 *6172:X 0.000165458
3 *6173:A *6525:A 0.000320257
4 *6173:A *558:15 0.000107496
5 *429:16 *6173:A 0.000320257
*RES
1 *6172:X *6173:A 34.3456
*END
*D_NET *432 0.00192224
*CONN
*I *6175:A I *D sky130_fd_sc_hd__clkbuf_1
*I *6174:X O *D sky130_fd_sc_hd__mux2_1
*CAP
1 *6175:A 0.000862032
2 *6174:X 0.000862032
3 *6175:A *6174:A0 5.42115e-05
4 *6175:A *6174:A1 2.38934e-06
5 *6175:A *6219:A 7.14746e-05
6 *6175:A *6525:A 1.03403e-05
7 *6174:S *6175:A 5.97576e-05
8 *6180:A *6175:A 0
*RES
1 *6174:X *6175:A 40.8671
*END
*D_NET *433 0.00113165
*CONN
*I *6177:A I *D sky130_fd_sc_hd__clkbuf_1
*I *6176:X O *D sky130_fd_sc_hd__mux2_1
*CAP
1 *6177:A 0.000177504
2 *6176:X 0.000177504
3 *6177:A *6176:A1 0.000107496
4 *6177:A *551:23 0.000341252
5 *6177:A *562:30 1.2693e-05
6 *6178:S *6177:A 0.000315206
*RES
1 *6176:X *6177:A 34.7608
*END
*D_NET *434 0.00114317
*CONN
*I *6179:A I *D sky130_fd_sc_hd__clkbuf_1
*I *6178:X O *D sky130_fd_sc_hd__mux2_1
*CAP
1 *6179:A 0.000441575
2 *6178:X 0.000441575
3 *6179:A *6178:A1 0.000103123
4 *6179:A *458:29 0.00012568
5 *6179:A *557:10 3.1218e-05
*RES
1 *6178:X *6179:A 38.788
*END
*D_NET *435 0.00669539
*CONN
*I *6181:S I *D sky130_fd_sc_hd__mux2_1
*I *6189:S I *D sky130_fd_sc_hd__mux2_1
*I *6187:S I *D sky130_fd_sc_hd__mux2_1
*I *6185:S I *D sky130_fd_sc_hd__mux2_1
*I *6183:S I *D sky130_fd_sc_hd__mux2_1
*I *6180:X O *D sky130_fd_sc_hd__clkbuf_2
*CAP
1 *6181:S 0
2 *6189:S 8.26096e-05
3 *6187:S 0.000219393
4 *6185:S 0
5 *6183:S 0.000363589
6 *6180:X 8.46193e-05
7 *435:36 0.000502642
8 *435:29 0.000495115
9 *435:10 0.00083705
10 *435:5 0.000263605
11 *6183:S *6183:A1 0.000169041
12 *6183:S *6184:A 2.01874e-05
13 *6187:S *6187:A1 0.000254458
14 *6187:S *6188:A 0.000127288
15 *6189:S *441:8 0.0002212
16 *6189:S *516:357 9.11135e-05
17 *435:5 *6181:A0 6.73351e-05
18 *435:5 *6219:D 0.000124853
19 *435:5 *547:23 0.000452176
20 *435:10 *6181:A0 6.99486e-05
21 *435:10 *441:8 0.000112328
22 *435:10 *547:23 0.000171273
23 *435:10 *562:30 0.000402008
24 *435:29 *441:8 0.000232142
25 *435:29 *562:30 0.000542621
26 *435:36 *441:8 0.000560406
27 *435:36 *516:343 0.000102707
28 *435:36 *516:357 0.000101274
29 *435:36 *562:30 2.44103e-05
*RES
1 *6180:X *435:5 14.4094
2 *435:5 *435:10 13.1476
3 *435:10 *6183:S 22.454
4 *435:10 *435:29 12.0179
5 *435:29 *6185:S 13.7491
6 *435:29 *435:36 9.72179
7 *435:36 *6187:S 21.1278
8 *435:36 *6189:S 17.6574
9 *435:5 *6181:S 9.24915
*END
*D_NET *436 0.000778843
*CONN
*I *6182:A I *D sky130_fd_sc_hd__clkbuf_1
*I *6181:X O *D sky130_fd_sc_hd__mux2_1
*CAP
1 *6182:A 0.000161215
2 *6181:X 0.000161215
3 *6182:A *6181:A1 0.000320958
4 *6182:A *562:30 1.32509e-05
5 *6182:A *564:37 5.75903e-05
6 *6363:D *6182:A 6.46135e-05
*RES
1 *6181:X *6182:A 34.2062
*END
*D_NET *437 0.00113339
*CONN
*I *6184:A I *D sky130_fd_sc_hd__clkbuf_1
*I *6183:X O *D sky130_fd_sc_hd__mux2_1
*CAP
1 *6184:A 0.00035327
2 *6183:X 0.00035327
3 *6184:A *6183:A0 7.14746e-05
4 *6184:A *6183:A1 3.6455e-05
5 *6184:A *6224:A 3.40567e-05
6 *6184:A *557:12 0.000115053
7 *6183:S *6184:A 2.01874e-05
8 *6364:D *6184:A 0.000149628
*RES
1 *6183:X *6184:A 36.4246
*END
*D_NET *438 0.00102021
*CONN
*I *6186:A I *D sky130_fd_sc_hd__clkbuf_1
*I *6185:X O *D sky130_fd_sc_hd__mux2_1
*CAP
1 *6186:A 0.000168828
2 *6185:X 0.000168828
3 *6186:A *6185:A1 0.000320272
4 *6186:A *516:331 1.79672e-05
5 *6186:A *549:22 0.000122378
6 *6186:A *564:37 0
7 *6186:A *564:39 0.000221938
*RES
1 *6185:X *6186:A 34.3456
*END
*D_NET *439 0.00126898
*CONN
*I *6188:A I *D sky130_fd_sc_hd__clkbuf_1
*I *6187:X O *D sky130_fd_sc_hd__mux2_1
*CAP
1 *6188:A 0.000476023
2 *6187:X 0.000476023
3 *6188:A *6187:A0 6.77522e-05
4 *6188:A *6224:C 3.58185e-05
5 *6187:S *6188:A 0.000127288
6 *6366:D *6188:A 8.60778e-05
*RES
1 *6187:X *6188:A 37.4678
*END
*D_NET *440 0.000527122
*CONN
*I *6190:A I *D sky130_fd_sc_hd__clkbuf_1
*I *6189:X O *D sky130_fd_sc_hd__mux2_1
*CAP
1 *6190:A 0.000148139
2 *6189:X 0.000148139
3 *6190:A *6189:A1 1.82679e-05
4 *6190:A *562:30 0.000122083
5 *6190:A *564:39 1.90191e-05
6 *6367:D *6190:A 7.14746e-05
*RES
1 *6189:X *6190:A 31.0235
*END
*D_NET *441 0.0237709
*CONN
*I *6207:S I *D sky130_fd_sc_hd__mux2_1
*I *6196:S I *D sky130_fd_sc_hd__mux2_1
*I *6194:S I *D sky130_fd_sc_hd__mux2_1
*I *6198:S I *D sky130_fd_sc_hd__mux2_1
*I *6192:S I *D sky130_fd_sc_hd__mux2_1
*I *6191:X O *D sky130_fd_sc_hd__clkbuf_4
*CAP
1 *6207:S 0.000686658
2 *6196:S 3.82369e-05
3 *6194:S 0.000263657
4 *6198:S 1.54601e-05
5 *6192:S 1.26553e-05
6 *6191:X 0
7 *441:49 0.00405019
8 *441:48 0.00358971
9 *441:26 0.000424412
10 *441:19 0.000579131
11 *441:8 0.00220899
12 *441:7 0.00184411
13 *441:4 0.000315107
14 *6192:S *6192:A0 2.65667e-05
15 *6192:S *552:18 6.50586e-05
16 *6194:S *6194:A1 0.000267404
17 *6194:S *6195:A 2.37325e-05
18 *6194:S *6223:C 5.33945e-05
19 *6196:S *6197:A 9.54357e-06
20 *6196:S *6223:C 7.16893e-05
21 *6196:S *505:11 2.99287e-05
22 *6196:S *556:18 0.000253206
23 *6198:S *6198:A0 0.000171273
24 *6198:S *556:18 0.000171273
25 *6207:S *6207:A0 5.56461e-05
26 *6207:S *6208:A 2.16355e-05
27 *6207:S *6519:A 0.000220183
28 *6207:S *455:13 0.000627148
29 *6207:S *524:23 0.000288837
30 *441:8 *458:29 0.00174512
31 *441:8 *516:357 6.6516e-05
32 *441:8 *546:19 0.000341017
33 *441:8 *562:30 0
34 *441:19 *458:29 0.000345534
35 *441:19 *562:30 0
36 *441:26 *6198:A0 0.000266846
37 *441:26 *6223:C 6.99486e-05
38 *441:26 *556:18 0.000605068
39 *441:48 *557:10 1.4091e-06
40 *441:49 *6155:A0 3.69003e-05
41 *441:49 *6161:A0 7.2401e-05
42 *441:49 *6203:C 0
43 *441:49 *6206:A1 2.99929e-05
44 *441:49 *6229:A 0
45 *441:49 *6338:CLK 0
46 *441:49 *6351:CLK 0.000128093
47 *441:49 *6374:CLK 0.000157767
48 *441:49 *6433:A 0
49 *441:49 *458:27 0
50 *441:49 *516:655 0
51 *441:49 *516:691 0
52 *441:49 *565:29 0
53 *441:49 *566:11 0
54 *441:49 *586:6 0
55 *441:49 *586:15 0
56 *6150:A2 *441:49 0.000319149
57 *6189:S *441:8 0.0002212
58 *6191:A *441:7 1.53125e-05
59 *6191:A *441:48 4.55936e-05
60 *6228:A *441:49 0
61 *6374:D *441:49 0.000151726
62 *6435:A *6207:S 4.31703e-05
63 *160:11 *441:49 0
64 *232:17 *6207:S 0.000148594
65 *416:16 *441:49 3.14199e-05
66 *417:8 *441:49 0
67 *417:24 *441:49 0
68 *417:27 *441:49 0.00163839
69 *435:10 *441:8 0.000112328
70 *435:29 *441:8 0.000232142
71 *435:36 *441:8 0.000560406
*RES
1 *6191:X *441:4 9.24915
2 *441:4 *441:7 5.778
3 *441:7 *441:8 67.4418
4 *441:8 *6192:S 14.4725
5 *441:8 *441:19 17.9591
6 *441:19 *6198:S 11.0817
7 *441:19 *441:26 6.82404
8 *441:26 *6194:S 25.8173
9 *441:26 *6196:S 12.191
10 *441:4 *441:48 7.99641
11 *441:48 *441:49 93.1875
12 *441:49 *6207:S 34.8241
*END
*D_NET *442 0.000939206
*CONN
*I *6193:A I *D sky130_fd_sc_hd__clkbuf_1
*I *6192:X O *D sky130_fd_sc_hd__mux2_1
*CAP
1 *6193:A 0.000194936
2 *6192:X 0.000194936
3 *6193:A *6192:A1 4.81015e-05
4 *6193:A *562:30 0.0001549
5 *6193:A *564:39 0.000346333
*RES
1 *6192:X *6193:A 34.7608
*END
*D_NET *443 0.001545
*CONN
*I *6195:A I *D sky130_fd_sc_hd__clkbuf_1
*I *6194:X O *D sky130_fd_sc_hd__mux2_1
*CAP
1 *6195:A 0.000457255
2 *6194:X 0.000457255
3 *6195:A *6194:A0 1.48017e-05
4 *6195:A *6194:A1 0.000267404
5 *6195:A *6223:B 8.11078e-06
6 *6195:A *6223:C 0
7 *6195:A *6369:CLK 8.50796e-05
8 *6195:A *555:25 4.9933e-05
9 *6194:S *6195:A 2.37325e-05
10 *6369:D *6195:A 0.000144531
11 *304:10 *6195:A 3.69003e-05
*RES
1 *6194:X *6195:A 40.4728
*END
*D_NET *444 0.00189817
*CONN
*I *6197:A I *D sky130_fd_sc_hd__clkbuf_1
*I *6196:X O *D sky130_fd_sc_hd__mux2_1
*CAP
1 *6197:A 0.000474685
2 *6196:X 0.000474685
3 *6197:A *6223:C 0.000217873
4 *6197:A *505:11 0.000530329
5 *6197:A *516:412 2.04806e-05
6 *6196:S *6197:A 9.54357e-06
7 *6370:D *6197:A 0.000170577
*RES
1 *6196:X *6197:A 39.921
*END
*D_NET *445 0.0011448
*CONN
*I *6199:A I *D sky130_fd_sc_hd__clkbuf_1
*I *6198:X O *D sky130_fd_sc_hd__mux2_1
*CAP
1 *6199:A 0.000217514
2 *6198:X 0.000217514
3 *6199:A *6198:A1 0.000341252
4 *6199:A *556:18 2.72636e-05
5 *6199:A *564:39 0.000341252
*RES
1 *6198:X *6199:A 35.3154
*END
*D_NET *446 0.00163706
*CONN
*I *6201:B I *D sky130_fd_sc_hd__and3b_1
*I *6204:C1 I *D sky130_fd_sc_hd__o221a_1
*I *6200:Y O *D sky130_fd_sc_hd__inv_2
*CAP
1 *6201:B 0.00039858
2 *6204:C1 0.000161138
3 *6200:Y 0
4 *446:4 0.000559718
5 *6201:B *6231:A 0
6 *6201:B *592:8 0.000141587
7 *6201:B *592:20 1.70077e-05
8 *6204:C1 *6204:B1 0.000163895
9 *6222:A *6201:B 0.000195139
*RES
1 *6200:Y *446:4 9.24915
2 *446:4 *6204:C1 14.2888
3 *446:4 *6201:B 28.3862
*END
*D_NET *447 0.000687284
*CONN
*I *6202:A I *D sky130_fd_sc_hd__clkbuf_1
*I *6201:X O *D sky130_fd_sc_hd__and3b_1
*CAP
1 *6202:A 0.00014206
2 *6201:X 0.00014206
3 *6202:A *592:32 0.000403164
*RES
1 *6201:X *6202:A 23.538
*END
*D_NET *448 0.00363145
*CONN
*I *6204:B1 I *D sky130_fd_sc_hd__o221a_1
*I *6203:X O *D sky130_fd_sc_hd__and3_1
*CAP
1 *6204:B1 0.00121906
2 *6203:X 0.00121906
3 *6204:B1 *6203:B 6.50586e-05
4 *6204:B1 *6203:C 0.000176935
5 *6204:B1 *592:8 6.36716e-05
6 *6204:B2 *6204:B1 0.000720575
7 *6204:C1 *6204:B1 0.000163895
8 *6374:D *6204:B1 3.18826e-06
*RES
1 *6203:X *6204:B1 44.8058
*END
*D_NET *449 0.000980765
*CONN
*I *6206:A1 I *D sky130_fd_sc_hd__a21o_1
*I *6205:Y O *D sky130_fd_sc_hd__inv_2
*CAP
1 *6206:A1 0.000275025
2 *6205:Y 0.000275025
3 *6206:A1 *6229:A 3.34802e-05
4 *6206:A2 *6206:A1 0.000367242
5 *441:49 *6206:A1 2.99929e-05
*RES
1 *6205:Y *6206:A1 32.9661
*END
*D_NET *450 0.000514921
*CONN
*I *6208:A I *D sky130_fd_sc_hd__clkbuf_1
*I *6207:X O *D sky130_fd_sc_hd__mux2_1
*CAP
1 *6208:A 9.85143e-05
2 *6207:X 9.85143e-05
3 *6208:A *455:13 0.000148129
4 *6208:A *516:37 0.000148129
5 *6207:S *6208:A 2.16355e-05
*RES
1 *6207:X *6208:A 30.8842
*END
*D_NET *451 0.000330797
*CONN
*I *6210:A I *D sky130_fd_sc_hd__clkbuf_1
*I *6209:X O *D sky130_fd_sc_hd__mux2_1
*CAP
1 *6210:A 3.97036e-05
2 *6209:X 3.97036e-05
3 *6210:A *6138:A2 0.000125695
4 *6210:A *6376:CLK 0.000125695
*RES
1 *6209:X *6210:A 29.7455
*END
*D_NET *452 0.000533665
*CONN
*I *6212:A I *D sky130_fd_sc_hd__clkbuf_1
*I *6211:X O *D sky130_fd_sc_hd__mux2_1
*CAP
1 *6212:A 9.6625e-05
2 *6211:X 9.6625e-05
3 *312:8 *6212:A 0.000116971
4 *322:9 *6212:A 0.000111722
5 *328:17 *6212:A 0
6 *392:10 *6212:A 0.000111722
*RES
1 *6211:X *6212:A 31.0235
*END
*D_NET *453 0.0010552
*CONN
*I *6214:A I *D sky130_fd_sc_hd__clkbuf_1
*I *6213:X O *D sky130_fd_sc_hd__mux2_1
*CAP
1 *6214:A 0.000235308
2 *6213:X 0.000235308
3 *6378:D *6214:A 4.27148e-05
4 *340:10 *6214:A 5.53879e-05
5 *343:8 *6214:A 0.000315191
6 *411:7 *6214:A 0.000171288
*RES
1 *6213:X *6214:A 34.9002
*END
*D_NET *454 0.00657731
*CONN
*I *6246:B I *D sky130_fd_sc_hd__and2b_2
*I *6250:B I *D sky130_fd_sc_hd__nand3b_2
*I *6147:A4 I *D sky130_fd_sc_hd__o41a_1
*I *6372:Q O *D sky130_fd_sc_hd__dfxtp_1
*CAP
1 *6246:B 0.000269367
2 *6250:B 0.000122032
3 *6147:A4 0.000318897
4 *6372:Q 0.000208505
5 *454:8 0.000675875
6 *454:7 0.000712819
7 *6147:A4 *6254:A 0.000330031
8 *6147:A4 *520:15 0.00014489
9 *6246:B *523:11 0.000530137
10 *6250:B *6250:C 9.1225e-05
11 *6250:B *457:24 0.000572588
12 *6250:B *522:9 5.63686e-05
13 *454:7 *460:19 2.01874e-05
14 *6147:A2 *6147:A4 0.000202229
15 *6233:A *6246:B 0.000290644
16 *6233:A *454:8 0.000177819
17 *6250:A_N *6250:B 0.00011818
18 *297:14 *6147:A4 0.000322335
19 *297:14 *454:8 0.000343299
20 *326:15 *6147:A4 0.000224381
21 *387:24 *6147:A4 0
22 *394:12 *6147:A4 0
23 *394:12 *454:8 0
24 *402:11 *454:7 0.000211464
25 *405:10 *6246:B 0.00028563
26 *405:10 *454:8 0.000348411
*RES
1 *6372:Q *454:7 17.2456
2 *454:7 *454:8 9.72179
3 *454:8 *6147:A4 28.2215
4 *454:8 *6250:B 20.5973
5 *454:7 *6246:B 24.618
*END
*D_NET *455 0.00627127
*CONN
*I *6253:A2 I *D sky130_fd_sc_hd__a21o_1
*I *6435:X O *D sky130_fd_sc_hd__clkbuf_1
*CAP
1 *6253:A2 0.000196506
2 *6435:X 0.00109295
3 *455:13 0.00128946
4 *6253:A2 *6512:A 0.000436811
5 *6253:A2 *469:11 0.000440568
6 *6253:A2 *587:21 0.000835879
7 *455:13 *6375:CLK 5.9708e-05
8 *455:13 *6511:A 2.85139e-05
9 *455:13 *469:11 0.000334808
10 *455:13 *516:37 6.03391e-06
11 sram_addr0[2] *6253:A2 2.15348e-05
12 *6207:S *455:13 0.000627148
13 *6208:A *455:13 0.000148129
14 *6230:A *455:13 0
15 *6232:A *6253:A2 0.000122378
16 *6235:A *6253:A2 0.000222149
17 *6253:B1 *6253:A2 6.08467e-05
18 *6375:D *455:13 0.000347853
*RES
1 *6435:X *455:13 45.255
2 *455:13 *6253:A2 24.971
*END
*D_NET *456 0.032576
*CONN
*I *6096:A2 I *D sky130_fd_sc_hd__a21o_1
*I *6436:X O *D sky130_fd_sc_hd__clkbuf_2
*CAP
1 *6096:A2 0.00066285
2 *6436:X 5.29506e-05
3 *456:9 0.00906035
4 *456:8 0.00845045
5 *6096:A2 *6090:A2 0.00108457
6 *6096:A2 *482:14 0
7 *456:9 *472:19 2.41483e-05
8 *456:9 *482:9 0.000413251
9 *456:9 *543:9 0.0124232
10 sram_din0[19] *456:8 1.79807e-05
11 *6436:A *456:8 1.79807e-05
12 *299:5 *6096:A2 0.000156351
13 *365:10 *6096:A2 0.000144546
14 *369:7 *6096:A2 6.73022e-05
*RES
1 *6436:X *456:8 19.6659
2 *456:8 *456:9 150.466
3 *456:9 *6096:A2 41.5728
*END
*D_NET *457 0.00980463
*CONN
*I *6498:A I *D sky130_fd_sc_hd__buf_2
*I *6261:A I *D sky130_fd_sc_hd__or3_1
*I *6318:Q O *D sky130_fd_sc_hd__dfxtp_1
*CAP
1 *6498:A 0.000353687
2 *6261:A 0
3 *6318:Q 0.000312265
4 *457:24 0.00330205
5 *457:21 0.00308929
6 *457:12 0.000453195
7 *6498:A *522:7 6.56092e-05
8 *6498:A *522:9 4.30017e-06
9 *457:24 *522:9 0.000535053
10 *6250:B *457:24 0.000572588
11 *6263:A1 *457:12 7.50722e-05
12 *317:10 *457:21 0.000263099
13 *317:12 *457:21 5.92342e-05
14 *317:16 *457:12 3.26195e-05
15 *317:16 *457:21 2.24484e-05
16 *322:9 *457:24 0.000357898
17 *384:8 *457:12 4.36698e-05
18 *384:8 *457:21 9.7734e-05
19 *387:21 *457:12 0.000164815
*RES
1 *6318:Q *457:12 20.3966
2 *457:12 *6261:A 13.7491
3 *457:12 *457:21 10.4845
4 *457:21 *457:24 46.5023
5 *457:24 *6498:A 18.0384
*END
*D_NET *458 0.0222126
*CONN
*I *6499:A I *D sky130_fd_sc_hd__buf_2
*I *6140:A I *D sky130_fd_sc_hd__or3_1
*I *6346:Q O *D sky130_fd_sc_hd__dfxtp_2
*CAP
1 *6499:A 8.752e-05
2 *6140:A 0
3 *6346:Q 0.000317156
4 *458:29 0.00434553
5 *458:27 0.00613569
6 *458:20 0.00345127
7 *458:8 0.00189074
8 *458:8 *516:240 0
9 *458:20 *516:240 0
10 *458:20 *585:8 0.000118166
11 *458:27 *6172:A0 0.000118253
12 *458:27 *6356:CLK 0.000148003
13 *458:27 *565:8 0.000200251
14 *458:27 *566:11 0.000554992
15 *458:27 *586:6 1.07248e-05
16 *458:29 *6174:A0 0.000148129
17 *458:29 *6176:A0 0.000200236
18 *458:29 *6183:A1 0
19 *458:29 *6185:A0 3.49417e-05
20 *458:29 *6192:A0 0.000231378
21 *458:29 *6223:A 0.000141016
22 *458:29 *6223:D 0.000102046
23 *458:29 *6224:D 0
24 *458:29 *6542:A 0
25 *458:29 *546:19 0
26 *458:29 *557:10 0
27 *458:29 *557:12 0
28 *458:29 *562:30 0
29 *458:29 *570:8 0.000101148
30 *458:29 *572:6 2.82537e-05
31 *458:29 *574:6 0
32 *458:29 *578:8 3.07997e-05
33 wb_data_o[31] *458:29 9.47659e-05
34 *6140:B *458:20 0
35 *6140:C *458:8 3.67708e-05
36 *6140:C *458:20 0.0001425
37 *6142:A1 *458:8 0
38 *6142:B1 *458:8 0
39 *6179:A *458:29 0.00012568
40 *6180:A *458:29 0
41 *6267:A *458:20 0.000725452
42 *6346:D *458:8 5.85213e-05
43 *6355:D *458:20 0.000213739
44 *6356:D *458:27 3.18826e-06
45 *335:6 *458:20 0
46 *384:8 *458:8 0
47 *417:27 *458:27 0.00032505
48 *417:27 *458:29 0
49 *441:8 *458:29 0.00174512
50 *441:19 *458:29 0.000345534
51 *441:49 *458:27 0
*RES
1 *6346:Q *458:8 21.0117
2 *458:8 *6140:A 13.7491
3 *458:8 *458:20 42.2954
4 *458:20 *458:27 48.8595
5 *458:27 *458:29 128.276
6 *458:29 *6499:A 15.5817
*END
*D_NET *459 0.0216972
*CONN
*I *6500:A I *D sky130_fd_sc_hd__buf_2
*I *6143:A I *D sky130_fd_sc_hd__or3_1
*I *6347:Q O *D sky130_fd_sc_hd__dfxtp_2
*CAP
1 *6500:A 0
2 *6143:A 7.82147e-05
3 *6347:Q 0.000889515
4 *459:18 0.00283784
5 *459:17 0.00283784
6 *459:15 0.00532062
7 *459:7 0.00628835
8 *459:7 *516:240 1.15389e-05
9 *459:7 *516:519 2.23058e-05
10 *459:15 *6092:A 0.000205686
11 *459:15 *516:252 0
12 *459:15 *547:22 0
13 *459:15 *550:17 0.00104958
14 wb_data_o[31] *459:18 0.000189732
15 *6092:B *459:15 9.29906e-05
16 *6094:A2 *459:15 0
17 *6297:A *459:7 0.000579046
18 *6347:D *459:7 0.000412485
19 *366:12 *459:15 0.000110998
20 *367:8 *459:15 0
21 *378:8 *6143:A 5.54078e-05
22 *378:8 *459:15 0.000348427
23 *407:10 *6143:A 0
24 *407:10 *459:15 0
25 *409:11 *459:15 0
26 *411:13 *459:7 0.000366589
*RES
1 *6347:Q *459:7 29.4468
2 *459:7 *6143:A 15.9964
3 *459:7 *459:15 147.17
4 *459:15 *459:17 4.5
5 *459:17 *459:18 69.4942
6 *459:18 *6500:A 9.24915
*END
*D_NET *460 0.00876007
*CONN
*I *6264:A I *D sky130_fd_sc_hd__or3_1
*I *6501:A I *D sky130_fd_sc_hd__buf_2
*I *6319:Q O *D sky130_fd_sc_hd__dfxtp_1
*CAP
1 *6264:A 0.000310679
2 *6501:A 0.00136636
3 *6319:Q 0.000383542
4 *460:19 0.00253243
5 *460:11 0.0018603
6 *6264:A *516:149 0
7 *6264:A *516:153 0.000149628
8 *6264:A *516:158 0.000346897
9 *460:19 *6244:B 2.16355e-05
10 *6149:A *6501:A 6.08467e-05
11 *6154:A *6501:A 0.00011818
12 *6319:D *6264:A 0
13 *6351:D *6501:A 1.40978e-05
14 *6372:D *460:19 0.000106635
15 *312:8 *460:11 0.000143032
16 *316:7 *460:19 0.000360159
17 *328:10 *6264:A 0
18 *328:17 *6264:A 0
19 *378:8 *460:11 0
20 *384:8 *6264:A 0
21 *392:10 *6264:A 0.000122083
22 *402:11 *460:11 0.000259585
23 *402:11 *460:19 0.000573461
24 *418:7 *6501:A 1.03403e-05
25 *454:7 *460:19 2.01874e-05
*RES
1 *6319:Q *460:11 28.4591
2 *460:11 *460:19 30.3344
3 *460:19 *6501:A 40.6928
4 *460:11 *6264:A 28.3862
*END
*D_NET *461 0.00870922
*CONN
*I *6271:A I *D sky130_fd_sc_hd__or3_1
*I *6502:A I *D sky130_fd_sc_hd__buf_2
*I *6320:Q O *D sky130_fd_sc_hd__dfxtp_1
*CAP
1 *6271:A 4.17724e-05
2 *6502:A 0
3 *6320:Q 0.000128954
4 *461:17 0.00161232
5 *461:13 0.00266096
6 *461:10 0.00121937
7 *461:17 *6159:A0 0.000893198
8 *461:17 *6159:A1 0.00115638
9 *461:17 *6234:A 0.000658147
10 *6271:C *6271:A 6.92705e-05
11 *6273:A1 *461:10 0
12 *328:8 *461:13 0.000115615
13 *378:8 *461:10 0.000153225
14 *407:10 *461:10 0
*RES
1 *6320:Q *461:10 21.635
2 *461:10 *461:13 23.8962
3 *461:13 *461:17 46.7796
4 *461:17 *6502:A 9.24915
5 *461:10 *6271:A 10.5271
*END
*D_NET *462 0.0105268
*CONN
*I *6503:A I *D sky130_fd_sc_hd__buf_2
*I *6274:A I *D sky130_fd_sc_hd__or3_1
*I *6321:Q O *D sky130_fd_sc_hd__dfxtp_1
*CAP
1 *6503:A 0.00128957
2 *6274:A 0.000452107
3 *6321:Q 0.000475575
4 *462:26 0.00276118
5 *462:7 0.00239929
6 *6274:A *516:145 0
7 *6274:A *516:240 3.84619e-05
8 *6503:A *6161:A1 0.000430366
9 *462:26 *6163:A0 0.000287844
10 *462:26 *516:128 0.000691706
11 *462:26 *516:240 9.04083e-05
12 *6142:A2 *462:26 9.14505e-05
13 *6158:A *6503:A 2.65667e-05
14 *6159:S *462:26 2.19102e-05
15 *6163:S *462:26 8.34559e-05
16 *6271:B *6274:A 8.65358e-05
17 *6274:C *6274:A 6.08467e-05
18 *327:18 *6274:A 0
19 *327:25 *462:7 0.000161312
20 *378:8 *6274:A 0
21 *378:8 *462:26 0
22 *423:5 *6503:A 0.000375027
23 *423:41 *6503:A 0.000683952
24 *423:41 *462:26 1.92172e-05
*RES
1 *6321:Q *462:7 21.1278
2 *462:7 *6274:A 25.3119
3 *462:7 *462:26 41.6578
4 *462:26 *6503:A 42.5736
*END
*D_NET *463 0.0117459
*CONN
*I *6279:A I *D sky130_fd_sc_hd__or3_1
*I *6504:A I *D sky130_fd_sc_hd__buf_2
*I *6322:Q O *D sky130_fd_sc_hd__dfxtp_1
*CAP
1 *6279:A 0
2 *6504:A 0.00145806
3 *6322:Q 0.000634097
4 *463:13 0.00408394
5 *463:11 0.00264651
6 *463:10 0.00065473
7 *6504:A *6165:A0 0.00062571
8 *6504:A *6167:A0 0.00036013
9 *6504:A *6355:CLK 3.14978e-05
10 *6504:A *515:11 9.63981e-05
11 *463:10 *6322:CLK 0.000258114
12 *463:10 *464:19 0.000125695
13 *6140:B *463:13 4.34469e-05
14 *6142:A1 *463:13 0.000171273
15 *6161:S *6504:A 2.82583e-05
16 *6168:A *6504:A 1.00937e-05
17 *6281:A1 *463:10 3.00073e-05
18 *6355:D *6504:A 2.13584e-05
19 *336:7 *463:13 0.000202692
20 *336:24 *463:10 1.32509e-05
21 *423:19 *6504:A 0.000250625
*RES
1 *6322:Q *463:10 28.9842
2 *463:10 *463:11 0.578717
3 *463:11 *463:13 56.7384
4 *463:13 *6504:A 46.8175
5 *463:10 *6279:A 9.24915
*END
*D_NET *464 0.0152038
*CONN
*I *6505:A I *D sky130_fd_sc_hd__buf_2
*I *6282:A I *D sky130_fd_sc_hd__or3_1
*I *6323:Q O *D sky130_fd_sc_hd__dfxtp_1
*CAP
1 *6505:A 0.00114405
2 *6282:A 0.000196776
3 *6323:Q 5.38744e-05
4 *464:30 0.00170598
5 *464:22 0.00154599
6 *464:19 0.00168001
7 *464:7 0.000946591
8 *6505:A *564:27 5.04829e-06
9 *6505:A *564:30 0.00104688
10 *464:7 *516:207 1.03403e-05
11 *464:19 *465:31 0
12 *464:22 *545:7 0.000255001
13 *464:30 *545:7 0.00031723
14 *464:30 *564:27 0.00168037
15 *464:30 *564:30 1.41976e-05
16 *6126:A *464:30 0.000222149
17 *6130:A1 *464:30 1.19721e-05
18 *6130:A2 *464:30 0.000160617
19 *6130:B1 *464:30 6.08467e-05
20 *6133:A2 *464:22 0.000233537
21 *6133:A2 *464:30 0.000255147
22 *6169:A *6505:A 0.000523562
23 *6279:C *464:19 0.000200251
24 *6281:A1 *464:19 2.69951e-05
25 *6282:B *6282:A 9.2346e-06
26 *6282:C *6282:A 0.000247231
27 *6282:C *464:19 0.000144531
28 *6289:A *464:22 0.00033061
29 *6304:B1 *464:19 1.03986e-05
30 *6307:A2 *464:19 0
31 *6322:D *464:19 6.80864e-05
32 *6342:D *464:30 1.74341e-05
33 *326:33 *464:30 0.000885726
34 *336:24 *464:19 8.53807e-05
35 *338:32 *464:19 0.0003637
36 *352:8 *464:22 0.000170325
37 *365:10 *6282:A 0
38 *365:10 *464:19 0
39 *403:28 *464:30 0.000170536
40 *403:39 *464:30 0.000277502
41 *463:10 *464:19 0.000125695
*RES
1 *6323:Q *464:7 14.4725
2 *464:7 *6282:A 19.7659
3 *464:7 *464:19 26.2641
4 *464:19 *464:22 25.982
5 *464:22 *464:30 32.8301
6 *464:30 *6505:A 44.9367
*END
*D_NET *465 0.0196502
*CONN
*I *6506:A I *D sky130_fd_sc_hd__buf_2
*I *6285:A I *D sky130_fd_sc_hd__or3_1
*I *5166:DIODE I *D sky130_fd_sc_hd__diode_2
*I *6324:Q O *D sky130_fd_sc_hd__dfxtp_1
*CAP
1 *6506:A 2.3034e-05
2 *6285:A 3.89636e-05
3 *5166:DIODE 0
4 *6324:Q 0.00099425
5 *465:37 0.00251481
6 *465:36 0.00261813
7 *465:31 0.00156053
8 *465:19 0.00166189
9 *465:11 0.001183
10 *465:31 *6322:CLK 0.000372364
11 *465:31 *516:520 0.000189496
12 *465:31 *516:540 0.000191609
13 *465:31 *516:549 0.000236521
14 *465:37 *546:15 0.00273422
15 *465:37 *563:11 1.19856e-05
16 *465:37 *563:13 0.000693705
17 *6122:A1 *465:37 6.08467e-05
18 *6123:C *465:37 0.000566144
19 *6134:C *465:37 0.0011468
20 *6136:A1 *465:37 0.000636352
21 *6171:A *465:37 0.00011818
22 *6281:A1 *465:31 1.5254e-05
23 *6307:A1 *465:31 0.000162975
24 *6307:B1 *465:31 0.000111708
25 *6329:D *465:36 0.000153225
26 *6358:D *465:37 6.08467e-05
27 *336:24 *465:31 0.000414263
28 *346:14 *465:31 0.000200251
29 *353:15 *465:31 0.000130409
30 *361:6 *465:11 0.000273262
31 *372:8 *465:31 0
32 *376:8 *465:36 0.000126041
33 *380:6 *465:36 5.65074e-05
34 *396:24 *465:37 0.000392596
35 *464:19 *465:31 0
*RES
1 *6324:Q *465:11 34.9722
2 *465:11 *5166:DIODE 9.24915
3 *465:11 *465:19 4.48505
4 *465:19 *6285:A 9.97254
5 *465:19 *465:31 46.0409
6 *465:31 *465:36 14.154
7 *465:36 *465:37 82.2501
8 *465:37 *6506:A 9.82786
*END
*D_NET *466 0.0192123
*CONN
*I *6507:A I *D sky130_fd_sc_hd__buf_2
*I *6291:A I *D sky130_fd_sc_hd__or3_1
*I *6325:Q O *D sky130_fd_sc_hd__dfxtp_1
*CAP
1 *6507:A 0.000532912
2 *6291:A 0
3 *6325:Q 0.00017313
4 *466:31 0.00356401
5 *466:29 0.00351693
6 *466:25 0.00270805
7 *466:11 0.00239535
8 *6507:A *492:11 0.000560984
9 *6507:A *515:11 9.40723e-05
10 *6507:A *516:634 7.41203e-05
11 *6507:A *545:15 2.61955e-05
12 *6507:A *564:33 0.000729546
13 *466:25 *516:240 0.000190191
14 *466:25 *516:507 3.86121e-05
15 *466:25 *516:519 0.000561926
16 *466:29 *6137:A 0.000889
17 *466:29 *516:240 3.86572e-05
18 *466:31 *6345:CLK 1.43848e-05
19 *466:31 *513:11 0.00117339
20 *466:31 *516:580 5.79471e-06
21 *6137:B *466:29 0.00011818
22 *6291:B *466:25 0.000111708
23 *6291:C *466:25 6.23875e-05
24 *6293:A1 *466:11 8.54125e-05
25 *6304:A1 *466:25 6.14944e-05
26 *6304:A2 *466:25 2.65831e-05
27 *6304:B1 *466:25 0.000231325
28 *6345:D *466:29 6.04266e-05
29 *6345:D *466:31 6.95207e-05
30 *359:8 *466:25 0.000572588
31 *361:6 *466:11 3.31736e-05
32 *411:13 *466:29 2.1801e-05
33 *422:7 *466:31 0.000470454
*RES
1 *6325:Q *466:11 22.3584
2 *466:11 *6291:A 9.24915
3 *466:11 *466:25 43.9463
4 *466:25 *466:29 14.8899
5 *466:29 *466:31 48.4193
6 *466:31 *6507:A 38.2507
*END
*D_NET *467 0.0328746
*CONN
*I *6101:A2 I *D sky130_fd_sc_hd__a21o_1
*I *6437:X O *D sky130_fd_sc_hd__clkbuf_2
*CAP
1 *6101:A2 0.000651711
2 *6437:X 0.00020694
3 *467:9 0.00252628
4 *467:8 0.00208151
5 *6101:A2 *6276:A2 1.5254e-05
6 *6101:A2 *6283:A1 0.000209493
7 *6101:A2 *6311:A2 0
8 *6101:A2 *474:16 0.000215162
9 *467:9 *471:9 0.0147665
10 *467:9 *521:9 8.41339e-05
11 *467:9 *532:9 0.0106612
12 sram_addr0[5] *6101:A2 9.47831e-05
13 sram_addr1[5] *6101:A2 4.08753e-05
14 sram_din0[20] *467:8 0
15 *6283:B1 *6101:A2 0.00020588
16 *6315:B1 *6101:A2 6.50586e-05
17 *6439:A *467:8 0
18 *342:8 *6101:A2 0.000165495
19 *346:10 *6101:A2 0.000884308
*RES
1 *6437:X *467:8 22.1574
2 *467:8 *467:9 157.122
3 *467:9 *6101:A2 43.6434
*END
*D_NET *468 0.00159355
*CONN
*I *6150:A1 I *D sky130_fd_sc_hd__a21o_1
*I *6508:A I *D sky130_fd_sc_hd__buf_2
*I *6349:Q O *D sky130_fd_sc_hd__dfxtp_1
*CAP
1 *6150:A1 3.55915e-05
2 *6508:A 0.000145407
3 *6349:Q 0.000206552
4 *468:7 0.00038755
5 *6508:A *540:17 0.000107496
6 *468:7 *524:23 0.00013978
7 sram_web0 *6508:A 0.000111722
8 wb_error_o *6150:A1 6.79599e-05
9 wb_error_o *6508:A 0.000257987
10 *160:11 *6150:A1 3.00073e-05
11 *160:11 *6508:A 0.000103493
*RES
1 *6349:Q *468:7 17.2456
2 *468:7 *6508:A 19.7659
3 *468:7 *6150:A1 15.1659
*END
*D_NET *469 0.00426606
*CONN
*I *6259:A2 I *D sky130_fd_sc_hd__a21o_1
*I *6438:X O *D sky130_fd_sc_hd__clkbuf_1
*CAP
1 *6259:A2 0
2 *6438:X 0.000690503
3 *469:11 0.000690503
4 *469:11 *6207:A0 3.34802e-05
5 *469:11 *587:21 0.00080979
6 *6232:A *469:11 0.00011818
7 *6235:A *469:11 0.000217937
8 *6253:A1 *469:11 0.000118166
9 *6253:A2 *469:11 0.000440568
10 *6253:B1 *469:11 6.08467e-05
11 *6259:A1 *469:11 8.70622e-06
12 *6259:B1 *469:11 6.50586e-05
13 *6260:A2 *469:11 0.0002817
14 *6438:A *469:11 0.000104731
15 *321:15 *469:11 3.14978e-05
16 *321:19 *469:11 0.000259585
17 *455:13 *469:11 0.000334808
*RES
1 *6438:X *469:11 47.4496
2 *469:11 *6259:A2 9.24915
*END
*D_NET *470 0.0390114
*CONN
*I *6105:A2 I *D sky130_fd_sc_hd__a21o_1
*I *6439:X O *D sky130_fd_sc_hd__clkbuf_2
*CAP
1 *6105:A2 0
2 *6439:X 0.000119895
3 *470:15 0.000691198
4 *470:9 0.00201064
5 *470:8 0.00143933
6 *470:9 *471:9 0.0156921
7 *470:9 *482:9 0.0156837
8 *470:15 *6090:A2 0.00126748
9 *470:15 *6144:A2 0.000329006
10 *470:15 *6209:A1 0.000317707
11 *470:15 *482:14 0
12 sram_din0[21] *470:8 3.86628e-05
13 sram_din0[4] *470:15 0
14 *6209:S *470:15 0.000317707
15 *6439:A *470:8 3.86628e-05
16 *6454:A *470:15 0.000957912
17 *365:10 *470:15 0.000107296
*RES
1 *6439:X *470:8 21.3269
2 *470:8 *470:9 167.104
3 *470:9 *470:15 42.9808
4 *470:15 *6105:A2 9.24915
*END
*D_NET *471 0.0400205
*CONN
*I *6109:A2 I *D sky130_fd_sc_hd__a21o_1
*I *6440:X O *D sky130_fd_sc_hd__buf_2
*CAP
1 *6109:A2 1.87714e-05
2 *6440:X 0.00012782
3 *471:21 0.0012003
4 *471:9 0.00314582
5 *471:8 0.00209211
6 *471:9 *472:19 5.03285e-05
7 *471:9 *482:9 0.00053539
8 *471:21 *6093:A2 0.000246518
9 *471:21 *472:25 0
10 *471:21 *589:32 0.000154145
11 sram_addr0[4] *471:21 0
12 sram_addr1[4] *471:21 0.000202721
13 sram_din0[22] *471:8 7.18429e-05
14 *6096:B1 *471:21 1.78514e-05
15 *6112:B1 *471:21 5.41227e-05
16 *6440:A *471:8 4.38575e-05
17 *324:8 *471:21 0.000169108
18 *343:8 *471:21 0.0010878
19 *380:6 *471:21 0.000312027
20 *386:11 *6109:A2 2.16355e-05
21 *386:11 *471:21 4.89898e-06
22 *386:23 *471:21 4.80635e-06
23 *467:9 *471:9 0.0147665
24 *470:9 *471:9 0.0156921
*RES
1 *6440:X *471:8 21.7421
2 *471:8 *471:9 184.297
3 *471:9 *471:21 49.3017
4 *471:21 *6109:A2 9.97254
*END
*D_NET *472 0.0327878
*CONN
*I *6112:A2 I *D sky130_fd_sc_hd__a21o_1
*I *6441:X O *D sky130_fd_sc_hd__buf_2
*CAP
1 *6112:A2 0
2 *6441:X 0.0003179
3 *472:25 0.000867268
4 *472:19 0.00151576
5 *472:18 0.000762519
6 *472:13 0.00221189
7 *472:11 0.00213721
8 *472:9 0.00179481
9 *472:7 0.00207336
10 *472:7 *478:11 0.000108733
11 *472:9 *479:9 0.000638621
12 *472:9 *554:5 0.00109101
13 *472:13 *490:18 0.000536595
14 *472:13 *499:15 0.00111249
15 *472:13 *554:5 0.000305265
16 *472:19 *482:9 0.00544641
17 *472:19 *543:9 0.00512774
18 *472:25 *6093:A2 0.00106725
19 *472:25 *6272:A2 0.000498106
20 *472:25 *482:14 0
21 sram_addr1[4] *472:25 3.58185e-05
22 sram_din0[10] *472:13 0.000171288
23 sram_din0[11] *472:13 0.000171288
24 sram_din0[12] *472:13 0.000171288
25 sram_din0[14] *472:13 0.000171288
26 sram_din0[17] *472:9 0.00033061
27 sram_din0[19] *472:9 0.000277502
28 sram_din0[22] *472:7 0.000132816
29 sram_din0[22] *472:9 3.8519e-05
30 sram_din0[4] *472:25 0.000158867
31 sram_din0[8] *472:13 0.000277502
32 sram_din0[8] *472:18 0.000128881
33 sram_din0[9] *472:13 0.000383717
34 *6096:A1 *472:25 0.000101133
35 *6096:B1 *472:25 9.22013e-06
36 *6209:S *472:25 0.000191541
37 *6213:S *472:25 0.000233455
38 *6436:A *472:9 5.07314e-05
39 *6437:A *472:9 0.000212225
40 *6439:A *472:9 5.07314e-05
41 *6440:A *472:9 6.50727e-05
42 *6441:A *472:7 2.65831e-05
43 *6446:A *472:13 6.50727e-05
44 *6457:A *472:13 6.50727e-05
45 *6459:A *472:13 0.000171288
46 *6459:A *472:18 0
47 *6468:A *472:13 0.000171288
48 *6490:A *472:13 6.3657e-05
49 *6495:A *472:9 5.07314e-05
50 *6496:A *472:9 5.07314e-05
51 *6497:A *472:9 0.000188077
52 *322:9 *472:25 6.08467e-05
53 *375:12 *472:25 3.31396e-05
54 *376:8 *472:25 0.000707067
55 *380:6 *472:25 8.33713e-05
56 *456:9 *472:19 2.41483e-05
57 *471:9 *472:19 5.03285e-05
58 *471:21 *472:25 0
*RES
1 *6441:X *472:7 17.4838
2 *472:7 *472:9 58.4022
3 *472:9 *472:11 0.988641
4 *472:11 *472:13 64.7801
5 *472:13 *472:18 11.6625
6 *472:18 *472:19 60.6206
7 *472:19 *472:25 46.0213
8 *472:25 *6112:A2 9.24915
*END
*D_NET *473 0.0535163
*CONN
*I *6115:A2 I *D sky130_fd_sc_hd__a21o_1
*I *6442:X O *D sky130_fd_sc_hd__buf_2
*CAP
1 *6115:A2 0
2 *6442:X 0.00482257
3 *473:14 0.00104813
4 *473:9 0.0058707
5 *473:9 *474:13 0.0205259
6 *473:9 *475:11 5.13735e-05
7 *473:9 *476:9 0.0192655
8 *473:9 *479:9 7.49735e-06
9 *473:14 *6089:A 0
10 *473:14 *589:17 0
11 sram_addr0[4] *473:14 0.000218871
12 sram_din0[24] *473:9 7.86297e-05
13 sram_wmask0[3] *473:14 7.59976e-05
14 *6442:A *473:9 4.83521e-05
15 *6453:A *473:14 0
16 *321:9 *473:14 0
17 *340:10 *473:14 0.00148307
18 *422:32 *473:14 1.15133e-05
19 *422:50 *473:14 8.24277e-06
*RES
1 *6442:X *473:9 49.2463
2 *473:9 *473:14 42.7133
3 *473:14 *6115:A2 9.24915
*END
*D_NET *474 0.0428529
*CONN
*I *6121:A2 I *D sky130_fd_sc_hd__a21o_1
*I *6443:X O *D sky130_fd_sc_hd__buf_2
*CAP
1 *6121:A2 0.000314012
2 *6443:X 0.000396865
3 *474:16 0.00163341
4 *474:13 0.00911085
5 *474:10 0.00818832
6 *474:13 *476:9 0.000396838
7 *474:16 *6144:A2 0
8 sram_addr0[5] *474:16 0.000309259
9 sram_din0[25] *474:10 0.00010054
10 *6101:A2 *474:16 0.000215162
11 *6121:A1 *6121:A2 6.50586e-05
12 *6121:B1 *6121:A2 2.46524e-05
13 *6124:A *474:16 7.7321e-05
14 *6283:B1 *474:16 7.69735e-05
15 *6314:A *474:16 9.1128e-05
16 *6443:A *474:10 0.000181846
17 *6454:A *474:16 0
18 *323:31 *474:16 0.000160299
19 *323:36 *474:16 4.11983e-05
20 *342:8 *474:16 6.07931e-05
21 *346:10 *474:16 0
22 *346:14 *474:16 0.000278054
23 *371:10 *474:16 0.000393329
24 *399:7 *6121:A2 0.000175601
25 *405:5 *6121:A2 3.55126e-05
26 *473:9 *474:13 0.0205259
*RES
1 *6443:X *474:10 25.7001
2 *474:10 *474:13 30.8786
3 *474:13 *474:16 47.2343
4 *474:16 *6121:A2 17.2065
*END
*D_NET *475 0.0424618
*CONN
*I *6125:A2 I *D sky130_fd_sc_hd__a21o_1
*I *6444:X O *D sky130_fd_sc_hd__buf_2
*CAP
1 *6125:A2 7.08032e-05
2 *6444:X 0.00508077
3 *475:12 0.00111366
4 *475:11 0.00612363
5 *475:11 *476:9 0.0211537
6 *475:11 *479:9 0.00511519
7 *475:12 *476:17 0.000982546
8 *475:12 *479:14 0.00247886
9 sram_din0[26] *475:11 4.76318e-05
10 *6125:B1 *6125:A2 9.03913e-06
11 *6129:B1 *475:12 0.000190028
12 *6444:A *475:11 3.01656e-05
13 *351:10 *475:12 0
14 *398:32 *6125:A2 1.43983e-05
15 *473:9 *475:11 5.13735e-05
*RES
1 *6444:X *475:11 49.8067
2 *475:11 *475:12 46.0564
3 *475:12 *6125:A2 15.6059
*END
*D_NET *476 0.0532066
*CONN
*I *6129:A2 I *D sky130_fd_sc_hd__a21o_1
*I *6445:X O *D sky130_fd_sc_hd__buf_2
*CAP
1 *6129:A2 0
2 *6445:X 0.00384255
3 *476:17 0.0012867
4 *476:9 0.00512925
5 *476:9 *479:9 0.000203245
6 *476:17 *6303:A2 0.000163772
7 *476:17 *588:22 7.65099e-05
8 sram_din0[27] *476:9 0.000160195
9 *6129:A1 *476:17 1.43983e-05
10 *6447:A *476:9 0
11 *6455:A *476:17 0.000531489
12 *351:10 *476:17 0
13 *473:9 *476:9 0.0192655
14 *474:13 *476:9 0.000396838
15 *475:11 *476:9 0.0211537
16 *475:12 *476:17 0.000982546
*RES
1 *6445:X *476:9 48.5688
2 *476:9 *476:17 49.9683
3 *476:17 *6129:A2 9.24915
*END
*D_NET *477 0.00870147
*CONN
*I *6296:A2 I *D sky130_fd_sc_hd__a21o_1
*I *6446:X O *D sky130_fd_sc_hd__clkbuf_1
*CAP
1 *6296:A2 0.00133849
2 *6446:X 0.000503311
3 *477:8 0.0018418
4 *6296:A2 *480:13 6.89937e-05
5 *477:8 *6518:A 0.000152415
6 *477:8 *478:11 0.000320189
7 *477:8 *499:15 0.00224023
8 *477:8 *554:5 0.00223603
*RES
1 *6446:X *477:8 46.9331
2 *477:8 *6296:A2 46.0849
*END
*D_NET *478 0.0388139
*CONN
*I *6132:A2 I *D sky130_fd_sc_hd__a21o_1
*I *6447:X O *D sky130_fd_sc_hd__buf_2
*CAP
1 *6132:A2 0
2 *6447:X 0.00561456
3 *478:15 0.00186736
4 *478:11 0.00748192
5 *478:11 *479:9 0.0217753
6 *478:11 *491:7 0.000139878
7 *478:11 *510:7 0.000196389
8 *478:11 *510:11 0.000266266
9 *478:11 *554:5 0.00103544
10 *478:15 *480:13 0
11 sram_din0[28] *478:11 7.86825e-06
12 *6456:A *478:15 0
13 *472:7 *478:11 0.000108733
14 *477:8 *478:11 0.000320189
*RES
1 *6447:X *478:11 48.8341
2 *478:11 *478:15 49.0725
3 *478:15 *6132:A2 9.24915
*END
*D_NET *479 0.045911
*CONN
*I *6135:A2 I *D sky130_fd_sc_hd__a21o_1
*I *6448:X O *D sky130_fd_sc_hd__buf_2
*CAP
1 *6135:A2 0.000144984
2 *6448:X 0.00547496
3 *479:14 0.00111194
4 *479:9 0.00644191
5 *479:9 *499:15 0.000569527
6 *479:14 *6306:A2 0
7 *479:14 *6315:A2 0
8 sram_din0[29] *479:9 1.25923e-05
9 *6135:A1 *6135:A2 7.50872e-05
10 *6241:A *479:14 9.57348e-05
11 *6448:A *479:9 1.20291e-05
12 *351:10 *6135:A2 0
13 *351:10 *479:14 0
14 *363:6 *6135:A2 6.42864e-05
15 *363:6 *479:14 0.0016892
16 *472:9 *479:9 0.000638621
17 *473:9 *479:9 7.49735e-06
18 *475:11 *479:9 0.00511519
19 *475:12 *479:14 0.00247886
20 *476:9 *479:9 0.000203245
21 *478:11 *479:9 0.0217753
*RES
1 *6448:X *479:9 48.8637
2 *479:9 *479:14 48.2893
3 *479:14 *6135:A2 17.8973
*END
*D_NET *480 0.0387726
*CONN
*I *6138:A2 I *D sky130_fd_sc_hd__a21o_1
*I *6449:X O *D sky130_fd_sc_hd__buf_2
*CAP
1 *6138:A2 0.000729704
2 *6449:X 0
3 *480:13 0.00197057
4 *480:5 0.0156129
5 *480:4 0.014372
6 *6138:A2 *6120:A 0.000160617
7 *6138:A2 *6311:A2 0.000136391
8 *6138:A2 *6315:A2 0.000623254
9 *6138:A2 *6376:CLK 0.000364246
10 *6138:A2 *588:8 0
11 *480:5 *6280:A2 0.000636338
12 *480:5 *6292:A2 7.6719e-06
13 *480:5 *510:7 0.000989885
14 *480:5 *510:11 0.000939132
15 *480:5 *510:25 0.000437104
16 sram_din0[15] *480:5 6.91561e-06
17 sram_din0[16] *480:5 2.86753e-05
18 sram_din0[18] *480:5 1.05422e-05
19 sram_din0[20] *480:5 1.05422e-05
20 sram_din0[21] *480:5 1.05422e-05
21 sram_din0[23] *480:5 3.23019e-05
22 sram_din0[25] *480:5 1.05422e-05
23 sram_din0[27] *480:5 1.05422e-05
24 sram_din0[28] *480:5 1.05422e-05
25 *6210:A *6138:A2 0.000125695
26 *6243:A *480:5 2.41483e-05
27 *6243:A *480:13 6.96846e-05
28 *6284:A2 *6138:A2 0.00111651
29 *6287:B1 *480:13 0
30 *6296:A1 *480:13 3.50956e-06
31 *6296:A2 *480:13 6.89937e-05
32 *6296:B1 *480:13 1.43983e-05
33 *6449:A *480:5 2.65831e-05
34 *6458:A *480:5 7.48797e-05
35 *6460:A *480:5 7.48797e-05
36 *348:10 *6138:A2 0
37 *350:18 *480:13 0
38 *407:7 *6138:A2 6.23875e-05
39 *478:15 *480:13 0
*RES
1 *6449:X *480:4 9.24915
2 *480:4 *480:5 222.565
3 *480:5 *480:13 32.8272
4 *480:13 *6138:A2 46.4814
*END
*D_NET *481 0.00147588
*CONN
*I *6262:A2 I *D sky130_fd_sc_hd__a21o_1
*I *6450:X O *D sky130_fd_sc_hd__clkbuf_1
*CAP
1 *6262:A2 0.000308243
2 *6450:X 0.000308243
3 sram_wmask0[2] *6262:A2 0.000325947
4 *6253:A1 *6262:A2 7.65861e-05
5 *6262:A1 *6262:A2 0.000190028
6 *6450:A *6262:A2 0
7 *315:11 *6262:A2 0.000266832
*RES
1 *6450:X *6262:A2 36.4246
*END
*D_NET *482 0.0398607
*CONN
*I *6141:A2 I *D sky130_fd_sc_hd__a21o_1
*I *6451:X O *D sky130_fd_sc_hd__clkbuf_4
*CAP
1 *6141:A2 0
2 *6451:X 8.8564e-05
3 *482:14 0.00131688
4 *482:9 0.00642259
5 *482:8 0.00519427
6 *482:9 *543:9 0.00323741
7 *482:14 *6090:A2 0
8 *482:14 *6272:A2 5.89203e-05
9 sram_din0[30] *482:8 6.81008e-05
10 sram_din0[4] *482:14 0.000207587
11 *6096:A1 *482:14 2.69064e-05
12 *6096:A2 *482:14 0
13 *6105:A1 *482:14 6.46135e-05
14 *6105:B1 *482:14 7.58739e-05
15 *6144:B1 *482:14 3.20069e-06
16 *6449:A *482:8 0
17 *313:14 *482:14 0.000207394
18 *322:15 *482:14 0.000174175
19 *323:10 *482:14 8.01741e-05
20 *365:10 *482:14 0
21 *369:10 *482:14 0.000390407
22 *375:12 *482:14 8.62165e-05
23 *376:8 *482:14 7.86414e-05
24 *456:9 *482:9 0.000413251
25 *470:9 *482:9 0.0156837
26 *470:15 *482:14 0
27 *471:9 *482:9 0.00053539
28 *472:19 *482:9 0.00544641
29 *472:25 *482:14 0
*RES
1 *6451:X *482:8 20.9116
2 *482:8 *482:9 250.85
3 *482:9 *482:14 49.4505
4 *482:14 *6141:A2 9.24915
*END
*D_NET *483 0.0387048
*CONN
*I *6144:A2 I *D sky130_fd_sc_hd__a21o_1
*I *6452:X O *D sky130_fd_sc_hd__clkbuf_4
*CAP
1 *6144:A2 0.000913534
2 *6452:X 0
3 *483:23 0.00146358
4 *483:15 0.00252596
5 *483:5 0.0150655
6 *483:4 0.0130896
7 *6144:A2 *590:32 0.00027329
8 *483:15 *6287:A2 0.00138882
9 sram_din0[5] *483:23 0.000128309
10 *6243:A *483:15 2.398e-06
11 *6243:A *483:23 8.58411e-05
12 *6287:A1 *483:23 6.53312e-05
13 *6452:A *483:5 6.92705e-05
14 *6454:A *6144:A2 0.000341222
15 *314:9 *483:23 0.000900252
16 *315:11 *483:23 0.000245054
17 *323:31 *6144:A2 0.00132269
18 *323:36 *6144:A2 0.000148129
19 *323:36 *483:23 6.50586e-05
20 *342:8 *483:23 9.14669e-05
21 *346:14 *6144:A2 0
22 *351:7 *483:23 7.22498e-05
23 *365:10 *6144:A2 0
24 *411:7 *6144:A2 0.000118245
25 *470:15 *6144:A2 0.000329006
26 *474:16 *6144:A2 0
*RES
1 *6452:X *483:4 9.24915
2 *483:4 *483:5 191.23
3 *483:5 *483:15 43.3676
4 *483:15 *483:23 25.382
5 *483:23 *6144:A2 49.2516
*END
*D_NET *484 0.00106395
*CONN
*I *6265:A2 I *D sky130_fd_sc_hd__a21o_1
*I *6453:X O *D sky130_fd_sc_hd__clkbuf_1
*CAP
1 *6265:A2 0.000302143
2 *6453:X 0.000302143
3 *6265:B1 *6265:A2 4.3116e-06
4 *6453:A *6265:A2 9.31335e-05
5 *315:11 *6265:A2 0.000167076
6 *321:15 *6265:A2 0.000195139
7 *334:6 *6265:A2 0
*RES
1 *6453:X *6265:A2 35.3154
*END
*D_NET *485 0.00246196
*CONN
*I *6272:A2 I *D sky130_fd_sc_hd__a21o_1
*I *6454:X O *D sky130_fd_sc_hd__clkbuf_1
*CAP
1 *6272:A2 0.000725937
2 *6454:X 0.000725937
3 *6272:A2 *587:33 6.46887e-05
4 sram_din0[4] *6272:A2 0.000299916
5 *6454:A *6272:A2 2.16355e-05
6 *343:7 *6272:A2 5.97411e-05
7 *365:7 *6272:A2 7.0826e-06
8 *472:25 *6272:A2 0.000498106
9 *482:14 *6272:A2 5.89203e-05
*RES
1 *6454:X *6272:A2 45.0462
*END
*D_NET *486 0.00204153
*CONN
*I *6276:A2 I *D sky130_fd_sc_hd__a21o_1
*I *6455:X O *D sky130_fd_sc_hd__clkbuf_1
*CAP
1 *6276:A2 0.000568977
2 *6455:X 0.000568977
3 *6276:A2 *6283:A1 1.07248e-05
4 *6101:A2 *6276:A2 1.5254e-05
5 *315:11 *6276:A2 0.000756967
6 *346:10 *6276:A2 7.50872e-05
7 *348:10 *6276:A2 4.5539e-05
*RES
1 *6455:X *6276:A2 38.2334
*END
*D_NET *487 0.00334064
*CONN
*I *6280:A2 I *D sky130_fd_sc_hd__a21o_1
*I *6456:X O *D sky130_fd_sc_hd__clkbuf_1
*CAP
1 *6280:A2 0.00053452
2 *6456:X 0.00053452
3 *6280:A2 *6292:A2 9.17814e-05
4 *6280:A2 *510:25 2.34052e-05
5 *6241:A *6280:A2 0.000307037
6 *6243:A *6280:A2 0.000689473
7 *6280:B1 *6280:A2 3.01683e-06
8 *6287:B1 *6280:A2 6.50586e-05
9 *6292:A1 *6280:A2 0.000114518
10 *6456:A *6280:A2 9.60366e-05
11 *342:32 *6280:A2 0.000175662
12 *355:7 *6280:A2 6.92705e-05
13 *480:5 *6280:A2 0.000636338
*RES
1 *6456:X *6280:A2 48.9343
*END
*D_NET *488 0.00702217
*CONN
*I *6300:A2 I *D sky130_fd_sc_hd__a21o_1
*I *6457:X O *D sky130_fd_sc_hd__clkbuf_1
*CAP
1 *6300:A2 0
2 *6457:X 0.000679125
3 *488:9 0.00230545
4 *488:8 0.00298458
5 *299:5 *488:9 0.00105301
*RES
1 *6457:X *488:8 34.615
2 *488:8 *488:9 63.9482
3 *488:9 *6300:A2 9.24915
*END
*D_NET *489 0.00530883
*CONN
*I *6283:A2 I *D sky130_fd_sc_hd__a21o_1
*I *6458:X O *D sky130_fd_sc_hd__clkbuf_1
*CAP
1 *6283:A2 0.00131579
2 *6458:X 0.000137976
3 *489:8 0.00145377
4 *6283:A2 *6515:A 0.000222149
5 *6283:A2 *490:18 0.000164815
6 *6283:A2 *499:23 0.000392751
7 *6283:A2 *554:5 1.50167e-05
8 sram_addr0[5] *6283:A2 0.000242981
9 sram_addr0[6] *6283:A2 0.000398196
10 sram_addr1[5] *6283:A2 0.000313495
11 sram_addr1[7] *6283:A2 0.000171288
12 sram_din0[7] *6283:A2 0.00033061
13 *6458:A *489:8 0.000150003
*RES
1 *6458:X *489:8 21.3269
2 *489:8 *6283:A2 49.3735
*END
*D_NET *490 0.00625558
*CONN
*I *6287:A2 I *D sky130_fd_sc_hd__a21o_1
*I *6459:X O *D sky130_fd_sc_hd__clkbuf_1
*CAP
1 *6287:A2 0.000539639
2 *6459:X 0.000970206
3 *490:18 0.00150985
4 *490:18 *499:15 0.000137303
5 *490:18 *499:23 0.000411515
6 sram_addr0[8] *490:18 0.000118166
7 sram_addr1[8] *490:18 0.000171288
8 sram_din0[8] *490:18 1.65872e-05
9 *6243:A *6287:A2 4.15559e-05
10 *6283:A2 *490:18 0.000164815
11 *6456:A *6287:A2 0.000171288
12 *6458:A *490:18 7.79578e-05
13 *472:13 *490:18 0.000536595
14 *483:15 *6287:A2 0.00138882
*RES
1 *6459:X *490:18 43.2267
2 *490:18 *6287:A2 32.1808
*END
*D_NET *491 0.00823523
*CONN
*I *6292:A2 I *D sky130_fd_sc_hd__a21o_1
*I *6460:X O *D sky130_fd_sc_hd__clkbuf_1
*CAP
1 *6292:A2 0.000256291
2 *6460:X 0.000874925
3 *491:7 0.00113122
4 *6292:A2 *6517:A 0.000638598
5 *6292:A2 *510:25 0.0017252
6 *491:7 *510:11 0.00259716
7 *491:7 *510:25 1.67988e-05
8 *6243:A *6292:A2 0.000745368
9 *6280:A2 *6292:A2 9.17814e-05
10 *342:32 *6292:A2 1.03434e-05
11 *478:11 *491:7 0.000139878
12 *480:5 *6292:A2 7.6719e-06
*RES
1 *6460:X *491:7 37.7268
2 *491:7 *6292:A2 29.9624
*END
*D_NET *492 0.00350735
*CONN
*I *6170:A1 I *D sky130_fd_sc_hd__mux2_1
*I *6461:X O *D sky130_fd_sc_hd__clkbuf_1
*CAP
1 *6170:A1 0
2 *6461:X 0.000698535
3 *492:11 0.000698535
4 *492:11 *6172:A1 2.18523e-06
5 *492:11 *6525:A 9.4885e-05
6 *492:11 *514:8 0.000317721
7 *492:11 *515:11 1.70077e-05
8 *492:11 *558:15 0.000357898
9 *492:11 *559:12 7.50722e-05
10 *492:11 *564:33 0.000140439
11 *6170:S *492:11 1.61631e-05
12 *6171:A *492:11 0.00030861
13 *6359:D *492:11 0.000101133
14 *6507:A *492:11 0.000560984
15 *429:16 *492:11 0.00011818
*RES
1 *6461:X *492:11 41.8865
2 *492:11 *6170:A1 9.24915
*END
*D_NET *493 0.00202497
*CONN
*I *6172:A1 I *D sky130_fd_sc_hd__mux2_1
*I *6462:X O *D sky130_fd_sc_hd__clkbuf_1
*CAP
1 *6172:A1 0.000645747
2 *6462:X 0.000645747
3 *6172:A1 *551:11 0.000154145
4 *6172:A1 *558:15 6.03797e-05
5 *6172:A1 *558:18 0.000254045
6 *6172:A1 *563:30 0.000262724
7 *492:11 *6172:A1 2.18523e-06
*RES
1 *6462:X *6172:A1 40.1703
*END
*D_NET *494 0.00152247
*CONN
*I *6174:A1 I *D sky130_fd_sc_hd__mux2_1
*I *6463:X O *D sky130_fd_sc_hd__clkbuf_1
*CAP
1 *6174:A1 0.000575337
2 *6463:X 0.000575337
3 *6174:A1 *6174:A0 2.16355e-05
4 *6174:A1 *6525:A 0.000186076
5 *6174:A1 *558:18 7.50872e-05
6 *6174:A1 *563:30 7.86847e-05
7 *6174:S *6174:A1 7.92757e-06
8 *6175:A *6174:A1 2.38934e-06
*RES
1 *6463:X *6174:A1 36.8483
*END
*D_NET *495 0.00243835
*CONN
*I *6176:A1 I *D sky130_fd_sc_hd__mux2_1
*I *6464:X O *D sky130_fd_sc_hd__clkbuf_1
*CAP
1 *6176:A1 0.000624059
2 *6464:X 0.000624059
3 *6176:A1 *6176:A0 6.08467e-05
4 *6176:A1 *560:16 0.0004695
5 *6176:A1 *564:37 0.000470999
6 *6176:S *6176:A1 3.82228e-05
7 *6177:A *6176:A1 0.000107496
8 *6464:A *6176:A1 4.31703e-05
*RES
1 *6464:X *6176:A1 44.0764
*END
*D_NET *496 0.00175994
*CONN
*I *6178:A1 I *D sky130_fd_sc_hd__mux2_1
*I *6465:X O *D sky130_fd_sc_hd__clkbuf_1
*CAP
1 *6178:A1 0.000753336
2 *6465:X 0.000753336
3 *6178:A1 *6219:D 7.50722e-05
4 *6179:A *6178:A1 0.000103123
5 *6180:A *6178:A1 7.50722e-05
*RES
1 *6465:X *6178:A1 39.0667
*END
*D_NET *497 0.0017952
*CONN
*I *6181:A1 I *D sky130_fd_sc_hd__mux2_1
*I *6466:X O *D sky130_fd_sc_hd__clkbuf_1
*CAP
1 *6181:A1 0.000594479
2 *6466:X 0.000594479
3 *6181:A1 *6181:A0 6.50727e-05
4 *6181:A1 *547:23 2.41916e-05
5 *6181:A1 *562:30 0.000130946
6 *6182:A *6181:A1 0.000320958
7 *6363:D *6181:A1 6.50727e-05
*RES
1 *6466:X *6181:A1 41.3089
*END
*D_NET *498 0.00291167
*CONN
*I *6183:A1 I *D sky130_fd_sc_hd__mux2_1
*I *6467:X O *D sky130_fd_sc_hd__clkbuf_1
*CAP
1 *6183:A1 0.00118682
2 *6467:X 0.00118682
3 *6183:A1 *557:12 0.000332543
4 *6183:S *6183:A1 0.000169041
5 *6184:A *6183:A1 3.6455e-05
6 *458:29 *6183:A1 0
*RES
1 *6467:X *6183:A1 48.2401
*END
*D_NET *499 0.0149407
*CONN
*I *6303:A2 I *D sky130_fd_sc_hd__a21o_1
*I *6468:X O *D sky130_fd_sc_hd__dlymetal6s2s_1
*CAP
1 *6303:A2 0.000374082
2 *6468:X 0
3 *499:23 0.00083275
4 *499:15 0.000919718
5 *499:4 0.00046105
6 *6303:A2 *6306:A1 5.05252e-05
7 *499:15 *554:5 0.000957943
8 *499:23 *554:5 0.00266026
9 sram_addr0[6] *499:23 0.000891059
10 sram_addr0[8] *499:23 0.000118166
11 sram_din0[10] *499:15 0.000171288
12 sram_din0[11] *499:15 0.000171288
13 sram_din0[12] *499:15 0.000171288
14 sram_din0[7] *499:23 0.00033061
15 sram_din0[8] *499:15 0.00033061
16 sram_din0[9] *499:15 0.000383717
17 *6283:A2 *499:23 0.000392751
18 *6287:B1 *6303:A2 0
19 *6303:A1 *6303:A2 6.50586e-05
20 *6446:A *499:15 6.50727e-05
21 *6455:A *6303:A2 0.000319337
22 *6457:A *499:15 6.50727e-05
23 *6459:A *499:15 0.000171288
24 *6468:A *499:15 0.000171288
25 *351:10 *6303:A2 0.000113591
26 *355:8 *6303:A2 5.29763e-05
27 *357:23 *6303:A2 6.50586e-05
28 *472:13 *499:15 0.00111249
29 *476:17 *6303:A2 0.000163772
30 *477:8 *499:15 0.00224023
31 *479:9 *499:15 0.000569527
32 *490:18 *499:15 0.000137303
33 *490:18 *499:23 0.000411515
*RES
1 *6468:X *499:4 9.24915
2 *499:4 *499:15 47.937
3 *499:15 *499:23 41.4655
4 *499:23 *6303:A2 27.1011
*END
*D_NET *500 0.0027401
*CONN
*I *6185:A1 I *D sky130_fd_sc_hd__mux2_1
*I *6469:X O *D sky130_fd_sc_hd__clkbuf_1
*CAP
1 *6185:A1 0.000819384
2 *6469:X 0.000819384
3 *6185:A1 *6185:A0 7.17911e-05
4 *6185:A1 *6187:A1 6.83374e-05
5 *6185:A1 *516:331 0
6 *6185:A1 *562:30 0.000572646
7 *6185:A1 *564:39 5.79399e-05
8 *6186:A *6185:A1 0.000320272
9 *6469:A *6185:A1 1.03403e-05
*RES
1 *6469:X *6185:A1 46.3161
*END
*D_NET *501 0.00207085
*CONN
*I *6187:A1 I *D sky130_fd_sc_hd__mux2_1
*I *6470:X O *D sky130_fd_sc_hd__clkbuf_1
*CAP
1 *6187:A1 0.000737344
2 *6470:X 0.000737344
3 *6187:A1 *563:38 0.000104731
4 *6185:A1 *6187:A1 6.83374e-05
5 *6187:S *6187:A1 0.000254458
6 *6367:D *6187:A1 6.50727e-05
7 *6469:A *6187:A1 6.08467e-05
8 *6470:A *6187:A1 4.27148e-05
*RES
1 *6470:X *6187:A1 45.028
*END
*D_NET *502 0.00155867
*CONN
*I *6189:A1 I *D sky130_fd_sc_hd__mux2_1
*I *6471:X O *D sky130_fd_sc_hd__clkbuf_1
*CAP
1 *6189:A1 0.0006105
2 *6471:X 0.0006105
3 *6189:A1 *6189:A0 1.43983e-05
4 *6189:A1 *563:40 0.000305005
5 *6190:A *6189:A1 1.82679e-05
*RES
1 *6471:X *6189:A1 41.5554
*END
*D_NET *503 0.00249267
*CONN
*I *6192:A1 I *D sky130_fd_sc_hd__mux2_1
*I *6472:X O *D sky130_fd_sc_hd__clkbuf_1
*CAP
1 *6192:A1 0.000471063
2 *6472:X 0.000471063
3 *6192:A1 *6192:A0 6.50727e-05
4 *6192:A1 *516:362 0.000149643
5 *6192:A1 *516:376 0.000456713
6 *6192:A1 *552:18 0.000177902
7 *6192:A1 *560:16 0.000609938
8 *6193:A *6192:A1 4.81015e-05
9 *6472:A *6192:A1 4.31703e-05
*RES
1 *6472:X *6192:A1 46.1526
*END
*D_NET *504 0.00181997
*CONN
*I *6194:A1 I *D sky130_fd_sc_hd__mux2_1
*I *6473:X O *D sky130_fd_sc_hd__clkbuf_1
*CAP
1 *6194:A1 0.000642579
2 *6473:X 0.000642579
3 *6194:S *6194:A1 0.000267404
4 *6195:A *6194:A1 0.000267404
*RES
1 *6473:X *6194:A1 34.3889
*END
*D_NET *505 0.00343018
*CONN
*I *6196:A1 I *D sky130_fd_sc_hd__mux2_1
*I *6474:X O *D sky130_fd_sc_hd__clkbuf_1
*CAP
1 *6196:A1 0
2 *6474:X 0.00109072
3 *505:11 0.00109072
4 *505:11 *6369:CLK 0.000144546
5 *505:11 *516:412 0.000167062
6 *505:11 *556:18 4.22456e-05
7 *6196:S *505:11 2.99287e-05
8 *6197:A *505:11 0.000530329
9 *6370:D *505:11 0.000216458
10 *6371:D *505:11 0.00011818
*RES
1 *6474:X *505:11 46.8947
2 *505:11 *6196:A1 9.24915
*END
*D_NET *506 0.00291916
*CONN
*I *6198:A1 I *D sky130_fd_sc_hd__mux2_1
*I *6475:X O *D sky130_fd_sc_hd__clkbuf_1
*CAP
1 *6198:A1 0.000784925
2 *6475:X 0.000784925
3 *6198:A1 *6198:A0 2.65667e-05
4 *6198:A1 *562:30 0.000781044
5 *6198:A1 *564:39 0.000200445
6 *6199:A *6198:A1 0.000341252
*RES
1 *6475:X *6198:A1 48.5048
*END
*D_NET *507 0.00291158
*CONN
*I *6151:A1 I *D sky130_fd_sc_hd__mux2_1
*I *6476:X O *D sky130_fd_sc_hd__clkbuf_1
*CAP
1 *6151:A1 0.000923075
2 *6476:X 0.000923075
3 *6151:A1 *6203:A 3.31728e-05
4 *6151:A1 *6203:B 0
5 *6151:A1 *6203:C 0.000365231
6 *6151:A1 *517:8 0
7 *6150:B1 *6151:A1 1.07248e-05
8 *6350:D *6151:A1 5.39608e-05
9 *418:10 *6151:A1 0.000278405
10 *418:12 *6151:A1 0.000323932
*RES
1 *6476:X *6151:A1 48.0629
*END
*D_NET *508 0.00118784
*CONN
*I *6153:A1 I *D sky130_fd_sc_hd__mux2_1
*I *6477:X O *D sky130_fd_sc_hd__clkbuf_1
*CAP
1 *6153:A1 0.000578171
2 *6477:X 0.000578171
3 *6153:A1 *6153:A0 3.14978e-05
*RES
1 *6477:X *6153:A1 26.9861
*END
*D_NET *509 0.00166042
*CONN
*I *6155:A1 I *D sky130_fd_sc_hd__mux2_1
*I *6478:X O *D sky130_fd_sc_hd__clkbuf_1
*CAP
1 *6155:A1 0.000326344
2 *6478:X 0.000326344
3 *6155:A1 *6155:A0 6.92705e-05
4 *6155:A1 *523:9 0.000914791
5 *6478:A *6155:A1 2.36701e-05
*RES
1 *6478:X *6155:A1 39.3426
*END
*D_NET *510 0.0154716
*CONN
*I *6306:A2 I *D sky130_fd_sc_hd__a21o_1
*I *6479:X O *D sky130_fd_sc_hd__dlymetal6s2s_1
*CAP
1 *6306:A2 0.000422561
2 *6479:X 0.000564629
3 *510:25 0.00112387
4 *510:11 0.00151405
5 *510:7 0.00137737
6 *6306:A2 *6315:A2 0.000571681
7 *510:25 *6516:A 0.000729587
8 *510:25 *6517:A 0.000167076
9 sram_addr0[7] *510:25 1.77537e-06
10 sram_din0[13] *510:7 4.26566e-05
11 sram_din0[6] *510:25 0.000171288
12 *6241:A *6306:A2 5.74037e-05
13 *6280:A2 *510:25 2.34052e-05
14 *6287:B1 *510:25 2.41483e-05
15 *6292:A2 *510:25 0.0017252
16 *6456:A *510:25 1.47102e-05
17 *6458:A *510:11 0.000171288
18 *6460:A *510:11 0.000171288
19 *6479:A *510:7 6.50727e-05
20 *342:32 *510:25 0.000829421
21 *357:13 *6306:A2 0.000260374
22 *478:11 *510:7 0.000196389
23 *478:11 *510:11 0.000266266
24 *479:14 *6306:A2 0
25 *480:5 *510:7 0.000989885
26 *480:5 *510:11 0.000939132
27 *480:5 *510:25 0.000437104
28 *491:7 *510:11 0.00259716
29 *491:7 *510:25 1.67988e-05
*RES
1 *6479:X *510:7 32.7354
2 *510:7 *510:11 42.3428
3 *510:11 *510:25 47.0596
4 *510:25 *6306:A2 27.2432
*END
*D_NET *511 0.0037458
*CONN
*I *6159:A1 I *D sky130_fd_sc_hd__mux2_1
*I *6480:X O *D sky130_fd_sc_hd__clkbuf_1
*CAP
1 *6159:A1 0.000877184
2 *6480:X 0.000262543
3 *511:10 0.00113973
4 *6159:A1 *6159:A0 6.92705e-05
5 wb_data_o[4] *511:10 3.31882e-05
6 *6480:A *511:10 0.000207503
7 *461:17 *6159:A1 0.00115638
*RES
1 *6480:X *511:10 24.5418
2 *511:10 *6159:A1 38.2573
*END
*D_NET *512 0.0012135
*CONN
*I *6161:A1 I *D sky130_fd_sc_hd__mux2_1
*I *6481:X O *D sky130_fd_sc_hd__clkbuf_1
*CAP
1 *6161:A1 0.0003187
2 *6481:X 0.0003187
3 *6161:A1 *516:630 0.000122083
4 *6161:S *6161:A1 2.36494e-05
5 *6503:A *6161:A1 0.000430366
*RES
1 *6481:X *6161:A1 34.3512
*END
*D_NET *513 0.00525761
*CONN
*I *6163:A1 I *D sky130_fd_sc_hd__mux2_1
*I *6482:X O *D sky130_fd_sc_hd__clkbuf_1
*CAP
1 *6163:A1 0.000321062
2 *6482:X 0.000943705
3 *513:11 0.00126477
4 *6163:A1 *6163:A0 6.92705e-05
5 *6163:A1 *6240:A 0
6 *6163:A1 *6355:CLK 0.000398425
7 *6163:A1 *585:8 0
8 *6157:A *513:11 0.000111722
9 *6164:A *6163:A1 0.000154662
10 *6356:D *513:11 1.03403e-05
11 *417:24 *513:11 0.000313495
12 *422:7 *513:11 0.000472625
13 *423:41 *6163:A1 2.41483e-05
14 *466:31 *513:11 0.00117339
*RES
1 *6482:X *513:11 46.8325
2 *513:11 *6163:A1 24.7489
*END
*D_NET *514 0.00429552
*CONN
*I *6165:A1 I *D sky130_fd_sc_hd__mux2_1
*I *6483:X O *D sky130_fd_sc_hd__clkbuf_1
*CAP
1 *6165:A1 0
2 *6483:X 0.00119285
3 *514:8 0.00119285
4 *514:8 *6170:A0 5.44834e-05
5 *514:8 *565:29 0.000138284
6 *6169:A *514:8 0.000165481
7 *6170:S *514:8 2.41483e-05
8 *303:6 *514:8 0.000795413
9 *422:8 *514:8 0.000414278
10 *492:11 *514:8 0.000317721
*RES
1 *6483:X *514:8 48.8672
2 *514:8 *6165:A1 13.7491
*END
*D_NET *515 0.00284027
*CONN
*I *6167:A1 I *D sky130_fd_sc_hd__mux2_1
*I *6484:X O *D sky130_fd_sc_hd__clkbuf_1
*CAP
1 *6167:A1 0
2 *6484:X 0.000928179
3 *515:11 0.000928179
4 *515:11 *6358:CLK 3.82228e-05
5 *515:11 *516:630 0
6 *515:11 *516:634 0
7 *515:11 *560:11 4.98839e-05
8 *515:11 *566:11 0
9 *6161:S *515:11 7.44302e-05
10 *6168:A *515:11 0.00034687
11 *6171:A *515:11 7.50722e-05
12 *6357:D *515:11 0.000101148
13 *6484:A *515:11 3.25584e-05
14 *6504:A *515:11 9.63981e-05
15 *6507:A *515:11 9.40723e-05
16 *429:11 *515:11 0
17 *429:16 *515:11 5.82465e-05
18 *492:11 *515:11 1.70077e-05
*RES
1 *6484:X *515:11 41.8865
2 *515:11 *6167:A1 9.24915
*END
*D_NET *516 0.126671
*CONN
*I *6350:CLK I *D sky130_fd_sc_hd__dfxtp_1
*I *6349:CLK I *D sky130_fd_sc_hd__dfxtp_1
*I *6433:A I *D sky130_fd_sc_hd__clkbuf_1
*I *6356:CLK I *D sky130_fd_sc_hd__dfxtp_1
*I *6357:CLK I *D sky130_fd_sc_hd__dfxtp_1
*I *6359:CLK I *D sky130_fd_sc_hd__dfxtp_1
*I *6338:CLK I *D sky130_fd_sc_hd__dfxtp_1
*I *6339:CLK I *D sky130_fd_sc_hd__dfxtp_1
*I *6341:CLK I *D sky130_fd_sc_hd__dfxtp_2
*I *6340:CLK I *D sky130_fd_sc_hd__dfxtp_1
*I *6355:CLK I *D sky130_fd_sc_hd__dfxtp_1
*I *6342:CLK I *D sky130_fd_sc_hd__dfxtp_2
*I *6358:CLK I *D sky130_fd_sc_hd__dfxtp_1
*I *6354:CLK I *D sky130_fd_sc_hd__dfxtp_1
*I *6352:CLK I *D sky130_fd_sc_hd__dfxtp_1
*I *6343:CLK I *D sky130_fd_sc_hd__dfxtp_2
*I *6345:CLK I *D sky130_fd_sc_hd__dfxtp_2
*I *6346:CLK I *D sky130_fd_sc_hd__dfxtp_2
*I *6321:CLK I *D sky130_fd_sc_hd__dfxtp_1
*I *6326:CLK I *D sky130_fd_sc_hd__dfxtp_1
*I *6327:CLK I *D sky130_fd_sc_hd__dfxtp_1
*I *6328:CLK I *D sky130_fd_sc_hd__dfxtp_1
*I *6325:CLK I *D sky130_fd_sc_hd__dfxtp_1
*I *6322:CLK I *D sky130_fd_sc_hd__dfxtp_1
*I *6347:CLK I *D sky130_fd_sc_hd__dfxtp_2
*I *6330:CLK I *D sky130_fd_sc_hd__dfxtp_1
*I *6334:CLK I *D sky130_fd_sc_hd__dfxtp_1
*I *6332:CLK I *D sky130_fd_sc_hd__dfxtp_1
*I *6331:CLK I *D sky130_fd_sc_hd__dfxtp_1
*I *6333:CLK I *D sky130_fd_sc_hd__dfxtp_1
*I *6335:CLK I *D sky130_fd_sc_hd__dfxtp_1
*I *6337:CLK I *D sky130_fd_sc_hd__dfxtp_1
*I *6336:CLK I *D sky130_fd_sc_hd__dfxtp_1
*I *6362:CLK I *D sky130_fd_sc_hd__dfxtp_1
*I *6360:CLK I *D sky130_fd_sc_hd__dfxtp_1
*I *6361:CLK I *D sky130_fd_sc_hd__dfxtp_1
*I *6364:CLK I *D sky130_fd_sc_hd__dfxtp_1
*I *6367:CLK I *D sky130_fd_sc_hd__dfxtp_1
*I *6432:A I *D sky130_fd_sc_hd__buf_2
*I *6369:CLK I *D sky130_fd_sc_hd__dfxtp_1
*I *6370:CLK I *D sky130_fd_sc_hd__dfxtp_1
*I *6371:CLK I *D sky130_fd_sc_hd__dfxtp_1
*I *6368:CLK I *D sky130_fd_sc_hd__dfxtp_1
*I *6366:CLK I *D sky130_fd_sc_hd__dfxtp_1
*I *6365:CLK I *D sky130_fd_sc_hd__dfxtp_1
*I *6363:CLK I *D sky130_fd_sc_hd__dfxtp_1
*I *6344:CLK I *D sky130_fd_sc_hd__dfxtp_2
*I *6329:CLK I *D sky130_fd_sc_hd__dfxtp_1
*I *6320:CLK I *D sky130_fd_sc_hd__dfxtp_1
*I *6324:CLK I *D sky130_fd_sc_hd__dfxtp_1
*I *6376:CLK I *D sky130_fd_sc_hd__dfxtp_1
*I *6323:CLK I *D sky130_fd_sc_hd__dfxtp_1
*I *6378:CLK I *D sky130_fd_sc_hd__dfxtp_1
*I *6319:CLK I *D sky130_fd_sc_hd__dfxtp_1
*I *6377:CLK I *D sky130_fd_sc_hd__dfxtp_1
*I *6317:CLK I *D sky130_fd_sc_hd__dfxtp_1
*I *6353:CLK I *D sky130_fd_sc_hd__dfxtp_1
*I *6372:CLK I *D sky130_fd_sc_hd__dfxtp_1
*I *6373:CLK I *D sky130_fd_sc_hd__dfxtp_1
*I *6351:CLK I *D sky130_fd_sc_hd__dfxtp_1
*I *6374:CLK I *D sky130_fd_sc_hd__dfxtp_2
*I *6348:CLK I *D sky130_fd_sc_hd__dfxtp_1
*I *6318:CLK I *D sky130_fd_sc_hd__dfxtp_1
*I *6316:CLK I *D sky130_fd_sc_hd__dfxtp_1
*I *6375:CLK I *D sky130_fd_sc_hd__dfxtp_1
*I *6434:A I *D sky130_fd_sc_hd__clkbuf_1
*I *6485:X O *D sky130_fd_sc_hd__buf_12
*CAP
1 *6350:CLK 0.000262086
2 *6349:CLK 3.7309e-05
3 *6433:A 0.000216108
4 *6356:CLK 0.000331806
5 *6357:CLK 0
6 *6359:CLK 0.000165751
7 *6338:CLK 0.000330385
8 *6339:CLK 0
9 *6341:CLK 0
10 *6340:CLK 0.000504825
11 *6355:CLK 0.000459229
12 *6342:CLK 0
13 *6358:CLK 3.51473e-05
14 *6354:CLK 0.000187774
15 *6352:CLK 0.000255724
16 *6343:CLK 0.000637194
17 *6345:CLK 2.33851e-05
18 *6346:CLK 0
19 *6321:CLK 0
20 *6326:CLK 0.000158363
21 *6327:CLK 0.00021053
22 *6328:CLK 2.19663e-05
23 *6325:CLK 0.000273952
24 *6322:CLK 0.000233612
25 *6347:CLK 0
26 *6330:CLK 0.000103466
27 *6334:CLK 0
28 *6332:CLK 0
29 *6331:CLK 0.000679855
30 *6333:CLK 0
31 *6335:CLK 0
32 *6337:CLK 0
33 *6336:CLK 0.000120971
34 *6362:CLK 0.000214031
35 *6360:CLK 0.000434718
36 *6361:CLK 0
37 *6364:CLK 0.000288656
38 *6367:CLK 2.06324e-05
39 *6432:A 0
40 *6369:CLK 0.000444937
41 *6370:CLK 0
42 *6371:CLK 0.000114318
43 *6368:CLK 9.78248e-05
44 *6366:CLK 0.000335334
45 *6365:CLK 0.000138048
46 *6363:CLK 4.17154e-05
47 *6344:CLK 0.000293011
48 *6329:CLK 0
49 *6320:CLK 0
50 *6324:CLK 7.4152e-05
51 *6376:CLK 0.000892974
52 *6323:CLK 0
53 *6378:CLK 3.5247e-05
54 *6319:CLK 3.58004e-05
55 *6377:CLK 7.86484e-05
56 *6317:CLK 0.000442525
57 *6353:CLK 0
58 *6372:CLK 0
59 *6373:CLK 8.56996e-05
60 *6351:CLK 0.00088988
61 *6374:CLK 0.00016444
62 *6348:CLK 0
63 *6318:CLK 0.000243146
64 *6316:CLK 0.000286811
65 *6375:CLK 7.83651e-05
66 *6434:A 2.06324e-05
67 *6485:X 0.000266621
68 *516:737 0.000378358
69 *516:691 0.000897759
70 *516:670 0.00110633
71 *516:663 0.00102016
72 *516:655 0.000930572
73 *516:652 0.00101128
74 *516:643 0.000592876
75 *516:634 0.000492029
76 *516:631 0.000714854
77 *516:630 0.000955696
78 *516:604 0.0005321
79 *516:603 0.00119611
80 *516:580 0.00125838
81 *516:549 0.000779034
82 *516:540 0.000510575
83 *516:520 0.000587573
84 *516:519 0.000454701
85 *516:507 0.000329575
86 *516:479 0.00136028
87 *516:474 0.000974646
88 *516:413 0.00360527
89 *516:412 0.00383721
90 *516:398 0.00082741
91 *516:390 0.000765233
92 *516:376 0.00103088
93 *516:362 0.000656158
94 *516:357 0.000678909
95 *516:343 0.0010643
96 *516:331 0.000724381
97 *516:326 0.000737193
98 *516:314 0.000460338
99 *516:309 0.000356012
100 *516:305 0.00124455
101 *516:302 0.00139837
102 *516:298 0.0012633
103 *516:291 0.00153583
104 *516:281 0.00130776
105 *516:272 0.00110953
106 *516:268 0.000662207
107 *516:253 0.000929093
108 *516:252 0.000938818
109 *516:243 0.000859305
110 *516:240 0.00121649
111 *516:230 0.000889693
112 *516:207 0.00159006
113 *516:195 0.00128364
114 *516:181 0.00167882
115 *516:158 0.00111347
116 *516:153 0.000869764
117 *516:149 0.00148653
118 *516:145 0.000691981
119 *516:138 0.000899885
120 *516:132 0.000634811
121 *516:128 0.00215989
122 *516:117 0.00212987
123 *516:110 0.00055817
124 *516:103 0.000672995
125 *516:78 0.00171776
126 *516:73 0.000749143
127 *516:71 0.000619792
128 *516:64 0.000514701
129 *516:40 0.00140896
130 *516:37 0.00146154
131 *516:29 0.000828131
132 *516:19 0.000477792
133 *516:14 0.00112161
134 *516:6 0.00103278
135 *6316:CLK *6248:A 0.000139435
136 *6316:CLK *541:7 1.00846e-05
137 *6316:CLK *541:11 7.34948e-06
138 *6317:CLK *553:12 0.000136356
139 *6317:CLK *553:21 0.000214058
140 *6318:CLK *553:22 0.000250402
141 *6326:CLK *6294:A 0
142 *6326:CLK *542:5 2.65667e-05
143 *6327:CLK *544:9 0.000277125
144 *6328:CLK *545:7 6.50727e-05
145 *6331:CLK *547:22 0
146 *6338:CLK *557:10 2.65667e-05
147 *6340:CLK *561:8 0
148 *6340:CLK *561:22 0
149 *6340:CLK *562:26 0.000106215
150 *6349:CLK *540:17 8.10016e-06
151 *6350:CLK *518:8 0
152 *6350:CLK *524:24 0.000307535
153 *6350:CLK *540:17 4.3116e-06
154 *6351:CLK *6229:A 9.22013e-06
155 *6351:CLK *580:8 5.52046e-05
156 *6355:CLK *564:27 0
157 *6358:CLK *560:11 0.000107496
158 *6359:CLK *6526:A 0.000252342
159 *6359:CLK *560:14 0.000104935
160 *6359:CLK *564:33 0.000503218
161 *6360:CLK *6525:A 0.000144531
162 *6360:CLK *551:23 0.000308214
163 *6360:CLK *559:19 0.000650784
164 *6360:CLK *564:37 7.77309e-06
165 *6362:CLK *6219:C 0
166 *6362:CLK *6219:D 0
167 *6362:CLK *569:9 5.36584e-06
168 *6364:CLK *548:28 0.000913028
169 *6366:CLK *6531:A 0.000347886
170 *6366:CLK *573:7 0.00016491
171 *6369:CLK *6223:B 4.04393e-05
172 *6369:CLK *576:7 0.00026468
173 *6374:CLK *6229:A 0.00016124
174 *6376:CLK *6209:A0 1.75625e-05
175 *6376:CLK *588:8 1.36691e-05
176 *6377:CLK *520:15 0.000256861
177 *6433:A *524:23 6.64392e-05
178 *516:6 *518:8 0
179 *516:6 *540:17 1.36691e-05
180 *516:14 *6521:A 0.000729664
181 *516:19 *6520:A 0.000568733
182 *516:19 *540:17 0.000151319
183 *516:29 *540:17 0.000277109
184 *516:37 *6231:A 0.000454708
185 *516:37 *519:10 0
186 *516:37 *540:10 0
187 *516:40 *553:22 0.00154142
188 *516:64 *6147:A3 0
189 *516:64 *6231:A 0.000146098
190 *516:64 *540:10 0
191 *516:71 *6147:A3 0
192 *516:71 *6231:A 0.000741869
193 *516:71 *6254:A 0
194 *516:78 *6220:A 6.50727e-05
195 *516:103 *6231:A 0.000344866
196 *516:110 *6231:A 0.000330512
197 *516:117 *6240:A 0
198 *516:128 *6238:A 0.000294779
199 *516:128 *6240:A 0.000292728
200 *516:158 *520:15 0.000277488
201 *516:272 *6100:A 0
202 *516:272 *542:26 0.00048572
203 *516:291 *6108:A 0
204 *516:291 *561:23 0
205 *516:298 *539:10 4.47713e-05
206 *516:305 *6219:B 4.7475e-05
207 *516:309 *559:19 0.000154145
208 *516:314 *559:19 1.19721e-05
209 *516:314 *559:25 0.000754537
210 *516:314 *560:16 0.000747357
211 *516:326 *548:28 0.00020502
212 *516:326 *558:21 5.04829e-06
213 *516:326 *559:25 0.000386217
214 *516:326 *560:16 0.000389746
215 *516:331 *562:30 0
216 *516:331 *564:37 0.000535829
217 *516:343 *562:30 0.000231378
218 *516:357 *562:30 0.000653865
219 *516:362 *560:16 0.000165839
220 *516:362 *564:39 0.000147769
221 *516:376 *560:16 0.000126327
222 *516:376 *564:39 0.00015202
223 *516:390 *578:8 6.99486e-05
224 *516:398 *577:10 9.19075e-05
225 *516:474 *6100:A 0
226 *516:474 *552:15 0
227 *516:549 *6294:A 0
228 *516:603 *6161:A0 0.000313481
229 *516:603 *6240:A 0
230 *516:603 *586:15 0.000746813
231 *516:634 *564:33 0.000341237
232 *516:643 *560:14 2.71542e-05
233 *516:643 *564:33 7.65861e-05
234 *516:652 *560:11 0.00100633
235 *516:652 *562:29 0.000835879
236 *516:663 *6123:A 0.000171456
237 *516:663 *539:10 0
238 *516:663 *560:11 0.000197243
239 *516:737 *540:17 2.26616e-05
240 sram_addr0[0] *516:6 4.22662e-05
241 sram_din0[0] *516:19 0.000315549
242 sram_din0[1] *6375:CLK 0.000169093
243 sram_din0[1] *516:37 3.31882e-05
244 sram_web0 *516:6 0.000346767
245 wb_data_o[7] *516:634 4.19401e-06
246 wb_error_o *6350:CLK 9.14669e-05
247 wb_stall_o *516:6 0
248 *6091:B1 *6331:CLK 0.000171473
249 *6094:A2 *516:268 0
250 *6103:B *516:291 0.000272194
251 *6123:C *6340:CLK 6.10852e-05
252 *6135:B1 *6376:CLK 8.53663e-05
253 *6137:B *516:240 0
254 *6137:C *516:240 2.66039e-05
255 *6138:A2 *6376:CLK 0.000364246
256 *6142:A1 *516:240 0
257 *6142:B1 *516:240 0
258 *6147:A1 *516:71 0
259 *6156:A *6352:CLK 0.000172706
260 *6159:S *516:110 0
261 *6159:S *516:117 0
262 *6159:S *516:603 0
263 *6160:A *516:110 0
264 *6160:A *516:117 0
265 *6161:A1 *516:630 0.000122083
266 *6161:S *516:603 2.41274e-06
267 *6161:S *516:630 0
268 *6163:A1 *6355:CLK 0.000398425
269 *6164:A *6355:CLK 8.3647e-05
270 *6168:A *516:630 0
271 *6178:S *6362:CLK 0
272 *6185:A1 *516:331 0
273 *6186:A *516:331 1.79672e-05
274 *6189:S *516:357 9.11135e-05
275 *6192:A1 *516:362 0.000149643
276 *6192:A1 *516:376 0.000456713
277 *6195:A *6369:CLK 8.50796e-05
278 *6197:A *516:412 2.04806e-05
279 *6208:A *516:37 0.000148129
280 *6210:A *6376:CLK 0.000125695
281 *6222:A *516:110 0
282 *6225:A *516:305 0.000216458
283 *6230:A *516:37 0
284 *6242:B *516:132 0
285 *6256:A *516:145 0
286 *6260:A1 *6317:CLK 0
287 *6264:A *516:149 0
288 *6264:A *516:153 0.000149628
289 *6264:A *516:158 0.000346897
290 *6274:A *516:145 0
291 *6274:A *516:240 3.84619e-05
292 *6282:B *516:207 0.000196638
293 *6284:A1 *516:207 6.87578e-05
294 *6284:A2 *6376:CLK 0.000163529
295 *6289:A *516:243 5.34081e-05
296 *6297:A *516:519 0.000935664
297 *6304:B1 *516:519 0.000472818
298 *6309:A *6344:CLK 0
299 *6322:D *6322:CLK 9.73548e-05
300 *6323:D *516:207 0.000728387
301 *6324:D *6324:CLK 7.34948e-06
302 *6332:D *6331:CLK 0
303 *6333:D *516:474 0
304 *6333:D *516:479 0.000282684
305 *6335:D *516:281 0.000229576
306 *6337:D *516:291 3.18826e-06
307 *6342:D *516:670 0.000282684
308 *6344:D *6344:CLK 1.07248e-05
309 *6345:D *516:580 0.000123361
310 *6346:D *516:138 0.000647374
311 *6346:D *516:145 8.62625e-06
312 *6347:D *516:240 0.00011818
313 *6347:D *516:507 0.00015511
314 *6347:D *516:519 0.000139195
315 *6348:D *516:64 3.67528e-06
316 *6348:D *516:71 2.98609e-05
317 *6349:D *6350:CLK 0
318 *6351:D *6351:CLK 3.18826e-06
319 *6352:D *6352:CLK 0.000132646
320 *6353:D *516:128 0.000153393
321 *6354:D *6354:CLK 0.00015759
322 *6357:D *516:631 7.44658e-05
323 *6357:D *516:634 0
324 *6358:D *516:652 1.87611e-05
325 *6360:D *6360:CLK 9.58927e-06
326 *6361:D *516:309 2.13584e-05
327 *6362:D *6362:CLK 8.96314e-06
328 *6365:D *516:343 4.05943e-06
329 *6367:D *516:357 1.87611e-05
330 *6368:D *516:376 0.000156823
331 *6369:D *6369:CLK 1.87611e-05
332 *6370:D *516:398 6.86306e-05
333 *6371:D *6371:CLK 2.13584e-05
334 *6371:D *516:390 1.61631e-05
335 *6372:D *516:103 0.000127179
336 *6372:D *516:110 3.31733e-05
337 *6376:D *6376:CLK 0.000213725
338 *6473:A *516:376 3.13394e-05
339 *6482:A *516:634 4.8017e-05
340 *6483:A *516:634 2.09735e-05
341 *6494:A *516:19 1.47102e-05
342 *6504:A *6355:CLK 3.14978e-05
343 *6507:A *516:634 7.41203e-05
344 *134:18 *6350:CLK 0
345 *134:18 *516:6 0
346 *134:18 *516:737 0
347 *160:11 *6350:CLK 0.000217937
348 *301:22 *516:71 0
349 *303:6 *6362:CLK 0
350 *303:6 *516:298 0
351 *303:6 *516:302 0
352 *304:10 *6369:CLK 3.62106e-05
353 *305:10 *6362:CLK 0.00015321
354 *311:6 *6316:CLK 0.000129891
355 *313:8 *6318:CLK 0.000127179
356 *315:7 *516:132 5.04829e-06
357 *315:8 *6318:CLK 0.000123582
358 *316:17 *516:132 0
359 *316:17 *516:580 0
360 *316:26 *6343:CLK 0
361 *316:26 *516:580 0
362 *326:42 *6343:CLK 0
363 *327:18 *516:145 9.82516e-05
364 *327:25 *516:138 0
365 *327:25 *516:230 0.00027329
366 *328:8 *516:145 8.07794e-05
367 *328:8 *516:149 8.18934e-05
368 *328:10 *516:149 0.000109048
369 *328:17 *6317:CLK 0.000138357
370 *328:17 *516:158 0
371 *335:6 *516:240 0
372 *346:14 *516:207 0.000196638
373 *359:8 *516:519 0.000283891
374 *363:6 *6376:CLK 0
375 *367:8 *6344:CLK 0.000334808
376 *367:8 *516:253 0.000620189
377 *372:8 *6322:CLK 0.000108003
378 *372:8 *6326:CLK 5.01511e-05
379 *372:8 *516:520 5.47516e-05
380 *372:8 *516:540 5.47516e-05
381 *372:8 *516:549 0.000218583
382 *373:18 *516:281 0.000585491
383 *373:28 *6331:CLK 5.0715e-05
384 *376:8 *516:195 0.000640028
385 *378:8 *516:240 0
386 *380:6 *516:195 0.000195641
387 *382:16 *516:291 0.000536581
388 *384:8 *6317:CLK 0
389 *384:8 *6344:CLK 0
390 *384:8 *516:145 0
391 *384:8 *516:149 0
392 *384:8 *516:153 0
393 *384:8 *516:158 0
394 *384:8 *516:272 0
395 *387:21 *6318:CLK 2.16355e-05
396 *387:28 *6340:CLK 0
397 *387:28 *6355:CLK 0
398 *390:8 *6343:CLK 0
399 *390:8 *516:128 7.23005e-05
400 *390:8 *516:132 0
401 *390:8 *516:291 5.47516e-05
402 *390:8 *516:580 0
403 *398:16 *6376:CLK 3.1218e-05
404 *398:32 *6376:CLK 3.89332e-06
405 *401:24 *6376:CLK 7.7321e-05
406 *402:7 *516:181 0.000246721
407 *402:7 *516:195 0.000112737
408 *402:11 *516:153 0.000266832
409 *402:11 *516:181 0.000497139
410 *409:11 *516:243 0
411 *409:11 *516:252 0
412 *411:13 *516:240 0.000725452
413 *417:8 *6351:CLK 0
414 *417:24 *6352:CLK 0.000201166
415 *417:24 *516:604 0.000144531
416 *417:24 *516:630 0.000624768
417 *417:27 *6338:CLK 0
418 *422:19 *6373:CLK 0.000326398
419 *422:19 *516:78 0.000367717
420 *435:36 *516:343 0.000102707
421 *435:36 *516:357 0.000101274
422 *441:8 *516:357 6.6516e-05
423 *441:49 *6338:CLK 0
424 *441:49 *6351:CLK 0.000128093
425 *441:49 *6374:CLK 0.000157767
426 *441:49 *6433:A 0
427 *441:49 *516:655 0
428 *441:49 *516:691 0
429 *455:13 *6375:CLK 5.9708e-05
430 *455:13 *516:37 6.03391e-06
431 *458:8 *516:240 0
432 *458:20 *516:240 0
433 *458:27 *6356:CLK 0.000148003
434 *459:7 *516:240 1.15389e-05
435 *459:7 *516:519 2.23058e-05
436 *459:15 *516:252 0
437 *462:26 *516:128 0.000691706
438 *462:26 *516:240 9.04083e-05
439 *463:10 *6322:CLK 0.000258114
440 *464:7 *516:207 1.03403e-05
441 *465:31 *6322:CLK 0.000372364
442 *465:31 *516:520 0.000189496
443 *465:31 *516:540 0.000191609
444 *465:31 *516:549 0.000236521
445 *466:25 *516:240 0.000190191
446 *466:25 *516:507 3.86121e-05
447 *466:25 *516:519 0.000561926
448 *466:29 *516:240 3.86572e-05
449 *466:31 *6345:CLK 1.43848e-05
450 *466:31 *516:580 5.79471e-06
451 *505:11 *6369:CLK 0.000144546
452 *505:11 *516:412 0.000167062
453 *515:11 *6358:CLK 3.82228e-05
454 *515:11 *516:630 0
455 *515:11 *516:634 0
*RES
1 *6485:X *516:6 21.8099
2 *516:6 *516:14 20.2919
3 *516:14 *516:19 13.9183
4 *516:19 *6434:A 9.82786
5 *516:19 *516:29 11.324
6 *516:29 *6375:CLK 16.8269
7 *516:29 *516:37 15.1201
8 *516:37 *516:40 20.7523
9 *516:40 *6316:CLK 27.0333
10 *516:40 *6318:CLK 24.1616
11 *516:37 *516:64 2.6625
12 *516:64 *6348:CLK 13.7491
13 *516:64 *516:71 13.4591
14 *516:71 *516:73 4.5
15 *516:73 *516:78 22.4402
16 *516:78 *6374:CLK 20.1489
17 *516:78 *6351:CLK 29.6282
18 *516:73 *6373:CLK 12.7456
19 *516:71 *516:103 6.39977
20 *516:103 *6372:CLK 13.7491
21 *516:103 *516:110 13.4591
22 *516:110 *6353:CLK 13.7491
23 *516:110 *516:117 1.41674
24 *516:117 *516:128 42.4875
25 *516:128 *516:132 10.6589
26 *516:132 *516:138 10.1999
27 *516:138 *516:145 15.5408
28 *516:145 *516:149 12.1455
29 *516:149 *516:153 10.1043
30 *516:153 *516:158 20.9009
31 *516:158 *6317:CLK 31.2929
32 *516:158 *6377:CLK 12.191
33 *516:153 *6319:CLK 14.4725
34 *516:149 *516:181 16.2523
35 *516:181 *6378:CLK 10.2378
36 *516:181 *516:195 26.9604
37 *516:195 *6323:CLK 9.24915
38 *516:195 *516:207 28.3595
39 *516:207 *6376:CLK 46.9682
40 *516:207 *6324:CLK 11.0817
41 *516:145 *6320:CLK 13.7491
42 *516:138 *516:230 3.49641
43 *516:230 *516:240 32.9599
44 *516:240 *516:243 14.637
45 *516:243 *6329:CLK 13.7491
46 *516:243 *516:252 15.4675
47 *516:252 *516:253 10.1517
48 *516:253 *6344:CLK 26.2084
49 *516:253 *516:268 14.9845
50 *516:268 *516:272 16.06
51 *516:272 *516:281 17.0871
52 *516:281 *516:291 31.4188
53 *516:291 *516:298 24.4478
54 *516:298 *516:302 15.6447
55 *516:302 *516:305 19.0885
56 *516:305 *516:309 3.37585
57 *516:309 *516:314 18.2672
58 *516:314 *6363:CLK 14.4725
59 *516:314 *516:326 13.7022
60 *516:326 *516:331 18.3065
61 *516:331 *6365:CLK 11.5158
62 *516:331 *516:343 11.9047
63 *516:343 *6366:CLK 23.3703
64 *516:343 *516:357 19.3792
65 *516:357 *516:362 14.1147
66 *516:362 *6368:CLK 15.6059
67 *516:362 *516:376 18.1225
68 *516:376 *6371:CLK 11.6605
69 *516:376 *516:390 14.2268
70 *516:390 *6370:CLK 9.24915
71 *516:390 *516:398 8.55102
72 *516:398 *6369:CLK 27.1308
73 *516:398 *516:412 11.315
74 *516:412 *516:413 86.687
75 *516:413 *6432:A 9.24915
76 *516:357 *6367:CLK 9.82786
77 *516:326 *6364:CLK 19.4008
78 *516:309 *6361:CLK 9.24915
79 *516:305 *6360:CLK 33.423
80 *516:302 *6362:CLK 19.0935
81 *516:298 *6336:CLK 12.191
82 *516:291 *6337:CLK 9.24915
83 *516:281 *6335:CLK 9.24915
84 *516:272 *516:474 11.7303
85 *516:474 *6333:CLK 9.24915
86 *516:474 *516:479 16.8069
87 *516:479 *6331:CLK 35.9098
88 *516:479 *6332:CLK 9.24915
89 *516:268 *6334:CLK 9.24915
90 *516:252 *6330:CLK 11.6605
91 *516:240 *516:507 1.8326
92 *516:507 *6347:CLK 9.24915
93 *516:507 *516:519 23.7182
94 *516:519 *516:520 3.493
95 *516:520 *6322:CLK 23.6694
96 *516:520 *6325:CLK 20.0186
97 *516:519 *516:540 3.493
98 *516:540 *6328:CLK 14.4725
99 *516:540 *516:549 13.0438
100 *516:549 *6327:CLK 20.5732
101 *516:549 *6326:CLK 17.9655
102 *516:230 *6321:CLK 9.24915
103 *516:132 *6346:CLK 9.24915
104 *516:128 *516:580 18.0129
105 *516:580 *6345:CLK 9.97254
106 *516:580 *6343:CLK 32.4428
107 *516:117 *516:603 31.5211
108 *516:603 *516:604 2.6625
109 *516:604 *6352:CLK 22.7701
110 *516:604 *6354:CLK 18.9094
111 *516:603 *516:630 15.4675
112 *516:630 *516:631 6.82404
113 *516:631 *516:634 17.5438
114 *516:634 *6358:CLK 15.0271
115 *516:634 *516:643 1.41674
116 *516:643 *516:652 23.2268
117 *516:652 *516:655 5.50149
118 *516:655 *516:663 25.0212
119 *516:663 *6342:CLK 9.24915
120 *516:663 *516:670 9.10562
121 *516:670 *6355:CLK 27.5163
122 *516:670 *6340:CLK 27.2082
123 *516:655 *6341:CLK 9.24915
124 *516:652 *516:691 12.6286
125 *516:691 *6339:CLK 13.7491
126 *516:691 *6338:CLK 21.7028
127 *516:643 *6359:CLK 22.6404
128 *516:631 *6357:CLK 9.24915
129 *516:630 *6356:CLK 17.737
130 *516:14 *6433:A 18.2465
131 *516:6 *516:737 2.03962
132 *516:737 *6349:CLK 14.8606
133 *516:737 *6350:CLK 23.159
*END
*D_NET *517 0.00207651
*CONN
*I *6203:B I *D sky130_fd_sc_hd__and3_1
*I *6148:D I *D sky130_fd_sc_hd__and4bb_2
*I *6486:X O *D sky130_fd_sc_hd__clkbuf_1
*CAP
1 *6203:B 0.000211302
2 *6148:D 0
3 *6486:X 0.000453364
4 *517:8 0.000664666
5 *6203:B *6203:A 0.00015966
6 *6203:B *6203:C 2.20702e-05
7 *517:8 *6203:A 3.20069e-06
8 *517:8 *524:24 0.000128587
9 wb_error_o *517:8 7.25324e-06
10 *6151:A1 *6203:B 0
11 *6151:A1 *517:8 0
12 *6152:A *517:8 0.000148129
13 *6204:B1 *6203:B 6.50586e-05
14 *6350:D *517:8 0.000116821
15 *160:11 *517:8 9.63981e-05
*RES
1 *6486:X *517:8 25.3063
2 *517:8 *6148:D 13.7491
3 *517:8 *6203:B 20.0687
*END
*D_NET *518 0.00794733
*CONN
*I *6148:A_N I *D sky130_fd_sc_hd__and4bb_2
*I *6200:A I *D sky130_fd_sc_hd__inv_2
*I *6254:A I *D sky130_fd_sc_hd__buf_2
*I *6487:X O *D sky130_fd_sc_hd__dlymetal6s2s_1
*CAP
1 *6148:A_N 0.000154533
2 *6200:A 0.000140059
3 *6254:A 0.000462124
4 *6487:X 0.000871018
5 *518:14 0.00149309
6 *518:8 0.00191645
7 *6148:A_N *6203:A 0
8 *6148:A_N *524:24 0
9 *6200:A *6231:A 0
10 *6200:A *539:10 0
11 *6254:A *6147:A3 2.40518e-05
12 *6254:A *520:15 0.00011818
13 *518:8 *6519:A 4.15008e-05
14 *518:8 *524:24 0
15 *518:8 *540:17 0.000190042
16 *518:14 *6205:A 0.00067644
17 *518:14 *6231:A 0
18 *518:14 *520:9 0.00046091
19 sram_clk1 *518:8 4.26566e-05
20 sram_csb1 *518:8 2.7618e-05
21 sram_web0 *518:8 3.52002e-05
22 wb_data_o[0] *518:8 0
23 wb_data_o[1] *518:8 0
24 *6147:A1 *6254:A 0
25 *6147:A4 *6254:A 0.000330031
26 *6152:A *518:14 0.000266832
27 *6204:B2 *6200:A 1.86035e-05
28 *6204:B2 *518:14 1.2601e-05
29 *6350:CLK *518:8 0
30 *6489:A *6148:A_N 0
31 *298:6 *518:14 6.23202e-05
32 *416:7 *6254:A 0.000306748
33 *416:16 *6254:A 0.000296326
34 *516:6 *518:8 0
35 *516:71 *6254:A 0
*RES
1 *6487:X *518:8 35.2696
2 *518:8 *518:14 35.6764
3 *518:14 *6254:A 38.356
4 *518:14 *6200:A 16.8269
5 *518:8 *6148:A_N 17.2421
*END
*D_NET *519 0.00363806
*CONN
*I *6207:A1 I *D sky130_fd_sc_hd__mux2_1
*I *6488:X O *D sky130_fd_sc_hd__clkbuf_1
*CAP
1 *6207:A1 0
2 *6488:X 0.00150103
3 *519:10 0.00150103
4 *519:10 *6151:A0 0.000158357
5 *519:10 *540:10 0.00021918
6 *519:10 *553:22 0.000258461
7 *516:37 *519:10 0
*RES
1 *6488:X *519:10 45.6111
2 *519:10 *6207:A1 9.24915
*END
*D_NET *520 0.0142929
*CONN
*I *6209:A1 I *D sky130_fd_sc_hd__mux2_1
*I *6489:X O *D sky130_fd_sc_hd__dlymetal6s2s_1
*CAP
1 *6209:A1 0.00104719
2 *6489:X 0.00107218
3 *520:15 0.0019084
4 *520:9 0.00193339
5 *520:9 *6205:A 0.00067644
6 *520:9 *540:7 3.6408e-05
7 *6146:B *520:15 7.40818e-05
8 *6147:A4 *520:15 0.00014489
9 *6152:A *520:9 0.000266832
10 *6209:S *6209:A1 0.000526394
11 *6254:A *520:15 0.00011818
12 *6263:A1 *520:15 0.000111722
13 *6348:D *520:9 1.87611e-05
14 *6377:CLK *520:15 0.000256861
15 *6377:D *520:15 2.13584e-05
16 *297:14 *520:9 0.00139428
17 *326:15 *520:15 0.000178925
18 *326:27 *520:15 0.000350173
19 *371:10 *6209:A1 0.000111722
20 *387:14 *6209:A1 0.000442352
21 *387:14 *520:15 0.00142459
22 *387:21 *520:9 0.00011818
23 *387:24 *520:15 4.20662e-05
24 *416:7 *520:15 0.000961455
25 *470:15 *6209:A1 0.000317707
26 *516:158 *520:15 0.000277488
27 *518:14 *520:9 0.00046091
*RES
1 *6489:X *520:9 49.9913
2 *520:9 *520:15 41.7355
3 *520:15 *6209:A1 39.9211
*END
*D_NET *521 0.018268
*CONN
*I *6311:A2 I *D sky130_fd_sc_hd__a21o_1
*I *6490:X O *D sky130_fd_sc_hd__dlymetal6s2s_1
*CAP
1 *6311:A2 0.000676383
2 *6490:X 0.000295102
3 *521:9 0.00316012
4 *521:8 0.00277883
5 *6311:A2 *6315:A2 0
6 *6311:A2 *6515:A 1.55462e-05
7 *521:9 *532:9 0.00988815
8 sram_addr1[5] *6311:A2 8.49152e-05
9 sram_din0[15] *521:8 8.7706e-05
10 sram_din0[5] *6311:A2 0.000144732
11 *6101:A2 *6311:A2 0
12 *6138:A2 *6311:A2 0.000136391
13 *6490:A *521:8 0
14 *348:10 *6311:A2 0.000915999
15 *467:9 *521:9 8.41339e-05
*RES
1 *6490:X *521:8 23.8184
2 *521:8 *521:9 107.207
3 *521:9 *6311:A2 40.8438
*END
*D_NET *522 0.0082057
*CONN
*I *6211:A1 I *D sky130_fd_sc_hd__mux2_1
*I *6491:X O *D sky130_fd_sc_hd__clkbuf_1
*CAP
1 *6211:A1 0.000127042
2 *6491:X 0.000250191
3 *522:9 0.0023272
4 *522:7 0.00245035
5 *522:9 *6250:C 0.000317707
6 *6250:B *522:9 5.63686e-05
7 *6264:B *522:9 0.000479276
8 *6264:C *522:9 0.000223881
9 *6266:A1 *522:9 0.000275256
10 *6377:D *6211:A1 4.01437e-05
11 *6498:A *522:7 6.56092e-05
12 *6498:A *522:9 4.30017e-06
13 *322:9 *522:9 7.7927e-05
14 *334:6 *6211:A1 0.000237038
15 *392:10 *522:9 0.000738369
16 *457:24 *522:9 0.000535053
*RES
1 *6491:X *522:7 13.6016
2 *522:7 *522:9 59.2341
3 *522:9 *6211:A1 22.5727
*END
*D_NET *523 0.0105053
*CONN
*I *6213:A1 I *D sky130_fd_sc_hd__mux2_1
*I *6492:X O *D sky130_fd_sc_hd__clkbuf_1
*CAP
1 *6213:A1 0.00014202
2 *6492:X 0.00128218
3 *523:11 0.00184199
4 *523:9 0.00298215
5 *523:9 *6155:A0 0.000100705
6 *6155:A1 *523:9 0.000914791
7 *6156:A *523:9 0.0002817
8 *6246:A_N *523:11 2.65831e-05
9 *6246:B *523:11 0.000530137
10 *6273:A1 *523:11 2.41483e-05
11 *6273:A2 *523:11 0.000988757
12 *6273:B1 *523:11 0.00027329
13 *6320:D *523:11 5.56461e-05
14 *318:7 *523:11 0.000208792
15 *343:8 *6213:A1 0.000388247
16 *380:6 *6213:A1 0.00017046
17 *409:7 *523:11 0.000293696
*RES
1 *6492:X *523:9 41.6332
2 *523:9 *523:11 48.9739
3 *523:11 *6213:A1 25.0642
*END
*D_NET *524 0.00512038
*CONN
*I *6203:A I *D sky130_fd_sc_hd__and3_1
*I *6148:C I *D sky130_fd_sc_hd__and4bb_2
*I *6493:X O *D sky130_fd_sc_hd__clkbuf_1
*CAP
1 *6203:A 0.000241475
2 *6148:C 4.85009e-05
3 *6493:X 0.000837035
4 *524:24 0.000779256
5 *524:23 0.00132632
6 *6203:A *6203:C 1.81672e-05
7 *524:23 *6519:A 0.000250132
8 wb_error_o *524:24 0
9 *6148:A_N *6203:A 0
10 *6148:A_N *524:24 0
11 *6150:B1 *524:24 0
12 *6151:A1 *6203:A 3.31728e-05
13 *6152:A *524:24 0.000151741
14 *6203:B *6203:A 0.00015966
15 *6207:S *524:23 0.000288837
16 *6349:D *524:23 0.000123361
17 *6349:D *524:24 1.79807e-05
18 *6350:CLK *524:24 0.000307535
19 *6350:D *524:24 0
20 *6433:A *524:23 6.64392e-05
21 *6435:A *524:23 4.31703e-05
22 *6476:A *6203:A 0
23 *134:18 *524:23 5.01524e-05
24 *232:17 *524:23 9.14834e-05
25 *417:8 *6148:C 1.43983e-05
26 *468:7 *524:23 0.00013978
27 *517:8 *6203:A 3.20069e-06
28 *517:8 *524:24 0.000128587
29 *518:8 *524:24 0
*RES
1 *6493:X *524:23 35.4751
2 *524:23 *524:24 14.7048
3 *524:24 *6148:C 14.4725
4 *524:24 *6203:A 20.8939
*END
*D_NET *525 0.00450248
*CONN
*I *6203:C I *D sky130_fd_sc_hd__and3_1
*I *6205:A I *D sky130_fd_sc_hd__inv_2
*I *6494:X O *D sky130_fd_sc_hd__clkbuf_1
*CAP
1 *6203:C 0.000812094
2 *6205:A 7.67746e-05
3 *6494:X 0.000286705
4 *525:8 0.00117557
5 *6203:C *565:38 0.000107425
6 *525:8 *565:38 8.9863e-05
7 *6150:A2 *6203:C 0
8 *6150:A2 *525:8 0
9 *6151:A1 *6203:C 0.000365231
10 *6203:A *6203:C 1.81672e-05
11 *6203:B *6203:C 2.20702e-05
12 *6204:B1 *6203:C 0.000176935
13 *6374:D *6203:C 1.87611e-05
14 *6488:A *525:8 0
15 *416:16 *6203:C 0
16 *441:49 *6203:C 0
17 *518:14 *6205:A 0.00067644
18 *520:9 *6205:A 0.00067644
*RES
1 *6494:X *525:8 20.4571
2 *525:8 *6205:A 21.1278
3 *525:8 *6203:C 34.8204
*END
*D_NET *526 0.0328611
*CONN
*I *6509:A I *D sky130_fd_sc_hd__buf_2
*I *5165:DIODE I *D sky130_fd_sc_hd__diode_2
*I *6432:X O *D sky130_fd_sc_hd__buf_2
*CAP
1 *6509:A 0.000122604
2 *5165:DIODE 0
3 *6432:X 0.00124266
4 *526:9 0.0151685
5 *526:8 0.0162886
6 flash_io0_write *526:9 8.35699e-06
7 flash_io1_write *526:9 1.19836e-05
8 flash_sck *6509:A 1.84293e-05
*RES
1 *6432:X *526:8 49.564
2 *526:8 *526:9 219.792
3 *526:9 *5165:DIODE 9.24915
4 *526:9 *6509:A 12.625
*END
*D_NET *527 0.000260026
*CONN
*I *6510:A I *D sky130_fd_sc_hd__buf_2
*I *6228:X O *D sky130_fd_sc_hd__clkbuf_1
*CAP
1 *6510:A 9.91462e-05
2 *6228:X 9.91462e-05
3 sram_din0[0] *6510:A 6.17339e-05
4 *6435:A *6510:A 0
*RES
1 *6228:X *6510:A 30.1608
*END
*D_NET *528 0.000964452
*CONN
*I *6511:A I *D sky130_fd_sc_hd__buf_2
*I *6230:X O *D sky130_fd_sc_hd__clkbuf_1
*CAP
1 *6511:A 0.000388858
2 *6230:X 0.000388858
3 sram_addr0[1] *6511:A 0
4 sram_din0[1] *6511:A 0.000148129
5 *6375:D *6511:A 1.00937e-05
6 *455:13 *6511:A 2.85139e-05
*RES
1 *6230:X *6511:A 34.7664
*END
*D_NET *529 0.00120799
*CONN
*I *6512:A I *D sky130_fd_sc_hd__buf_2
*I *6232:X O *D sky130_fd_sc_hd__clkbuf_1
*CAP
1 *6512:A 0.000234052
2 *6232:X 0.000234052
3 *6512:A *587:21 3.20069e-06
4 sram_addr0[2] *6512:A 0.00027329
5 sram_addr1[2] *6512:A 2.65831e-05
6 sram_din0[2] *6512:A 0
7 *6253:A2 *6512:A 0.000436811
*RES
1 *6232:X *6512:A 35.9051
*END
*D_NET *530 0.00298621
*CONN
*I *6513:A I *D sky130_fd_sc_hd__buf_2
*I *6235:X O *D sky130_fd_sc_hd__clkbuf_1
*CAP
1 *6513:A 0.000763304
2 *6235:X 0.000763304
3 *6513:A *587:21 0.000822934
4 sram_addr0[3] *6513:A 0
5 sram_addr1[3] *6513:A 5.04829e-06
6 sram_wmask0[2] *6513:A 0.000148129
7 *324:19 *6513:A 0.000483488
*RES
1 *6235:X *6513:A 44.9181
*END
*D_NET *531 0.000675721
*CONN
*I *6514:A I *D sky130_fd_sc_hd__buf_2
*I *6237:X O *D sky130_fd_sc_hd__clkbuf_1
*CAP
1 *6514:A 0.000201436
2 *6237:X 0.000201436
3 sram_wmask0[3] *6514:A 0.00015888
4 *6237:A *6514:A 0.000113968
*RES
1 *6237:X *6514:A 24.816
*END
*D_NET *532 0.0263871
*CONN
*I *6315:A2 I *D sky130_fd_sc_hd__a21o_1
*I *6495:X O *D sky130_fd_sc_hd__clkbuf_2
*CAP
1 *6315:A2 0.000758712
2 *6495:X 0.000206594
3 *532:9 0.00175051
4 *532:8 0.0011984
5 *6315:A2 *588:8 0
6 *6315:A2 *588:12 0
7 sram_din0[16] *532:8 7.02862e-05
8 sram_din0[5] *6315:A2 0.00061925
9 *6138:A2 *6315:A2 0.000623254
10 *6306:A2 *6315:A2 0.000571681
11 *6311:A2 *6315:A2 0
12 *6455:A *6315:A2 0
13 *6495:A *532:8 3.905e-05
14 *363:6 *6315:A2 0
15 *467:9 *532:9 0.0106612
16 *479:14 *6315:A2 0
17 *521:9 *532:9 0.00988815
*RES
1 *6495:X *532:8 22.5727
2 *532:8 *532:9 113.308
3 *532:9 *6315:A2 43.3353
*END
*D_NET *533 0.00191767
*CONN
*I *6515:A I *D sky130_fd_sc_hd__buf_2
*I *6239:X O *D sky130_fd_sc_hd__clkbuf_1
*CAP
1 *6515:A 0.000450548
2 *6239:X 0.000450548
3 *6515:A *6283:A1 6.60196e-05
4 *6515:A *587:33 8.00705e-05
5 sram_addr0[5] *6515:A 0.000217951
6 *6239:A *6515:A 3.82228e-05
7 *6283:A2 *6515:A 0.000222149
8 *6311:A2 *6515:A 1.55462e-05
9 *342:22 *6515:A 0.000258128
10 *348:10 *6515:A 0.000118485
*RES
1 *6239:X *6515:A 40.8965
*END
*D_NET *534 0.00190483
*CONN
*I *6516:A I *D sky130_fd_sc_hd__buf_2
*I *6241:X O *D sky130_fd_sc_hd__clkbuf_1
*CAP
1 *6516:A 0.00058762
2 *6241:X 0.00058762
3 sram_addr0[6] *6516:A 0
4 sram_din0[6] *6516:A 0
5 *510:25 *6516:A 0.000729587
*RES
1 *6241:X *6516:A 38.094
*END
*D_NET *535 0.00158482
*CONN
*I *6517:A I *D sky130_fd_sc_hd__buf_2
*I *6243:X O *D sky130_fd_sc_hd__clkbuf_1
*CAP
1 *6517:A 0.000277375
2 *6243:X 0.000277375
3 sram_addr0[7] *6517:A 0.000224395
4 *6292:A2 *6517:A 0.000638598
5 *510:25 *6517:A 0.000167076
*RES
1 *6243:X *6517:A 37.4001
*END
*D_NET *536 0.0021208
*CONN
*I *6518:A I *D sky130_fd_sc_hd__buf_2
*I *6218:X O *D sky130_fd_sc_hd__clkbuf_1
*CAP
1 *6518:A 0.000984193
2 *6218:X 0.000984193
3 *477:8 *6518:A 0.000152415
*RES
1 *6218:X *6518:A 46.9509
*END
*D_NET *537 0.00264135
*CONN
*I *6519:A I *D sky130_fd_sc_hd__buf_2
*I *6433:X O *D sky130_fd_sc_hd__clkbuf_1
*CAP
1 *6519:A 0.000934027
2 *6433:X 0.000934027
3 sram_clk0 *6519:A 5.56461e-05
4 sram_csb1 *6519:A 5.04829e-06
5 *6207:S *6519:A 0.000220183
6 *134:18 *6519:A 0.000200786
7 *518:8 *6519:A 4.15008e-05
8 *524:23 *6519:A 0.000250132
*RES
1 *6433:X *6519:A 47.3294
*END
*D_NET *538 0.00252662
*CONN
*I *6520:A I *D sky130_fd_sc_hd__buf_2
*I *6434:X O *D sky130_fd_sc_hd__clkbuf_1
*CAP
1 *6520:A 0.000759057
2 *6434:X 0.000759057
3 sram_addr0[0] *6520:A 0.000283521
4 sram_din0[0] *6520:A 4.42418e-05
5 *6228:A *6520:A 0
6 *6494:A *6520:A 0.000112013
7 *516:19 *6520:A 0.000568733
*RES
1 *6434:X *6520:A 44.5028
*END
*D_NET *539 0.015131
*CONN
*I *6521:A I *D sky130_fd_sc_hd__buf_2
*I *6226:X O *D sky130_fd_sc_hd__dlymetal6s2s_1
*CAP
1 *6521:A 0.00076983
2 *6226:X 0.000200379
3 *539:10 0.00442075
4 *539:9 0.00385129
5 *6521:A *540:17 0.00110814
6 *539:10 *6111:A 0.000113953
7 *539:10 *6114:A 2.18741e-05
8 *539:10 *6240:A 0
9 *539:10 *558:15 3.58457e-05
10 *539:10 *565:38 0.000616885
11 *539:10 *585:8 0
12 *539:10 *592:8 0
13 *539:10 *592:20 0
14 sram_csb1 *6521:A 5.07314e-05
15 *6200:A *539:10 0
16 *6204:B2 *539:10 4.87198e-05
17 *6222:A *539:10 0
18 *6226:A *539:9 0.000577362
19 *6230:A *539:10 0
20 *6494:A *6521:A 0.000561725
21 *6494:A *539:10 0
22 *232:17 *539:10 5.47736e-05
23 *297:14 *539:10 0.000127179
24 *298:6 *539:10 0.000250586
25 *298:10 *539:10 8.36615e-05
26 *303:6 *539:10 0.0014629
27 *422:19 *539:10 0
28 *423:11 *539:10 0
29 *516:14 *6521:A 0.000729664
30 *516:298 *539:10 4.47713e-05
31 *516:663 *539:10 0
*RES
1 *6226:X *539:9 20.5973
2 *539:9 *539:10 108.137
3 *539:10 *6521:A 36.3432
*END
*D_NET *540 0.00803333
*CONN
*I *6147:A3 I *D sky130_fd_sc_hd__o41a_1
*I *6522:A I *D sky130_fd_sc_hd__buf_2
*I *6348:Q O *D sky130_fd_sc_hd__dfxtp_1
*CAP
1 *6147:A3 0.000191782
2 *6522:A 0
3 *6348:Q 0.000284395
4 *540:17 0.000842084
5 *540:10 0.00144319
6 *540:7 0.00107729
7 *540:10 *6207:A0 0
8 sram_csb1 *540:17 7.6719e-06
9 sram_din0[0] *540:17 0.000568198
10 sram_din0[1] *540:17 0.000277502
11 sram_web0 *540:17 0.000281298
12 *6147:A1 *6147:A3 3.67528e-06
13 *6254:A *6147:A3 2.40518e-05
14 *6349:CLK *540:17 8.10016e-06
15 *6350:CLK *540:17 4.3116e-06
16 *6494:A *540:17 0.000565951
17 *6508:A *540:17 0.000107496
18 *6521:A *540:17 0.00110814
19 *134:18 *540:17 0.00027103
20 *387:21 *540:7 5.67813e-05
21 *387:24 *6147:A3 0
22 *516:6 *540:17 1.36691e-05
23 *516:19 *540:17 0.000151319
24 *516:29 *540:17 0.000277109
25 *516:37 *540:10 0
26 *516:64 *6147:A3 0
27 *516:64 *540:10 0
28 *516:71 *6147:A3 0
29 *516:737 *540:17 2.26616e-05
30 *518:8 *540:17 0.000190042
31 *519:10 *540:10 0.00021918
32 *520:9 *540:7 3.6408e-05
*RES
1 *6348:Q *540:7 18.3548
2 *540:7 *540:10 20.0353
3 *540:10 *540:17 46.6614
4 *540:17 *6522:A 9.24915
5 *540:7 *6147:A3 18.0727
*END
*D_NET *541 0.00778903
*CONN
*I *6248:A I *D sky130_fd_sc_hd__or3_1
*I *6523:A I *D sky130_fd_sc_hd__buf_2
*I *6316:Q O *D sky130_fd_sc_hd__dfxtp_1
*CAP
1 *6248:A 0.00022797
2 *6523:A 0
3 *6316:Q 0.000638307
4 *541:11 0.00187143
5 *541:7 0.0027377
6 *541:11 *6227:A 6.3657e-05
7 *541:11 *6229:A 4.70779e-05
8 *6150:A2 *541:11 0.000172954
9 *6150:B1 *541:11 4.31539e-05
10 *6227:B *541:11 0.000477721
11 *6231:B *541:11 0.000636352
12 *6232:A *541:11 0.000158506
13 *6248:C *6248:A 6.50586e-05
14 *6316:CLK *6248:A 0.000139435
15 *6316:CLK *541:7 1.00846e-05
16 *6316:CLK *541:11 7.34948e-06
17 *6316:D *541:7 1.81547e-05
18 *298:11 *541:11 0.000113968
19 *299:5 *6248:A 0.000360145
*RES
1 *6316:Q *541:7 18.3157
2 *541:7 *541:11 46.6349
3 *541:11 *6523:A 9.24915
4 *541:7 *6248:A 24.9627
*END
*D_NET *542 0.0157642
*CONN
*I *6294:A I *D sky130_fd_sc_hd__or3_1
*I *6524:A I *D sky130_fd_sc_hd__buf_2
*I *6326:Q O *D sky130_fd_sc_hd__dfxtp_1
*CAP
1 *6294:A 0.000300139
2 *6524:A 0.00208542
3 *6326:Q 0.000767686
4 *542:26 0.00310971
5 *542:19 0.00241051
6 *542:5 0.00245405
7 *6524:A *6111:A 1.19721e-05
8 *6524:A *6114:A 0.000804365
9 *542:26 *6114:A 0.000172971
10 *542:26 *561:22 0
11 *6097:A1 *542:19 5.65165e-05
12 *6097:A2 *542:19 0.000171273
13 *6098:A *542:26 0.00011581
14 *6111:B *542:26 0.000411006
15 *6111:C *542:26 9.34396e-06
16 *6116:A1 *542:26 3.284e-05
17 *6294:C *6294:A 0.0002817
18 *6312:A1 *542:19 6.1252e-05
19 *6312:A2 *6294:A 0
20 *6326:CLK *6294:A 0
21 *6326:CLK *542:5 2.65667e-05
22 *6326:D *542:5 0.000276239
23 *6334:D *542:19 0.000945057
24 *352:18 *6294:A 0.000147325
25 *352:35 *6294:A 4.80635e-06
26 *359:22 *6294:A 2.41483e-05
27 *376:8 *542:19 0
28 *381:8 *542:26 0.00011818
29 *381:11 *542:26 0.000479549
30 *516:272 *542:26 0.00048572
31 *516:549 *6294:A 0
*RES
1 *6326:Q *542:5 21.0646
2 *542:5 *542:19 44.2906
3 *542:19 *542:26 43.2529
4 *542:26 *6524:A 43.297
5 *542:5 *6294:A 28.0623
*END
*D_NET *543 0.0269651
*CONN
*I *6090:A2 I *D sky130_fd_sc_hd__a21o_1
*I *6496:X O *D sky130_fd_sc_hd__clkbuf_2
*CAP
1 *6090:A2 0.000420883
2 *6496:X 7.17382e-05
3 *543:9 0.00171316
4 *543:8 0.00136401
5 sram_din0[17] *543:8 3.59505e-05
6 *6096:A2 *6090:A2 0.00108457
7 *6101:A1 *6090:A2 6.50586e-05
8 *6496:A *543:8 2.10723e-05
9 *365:10 *6090:A2 6.77678e-05
10 *371:17 *6090:A2 6.50586e-05
11 *456:9 *543:9 0.0124232
12 *470:15 *6090:A2 0.00126748
13 *472:19 *543:9 0.00512774
14 *482:9 *543:9 0.00323741
15 *482:14 *6090:A2 0
*RES
1 *6496:X *543:8 20.0811
2 *543:8 *543:9 132.719
3 *543:9 *6090:A2 41.5671
*END
*D_NET *544 0.0163204
*CONN
*I *6299:A I *D sky130_fd_sc_hd__or3_1
*I *6525:A I *D sky130_fd_sc_hd__buf_2
*I *6327:Q O *D sky130_fd_sc_hd__dfxtp_1
*CAP
1 *6299:A 0.000425813
2 *6525:A 0.000725762
3 *6327:Q 0.000204184
4 *544:9 0.00470233
5 *544:7 0.00460656
6 *6525:A *545:15 0.000235786
7 *6525:A *551:23 0.000753484
8 *6525:A *559:12 0.000519275
9 *6525:A *559:19 0.000110379
10 *544:9 *545:15 0.000437901
11 *544:9 *563:11 0.000176601
12 *6106:A *544:9 0.000314639
13 *6118:A *544:9 2.65667e-05
14 *6173:A *6525:A 0.000320257
15 *6174:A1 *6525:A 0.000186076
16 *6175:A *6525:A 1.03403e-05
17 *6298:A2 *6299:A 0.000368797
18 *6301:A1 *6299:A 5.56461e-05
19 *6312:A1 *544:9 6.92705e-05
20 *6312:A2 *544:9 6.50586e-05
21 *6312:B1 *544:9 6.08467e-05
22 *6327:CLK *544:9 0.000277125
23 *6327:D *6299:A 0
24 *6327:D *544:9 0.000106635
25 *6344:D *544:9 0.000106635
26 *6359:D *6525:A 0.000101133
27 *6360:CLK *6525:A 0.000144531
28 *318:24 *544:9 0.000368787
29 *353:24 *6299:A 0.000434578
30 *361:6 *6299:A 5.93657e-05
31 *388:10 *544:9 0.000111722
32 *395:8 *544:9 7.83311e-05
33 *429:16 *6525:A 4.09612e-05
34 *429:25 *6525:A 2.01595e-05
35 *492:11 *6525:A 9.4885e-05
*RES
1 *6327:Q *544:7 13.8789
2 *544:7 *544:9 98.0563
3 *544:9 *6525:A 48.9606
4 *544:7 *6299:A 33.4071
*END
*D_NET *545 0.0203048
*CONN
*I *6302:A I *D sky130_fd_sc_hd__or3_1
*I *6526:A I *D sky130_fd_sc_hd__buf_2
*I *6328:Q O *D sky130_fd_sc_hd__dfxtp_1
*CAP
1 *6302:A 0.000181627
2 *6526:A 0.000662487
3 *6328:Q 2.06324e-05
4 *545:15 0.0031136
5 *545:12 0.00269031
6 *545:7 0.00244423
7 *545:5 0.00240729
8 *6526:A *560:14 0.00156061
9 *6526:A *564:33 0.000587155
10 *6106:A *545:15 0.000114678
11 *6122:A1 *545:12 0.000186445
12 *6126:A *545:7 0.000217937
13 *6130:A1 *545:7 0.000423922
14 *6130:A2 *545:7 0.000111708
15 *6133:A2 *545:7 0.000795674
16 *6289:A *545:7 0.000147325
17 *6304:A1 *6302:A 1.21461e-06
18 *6328:CLK *545:7 6.50727e-05
19 *6328:D *545:7 0.000229576
20 *6359:CLK *6526:A 0.000252342
21 *6507:A *545:15 2.61955e-05
22 *6525:A *545:15 0.000235786
23 *326:33 *545:7 0.000897691
24 *352:8 *545:7 0.000410992
25 *352:40 *6302:A 3.42827e-05
26 *352:40 *545:7 4.95737e-05
27 *395:8 *545:15 0.000107496
28 *395:12 *545:12 3.85733e-05
29 *399:16 *545:12 0.000646251
30 *402:14 *545:12 0.000360672
31 *403:39 *545:7 0.00027329
32 *464:22 *545:7 0.000255001
33 *464:30 *545:7 0.00031723
34 *544:9 *545:15 0.000437901
*RES
1 *6328:Q *545:5 9.82786
2 *545:5 *545:7 63.9482
3 *545:7 *545:12 20.798
4 *545:12 *545:15 42.3818
5 *545:15 *6526:A 44.282
6 *545:5 *6302:A 13.3243
*END
*D_NET *546 0.0188985
*CONN
*I *6305:A I *D sky130_fd_sc_hd__or3_1
*I *6527:A I *D sky130_fd_sc_hd__buf_2
*I *6329:Q O *D sky130_fd_sc_hd__dfxtp_1
*CAP
1 *6305:A 0.000186705
2 *6527:A 3.5247e-05
3 *6329:Q 2.06324e-05
4 *546:19 0.00148448
5 *546:15 0.00314697
6 *546:7 0.00329264
7 *546:5 0.00180225
8 *546:7 *6128:A 0.000142805
9 *546:7 *562:9 0.000317844
10 *546:7 *562:26 2.41483e-05
11 *546:19 *6172:A0 0
12 *546:19 *562:30 0.00211144
13 *546:19 *566:11 0
14 *6127:A1 *546:7 0.000160617
15 *6127:B1 *546:7 6.08467e-05
16 *6131:C *546:7 0.001161
17 *6133:A1 *546:7 3.99086e-06
18 *6178:S *546:19 0.000107496
19 *6305:C *6305:A 0.000107496
20 *6329:D *546:7 0.000488655
21 *6344:D *546:7 6.92705e-05
22 *6361:D *546:19 6.50727e-05
23 *392:21 *546:15 9.24241e-05
24 *394:12 *546:15 9.24241e-05
25 *409:11 *546:7 0.000848781
26 *441:8 *546:19 0.000341017
27 *458:29 *546:19 0
28 *465:37 *546:15 0.00273422
*RES
1 *6329:Q *546:5 9.82786
2 *546:5 *546:7 46.2009
3 *546:7 *546:15 44.8948
4 *546:15 *546:19 49.5617
5 *546:19 *6527:A 10.2378
6 *546:5 *6305:A 13.3243
*END
*D_NET *547 0.0118799
*CONN
*I *6528:A I *D sky130_fd_sc_hd__buf_2
*I *6310:A I *D sky130_fd_sc_hd__or3_1
*I *6330:Q O *D sky130_fd_sc_hd__dfxtp_1
*CAP
1 *6528:A 3.64478e-05
2 *6310:A 0
3 *6330:Q 0.00029571
4 *547:23 0.00340253
5 *547:22 0.00496824
6 *547:10 0.00189786
7 *547:22 *551:9 9.2346e-06
8 *547:23 *551:23 9.14669e-05
9 *6092:B *547:22 0
10 *6092:C *547:22 0
11 *6097:A1 *547:22 7.50722e-05
12 *6097:B1 *547:22 0
13 *6181:A1 *547:23 2.41916e-05
14 *6310:C *547:22 0.000324688
15 *6330:D *547:10 1.21461e-06
16 *6331:CLK *547:22 0
17 *367:25 *547:22 0
18 *373:31 *547:22 0
19 *376:8 *547:10 6.80864e-05
20 *380:6 *547:10 6.17339e-05
21 *435:5 *547:23 0.000452176
22 *435:10 *547:23 0.000171273
23 *459:15 *547:22 0
*RES
1 *6330:Q *547:10 23.8535
2 *547:10 *6310:A 9.24915
3 *547:10 *547:22 49.6574
4 *547:22 *547:23 74.4857
5 *547:23 *6528:A 10.2378
*END
*D_NET *548 0.0141509
*CONN
*I *6529:A I *D sky130_fd_sc_hd__buf_2
*I *6313:A I *D sky130_fd_sc_hd__or3_1
*I *6331:Q O *D sky130_fd_sc_hd__dfxtp_1
*CAP
1 *6529:A 2.06324e-05
2 *6313:A 0.000377556
3 *6331:Q 0.000322811
4 *548:28 0.00273765
5 *548:26 0.00381651
6 *548:23 0.00237617
7 *548:7 0.00197704
8 *548:28 *6183:A0 0.000213725
9 *548:28 *558:21 2.99978e-05
10 *548:28 *571:5 0.000625503
11 *6087:B1 *6313:A 0.000252883
12 *6331:D *6313:A 0.000169093
13 *6331:D *548:7 5.20546e-06
14 *6364:CLK *548:28 0.000913028
15 *6364:D *548:28 0.000108025
16 *372:8 *6313:A 0
17 *516:326 *548:28 0.00020502
*RES
1 *6331:Q *548:7 18.3548
2 *548:7 *6313:A 25.3655
3 *548:7 *548:23 36.6454
4 *548:23 *548:26 25.982
5 *548:26 *548:28 66.4439
6 *548:28 *6529:A 9.82786
*END
*D_NET *549 0.0128215
*CONN
*I *6530:A I *D sky130_fd_sc_hd__buf_2
*I *6088:A I *D sky130_fd_sc_hd__or3_1
*I *6332:Q O *D sky130_fd_sc_hd__dfxtp_1
*CAP
1 *6530:A 3.40108e-05
2 *6088:A 0.000490491
3 *6332:Q 0
4 *549:22 0.00292425
5 *549:20 0.00379974
6 *549:17 0.00217356
7 *549:5 0.00175455
8 *6530:A *559:25 3.01683e-06
9 *549:22 *6185:A0 0.000318519
10 *549:22 *6224:B 0.0002646
11 *549:22 *6224:D 6.73186e-05
12 *6186:A *549:22 0.000122378
13 *6313:B *6088:A 0.000168843
14 *6313:C *6088:A 0.000377259
15 *6332:D *6088:A 0
16 *305:10 *549:22 0.000269565
17 *376:8 *6088:A 5.33945e-05
*RES
1 *6332:Q *549:5 13.7491
2 *549:5 *6088:A 29.183
3 *549:5 *549:17 36.2302
4 *549:17 *549:20 20.1466
5 *549:20 *549:22 66.4439
6 *549:22 *6530:A 10.2378
*END
*D_NET *550 0.0108157
*CONN
*I *6531:A I *D sky130_fd_sc_hd__buf_2
*I *6092:A I *D sky130_fd_sc_hd__or3_1
*I *6333:Q O *D sky130_fd_sc_hd__dfxtp_1
*CAP
1 *6531:A 0.000797905
2 *6092:A 0.000315637
3 *6333:Q 0
4 *550:18 0.00283308
5 *550:17 0.00322998
6 *550:5 0.00151045
7 *6531:A *573:7 5.51483e-06
8 *550:18 *573:7 0.000288348
9 *6092:C *6092:A 6.92705e-05
10 *6333:D *6092:A 0
11 *6366:CLK *6531:A 0.000347886
12 *6366:D *550:18 9.74302e-05
13 *373:28 *6092:A 6.49003e-05
14 *459:15 *6092:A 0.000205686
15 *459:15 *550:17 0.00104958
*RES
1 *6333:Q *550:5 13.7491
2 *550:5 *6092:A 23.7819
3 *550:5 *550:17 43.2894
4 *550:17 *550:18 51.1923
5 *550:18 *6531:A 27.7681
*END
*D_NET *551 0.0182724
*CONN
*I *6095:A I *D sky130_fd_sc_hd__or3_1
*I *6532:A I *D sky130_fd_sc_hd__buf_2
*I *6334:Q O *D sky130_fd_sc_hd__dfxtp_1
*CAP
1 *6095:A 1.81917e-05
2 *6532:A 0
3 *6334:Q 9.05884e-05
4 *551:27 0.000888437
5 *551:23 0.00164008
6 *551:11 0.00311923
7 *551:9 0.00247637
8 *551:11 *6172:A0 0.00031994
9 *551:11 *558:15 0.00011188
10 *551:23 *562:30 0.00010645
11 *551:23 *564:37 0.000105037
12 *551:27 *560:16 0.00193816
13 *551:27 *564:37 0.00112668
14 *551:27 *564:39 0
15 *6086:A *551:11 0.000668413
16 *6097:A1 *551:9 7.86847e-05
17 *6116:A2 *551:11 0.000364356
18 *6116:B1 *551:11 7.24449e-05
19 *6122:A2 *551:11 6.50586e-05
20 *6172:A1 *551:11 0.000154145
21 *6172:S *551:11 1.65872e-05
22 *6177:A *551:23 0.000341252
23 *6178:S *551:23 4.64786e-05
24 *6308:A *551:11 0.000282235
25 *6310:C *6095:A 1.09551e-05
26 *6310:C *551:9 4.82966e-05
27 *6310:C *551:11 2.65831e-05
28 *6339:D *551:11 0.00127064
29 *6360:CLK *551:23 0.000308214
30 *6361:D *551:23 6.46135e-05
31 *6365:D *551:27 3.01634e-05
32 *6465:A *551:23 0.000108103
33 *6525:A *551:23 0.000753484
34 *366:9 *551:11 0.000226406
35 *366:34 *551:11 3.99086e-06
36 *367:25 *551:9 2.692e-05
37 *373:8 *551:11 0.000122378
38 *388:21 *551:11 2.15348e-05
39 *429:25 *551:23 0.000727438
40 *429:34 *551:23 0.000391283
41 *547:22 *551:9 9.2346e-06
42 *547:23 *551:23 9.14669e-05
*RES
1 *6334:Q *551:9 21.3591
2 *551:9 *551:11 66.1666
3 *551:11 *551:23 49.7669
4 *551:23 *551:27 41.3876
5 *551:27 *6532:A 9.24915
6 *551:9 *6095:A 9.82786
*END
*D_NET *552 0.0117726
*CONN
*I *6533:A I *D sky130_fd_sc_hd__buf_2
*I *6100:A I *D sky130_fd_sc_hd__or3_1
*I *6335:Q O *D sky130_fd_sc_hd__dfxtp_1
*CAP
1 *6533:A 3.5247e-05
2 *6100:A 0.000527868
3 *6335:Q 0
4 *552:18 0.00263585
5 *552:17 0.00260061
6 *552:15 0.00245884
7 *552:5 0.00298671
8 *552:18 *6192:A0 5.80138e-05
9 *6102:A1 *6100:A 0.00021714
10 *6192:A1 *552:18 0.000177902
11 *6192:S *552:18 6.50586e-05
12 *373:18 *6100:A 0
13 *384:8 *6100:A 9.35753e-06
14 *516:272 *6100:A 0
15 *516:474 *6100:A 0
16 *516:474 *552:15 0
*RES
1 *6335:Q *552:5 13.7491
2 *552:5 *6100:A 27.5304
3 *552:5 *552:15 61.6283
4 *552:15 *552:17 4.5
5 *552:17 *552:18 57.293
6 *552:18 *6533:A 10.2378
*END
*D_NET *553 0.00984252
*CONN
*I *6534:A I *D sky130_fd_sc_hd__buf_2
*I *6258:A I *D sky130_fd_sc_hd__or3_1
*I *6317:Q O *D sky130_fd_sc_hd__dfxtp_1
*CAP
1 *6534:A 3.5247e-05
2 *6258:A 0
3 *6317:Q 0.00063402
4 *553:22 0.00207571
5 *553:21 0.00214358
6 *553:12 0.000737142
7 *553:22 *6151:A0 0.000152967
8 *6260:A1 *553:12 2.11368e-05
9 *6317:CLK *553:12 0.000136356
10 *6317:CLK *553:21 0.000214058
11 *6317:D *553:12 9.76457e-06
12 *6318:CLK *553:22 0.000250402
13 *6318:D *553:22 6.92705e-05
14 *312:8 *553:12 0
15 *312:8 *553:21 0
16 *328:17 *553:21 2.95757e-05
17 *328:17 *553:22 0.000838097
18 *328:24 *553:22 0.000105636
19 *384:7 *553:22 0.000589674
20 *516:40 *553:22 0.00154142
21 *519:10 *553:22 0.000258461
*RES
1 *6317:Q *553:12 24.6941
2 *553:12 *6258:A 13.7491
3 *553:12 *553:21 8.40826
4 *553:21 *553:22 61.7298
5 *553:22 *6534:A 10.2378
*END
*D_NET *554 0.0289207
*CONN
*I *6093:A2 I *D sky130_fd_sc_hd__a21o_1
*I *6497:X O *D sky130_fd_sc_hd__clkbuf_2
*CAP
1 *6093:A2 0.000418038
2 *6497:X 0
3 *554:5 0.00919481
4 *554:4 0.00877677
5 sram_addr0[5] *554:5 0.000276172
6 sram_din0[14] *554:5 4.26566e-05
7 sram_wmask0[3] *554:5 5.54592e-05
8 *6096:B1 *6093:A2 0.000174205
9 *6283:A2 *554:5 1.50167e-05
10 *6490:A *554:5 1.41307e-05
11 *348:10 *554:5 0.000353686
12 *471:21 *6093:A2 0.000246518
13 *472:9 *554:5 0.00109101
14 *472:13 *554:5 0.000305265
15 *472:25 *6093:A2 0.00106725
16 *477:8 *554:5 0.00223603
17 *478:11 *554:5 0.00103544
18 *499:15 *554:5 0.000957943
19 *499:23 *554:5 0.00266026
*RES
1 *6497:X *554:4 9.24915
2 *554:4 *554:5 151.021
3 *554:5 *6093:A2 37.1065
*END
*D_NET *555 0.0104054
*CONN
*I *6535:A I *D sky130_fd_sc_hd__buf_2
*I *6103:A I *D sky130_fd_sc_hd__or3_1
*I *6336:Q O *D sky130_fd_sc_hd__dfxtp_1
*CAP
1 *6535:A 0.000643164
2 *6103:A 0.000362063
3 *6336:Q 0
4 *555:25 0.00170711
5 *555:17 0.00289966
6 *555:5 0.00219778
7 *6103:A *561:23 0.000192993
8 *6535:A *6223:A 0.000167076
9 *555:17 *561:23 0.00161057
10 *555:25 *6192:A0 1.2601e-05
11 *555:25 *6223:A 3.58321e-05
12 *555:25 *557:12 0.000149643
13 *6107:A1 *6103:A 3.99086e-06
14 *6110:B1 *6103:A 5.36834e-05
15 *6195:A *555:25 4.9933e-05
16 *6336:D *6103:A 0
17 *6368:D *6535:A 2.65831e-05
18 *6369:D *555:25 0.000169733
19 *382:16 *6103:A 3.14978e-05
20 *382:21 *6103:A 9.14669e-05
*RES
1 *6336:Q *555:5 13.7491
2 *555:5 *6103:A 25.0546
3 *555:5 *555:17 59.5521
4 *555:17 *555:25 39.07
5 *555:25 *6535:A 22.7525
*END
*D_NET *556 0.0109733
*CONN
*I *6536:A I *D sky130_fd_sc_hd__buf_2
*I *6108:A I *D sky130_fd_sc_hd__or3_1
*I *6337:Q O *D sky130_fd_sc_hd__dfxtp_1
*CAP
1 *6536:A 3.64478e-05
2 *6108:A 0.000346741
3 *6337:Q 0
4 *556:18 0.00197224
5 *556:15 0.00453193
6 *556:5 0.00294288
7 *556:18 *6198:A0 4.0752e-05
8 *6196:S *556:18 0.000253206
9 *6198:S *556:18 0.000171273
10 *6199:A *556:18 2.72636e-05
11 *390:8 *6108:A 3.20069e-06
12 *441:26 *556:18 0.000605068
13 *505:11 *556:18 4.22456e-05
14 *516:291 *6108:A 0
*RES
1 *6337:Q *556:5 13.7491
2 *556:5 *6108:A 22.1275
3 *556:5 *556:15 64.9503
4 *556:15 *556:18 49.5917
5 *556:18 *6536:A 10.2378
*END
*D_NET *557 0.0136358
*CONN
*I *6111:A I *D sky130_fd_sc_hd__or3_1
*I *6537:A I *D sky130_fd_sc_hd__buf_2
*I *6338:Q O *D sky130_fd_sc_hd__dfxtp_1
*CAP
1 *6111:A 0.000367397
2 *6537:A 0.000635239
3 *6338:Q 0
4 *557:12 0.00247797
5 *557:10 0.00348756
6 *557:4 0.00201222
7 *6111:A *6114:A 0.000316363
8 *557:10 *6174:A0 3.68867e-05
9 *557:10 *6176:A0 5.47516e-05
10 *557:10 *6219:D 5.66868e-06
11 *557:10 *570:8 2.692e-05
12 *557:12 *6183:A0 9.96342e-05
13 *557:12 *6187:A0 7.05523e-05
14 *557:12 *6192:A0 0
15 *557:12 *6223:C 0.000190941
16 *557:12 *6223:D 0.000157753
17 *557:12 *6224:A 0
18 *557:12 *6224:C 9.20518e-05
19 *557:12 *6224:D 0.000708581
20 *557:12 *574:6 0.000231378
21 *557:12 *577:10 0
22 *557:12 *578:8 5.19205e-05
23 *6179:A *557:10 3.1218e-05
24 *6180:A *557:10 0.00148966
25 *6183:A1 *557:12 0.000332543
26 *6184:A *557:12 0.000115053
27 *6191:A *557:10 6.50586e-05
28 *6338:CLK *557:10 2.65667e-05
29 *6338:D *557:10 0.000274963
30 *6524:A *6111:A 1.19721e-05
31 *441:48 *557:10 1.4091e-06
32 *458:29 *557:10 0
33 *458:29 *557:12 0
34 *539:10 *6111:A 0.000113953
35 *555:25 *557:12 0.000149643
*RES
1 *6338:Q *557:4 9.24915
2 *557:4 *557:10 47.6009
3 *557:10 *557:12 57.6834
4 *557:12 *6537:A 27.2284
5 *557:4 *6111:A 30.5059
*END
*D_NET *558 0.0208936
*CONN
*I *6114:A I *D sky130_fd_sc_hd__or3_1
*I *6538:A I *D sky130_fd_sc_hd__buf_2
*I *6339:Q O *D sky130_fd_sc_hd__dfxtp_1
*CAP
1 *6114:A 0.000263179
2 *6538:A 0.000127531
3 *6339:Q 0
4 *558:22 0.00102038
5 *558:21 0.000984519
6 *558:18 0.0010123
7 *558:15 0.0023319
8 *558:5 0.00167446
9 *558:15 *6172:A0 5.2472e-05
10 *558:18 *559:25 0.000369388
11 *558:18 *560:14 0.000264272
12 *558:18 *560:16 0
13 *558:18 *563:30 0.000689498
14 *558:18 *563:38 0.000771464
15 *558:22 *559:25 0.000294241
16 *558:22 *559:26 0.00310443
17 *558:22 *560:16 0.00343496
18 *558:22 *563:38 0
19 wb_data_o[10] *558:18 1.91391e-05
20 wb_data_o[11] *558:18 0.000113077
21 wb_data_o[12] *558:18 6.11074e-05
22 wb_data_o[13] *558:18 1.91391e-05
23 wb_data_o[14] *558:18 4.51176e-05
24 wb_data_o[15] *558:18 6.11074e-05
25 *6111:A *6114:A 0.000316363
26 *6111:B *6114:A 1.19721e-05
27 *6116:A1 *6114:A 0.00036437
28 *6172:A1 *558:15 6.03797e-05
29 *6172:A1 *558:18 0.000254045
30 *6172:S *558:15 0.000143891
31 *6173:A *558:15 0.000107496
32 *6174:A1 *558:18 7.50872e-05
33 *6339:D *558:15 0.000157684
34 *6461:A *558:18 5.92342e-05
35 *6462:A *558:18 9.61451e-05
36 *6463:A *558:18 0.000207083
37 *6464:A *558:18 0.000531426
38 *6466:A *558:18 0.000238226
39 *6467:A *558:18 1.66626e-05
40 *6524:A *6114:A 0.000804365
41 *492:11 *558:15 0.000357898
42 *516:326 *558:21 5.04829e-06
43 *539:10 *6114:A 2.18741e-05
44 *539:10 *558:15 3.58457e-05
45 *542:26 *6114:A 0.000172971
46 *548:28 *558:21 2.99978e-05
47 *551:11 *558:15 0.00011188
*RES
1 *6339:Q *558:5 13.7491
2 *558:5 *558:15 36.0115
3 *558:15 *558:18 49.103
4 *558:18 *558:21 6.3326
5 *558:21 *558:22 59.1368
6 *558:22 *6538:A 16.1364
7 *558:5 *6114:A 29.0845
*END
*D_NET *559 0.0213136
*CONN
*I *6119:A I *D sky130_fd_sc_hd__or3_1
*I *6539:A I *D sky130_fd_sc_hd__buf_2
*I *6340:Q O *D sky130_fd_sc_hd__dfxtp_1
*CAP
1 *6119:A 0.000146329
2 *6539:A 9.48891e-05
3 *6340:Q 0.000214204
4 *559:26 0.00125079
5 *559:25 0.001712
6 *559:19 0.0010573
7 *559:12 0.0031237
8 *559:5 0.00298303
9 *559:12 *564:33 0.000128899
10 *559:12 *566:7 3.28898e-06
11 *559:19 *564:33 0.000337943
12 *559:19 *564:37 0.000161152
13 *559:25 *560:16 5.33331e-05
14 *559:25 *563:38 2.6832e-05
15 *559:26 *560:16 0.000178532
16 *559:26 *563:38 2.36947e-05
17 *559:26 *563:40 0.000815854
18 wb_data_o[13] *559:25 1.91391e-05
19 wb_data_o[14] *559:25 4.51176e-05
20 wb_data_o[15] *559:25 6.11074e-05
21 wb_data_o[16] *559:25 1.91391e-05
22 wb_data_o[17] *559:26 4.51062e-05
23 wb_data_o[18] *559:26 8.71534e-05
24 wb_data_o[19] *559:26 1.91391e-05
25 wb_data_o[20] *559:26 1.91246e-05
26 wb_data_o[21] *559:26 4.51176e-05
27 wb_data_o[22] *559:26 1.91391e-05
28 wb_data_o[23] *559:26 4.40272e-05
29 wb_data_o[24] *559:26 6.11074e-05
30 *6122:A1 *6119:A 0.000129207
31 *6340:D *559:12 4.74773e-05
32 *6359:D *559:12 1.21461e-06
33 *6360:CLK *559:19 0.000650784
34 *6466:A *559:25 0.000238226
35 *6467:A *559:25 0.000181144
36 *6469:A *559:26 0.000162078
37 *6470:A *559:26 0.000253252
38 *6471:A *559:26 0.000164105
39 *6474:A *559:26 0.000186134
40 *6475:A *559:26 0.000139245
41 *6525:A *559:12 0.000519275
42 *6525:A *559:19 0.000110379
43 *6530:A *559:25 3.01683e-06
44 *394:12 *6119:A 0.000435258
45 *395:8 *6119:A 8.89094e-05
46 *395:12 *6119:A 5.77352e-05
47 *492:11 *559:12 7.50722e-05
48 *516:309 *559:19 0.000154145
49 *516:314 *559:19 1.19721e-05
50 *516:314 *559:25 0.000754537
51 *516:326 *559:25 0.000386217
52 *558:18 *559:25 0.000369388
53 *558:22 *559:25 0.000294241
54 *558:22 *559:26 0.00310443
*RES
1 *6340:Q *559:5 12.191
2 *559:5 *559:12 47.8256
3 *559:12 *559:19 27.6359
4 *559:19 *559:25 36.7358
5 *559:25 *559:26 59.9673
6 *559:26 *6539:A 15.5817
7 *559:5 *6119:A 25.8947
*END
*D_NET *560 0.0208806
*CONN
*I *6123:A I *D sky130_fd_sc_hd__or3_1
*I *6540:A I *D sky130_fd_sc_hd__buf_2
*I *6341:Q O *D sky130_fd_sc_hd__dfxtp_2
*CAP
1 *6123:A 0.000147025
2 *6540:A 0.000136499
3 *6341:Q 2.06324e-05
4 *560:16 0.00206244
5 *560:14 0.00264793
6 *560:11 0.00130173
7 *560:5 0.000747395
8 *560:11 *562:29 5.7413e-05
9 *560:14 *563:30 9.94765e-05
10 *560:14 *564:33 9.71323e-06
11 *560:16 *563:40 0
12 *560:16 *564:33 1.07248e-05
13 *560:16 *564:37 0.000144739
14 *560:16 *564:39 0
15 wb_data_o[10] *560:14 1.91391e-05
16 wb_data_o[11] *560:14 0.000113077
17 wb_data_o[12] *560:14 6.11074e-05
18 wb_data_o[25] *560:16 4.75721e-06
19 wb_data_o[8] *560:14 0.000112013
20 wb_data_o[9] *560:14 1.91246e-05
21 *6176:A1 *560:16 0.0004695
22 *6192:A1 *560:16 0.000609938
23 *6341:D *6123:A 0.000338076
24 *6341:D *560:11 0.000639794
25 *6358:CLK *560:11 0.000107496
26 *6358:D *560:11 2.13584e-05
27 *6359:CLK *560:14 0.000104935
28 *6371:D *560:16 7.15593e-05
29 *6461:A *560:14 0.000181022
30 *6462:A *560:14 9.61451e-05
31 *6463:A *560:14 0.000207083
32 *6484:A *560:14 7.5268e-06
33 *6526:A *560:14 0.00156061
34 *515:11 *560:11 4.98839e-05
35 *516:314 *560:16 0.000747357
36 *516:326 *560:16 0.000389746
37 *516:362 *560:16 0.000165839
38 *516:376 *560:16 0.000126327
39 *516:643 *560:14 2.71542e-05
40 *516:652 *560:11 0.00100633
41 *516:663 *6123:A 0.000171456
42 *516:663 *560:11 0.000197243
43 *551:27 *560:16 0.00193816
44 *558:18 *560:14 0.000264272
45 *558:18 *560:16 0
46 *558:22 *560:16 0.00343496
47 *559:25 *560:16 5.33331e-05
48 *559:26 *560:16 0.000178532
*RES
1 *6341:Q *560:5 9.82786
2 *560:5 *560:11 27.9863
3 *560:11 *560:14 34.0629
4 *560:14 *560:16 93.8104
5 *560:16 *6540:A 16.691
6 *560:5 *6123:A 17.1824
*END
*D_NET *561 0.0177054
*CONN
*I *6541:A I *D sky130_fd_sc_hd__buf_2
*I *6128:A I *D sky130_fd_sc_hd__or3_1
*I *6342:Q O *D sky130_fd_sc_hd__dfxtp_2
*CAP
1 *6541:A 0
2 *6128:A 0.000160982
3 *6342:Q 0.000213946
4 *561:26 0.00156437
5 *561:23 0.00541485
6 *561:22 0.00460637
7 *561:8 0.00113082
8 *6128:A *562:26 0.000593404
9 *561:8 *562:26 9.35753e-06
10 *561:22 *562:26 1.8906e-05
11 *6103:A *561:23 0.000192993
12 *6110:B1 *561:23 0
13 *6111:C *561:22 0
14 *6113:A1 *561:22 6.31665e-05
15 *6116:A1 *561:22 1.79672e-05
16 *6127:A1 *6128:A 7.68538e-06
17 *6127:B1 *6128:A 6.08467e-05
18 *6131:B *6128:A 6.92705e-05
19 *6131:C *6128:A 6.92705e-05
20 *6337:D *561:23 0.000100991
21 *6340:CLK *561:8 0
22 *6340:CLK *561:22 0
23 *6341:D *6128:A 6.23875e-05
24 *6342:D *561:8 1.31764e-05
25 *382:21 *561:23 0.000118485
26 *387:28 *561:8 0.000113374
27 *387:28 *561:22 0.00116897
28 *388:27 *561:22 0.000158371
29 *388:40 *561:22 5.22654e-06
30 *395:19 *6128:A 1.67988e-05
31 *516:291 *561:23 0
32 *542:26 *561:22 0
33 *546:7 *6128:A 0.000142805
34 *555:17 *561:23 0.00161057
*RES
1 *6342:Q *561:8 17.829
2 *561:8 *6128:A 21.6824
3 *561:8 *561:22 32.1814
4 *561:22 *561:23 112.289
5 *561:23 *561:26 41.2726
6 *561:26 *6541:A 9.24915
*END
*D_NET *562 0.0278774
*CONN
*I *6131:A I *D sky130_fd_sc_hd__or3_1
*I *6542:A I *D sky130_fd_sc_hd__buf_2
*I *6343:Q O *D sky130_fd_sc_hd__dfxtp_2
*CAP
1 *6131:A 0
2 *6542:A 0.000939305
3 *6343:Q 0.000204003
4 *562:30 0.00387622
5 *562:29 0.00439581
6 *562:26 0.00188687
7 *562:9 0.00063198
8 *6542:A *564:39 0.00049956
9 *562:30 *564:37 0
10 *562:30 *564:39 0.000395249
11 *562:30 *566:11 0
12 wb_data_o[31] *6542:A 0
13 *6123:B *562:26 0.000510762
14 *6128:A *562:26 0.000593404
15 *6131:B *562:26 0.00105898
16 *6131:C *562:26 0.00105477
17 *6133:A1 *562:9 0.000328016
18 *6136:B1 *562:9 0.000122083
19 *6177:A *562:30 1.2693e-05
20 *6178:S *562:30 0.000384665
21 *6181:A1 *562:30 0.000130946
22 *6182:A *562:30 1.32509e-05
23 *6185:A1 *562:30 0.000572646
24 *6190:A *562:30 0.000122083
25 *6193:A *562:30 0.0001549
26 *6198:A1 *562:30 0.000781044
27 *6340:CLK *562:26 0.000106215
28 *6341:D *562:26 3.61993e-05
29 *6341:D *562:29 0.0014724
30 *6367:D *562:30 0.000119987
31 *378:8 *562:9 0
32 *390:8 *562:26 1.9101e-05
33 *395:19 *562:26 0.000258128
34 *399:16 *562:26 7.08723e-06
35 *429:16 *562:30 0.000687602
36 *429:25 *562:30 0.000770851
37 *429:34 *562:30 0.000394828
38 *435:10 *562:30 0.000402008
39 *435:29 *562:30 0.000542621
40 *435:36 *562:30 2.44103e-05
41 *441:8 *562:30 0
42 *441:19 *562:30 0
43 *458:29 *6542:A 0
44 *458:29 *562:30 0
45 *516:331 *562:30 0
46 *516:343 *562:30 0.000231378
47 *516:357 *562:30 0.000653865
48 *516:652 *562:29 0.000835879
49 *546:7 *562:9 0.000317844
50 *546:7 *562:26 2.41483e-05
51 *546:19 *562:30 0.00211144
52 *551:23 *562:30 0.00010645
53 *560:11 *562:29 5.7413e-05
54 *561:8 *562:26 9.35753e-06
55 *561:22 *562:26 1.8906e-05
*RES
1 *6343:Q *562:9 28.4296
2 *562:9 *562:26 42.749
3 *562:26 *562:29 30.7352
4 *562:29 *562:30 120.24
5 *562:30 *6542:A 40.1022
6 *562:9 *6131:A 9.24915
*END
*D_NET *563 0.0237051
*CONN
*I *6134:A I *D sky130_fd_sc_hd__or3_1
*I *6543:A I *D sky130_fd_sc_hd__buf_2
*I *6344:Q O *D sky130_fd_sc_hd__dfxtp_2
*CAP
1 *6134:A 3.5247e-05
2 *6543:A 3.58004e-05
3 *6344:Q 0.000929034
4 *563:40 0.00223397
5 *563:38 0.0033651
6 *563:30 0.00250676
7 *563:13 0.0035425
8 *563:11 0.00316696
9 *563:40 *564:39 0
10 wb_data_o[16] *563:38 7.13655e-06
11 wb_data_o[18] *563:40 8.71534e-05
12 wb_data_o[19] *563:40 1.91391e-05
13 wb_data_o[20] *563:40 1.91246e-05
14 wb_data_o[21] *563:40 4.51176e-05
15 wb_data_o[22] *563:40 1.91391e-05
16 wb_data_o[23] *563:40 4.40272e-05
17 wb_data_o[24] *563:40 6.11074e-05
18 wb_data_o[25] *563:40 2.02035e-05
19 wb_data_o[26] *563:40 1.91391e-05
20 wb_data_o[27] *563:40 0.000113077
21 wb_data_o[28] *563:40 1.91391e-05
22 wb_data_o[9] *563:30 7.12632e-06
23 *6123:C *563:13 0.00023294
24 *6134:C *563:13 0.000511064
25 *6171:A *563:13 5.07314e-05
26 *6172:A1 *563:30 0.000262724
27 *6174:A1 *563:30 7.86847e-05
28 *6187:A1 *563:38 0.000104731
29 *6189:A1 *563:40 0.000305005
30 *6344:D *563:11 2.54559e-05
31 *6461:A *563:30 5.01784e-05
32 *6464:A *563:30 0.000546266
33 *6467:A *563:38 7.29227e-05
34 *6469:A *563:38 0.000490251
35 *6470:A *563:38 1.49636e-05
36 *6470:A *563:40 2.37478e-05
37 *6471:A *563:40 0.000164105
38 *6472:A *563:40 0.000485602
39 *6474:A *563:40 0.000186134
40 *6475:A *563:40 0.000139245
41 *318:21 *563:11 2.36494e-05
42 *318:24 *563:11 6.99486e-05
43 *384:8 *563:11 0.000101148
44 *396:24 *563:13 0.000160462
45 *465:37 *563:11 1.19856e-05
46 *465:37 *563:13 0.000693705
47 *544:9 *563:11 0.000176601
48 *558:18 *563:30 0.000689498
49 *558:18 *563:38 0.000771464
50 *558:22 *563:38 0
51 *559:25 *563:38 2.6832e-05
52 *559:26 *563:38 2.36947e-05
53 *559:26 *563:40 0.000815854
54 *560:14 *563:30 9.94765e-05
55 *560:16 *563:40 0
*RES
1 *6344:Q *563:11 34.2838
2 *563:11 *563:13 55.6292
3 *563:13 *563:30 47.7717
4 *563:30 *563:38 34.7591
5 *563:38 *563:40 66.819
6 *563:40 *6543:A 14.4725
7 *563:11 *6134:A 10.2378
*END
*D_NET *564 0.0270908
*CONN
*I *6544:A I *D sky130_fd_sc_hd__buf_2
*I *6137:A I *D sky130_fd_sc_hd__or3_1
*I *6345:Q O *D sky130_fd_sc_hd__dfxtp_2
*CAP
1 *6544:A 5.7685e-05
2 *6137:A 0.000169369
3 *6345:Q 0
4 *564:39 0.00233582
5 *564:37 0.00302012
6 *564:33 0.00161826
7 *564:30 0.00180301
8 *564:27 0.00143211
9 *564:5 0.000674732
10 wb_data_o[27] *564:39 0
11 wb_data_o[29] *6544:A 2.41274e-06
12 wb_data_o[31] *564:39 0
13 *6139:A1 *6137:A 1.41291e-05
14 *6169:A *564:30 0.000523562
15 *6176:A1 *564:37 0.000470999
16 *6182:A *564:37 5.75903e-05
17 *6185:A1 *564:39 5.79399e-05
18 *6186:A *564:37 0
19 *6186:A *564:39 0.000221938
20 *6190:A *564:39 1.90191e-05
21 *6193:A *564:39 0.000346333
22 *6198:A1 *564:39 0.000200445
23 *6199:A *564:39 0.000341252
24 *6345:D *6137:A 0.00013715
25 *6355:CLK *564:27 0
26 *6359:CLK *564:33 0.000503218
27 *6360:CLK *564:37 7.77309e-06
28 *6361:D *564:37 0.000156823
29 *6363:D *564:37 0.000156823
30 *6365:D *564:39 0.000101148
31 *6367:D *564:39 0.000341237
32 *6368:D *564:39 6.46135e-05
33 *6371:D *564:39 4.15008e-05
34 *6465:A *564:37 4.41363e-05
35 *6473:A *564:39 7.00991e-05
36 *6505:A *564:27 5.04829e-06
37 *6505:A *564:30 0.00104688
38 *6507:A *564:33 0.000729546
39 *6526:A *564:33 0.000587155
40 *6542:A *564:39 0.00049956
41 *326:33 *6137:A 5.77352e-05
42 *326:33 *564:27 8.52968e-05
43 *384:8 *6137:A 5.41377e-05
44 *384:8 *564:27 9.60216e-05
45 *387:28 *564:27 9.75356e-05
46 *403:8 *564:27 0.000470585
47 *403:28 *564:27 0.00110142
48 *411:13 *6137:A 0.00013715
49 *429:11 *564:30 0.000733876
50 *464:30 *564:27 0.00168037
51 *464:30 *564:30 1.41976e-05
52 *466:29 *6137:A 0.000889
53 *492:11 *564:33 0.000140439
54 *516:331 *564:37 0.000535829
55 *516:362 *564:39 0.000147769
56 *516:376 *564:39 0.00015202
57 *516:634 *564:33 0.000341237
58 *516:643 *564:33 7.65861e-05
59 *551:23 *564:37 0.000105037
60 *551:27 *564:37 0.00112668
61 *551:27 *564:39 0
62 *559:12 *564:33 0.000128899
63 *559:19 *564:33 0.000337943
64 *559:19 *564:37 0.000161152
65 *560:14 *564:33 9.71323e-06
66 *560:16 *564:33 1.07248e-05
67 *560:16 *564:37 0.000144739
68 *560:16 *564:39 0
69 *562:30 *564:37 0
70 *562:30 *564:39 0.000395249
71 *563:40 *564:39 0
*RES
1 *6345:Q *564:5 13.7491
2 *564:5 *6137:A 24.3477
3 *564:5 *564:27 38.046
4 *564:27 *564:30 36.2812
5 *564:30 *564:33 39.7299
6 *564:33 *564:37 35.2476
7 *564:37 *564:39 76.785
8 *564:39 *6544:A 15.0271
*END
*D_NET *565 0.0103731
*CONN
*I *6217:A I *D sky130_fd_sc_hd__and2_1
*I *6222:C I *D sky130_fd_sc_hd__or4_1
*I *6170:A0 I *D sky130_fd_sc_hd__mux2_1
*I *6358:Q O *D sky130_fd_sc_hd__dfxtp_1
*CAP
1 *6217:A 0.000302896
2 *6222:C 0
3 *6170:A0 0.000338437
4 *6358:Q 7.07016e-05
5 *565:38 0.00144472
6 *565:29 0.002474
7 *565:8 0.00174131
8 *565:29 *583:28 6.50586e-05
9 *565:38 *583:28 9.97706e-05
10 *6166:A *565:29 0.000144573
11 *6169:A *565:29 6.4266e-05
12 *6170:S *6170:A0 2.15348e-05
13 *6203:C *565:38 0.000107425
14 *6217:B *6217:A 0.000585477
15 *6222:A *565:38 6.50586e-05
16 *6222:B *565:38 0.000868485
17 *6356:D *565:29 0.000117741
18 *6494:A *565:38 3.31733e-05
19 *297:14 *565:38 5.88662e-05
20 *417:27 *565:8 8.85814e-05
21 *422:8 *565:29 0.00011271
22 *422:19 *565:29 0.000336698
23 *422:19 *565:38 0.000105254
24 *429:16 *6170:A0 2.65667e-05
25 *441:49 *565:29 0
26 *458:27 *565:8 0.000200251
27 *514:8 *6170:A0 5.44834e-05
28 *514:8 *565:29 0.000138284
29 *525:8 *565:38 8.9863e-05
30 *539:10 *565:38 0.000616885
*RES
1 *6358:Q *565:8 21.7421
2 *565:8 *6170:A0 14.4094
3 *565:8 *565:29 41.5042
4 *565:29 *6222:C 9.24915
5 *565:29 *565:38 45.8132
6 *565:38 *6217:A 22.7916
*END
*D_NET *566 0.00587348
*CONN
*I *6172:A0 I *D sky130_fd_sc_hd__mux2_1
*I *6222:D I *D sky130_fd_sc_hd__or4_1
*I *6359:Q O *D sky130_fd_sc_hd__dfxtp_1
*CAP
1 *6172:A0 0.000214824
2 *6222:D 0
3 *6359:Q 5.2316e-05
4 *566:11 0.00139013
5 *566:7 0.00165727
6 *566:11 *6161:A0 0
7 *566:11 *583:28 0.000147183
8 *566:11 *586:6 0.000186528
9 *566:11 *586:15 0.000154206
10 *6172:S *6172:A0 0.000111722
11 *417:24 *566:11 0.000910354
12 *429:11 *566:11 0
13 *429:16 *566:11 0
14 *441:49 *566:11 0
15 *458:27 *6172:A0 0.000118253
16 *458:27 *566:11 0.000554992
17 *515:11 *566:11 0
18 *546:19 *6172:A0 0
19 *546:19 *566:11 0
20 *551:11 *6172:A0 0.00031994
21 *558:15 *6172:A0 5.2472e-05
22 *559:12 *566:7 3.28898e-06
23 *562:30 *566:11 0
*RES
1 *6359:Q *566:7 14.4725
2 *566:7 *566:11 48.04
3 *566:11 *6222:D 9.24915
4 *566:7 *6172:A0 21.9843
*END
*D_NET *567 0.00262801
*CONN
*I *6219:A I *D sky130_fd_sc_hd__or4_1
*I *6174:A0 I *D sky130_fd_sc_hd__mux2_1
*I *6360:Q O *D sky130_fd_sc_hd__dfxtp_1
*CAP
1 *6219:A 0.000841751
2 *6174:A0 0.000222666
3 *6360:Q 0
4 *567:4 0.00106442
5 *6219:A *6219:D 3.67528e-06
6 *6174:A1 *6174:A0 2.16355e-05
7 *6174:S *6174:A0 0.000111722
8 *6175:A *6174:A0 5.42115e-05
9 *6175:A *6219:A 7.14746e-05
10 *6180:A *6219:A 0
11 *6360:D *6219:A 5.14419e-05
12 *458:29 *6174:A0 0.000148129
13 *557:10 *6174:A0 3.68867e-05
*RES
1 *6360:Q *567:4 9.24915
2 *567:4 *6174:A0 24.9627
3 *567:4 *6219:A 35.6227
*END
*D_NET *568 0.00158107
*CONN
*I *6219:B I *D sky130_fd_sc_hd__or4_1
*I *6176:A0 I *D sky130_fd_sc_hd__mux2_1
*I *6361:Q O *D sky130_fd_sc_hd__dfxtp_1
*CAP
1 *6219:B 0.000237433
2 *6176:A0 0.000365453
3 *6361:Q 0
4 *568:4 0.000602886
5 *6176:A1 *6176:A0 6.08467e-05
6 *6176:S *6176:A0 1.19856e-05
7 *458:29 *6176:A0 0.000200236
8 *516:305 *6219:B 4.7475e-05
9 *557:10 *6176:A0 5.47516e-05
*RES
1 *6361:Q *568:4 9.24915
2 *568:4 *6176:A0 25.7932
3 *568:4 *6219:B 12.7456
*END
*D_NET *569 0.00217427
*CONN
*I *6219:C I *D sky130_fd_sc_hd__or4_1
*I *6178:A0 I *D sky130_fd_sc_hd__mux2_1
*I *6362:Q O *D sky130_fd_sc_hd__dfxtp_1
*CAP
1 *6219:C 0.000129205
2 *6178:A0 0.000117371
3 *6362:Q 0.00072834
4 *569:9 0.000974917
5 *6219:C *6219:D 9.634e-05
6 *6178:S *6178:A0 2.44829e-05
7 *6362:CLK *6219:C 0
8 *6362:CLK *569:9 5.36584e-06
9 *6362:D *569:9 9.82494e-05
10 *305:10 *6219:C 0
*RES
1 *6362:Q *569:9 21.2575
2 *569:9 *6178:A0 11.6364
3 *569:9 *6219:C 22.1574
*END
*D_NET *570 0.00217336
*CONN
*I *6219:D I *D sky130_fd_sc_hd__or4_1
*I *6181:A0 I *D sky130_fd_sc_hd__mux2_1
*I *6363:Q O *D sky130_fd_sc_hd__dfxtp_1
*CAP
1 *6219:D 0.000482577
2 *6181:A0 0.000124552
3 *6363:Q 4.71826e-05
4 *570:8 0.000654312
5 *6178:A1 *6219:D 7.50722e-05
6 *6180:A *6219:D 0.000228701
7 *6181:A1 *6181:A0 6.50727e-05
8 *6219:A *6219:D 3.67528e-06
9 *6219:C *6219:D 9.634e-05
10 *6362:CLK *6219:D 0
11 *6362:D *6219:D 0
12 *305:10 *6219:D 0
13 *435:5 *6181:A0 6.73351e-05
14 *435:5 *6219:D 0.000124853
15 *435:10 *6181:A0 6.99486e-05
16 *458:29 *570:8 0.000101148
17 *557:10 *6219:D 5.66868e-06
18 *557:10 *570:8 2.692e-05
*RES
1 *6363:Q *570:8 20.0811
2 *570:8 *6181:A0 12.7456
3 *570:8 *6219:D 32.7131
*END
*D_NET *571 0.00280742
*CONN
*I *6224:A I *D sky130_fd_sc_hd__or4_1
*I *6183:A0 I *D sky130_fd_sc_hd__mux2_1
*I *6364:Q O *D sky130_fd_sc_hd__dfxtp_1
*CAP
1 *6224:A 0.000290979
2 *6183:A0 0.000183233
3 *6364:Q 0.000374992
4 *571:5 0.000849204
5 *6184:A *6183:A0 7.14746e-05
6 *6184:A *6224:A 3.40567e-05
7 *6364:D *6224:A 6.46135e-05
8 *548:28 *6183:A0 0.000213725
9 *548:28 *571:5 0.000625503
10 *557:12 *6183:A0 9.96342e-05
11 *557:12 *6224:A 0
*RES
1 *6364:Q *571:5 16.0732
2 *571:5 *6183:A0 22.4683
3 *571:5 *6224:A 25.8947
*END
*D_NET *572 0.00177462
*CONN
*I *6224:B I *D sky130_fd_sc_hd__or4_1
*I *6185:A0 I *D sky130_fd_sc_hd__mux2_1
*I *6365:Q O *D sky130_fd_sc_hd__dfxtp_1
*CAP
1 *6224:B 0.000170357
2 *6185:A0 0.000285124
3 *6365:Q 4.20428e-05
4 *572:6 0.000497524
5 *6185:A0 *6224:D 3.14544e-05
6 *572:6 *6224:D 3.00073e-05
7 *6185:A1 *6185:A0 7.17911e-05
8 *458:29 *6185:A0 3.49417e-05
9 *458:29 *572:6 2.82537e-05
10 *549:22 *6185:A0 0.000318519
11 *549:22 *6224:B 0.0002646
*RES
1 *6365:Q *572:6 15.1659
2 *572:6 *6185:A0 23.8949
3 *572:6 *6224:B 16.691
*END
*D_NET *573 0.00266169
*CONN
*I *6187:A0 I *D sky130_fd_sc_hd__mux2_1
*I *6224:C I *D sky130_fd_sc_hd__or4_1
*I *6366:Q O *D sky130_fd_sc_hd__dfxtp_1
*CAP
1 *6187:A0 0.000151887
2 *6224:C 0.000181909
3 *6366:Q 0.000585859
4 *573:7 0.000919656
5 *6188:A *6187:A0 6.77522e-05
6 *6188:A *6224:C 3.58185e-05
7 *6225:C *6224:C 0
8 *6366:CLK *573:7 0.00016491
9 *6366:D *573:7 9.74302e-05
10 *6531:A *573:7 5.51483e-06
11 *305:10 *6224:C 0
12 *550:18 *573:7 0.000288348
13 *557:12 *6187:A0 7.05523e-05
14 *557:12 *6224:C 9.20518e-05
*RES
1 *6366:Q *573:7 23.9008
2 *573:7 *6224:C 19.3184
3 *573:7 *6187:A0 18.4879
*END
*D_NET *574 0.00289607
*CONN
*I *6189:A0 I *D sky130_fd_sc_hd__mux2_1
*I *6224:D I *D sky130_fd_sc_hd__or4_1
*I *6367:Q O *D sky130_fd_sc_hd__dfxtp_1
*CAP
1 *6189:A0 0.00037646
2 *6224:D 0.000431258
3 *6367:Q 9.87469e-05
4 *574:6 0.000906464
5 *6185:A0 *6224:D 3.14544e-05
6 *6189:A1 *6189:A0 1.43983e-05
7 *458:29 *6224:D 0
8 *458:29 *574:6 0
9 *549:22 *6224:D 6.73186e-05
10 *557:12 *6224:D 0.000708581
11 *557:12 *574:6 0.000231378
12 *572:6 *6224:D 3.00073e-05
*RES
1 *6367:Q *574:6 17.6574
2 *574:6 *6224:D 28.2103
3 *574:6 *6189:A0 18.9094
*END
*D_NET *575 0.00165058
*CONN
*I *6223:A I *D sky130_fd_sc_hd__or4_1
*I *6192:A0 I *D sky130_fd_sc_hd__mux2_1
*I *6368:Q O *D sky130_fd_sc_hd__dfxtp_1
*CAP
1 *6223:A 0.000162161
2 *6192:A0 0.000261816
3 *6368:Q 0
4 *575:5 0.000423977
5 *6223:A *6223:C 6.50727e-05
6 *6192:A1 *6192:A0 6.50727e-05
7 *6192:S *6192:A0 2.65667e-05
8 *6535:A *6223:A 0.000167076
9 *458:29 *6192:A0 0.000231378
10 *458:29 *6223:A 0.000141016
11 *552:18 *6192:A0 5.80138e-05
12 *555:25 *6192:A0 1.2601e-05
13 *555:25 *6223:A 3.58321e-05
14 *557:12 *6192:A0 0
*RES
1 *6368:Q *575:5 13.7491
2 *575:5 *6192:A0 21.7084
3 *575:5 *6223:A 19.3535
*END
*D_NET *576 0.00227827
*CONN
*I *6194:A0 I *D sky130_fd_sc_hd__mux2_1
*I *6223:B I *D sky130_fd_sc_hd__or4_1
*I *6369:Q O *D sky130_fd_sc_hd__dfxtp_1
*CAP
1 *6194:A0 5.82563e-05
2 *6223:B 0.00021372
3 *6369:Q 0.000516589
4 *576:7 0.000788566
5 *6194:A0 *6223:C 0
6 *6223:B *6223:C 6.92705e-05
7 *6195:A *6194:A0 1.48017e-05
8 *6195:A *6223:B 8.11078e-06
9 *6369:CLK *6223:B 4.04393e-05
10 *6369:CLK *576:7 0.00026468
11 *6369:D *6223:B 4.94e-06
12 *304:10 *6223:B 0.000296718
13 *304:10 *576:7 2.18041e-06
*RES
1 *6369:Q *576:7 21.6824
2 *576:7 *6223:B 21.2612
3 *576:7 *6194:A0 15.1659
*END
*D_NET *577 0.00282954
*CONN
*I *6196:A0 I *D sky130_fd_sc_hd__mux2_1
*I *6223:C I *D sky130_fd_sc_hd__or4_1
*I *6370:Q O *D sky130_fd_sc_hd__dfxtp_1
*CAP
1 *6196:A0 0
2 *6223:C 0.000349108
3 *6370:Q 0.000614189
4 *577:10 0.000963298
5 *6194:A0 *6223:C 0
6 *6194:S *6223:C 5.33945e-05
7 *6195:A *6223:C 0
8 *6196:S *6223:C 7.16893e-05
9 *6197:A *6223:C 0.000217873
10 *6223:A *6223:C 6.50727e-05
11 *6223:B *6223:C 6.92705e-05
12 *6369:D *6223:C 0
13 *6370:D *577:10 7.28425e-05
14 *441:26 *6223:C 6.99486e-05
15 *516:398 *577:10 9.19075e-05
16 *557:12 *6223:C 0.000190941
17 *557:12 *577:10 0
*RES
1 *6370:Q *577:10 28.0144
2 *577:10 *6223:C 30.6691
3 *577:10 *6196:A0 9.24915
*END
*D_NET *578 0.00195897
*CONN
*I *6198:A0 I *D sky130_fd_sc_hd__mux2_1
*I *6223:D I *D sky130_fd_sc_hd__or4_1
*I *6371:Q O *D sky130_fd_sc_hd__dfxtp_1
*CAP
1 *6198:A0 0.000162606
2 *6223:D 0.000179679
3 *6371:Q 0.000178249
4 *578:8 0.000520534
5 *6198:A1 *6198:A0 2.65667e-05
6 *6198:S *6198:A0 0.000171273
7 *441:26 *6198:A0 0.000266846
8 *458:29 *6223:D 0.000102046
9 *458:29 *578:8 3.07997e-05
10 *516:390 *578:8 6.99486e-05
11 *556:18 *6198:A0 4.0752e-05
12 *557:12 *6223:D 0.000157753
13 *557:12 *578:8 5.19205e-05
*RES
1 *6371:Q *578:8 17.829
2 *578:8 *6223:D 20.1489
3 *578:8 *6198:A0 19.464
*END
*D_NET *579 0.00170611
*CONN
*I *6151:A0 I *D sky130_fd_sc_hd__mux2_1
*I *6227:A I *D sky130_fd_sc_hd__and2_1
*I *6350:Q O *D sky130_fd_sc_hd__dfxtp_1
*CAP
1 *6151:A0 0.000347939
2 *6227:A 0.000138691
3 *6350:Q 0
4 *579:5 0.00048663
5 *6227:A *6229:A 2.99287e-05
6 *6150:A2 *6227:A 4.31485e-06
7 *6488:A *6151:A0 8.52968e-05
8 *6488:A *6227:A 0.000238333
9 *519:10 *6151:A0 0.000158357
10 *541:11 *6227:A 6.3657e-05
11 *553:22 *6151:A0 0.000152967
*RES
1 *6350:Q *579:5 13.7491
2 *579:5 *6227:A 18.7961
3 *579:5 *6151:A0 22.9598
*END
*D_NET *580 0.00450841
*CONN
*I *6153:A0 I *D sky130_fd_sc_hd__mux2_1
*I *6229:A I *D sky130_fd_sc_hd__and2_1
*I *6351:Q O *D sky130_fd_sc_hd__dfxtp_1
*CAP
1 *6153:A0 0.000166448
2 *6229:A 0.00112907
3 *6351:Q 0.00011139
4 *580:8 0.00140691
5 *6150:A2 *6229:A 0.000324166
6 *6150:B1 *6229:A 5.62468e-05
7 *6153:A1 *6153:A0 3.14978e-05
8 *6153:S *6153:A0 6.50586e-05
9 *6206:A1 *6229:A 3.34802e-05
10 *6227:A *6229:A 2.99287e-05
11 *6227:B *6229:A 0.000165655
12 *6228:A *6229:A 6.36477e-05
13 *6229:B *6229:A 4.80635e-06
14 *6351:CLK *6229:A 9.22013e-06
15 *6351:CLK *580:8 5.52046e-05
16 *6374:CLK *6229:A 0.00016124
17 *160:11 *6229:A 0.00023959
18 *417:8 *6229:A 0.000253801
19 *417:8 *580:8 5.22071e-05
20 *418:10 *6229:A 8.62321e-06
21 *418:12 *6229:A 9.31471e-05
22 *441:49 *6229:A 0
23 *541:11 *6229:A 4.70779e-05
*RES
1 *6351:Q *580:8 16.7198
2 *580:8 *6229:A 48.7351
3 *580:8 *6153:A0 17.8002
*END
*D_NET *581 0.0073732
*CONN
*I *6231:A I *D sky130_fd_sc_hd__and2_1
*I *6155:A0 I *D sky130_fd_sc_hd__mux2_1
*I *6352:Q O *D sky130_fd_sc_hd__dfxtp_1
*CAP
1 *6231:A 0.00110569
2 *6155:A0 0.000539703
3 *6352:Q 0
4 *581:19 0.00202137
5 *581:4 0.00145539
6 *6155:A1 *6155:A0 6.92705e-05
7 *6200:A *6231:A 0
8 *6201:B *6231:A 0
9 *6222:A *6231:A 0
10 *298:6 *6231:A 0
11 *298:10 *6231:A 0
12 *417:24 *6155:A0 2.61213e-05
13 *441:49 *6155:A0 3.69003e-05
14 *516:37 *6231:A 0.000454708
15 *516:64 *6231:A 0.000146098
16 *516:71 *6231:A 0.000741869
17 *516:103 *6231:A 0.000344866
18 *516:110 *6231:A 0.000330512
19 *518:14 *6231:A 0
20 *523:9 *6155:A0 0.000100705
*RES
1 *6352:Q *581:4 9.24915
2 *581:4 *6155:A0 29.0137
3 *581:4 *581:19 17.4247
4 *581:19 *6231:A 49.6318
*END
*D_NET *582 0.00300183
*CONN
*I *6234:A I *D sky130_fd_sc_hd__and2_1
*I *6159:A0 I *D sky130_fd_sc_hd__mux2_1
*I *6353:Q O *D sky130_fd_sc_hd__dfxtp_1
*CAP
1 *6234:A 0.000212026
2 *6159:A0 0.000200721
3 *6353:Q 5.28908e-05
4 *582:8 0.000465638
5 *6159:A1 *6159:A0 6.92705e-05
6 *6159:S *6159:A0 0.000228593
7 *394:12 *582:8 0.00015324
8 *402:14 *582:8 6.81008e-05
9 *461:17 *6159:A0 0.000893198
10 *461:17 *6234:A 0.000658147
*RES
1 *6353:Q *582:8 20.9116
2 *582:8 *6159:A0 18.8462
3 *582:8 *6234:A 16.6278
*END
*D_NET *583 0.00629113
*CONN
*I *6236:A I *D sky130_fd_sc_hd__and2_1
*I *6161:A0 I *D sky130_fd_sc_hd__mux2_1
*I *6354:Q O *D sky130_fd_sc_hd__dfxtp_1
*CAP
1 *6236:A 0
2 *6161:A0 0.000383527
3 *6354:Q 0
4 *583:28 0.00172374
5 *583:4 0.00210727
6 *583:28 *6240:A 0.00088698
7 *6161:S *6161:A0 1.80647e-05
8 *6222:A *583:28 0.000110206
9 *6233:A *583:28 3.20069e-06
10 *6353:D *583:28 1.90101e-05
11 *390:8 *583:28 0.000341237
12 *405:10 *583:28 0
13 *417:24 *6161:A0 0
14 *441:49 *6161:A0 7.2401e-05
15 *516:603 *6161:A0 0.000313481
16 *565:29 *583:28 6.50586e-05
17 *565:38 *583:28 9.97706e-05
18 *566:11 *6161:A0 0
19 *566:11 *583:28 0.000147183
*RES
1 *6354:Q *583:4 9.24915
2 *583:4 *6161:A0 25.9325
3 *583:4 *583:28 47.1515
4 *583:28 *6236:A 9.24915
*END
*D_NET *584 0.00255994
*CONN
*I *6163:A0 I *D sky130_fd_sc_hd__mux2_1
*I *6238:A I *D sky130_fd_sc_hd__and2_1
*I *6355:Q O *D sky130_fd_sc_hd__dfxtp_1
*CAP
1 *6163:A0 0.000288718
2 *6238:A 0.000177506
3 *6355:Q 6.53066e-05
4 *584:6 0.00053153
5 *6238:A *6240:A 0.000175485
6 *6163:A1 *6163:A0 6.92705e-05
7 *6163:S *6163:A0 0.000122378
8 *6164:A *6163:A0 2.41483e-05
9 *390:8 *6238:A 0
10 *390:8 *584:6 0
11 *405:10 *6238:A 0.000388247
12 *405:10 *584:6 0.000118568
13 *423:41 *6163:A0 1.61631e-05
14 *462:26 *6163:A0 0.000287844
15 *516:128 *6238:A 0.000294779
*RES
1 *6355:Q *584:6 15.9964
2 *584:6 *6238:A 22.3968
3 *584:6 *6163:A0 22.7916
*END
*D_NET *585 0.00566114
*CONN
*I *6165:A0 I *D sky130_fd_sc_hd__mux2_1
*I *6240:A I *D sky130_fd_sc_hd__and2_1
*I *6356:Q O *D sky130_fd_sc_hd__dfxtp_1
*CAP
1 *6165:A0 0.000118958
2 *6240:A 0.000848985
3 *6356:Q 0.00018743
4 *585:8 0.00115537
5 *6159:S *6240:A 0
6 *6160:A *6240:A 1.07248e-05
7 *6163:A1 *6240:A 0
8 *6163:A1 *585:8 0
9 *6238:A *6240:A 0.000175485
10 *6238:B *6240:A 0.000224381
11 *6240:B *6240:A 0.00015709
12 *6353:D *6240:A 0.000412485
13 *6504:A *6165:A0 0.00062571
14 *313:7 *6240:A 0.000115615
15 *423:11 *6165:A0 0.000271044
16 *423:11 *6240:A 0
17 *423:19 *6165:A0 5.99856e-05
18 *458:20 *585:8 0.000118166
19 *516:117 *6240:A 0
20 *516:128 *6240:A 0.000292728
21 *516:603 *6240:A 0
22 *539:10 *6240:A 0
23 *539:10 *585:8 0
24 *583:28 *6240:A 0.00088698
*RES
1 *6356:Q *585:8 17.6896
2 *585:8 *6240:A 45.4622
3 *585:8 *6165:A0 20.5732
*END
*D_NET *586 0.00520363
*CONN
*I *6167:A0 I *D sky130_fd_sc_hd__mux2_1
*I *6242:A I *D sky130_fd_sc_hd__and2_1
*I *6357:Q O *D sky130_fd_sc_hd__dfxtp_1
*CAP
1 *6167:A0 0.000237304
2 *6242:A 0
3 *6357:Q 0.00010395
4 *586:15 0.00153136
5 *586:6 0.00187261
6 *6504:A *6167:A0 0.00036013
7 *441:49 *586:6 0
8 *441:49 *586:15 0
9 *458:27 *586:6 1.07248e-05
10 *516:603 *586:15 0.000746813
11 *566:11 *586:6 0.000186528
12 *566:11 *586:15 0.000154206
*RES
1 *6357:Q *586:6 17.2421
2 *586:6 *586:15 44.9727
3 *586:15 *6242:A 9.24915
4 *586:6 *6167:A0 17.8002
*END
*D_NET *587 0.0126185
*CONN
*I *6280:A1 I *D sky130_fd_sc_hd__a21o_1
*I *6276:A1 I *D sky130_fd_sc_hd__a21o_1
*I *6283:A1 I *D sky130_fd_sc_hd__a21o_1
*I *6249:A I *D sky130_fd_sc_hd__dlymetal6s2s_1
*I *6207:A0 I *D sky130_fd_sc_hd__mux2_1
*I *6375:Q O *D sky130_fd_sc_hd__dfxtp_1
*CAP
1 *6280:A1 0.000156764
2 *6276:A1 0
3 *6283:A1 0.000106532
4 *6249:A 2.06324e-05
5 *6207:A0 0.000531544
6 *6375:Q 0
7 *587:35 0.000125928
8 *587:33 0.00091104
9 *587:21 0.00188313
10 *587:4 0.00165916
11 sram_din0[2] *587:21 9.99386e-06
12 sram_din0[3] *587:21 0.000167076
13 *6101:A2 *6283:A1 0.000209493
14 *6207:S *6207:A0 5.56461e-05
15 *6232:A *6207:A0 0
16 *6239:A *587:33 0.000413238
17 *6253:A2 *587:21 0.000835879
18 *6272:A1 *587:33 0.000789244
19 *6272:A2 *587:33 6.46887e-05
20 *6276:A2 *6283:A1 1.07248e-05
21 *6438:A *6207:A0 1.45944e-05
22 *6512:A *587:21 3.20069e-06
23 *6513:A *587:21 0.000822934
24 *6515:A *6283:A1 6.60196e-05
25 *6515:A *587:33 8.00705e-05
26 *311:6 *587:21 2.22198e-05
27 *321:15 *587:21 0.000613744
28 *321:19 *587:21 0.000676557
29 *324:9 *587:21 3.8122e-05
30 *324:9 *587:33 6.22539e-05
31 *342:22 *6280:A1 0.000162975
32 *342:22 *587:33 9.82331e-05
33 *342:22 *587:35 3.01683e-06
34 *342:32 *6280:A1 4.31703e-05
35 *343:7 *587:33 0.00077755
36 *346:10 *6280:A1 0.000315461
37 *346:10 *587:33 1.61631e-05
38 *348:10 *6283:A1 8.21849e-06
39 *469:11 *6207:A0 3.34802e-05
40 *469:11 *587:21 0.00080979
41 *540:10 *6207:A0 0
*RES
1 *6375:Q *587:4 9.24915
2 *587:4 *6207:A0 30.9183
3 *587:4 *587:21 43.8912
4 *587:21 *6249:A 9.82786
5 *587:21 *587:33 25.0295
6 *587:33 *587:35 0.578717
7 *587:35 *6283:A1 22.1574
8 *587:35 *6276:A1 9.24915
9 *587:33 *6280:A1 14.964
*END
*D_NET *588 0.00737452
*CONN
*I *6209:A0 I *D sky130_fd_sc_hd__mux2_1
*I *6315:A1 I *D sky130_fd_sc_hd__a21o_1
*I *6286:A I *D sky130_fd_sc_hd__dlymetal6s2s_1
*I *6306:A1 I *D sky130_fd_sc_hd__a21o_1
*I *6311:A1 I *D sky130_fd_sc_hd__a21o_1
*I *6376:Q O *D sky130_fd_sc_hd__dfxtp_1
*CAP
1 *6209:A0 3.68202e-05
2 *6315:A1 0.000151554
3 *6286:A 4.32991e-05
4 *6306:A1 0.00058418
5 *6311:A1 0.000346938
6 *6376:Q 0.000638118
7 *588:22 0.00105114
8 *588:12 0.00083424
9 *588:8 0.000350748
10 *588:7 0.000810491
11 *6138:A2 *588:8 0
12 *6303:A1 *6286:A 0.00020502
13 *6303:A2 *6306:A1 5.05252e-05
14 *6315:A2 *588:8 0
15 *6315:A2 *588:12 0
16 *6376:CLK *6209:A0 1.75625e-05
17 *6376:CLK *588:8 1.36691e-05
18 *6376:D *588:7 0.000187125
19 *299:5 *6311:A1 7.16533e-05
20 *299:5 *588:22 5.23847e-05
21 *351:10 *6306:A1 5.05252e-05
22 *351:10 *588:22 0.000269694
23 *357:13 *6306:A1 0.000612765
24 *357:23 *6286:A 0.00020502
25 *357:23 *6306:A1 0.00024619
26 *363:6 *6209:A0 7.50872e-05
27 *363:6 *588:8 0.000295725
28 *363:6 *588:12 9.75356e-05
29 *476:17 *588:22 7.65099e-05
*RES
1 *6376:Q *588:7 22.7916
2 *588:7 *588:8 5.15401
3 *588:8 *588:12 6.332
4 *588:12 *6311:A1 14.4094
5 *588:12 *588:22 13.8444
6 *588:22 *6306:A1 24.3477
7 *588:22 *6286:A 16.1364
8 *588:8 *6315:A1 16.691
9 *588:7 *6209:A0 15.1659
*END
*D_NET *589 0.00458227
*CONN
*I *6109:A1 I *D sky130_fd_sc_hd__a21o_1
*I *6112:A1 I *D sky130_fd_sc_hd__a21o_1
*I *6115:A1 I *D sky130_fd_sc_hd__a21o_1
*I *6211:A0 I *D sky130_fd_sc_hd__mux2_1
*I *6089:A I *D sky130_fd_sc_hd__dlymetal6s2s_1
*I *6377:Q O *D sky130_fd_sc_hd__dfxtp_1
*CAP
1 *6109:A1 0
2 *6112:A1 4.1747e-05
3 *6115:A1 0
4 *6211:A0 0.00032341
5 *6089:A 0.000647934
6 *6377:Q 0
7 *589:32 0.000226021
8 *589:26 0.000448818
9 *589:17 0.000746739
10 *589:5 0.00080672
11 *6115:B1 *589:26 2.42273e-05
12 *6115:B1 *589:32 0.000162975
13 *6211:S *589:26 1.00846e-05
14 *6213:S *6112:A1 9.60366e-05
15 *378:8 *6089:A 0
16 *378:8 *589:17 0
17 *380:6 *6112:A1 9.60366e-05
18 *386:23 *589:32 0.000111722
19 *390:7 *589:26 4.89898e-06
20 *394:9 *6211:A0 0.000523665
21 *394:9 *589:26 0.00015709
22 *422:32 *589:17 0
23 *422:50 *589:17 0
24 *471:21 *589:32 0.000154145
25 *473:14 *6089:A 0
26 *473:14 *589:17 0
*RES
1 *6377:Q *589:5 13.7491
2 *589:5 *6089:A 27.6697
3 *589:5 *589:17 7.993
4 *589:17 *6211:A0 14.964
5 *589:17 *589:26 4.62973
6 *589:26 *6115:A1 9.24915
7 *589:26 *589:32 5.71483
8 *589:32 *6112:A1 20.0811
9 *589:32 *6109:A1 9.24915
*END
*D_NET *590 0.00461855
*CONN
*I *6120:A I *D sky130_fd_sc_hd__dlymetal6s2s_1
*I *6138:A1 I *D sky130_fd_sc_hd__a21o_1
*I *6144:A1 I *D sky130_fd_sc_hd__a21o_1
*I *6213:A0 I *D sky130_fd_sc_hd__mux2_1
*I *6141:A1 I *D sky130_fd_sc_hd__a21o_1
*I *6378:Q O *D sky130_fd_sc_hd__dfxtp_1
*CAP
1 *6120:A 0.000145822
2 *6138:A1 0
3 *6144:A1 0
4 *6213:A0 0.000139473
5 *6141:A1 0.00020553
6 *6378:Q 0.000144274
7 *590:32 0.000323977
8 *590:19 0.000317628
9 *590:8 0.000349804
10 *6138:A2 *6120:A 0.000160617
11 *6144:A2 *590:32 0.00027329
12 *6144:B1 *6213:A0 0.000171288
13 *6213:S *6213:A0 4.58003e-05
14 *365:10 *6141:A1 9.24241e-05
15 *365:10 *590:8 0.000170592
16 *369:10 *6141:A1 9.24241e-05
17 *369:10 *590:8 0.000161966
18 *399:7 *6141:A1 4.84406e-05
19 *402:7 *590:8 2.23124e-05
20 *407:7 *6120:A 2.97421e-05
21 *407:7 *6213:A0 0.000665901
22 *407:7 *590:32 0.000874803
23 *411:7 *6213:A0 8.17966e-05
24 *411:7 *590:32 0.000100643
*RES
1 *6378:Q *590:8 18.1049
2 *590:8 *6141:A1 17.9683
3 *590:8 *590:19 4.5
4 *590:19 *6213:A0 16.6278
5 *590:19 *6144:A1 9.24915
6 *590:19 *590:32 10.1758
7 *590:32 *6138:A1 9.24915
8 *590:32 *6120:A 13.3243
*END
*D_NET *591 0.00248998
*CONN
*I *6244:B I *D sky130_fd_sc_hd__xnor2_2
*I *6221:A I *D sky130_fd_sc_hd__dlymetal6s2s_1
*I *6215:B I *D sky130_fd_sc_hd__or2_1
*I *6373:Q O *D sky130_fd_sc_hd__dfxtp_1
*CAP
1 *6244:B 0.000121018
2 *6221:A 0
3 *6215:B 0.000195385
4 *6373:Q 0.000364017
5 *591:10 0.000195385
6 *591:8 0.000485035
7 *6215:B *592:32 0.000118166
8 *6215:B *592:40 0.000253916
9 *6246:A_N *6244:B 0.000144546
10 *302:7 *6215:B 1.96041e-05
11 *302:9 *6215:B 0.000198568
12 *302:26 *6244:B 2.24484e-05
13 *302:26 *591:8 0.000148144
14 *311:6 *6244:B 0
15 *311:6 *591:8 0
16 *402:11 *6244:B 6.08467e-05
17 *422:31 *591:8 0.000141262
18 *460:19 *6244:B 2.16355e-05
*RES
1 *6373:Q *591:8 20.4627
2 *591:8 *591:10 4.5
3 *591:10 *6215:B 16.0973
4 *591:10 *6221:A 9.24915
5 *591:8 *6244:B 17.5503
*END
*D_NET *592 0.00655937
*CONN
*I *6244:A I *D sky130_fd_sc_hd__xnor2_2
*I *6250:C I *D sky130_fd_sc_hd__nand3b_2
*I *6215:A I *D sky130_fd_sc_hd__or2_1
*I *6201:C I *D sky130_fd_sc_hd__and3b_1
*I *6220:A I *D sky130_fd_sc_hd__inv_2
*I *6374:Q O *D sky130_fd_sc_hd__dfxtp_2
*CAP
1 *6244:A 9.37667e-05
2 *6250:C 0.000234441
3 *6215:A 0
4 *6201:C 0
5 *6220:A 9.34923e-06
6 *6374:Q 0.000478206
7 *592:40 0.000424374
8 *592:32 0.00056703
9 *592:20 0.000643467
10 *592:8 0.000660158
11 *6201:B *592:8 0.000141587
12 *6201:B *592:20 1.70077e-05
13 *6202:A *592:32 0.000403164
14 *6204:B1 *592:8 6.36716e-05
15 *6215:B *592:32 0.000118166
16 *6215:B *592:40 0.000253916
17 *6222:A *592:20 7.50722e-05
18 *6233:A *6244:A 0.000100941
19 *6233:A *6250:C 1.09738e-05
20 *6250:A_N *6250:C 0.00011818
21 *6250:B *6250:C 9.1225e-05
22 *297:7 *592:40 6.08467e-05
23 *297:14 *6250:C 0.000117593
24 *302:9 *592:20 7.98171e-06
25 *302:9 *592:32 0.00086098
26 *390:8 *6244:A 0.000242164
27 *390:8 *6250:C 0.000317253
28 *422:19 *6220:A 6.50727e-05
29 *516:78 *6220:A 6.50727e-05
30 *522:9 *6250:C 0.000317707
31 *539:10 *592:8 0
32 *539:10 *592:20 0
*RES
1 *6374:Q *592:8 23.7847
2 *592:8 *6220:A 14.4725
3 *592:8 *592:20 8.02525
4 *592:20 *6201:C 9.24915
5 *592:20 *592:32 17.1445
6 *592:32 *6215:A 9.24915
7 *592:32 *592:40 7.44181
8 *592:40 *6250:C 23.8088
9 *592:40 *6244:A 18.0727
*END