blob: 6f360f703e00ce80c89010c08f8e7078f68a3851 [file] [log] [blame]
(DELAYFILE
(SDFVERSION "3.0")
(DESIGN "Flash")
(DATE "Fri May 20 16:07:54 2022")
(VENDOR "Parallax")
(PROGRAM "STA")
(VERSION "2.3.1")
(DIVIDER .)
(VOLTAGE 1.800::1.800)
(PROCESS "1.000::1.000")
(TEMPERATURE 25.000::25.000)
(TIMESCALE 1ns)
(CELL
(CELLTYPE "Flash")
(INSTANCE)
(DELAY
(ABSOLUTE
(INTERCONNECT sram_dout1[0] input1.A (0.012:0.012:0.012) (0.005:0.005:0.005))
(INTERCONNECT sram_dout1[10] input2.A (0.013:0.013:0.013) (0.006:0.006:0.006))
(INTERCONNECT sram_dout1[11] input3.A (0.012:0.012:0.012) (0.005:0.005:0.005))
(INTERCONNECT sram_dout1[12] input4.A (0.014:0.014:0.014) (0.006:0.006:0.006))
(INTERCONNECT sram_dout1[13] input5.A (0.011:0.011:0.011) (0.005:0.005:0.005))
(INTERCONNECT sram_dout1[14] input6.A (0.015:0.015:0.015) (0.007:0.007:0.007))
(INTERCONNECT sram_dout1[15] input7.A (0.013:0.013:0.013) (0.006:0.006:0.006))
(INTERCONNECT sram_dout1[16] input8.A (0.017:0.017:0.017) (0.007:0.007:0.007))
(INTERCONNECT sram_dout1[17] input9.A (0.012:0.012:0.012) (0.005:0.005:0.005))
(INTERCONNECT sram_dout1[18] input10.A (0.014:0.014:0.014) (0.006:0.006:0.006))
(INTERCONNECT sram_dout1[19] input11.A (0.013:0.013:0.013) (0.006:0.006:0.006))
(INTERCONNECT sram_dout1[1] input12.A (0.012:0.012:0.012) (0.005:0.005:0.005))
(INTERCONNECT sram_dout1[20] input13.A (0.012:0.012:0.012) (0.005:0.005:0.005))
(INTERCONNECT sram_dout1[21] input14.A (0.014:0.014:0.014) (0.006:0.006:0.006))
(INTERCONNECT sram_dout1[22] input15.A (0.014:0.014:0.014) (0.006:0.006:0.006))
(INTERCONNECT sram_dout1[23] input16.A (0.014:0.014:0.014) (0.006:0.006:0.006))
(INTERCONNECT sram_dout1[24] input17.A (0.012:0.012:0.012) (0.005:0.005:0.005))
(INTERCONNECT sram_dout1[25] input18.A (0.012:0.012:0.012) (0.005:0.005:0.005))
(INTERCONNECT sram_dout1[26] input19.A (0.014:0.014:0.014) (0.006:0.006:0.006))
(INTERCONNECT sram_dout1[27] input20.A (0.015:0.015:0.015) (0.007:0.007:0.007))
(INTERCONNECT sram_dout1[28] input21.A (0.017:0.017:0.017) (0.008:0.008:0.008))
(INTERCONNECT sram_dout1[29] input22.A (0.014:0.014:0.014) (0.006:0.006:0.006))
(INTERCONNECT sram_dout1[2] input23.A (0.012:0.012:0.012) (0.005:0.005:0.005))
(INTERCONNECT sram_dout1[30] input24.A (0.012:0.012:0.012) (0.005:0.005:0.005))
(INTERCONNECT sram_dout1[31] input25.A (0.013:0.013:0.013) (0.005:0.005:0.005))
(INTERCONNECT sram_dout1[3] input26.A (0.014:0.014:0.014) (0.006:0.006:0.006))
(INTERCONNECT sram_dout1[4] input27.A (0.013:0.013:0.013) (0.005:0.005:0.005))
(INTERCONNECT sram_dout1[5] input28.A (0.013:0.013:0.013) (0.005:0.005:0.005))
(INTERCONNECT sram_dout1[6] input29.A (0.014:0.014:0.014) (0.006:0.006:0.006))
(INTERCONNECT sram_dout1[7] input30.A (0.012:0.012:0.012) (0.005:0.005:0.005))
(INTERCONNECT sram_dout1[8] input31.A (0.012:0.012:0.012) (0.005:0.005:0.005))
(INTERCONNECT sram_dout1[9] input32.A (0.012:0.012:0.012) (0.005:0.005:0.005))
(INTERCONNECT wb_adr_i[10] input33.A (0.012:0.012:0.012) (0.005:0.005:0.005))
(INTERCONNECT wb_adr_i[11] input34.A (0.011:0.011:0.011) (0.004:0.004:0.004))
(INTERCONNECT wb_adr_i[12] input35.A (0.011:0.011:0.011) (0.005:0.005:0.005))
(INTERCONNECT wb_adr_i[13] input36.A (0.011:0.011:0.011) (0.004:0.004:0.004))
(INTERCONNECT wb_adr_i[14] input37.A (0.010:0.010:0.010) (0.004:0.004:0.004))
(INTERCONNECT wb_adr_i[15] input38.A (0.011:0.011:0.011) (0.004:0.004:0.004))
(INTERCONNECT wb_adr_i[16] input39.A (0.015:0.015:0.015) (0.007:0.007:0.007))
(INTERCONNECT wb_adr_i[17] input40.A (0.014:0.014:0.014) (0.006:0.006:0.006))
(INTERCONNECT wb_adr_i[18] input41.A (0.011:0.011:0.011) (0.004:0.004:0.004))
(INTERCONNECT wb_adr_i[19] input42.A (0.016:0.016:0.016) (0.007:0.007:0.007))
(INTERCONNECT wb_adr_i[20] input43.A (0.014:0.014:0.014) (0.006:0.006:0.006))
(INTERCONNECT wb_adr_i[21] input44.A (0.019:0.019:0.019) (0.008:0.008:0.008))
(INTERCONNECT wb_adr_i[22] input45.A (0.012:0.012:0.012) (0.005:0.005:0.005))
(INTERCONNECT wb_adr_i[23] input46.A (0.010:0.010:0.010) (0.004:0.004:0.004))
(INTERCONNECT wb_adr_i[2] input47.A (0.012:0.012:0.012) (0.005:0.005:0.005))
(INTERCONNECT wb_adr_i[3] input48.A (0.011:0.011:0.011) (0.004:0.004:0.004))
(INTERCONNECT wb_adr_i[4] input49.A (0.019:0.019:0.019) (0.008:0.008:0.008))
(INTERCONNECT wb_adr_i[5] input50.A (0.012:0.012:0.012) (0.005:0.005:0.005))
(INTERCONNECT wb_adr_i[6] input51.A (0.011:0.011:0.011) (0.005:0.005:0.005))
(INTERCONNECT wb_adr_i[7] input52.A (0.009:0.009:0.009) (0.003:0.003:0.003))
(INTERCONNECT wb_adr_i[8] input53.A (0.012:0.012:0.012) (0.005:0.005:0.005))
(INTERCONNECT wb_adr_i[9] input54.A (0.010:0.010:0.010) (0.004:0.004:0.004))
(INTERCONNECT wb_clk_i input55.A (0.036:0.036:0.036) (0.016:0.016:0.016))
(INTERCONNECT wb_cyc_i input56.A (0.013:0.013:0.013) (0.005:0.005:0.005))
(INTERCONNECT wb_rst_i input57.A (0.012:0.012:0.012) (0.005:0.005:0.005))
(INTERCONNECT wb_stb_i input58.A (0.022:0.022:0.022) (0.009:0.009:0.009))
(INTERCONNECT wb_we_i input59.A (0.011:0.011:0.011) (0.004:0.004:0.004))
(INTERCONNECT Flash_106.LO flash_csb (0.000:0.000:0.000))
(INTERCONNECT Flash_107.LO flash_io0_write (0.000:0.000:0.000))
(INTERCONNECT Flash_108.LO flash_io1_we (0.000:0.000:0.000))
(INTERCONNECT Flash_109.LO flash_io1_write (0.000:0.000:0.000))
(INTERCONNECT Flash_110.LO flash_sck (0.000:0.000:0.000))
(INTERCONNECT Flash_111.LO sram_addr0[0] (0.000:0.000:0.000))
(INTERCONNECT Flash_112.LO sram_addr0[1] (0.000:0.000:0.000))
(INTERCONNECT Flash_113.LO sram_addr0[2] (0.000:0.000:0.000))
(INTERCONNECT Flash_114.LO sram_addr0[3] (0.000:0.000:0.000))
(INTERCONNECT Flash_115.LO sram_addr0[4] (0.000:0.000:0.000))
(INTERCONNECT Flash_116.LO sram_addr0[5] (0.000:0.000:0.000))
(INTERCONNECT Flash_117.LO sram_addr0[6] (0.000:0.000:0.000))
(INTERCONNECT Flash_118.LO sram_addr0[7] (0.000:0.000:0.000))
(INTERCONNECT Flash_119.LO sram_addr0[8] (0.000:0.000:0.000))
(INTERCONNECT Flash_120.LO sram_din0[0] (0.000:0.000:0.000))
(INTERCONNECT Flash_121.LO sram_din0[1] (0.000:0.000:0.000))
(INTERCONNECT Flash_122.LO sram_din0[2] (0.000:0.000:0.000))
(INTERCONNECT Flash_123.LO sram_din0[3] (0.000:0.000:0.000))
(INTERCONNECT Flash_124.LO sram_din0[4] (0.000:0.000:0.000))
(INTERCONNECT Flash_125.LO sram_din0[5] (0.000:0.000:0.000))
(INTERCONNECT Flash_126.LO sram_din0[6] (0.000:0.000:0.000))
(INTERCONNECT Flash_127.LO sram_din0[7] (0.000:0.000:0.000))
(INTERCONNECT Flash_128.LO sram_din0[8] (0.000:0.000:0.000))
(INTERCONNECT Flash_129.LO sram_din0[9] (0.000:0.000:0.000))
(INTERCONNECT Flash_130.LO sram_din0[10] (0.000:0.000:0.000))
(INTERCONNECT Flash_131.LO sram_din0[11] (0.000:0.000:0.000))
(INTERCONNECT Flash_132.LO sram_din0[12] (0.000:0.000:0.000))
(INTERCONNECT Flash_133.LO sram_din0[13] (0.000:0.000:0.000))
(INTERCONNECT Flash_134.LO sram_din0[14] (0.000:0.000:0.000))
(INTERCONNECT Flash_135.LO sram_din0[15] (0.000:0.000:0.000))
(INTERCONNECT Flash_136.LO sram_din0[16] (0.000:0.000:0.000))
(INTERCONNECT Flash_137.LO sram_din0[17] (0.000:0.000:0.000))
(INTERCONNECT Flash_138.LO sram_din0[18] (0.000:0.000:0.000))
(INTERCONNECT Flash_139.LO sram_din0[19] (0.000:0.000:0.000))
(INTERCONNECT Flash_140.LO sram_din0[20] (0.000:0.000:0.000))
(INTERCONNECT Flash_141.LO sram_din0[21] (0.000:0.000:0.000))
(INTERCONNECT Flash_142.LO sram_din0[22] (0.000:0.000:0.000))
(INTERCONNECT Flash_143.LO sram_din0[23] (0.000:0.000:0.000))
(INTERCONNECT Flash_144.LO sram_din0[24] (0.000:0.000:0.000))
(INTERCONNECT Flash_145.LO sram_din0[25] (0.000:0.000:0.000))
(INTERCONNECT Flash_146.LO sram_din0[26] (0.000:0.000:0.000))
(INTERCONNECT Flash_147.LO sram_din0[27] (0.000:0.000:0.000))
(INTERCONNECT Flash_148.LO sram_din0[28] (0.000:0.000:0.000))
(INTERCONNECT Flash_149.LO sram_din0[29] (0.000:0.000:0.000))
(INTERCONNECT Flash_150.LO sram_din0[30] (0.000:0.000:0.000))
(INTERCONNECT Flash_151.LO sram_din0[31] (0.000:0.000:0.000))
(INTERCONNECT Flash_152.LO wb_error_o (0.000:0.000:0.000))
(INTERCONNECT Flash_153.HI flash_io0_we (0.000:0.000:0.000))
(INTERCONNECT Flash_154.HI sram_csb0 (0.000:0.000:0.000))
(INTERCONNECT Flash_155.HI sram_web0 (0.000:0.000:0.000))
(INTERCONNECT Flash_156.HI sram_wmask0[0] (0.000:0.000:0.000))
(INTERCONNECT Flash_157.HI sram_wmask0[1] (0.000:0.000:0.000))
(INTERCONNECT Flash_158.HI sram_wmask0[2] (0.000:0.000:0.000))
(INTERCONNECT Flash_159.HI sram_wmask0[3] (0.000:0.000:0.000))
(INTERCONNECT _116_.X _117_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _116_.X _204_.A (0.002:0.002:0.002) (0.002:0.002:0.002))
(INTERCONNECT _117_.X _118_.B (0.010:0.010:0.010) (0.009:0.009:0.009))
(INTERCONNECT _117_.X _198_.B (0.016:0.016:0.016) (0.015:0.015:0.015))
(INTERCONNECT _117_.X _200_.B (0.019:0.019:0.019) (0.019:0.019:0.019))
(INTERCONNECT _117_.X _202_.B (0.018:0.018:0.018) (0.017:0.017:0.017))
(INTERCONNECT _117_.X _222_.A2 (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT _118_.X _119_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _119_.X output68.A (0.004:0.004:0.004) (0.004:0.004:0.004))
(INTERCONNECT _119_.X INSDIODE2_9.DIODE (0.004:0.004:0.004) (0.004:0.004:0.004))
(INTERCONNECT _120_.X _122_.C (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT _121_.X _122_.D_N (0.003:0.003:0.003) (0.003:0.003:0.003))
(INTERCONNECT _121_.X _127_.A (0.003:0.003:0.003) (0.003:0.003:0.003))
(INTERCONNECT _121_.X _161_.A (0.003:0.003:0.003) (0.003:0.003:0.003))
(INTERCONNECT _121_.X _172_.A (0.002:0.002:0.002) (0.002:0.002:0.002))
(INTERCONNECT _121_.X _183_.A (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT _122_.X _125_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _123_.X _125_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _124_.X _125_.C (0.004:0.004:0.004) (0.004:0.004:0.004))
(INTERCONNECT _125_.X _126_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _126_.X output71.A (0.020:0.020:0.020) (0.019:0.019:0.019))
(INTERCONNECT _127_.X _128_.A (0.004:0.004:0.004) (0.004:0.004:0.004))
(INTERCONNECT _127_.X _139_.A (0.004:0.004:0.004) (0.004:0.004:0.004))
(INTERCONNECT _127_.X _150_.A (0.003:0.003:0.003) (0.003:0.003:0.003))
(INTERCONNECT _127_.X _194_.B (0.004:0.004:0.004) (0.004:0.004:0.004))
(INTERCONNECT _127_.X _196_.B (0.004:0.004:0.004) (0.004:0.004:0.004))
(INTERCONNECT _128_.X _129_.B (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT _128_.X _131_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _128_.X _133_.B (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT _128_.X _135_.B (0.002:0.002:0.002) (0.002:0.002:0.002))
(INTERCONNECT _128_.X _137_.B (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT _129_.X _130_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _130_.X output73.A (0.020:0.020:0.020) (0.019:0.019:0.019))
(INTERCONNECT _130_.X INSDIODE2_10.DIODE (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT _130_.X INSDIODE2_11.DIODE (0.020:0.020:0.020) (0.019:0.019:0.019))
(INTERCONNECT _131_.X _132_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _132_.X output84.A (0.002:0.002:0.002) (0.002:0.002:0.002))
(INTERCONNECT _133_.X _134_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _134_.X output95.A (0.016:0.016:0.016) (0.016:0.016:0.016))
(INTERCONNECT _134_.X INSDIODE2_35.DIODE (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT _134_.X INSDIODE2_36.DIODE (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT _134_.X INSDIODE2_37.DIODE (0.016:0.016:0.016) (0.016:0.016:0.016))
(INTERCONNECT _134_.X INSDIODE2_38.DIODE (0.016:0.016:0.016) (0.016:0.016:0.016))
(INTERCONNECT _135_.X _136_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _136_.X output98.A (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT _137_.X _138_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _138_.X output99.A (0.021:0.021:0.021) (0.021:0.021:0.021))
(INTERCONNECT _138_.X INSDIODE2_40.DIODE (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT _138_.X INSDIODE2_41.DIODE (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT _138_.X INSDIODE2_42.DIODE (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT _138_.X INSDIODE2_43.DIODE (0.021:0.021:0.021) (0.021:0.021:0.021))
(INTERCONNECT _138_.X INSDIODE2_44.DIODE (0.021:0.021:0.021) (0.021:0.021:0.021))
(INTERCONNECT _138_.X INSDIODE2_45.DIODE (0.021:0.021:0.021) (0.021:0.021:0.021))
(INTERCONNECT _139_.X _140_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _139_.X _142_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _139_.X _144_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _139_.X _146_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _139_.X _148_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _140_.X _141_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _141_.X output100.A (0.002:0.002:0.002) (0.002:0.002:0.002))
(INTERCONNECT _142_.X _143_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _143_.X output101.A (0.002:0.002:0.002) (0.002:0.002:0.002))
(INTERCONNECT _144_.X _145_.A (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT _145_.X output102.A (0.002:0.002:0.002) (0.002:0.002:0.002))
(INTERCONNECT _146_.X _147_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _147_.X output103.A (0.007:0.007:0.007) (0.007:0.007:0.007))
(INTERCONNECT _148_.X _149_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _149_.X output104.A (0.010:0.010:0.010) (0.010:0.010:0.010))
(INTERCONNECT _150_.X _151_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _150_.X _153_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _150_.X _155_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _150_.X _157_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _150_.X _159_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _151_.X _152_.A (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT _152_.X output74.A (0.008:0.008:0.008) (0.008:0.008:0.008))
(INTERCONNECT _153_.X _154_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _154_.X output75.A (0.015:0.015:0.015) (0.015:0.015:0.015))
(INTERCONNECT _154_.X INSDIODE2_12.DIODE (0.015:0.015:0.015) (0.015:0.015:0.015))
(INTERCONNECT _155_.X _156_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _156_.X output76.A (0.014:0.014:0.014) (0.014:0.014:0.014))
(INTERCONNECT _156_.X INSDIODE2_13.DIODE (0.014:0.014:0.014) (0.014:0.014:0.014))
(INTERCONNECT _157_.X _158_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _158_.X output77.A (0.009:0.009:0.009) (0.009:0.009:0.009))
(INTERCONNECT _159_.X _160_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _160_.X output78.A (0.016:0.016:0.016) (0.016:0.016:0.016))
(INTERCONNECT _161_.X _162_.B (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT _161_.X _164_.B (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT _161_.X _166_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _161_.X _168_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _161_.X _170_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _162_.X _163_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _163_.X output79.A (0.013:0.013:0.013) (0.013:0.013:0.013))
(INTERCONNECT _163_.X INSDIODE2_14.DIODE (0.013:0.013:0.013) (0.013:0.013:0.013))
(INTERCONNECT _164_.X _165_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _165_.X output80.A (0.014:0.014:0.014) (0.014:0.014:0.014))
(INTERCONNECT _166_.X _167_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _167_.X output81.A (0.007:0.007:0.007) (0.007:0.007:0.007))
(INTERCONNECT _168_.X _169_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _169_.X output82.A (0.021:0.021:0.021) (0.021:0.021:0.021))
(INTERCONNECT _170_.X _171_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _171_.X output83.A (0.020:0.020:0.020) (0.019:0.019:0.019))
(INTERCONNECT _172_.X _173_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _172_.X _175_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _172_.X _177_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _172_.X _179_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _172_.X _181_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _173_.X _174_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _174_.X output85.A (0.006:0.006:0.006) (0.005:0.005:0.005))
(INTERCONNECT _174_.X INSDIODE2_15.DIODE (0.006:0.006:0.006) (0.005:0.005:0.005))
(INTERCONNECT _175_.X _176_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _176_.X output86.A (0.008:0.008:0.008) (0.008:0.008:0.008))
(INTERCONNECT _177_.X _178_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _178_.X output87.A (0.006:0.006:0.006) (0.006:0.006:0.006))
(INTERCONNECT _179_.X _180_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _180_.X output88.A (0.006:0.006:0.006) (0.006:0.006:0.006))
(INTERCONNECT _181_.X _182_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _182_.X output89.A (0.006:0.006:0.006) (0.006:0.006:0.006))
(INTERCONNECT _183_.X _184_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _183_.X _186_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _183_.X _188_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _183_.X _190_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _183_.X _192_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _184_.X _185_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _185_.X output90.A (0.006:0.006:0.006) (0.006:0.006:0.006))
(INTERCONNECT _185_.X INSDIODE2_16.DIODE (0.006:0.006:0.006) (0.006:0.006:0.006))
(INTERCONNECT _186_.X _187_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _187_.X output91.A (0.005:0.005:0.005) (0.005:0.005:0.005))
(INTERCONNECT _187_.X INSDIODE2_17.DIODE (0.005:0.005:0.005) (0.005:0.005:0.005))
(INTERCONNECT _188_.X _189_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _189_.X output92.A (0.022:0.022:0.022) (0.021:0.021:0.021))
(INTERCONNECT _189_.X INSDIODE2_18.DIODE (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT _189_.X INSDIODE2_19.DIODE (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT _189_.X INSDIODE2_20.DIODE (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT _189_.X INSDIODE2_21.DIODE (0.022:0.022:0.022) (0.021:0.021:0.021))
(INTERCONNECT _189_.X INSDIODE2_22.DIODE (0.022:0.022:0.022) (0.021:0.021:0.021))
(INTERCONNECT _189_.X INSDIODE2_23.DIODE (0.022:0.022:0.022) (0.021:0.021:0.021))
(INTERCONNECT _189_.X INSDIODE2_24.DIODE (0.022:0.022:0.022) (0.021:0.021:0.021))
(INTERCONNECT _190_.X _191_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _191_.X output93.A (0.008:0.008:0.008) (0.007:0.007:0.007))
(INTERCONNECT _191_.X INSDIODE2_25.DIODE (0.008:0.008:0.008) (0.007:0.007:0.007))
(INTERCONNECT _192_.X _193_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _193_.X output94.A (0.032:0.032:0.032) (0.030:0.030:0.030))
(INTERCONNECT _193_.X INSDIODE2_26.DIODE (0.002:0.002:0.002) (0.002:0.002:0.002))
(INTERCONNECT _193_.X INSDIODE2_27.DIODE (0.002:0.002:0.002) (0.002:0.002:0.002))
(INTERCONNECT _193_.X INSDIODE2_28.DIODE (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT _193_.X INSDIODE2_29.DIODE (0.002:0.002:0.002) (0.002:0.002:0.002))
(INTERCONNECT _193_.X INSDIODE2_30.DIODE (0.032:0.032:0.032) (0.030:0.030:0.030))
(INTERCONNECT _193_.X INSDIODE2_31.DIODE (0.032:0.032:0.032) (0.030:0.030:0.030))
(INTERCONNECT _193_.X INSDIODE2_32.DIODE (0.032:0.032:0.032) (0.030:0.030:0.030))
(INTERCONNECT _193_.X INSDIODE2_33.DIODE (0.032:0.032:0.032) (0.030:0.030:0.030))
(INTERCONNECT _193_.X INSDIODE2_34.DIODE (0.032:0.032:0.032) (0.030:0.030:0.030))
(INTERCONNECT _194_.X _195_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _195_.X output96.A (0.003:0.003:0.003) (0.003:0.003:0.003))
(INTERCONNECT _196_.X _197_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _197_.X output97.A (0.005:0.005:0.005) (0.005:0.005:0.005))
(INTERCONNECT _197_.X INSDIODE2_39.DIODE (0.005:0.005:0.005) (0.005:0.005:0.005))
(INTERCONNECT _198_.X _199_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _199_.X output60.A (0.007:0.007:0.007) (0.007:0.007:0.007))
(INTERCONNECT _199_.X INSDIODE2_6.DIODE (0.007:0.007:0.007) (0.007:0.007:0.007))
(INTERCONNECT _200_.X _201_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _201_.X output61.A (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _202_.X _203_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _203_.X output62.A (0.003:0.003:0.003) (0.002:0.002:0.002))
(INTERCONNECT _203_.X INSDIODE2_7.DIODE (0.002:0.002:0.002) (0.002:0.002:0.002))
(INTERCONNECT _204_.X _205_.B (0.007:0.007:0.007) (0.007:0.007:0.007))
(INTERCONNECT _204_.X _207_.B (0.007:0.007:0.007) (0.007:0.007:0.007))
(INTERCONNECT _204_.X _209_.B (0.006:0.006:0.006) (0.006:0.006:0.006))
(INTERCONNECT _204_.X _211_.B (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT _204_.X _213_.B (0.002:0.002:0.002) (0.002:0.002:0.002))
(INTERCONNECT _205_.X _206_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _206_.X output63.A (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _207_.X _208_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _208_.X output64.A (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _209_.X _210_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _210_.X output65.A (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _211_.X _212_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _212_.X output66.A (0.005:0.005:0.005) (0.005:0.005:0.005))
(INTERCONNECT _212_.X INSDIODE2_46.DIODE (0.005:0.005:0.005) (0.005:0.005:0.005))
(INTERCONNECT _213_.X _214_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _214_.X output67.A (0.003:0.003:0.003) (0.003:0.003:0.003))
(INTERCONNECT _214_.X INSDIODE2_8.DIODE (0.003:0.003:0.003) (0.003:0.003:0.003))
(INTERCONNECT _215_.Y _217_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _215_.Y _220_.A2 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _215_.Y _223_.A2 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _215_.Y _224_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _216_.X _217_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _216_.X _221_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _217_.Y _274_.D (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _218_.Y _219_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _218_.Y _221_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _219_.Y _220_.B1 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _220_.X _275_.D (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _221_.Y _222_.B1 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _221_.Y _223_.B1_N (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _222_.X _276_.D (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _223_.Y _277_.D (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _224_.X _225_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _224_.X _259_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _225_.X _226_.A (0.007:0.007:0.007) (0.007:0.007:0.007))
(INTERCONNECT _225_.X _237_.A (0.007:0.007:0.007) (0.007:0.007:0.007))
(INTERCONNECT _225_.X _248_.A (0.005:0.005:0.005) (0.005:0.005:0.005))
(INTERCONNECT _225_.X _270_.S (0.002:0.002:0.002) (0.002:0.002:0.002))
(INTERCONNECT _225_.X _272_.S (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT _226_.X _227_.S (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT _226_.X _229_.S (0.007:0.007:0.007) (0.007:0.007:0.007))
(INTERCONNECT _226_.X _231_.S (0.004:0.004:0.004) (0.004:0.004:0.004))
(INTERCONNECT _226_.X _233_.S (0.007:0.007:0.007) (0.006:0.006:0.006))
(INTERCONNECT _226_.X _235_.S (0.006:0.006:0.006) (0.006:0.006:0.006))
(INTERCONNECT _227_.X _228_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _228_.X _278_.D (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _229_.X _230_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _230_.X _279_.D (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _231_.X _232_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _232_.X _280_.D (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _233_.X _234_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _234_.X _281_.D (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _235_.X _236_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _236_.X _282_.D (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _237_.X _238_.S (0.002:0.002:0.002) (0.002:0.002:0.002))
(INTERCONNECT _237_.X _240_.S (0.003:0.003:0.003) (0.002:0.002:0.002))
(INTERCONNECT _237_.X _242_.S (0.002:0.002:0.002) (0.002:0.002:0.002))
(INTERCONNECT _237_.X _244_.S (0.003:0.003:0.003) (0.003:0.003:0.003))
(INTERCONNECT _237_.X _246_.S (0.003:0.003:0.003) (0.003:0.003:0.003))
(INTERCONNECT _238_.X _239_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _239_.X _283_.D (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _240_.X _241_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _241_.X _284_.D (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _242_.X _243_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _243_.X _285_.D (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _244_.X _245_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _245_.X _286_.D (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _246_.X _247_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _247_.X _287_.D (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _248_.X _249_.S (0.004:0.004:0.004) (0.004:0.004:0.004))
(INTERCONNECT _248_.X _251_.S (0.004:0.004:0.004) (0.003:0.003:0.003))
(INTERCONNECT _248_.X _253_.S (0.003:0.003:0.003) (0.003:0.003:0.003))
(INTERCONNECT _248_.X _255_.S (0.004:0.004:0.004) (0.004:0.004:0.004))
(INTERCONNECT _248_.X _257_.S (0.004:0.004:0.004) (0.004:0.004:0.004))
(INTERCONNECT _249_.X _250_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _250_.X _288_.D (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _251_.X _252_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _252_.X _289_.D (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _253_.X _254_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _254_.X _290_.D (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _255_.X _256_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _256_.X _291_.D (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _257_.X _258_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _258_.X _292_.D (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _259_.X _260_.S (0.002:0.002:0.002) (0.002:0.002:0.002))
(INTERCONNECT _259_.X _262_.S (0.002:0.002:0.002) (0.002:0.002:0.002))
(INTERCONNECT _259_.X _264_.S (0.002:0.002:0.002) (0.002:0.002:0.002))
(INTERCONNECT _259_.X _266_.S (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT _259_.X _268_.S (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT _260_.X _261_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _261_.X _293_.D (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _262_.X _263_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _263_.X _294_.D (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _264_.X _265_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _265_.X _295_.D (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _266_.X _267_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _267_.X _296_.D (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _268_.X _269_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _269_.X _297_.D (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _270_.X _271_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _271_.X _298_.D (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _272_.X _273_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _273_.X _299_.D (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _274_.Q output72.A (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT _275_.Q _220_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _275_.Q output105.A (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT _276_.Q _116_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _276_.Q _121_.A_N (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _276_.Q _215_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _276_.Q _216_.A2 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _276_.Q _218_.C1 (0.001:0.001:0.001) (0.000:0.000:0.000))
(INTERCONNECT _277_.Q _116_.A (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT _277_.Q _121_.B (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT _277_.Q _215_.A (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT _277_.Q _216_.A1 (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT _277_.Q _218_.B1 (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT _278_.Q _198_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _278_.Q _227_.A0 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _279_.Q _200_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _279_.Q _229_.A0 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _280_.Q _202_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _280_.Q _231_.A0 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _281_.Q _205_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _281_.Q _233_.A0 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _282_.Q _207_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _282_.Q _235_.A0 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _283_.Q _209_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _283_.Q _238_.A0 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _284_.Q _211_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _284_.Q _240_.A0 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _285_.Q _213_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _285_.Q _242_.A0 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _286_.Q _118_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _286_.Q _122_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _286_.Q _244_.A0 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _287_.Q _122_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _287_.Q _246_.A0 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _288_.Q _120_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _288_.Q _249_.A0 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _289_.Q _120_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _289_.Q _251_.A0 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _290_.Q _120_.C (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _290_.Q _253_.A0 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _291_.Q _120_.D (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _291_.Q _255_.A0 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _292_.Q _124_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _292_.Q _257_.A0 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _293_.Q _124_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _293_.Q _260_.A0 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _294_.Q _124_.C (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _294_.Q _262_.A0 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _295_.Q _124_.D (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _295_.Q _264_.A0 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _296_.Q _123_.A (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT _296_.Q _266_.A0 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _297_.Q _123_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _297_.Q _268_.A0 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _298_.Q _123_.C (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _298_.Q _270_.A0 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _299_.Q _123_.D (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _299_.Q _272_.A0 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _354_.X output69.A (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _355_.X output70.A (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT input1.X _129_.A (0.002:0.002:0.002) (0.002:0.002:0.002))
(INTERCONNECT input10.X _168_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT input11.X _170_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT input12.X _131_.A (0.003:0.003:0.003) (0.003:0.003:0.003))
(INTERCONNECT input13.X _173_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT input14.X _175_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT input15.X _177_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT input16.X _179_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT input17.X _181_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT input18.X _184_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT input19.X _186_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT input2.X _151_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT input20.X _188_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT input21.X _190_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT input22.X _192_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT input23.X _133_.A (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT input24.X _194_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT input25.X _196_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT input26.X _135_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT input27.X _137_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT input28.X _140_.A (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT input29.X _142_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT input3.X _153_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT input30.X _144_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT input31.X _146_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT input32.X _148_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT input33.X _244_.A1 (0.006:0.006:0.006) (0.006:0.006:0.006))
(INTERCONNECT input33.X INSDIODE2_0.DIODE (0.006:0.006:0.006) (0.006:0.006:0.006))
(INTERCONNECT input34.X _246_.A1 (0.006:0.006:0.006) (0.006:0.006:0.006))
(INTERCONNECT input35.X _249_.A1 (0.009:0.009:0.009) (0.009:0.009:0.009))
(INTERCONNECT input36.X _251_.A1 (0.008:0.008:0.008) (0.007:0.007:0.007))
(INTERCONNECT input36.X INSDIODE2_1.DIODE (0.008:0.008:0.008) (0.007:0.007:0.007))
(INTERCONNECT input37.X _253_.A1 (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT input38.X _255_.A1 (0.007:0.007:0.007) (0.007:0.007:0.007))
(INTERCONNECT input38.X INSDIODE2_2.DIODE (0.007:0.007:0.007) (0.007:0.007:0.007))
(INTERCONNECT input39.X _257_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT input4.X _155_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT input40.X _260_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT input41.X _262_.A1 (0.002:0.002:0.002) (0.001:0.001:0.001))
(INTERCONNECT input42.X _264_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT input43.X _266_.A1 (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT input44.X _268_.A1 (0.018:0.018:0.018) (0.017:0.017:0.017))
(INTERCONNECT input44.X INSDIODE2_3.DIODE (0.018:0.018:0.018) (0.017:0.017:0.017))
(INTERCONNECT input45.X _270_.A1 (0.003:0.003:0.003) (0.003:0.003:0.003))
(INTERCONNECT input46.X _272_.A1 (0.002:0.002:0.002) (0.002:0.002:0.002))
(INTERCONNECT input47.X _227_.A1 (0.002:0.002:0.002) (0.002:0.002:0.002))
(INTERCONNECT input48.X _229_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT input49.X _231_.A1 (0.014:0.014:0.014) (0.014:0.014:0.014))
(INTERCONNECT input5.X _157_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT input50.X _233_.A1 (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT input51.X _235_.A1 (0.004:0.004:0.004) (0.004:0.004:0.004))
(INTERCONNECT input51.X INSDIODE2_4.DIODE (0.004:0.004:0.004) (0.004:0.004:0.004))
(INTERCONNECT input52.X _238_.A1 (0.006:0.006:0.006) (0.006:0.006:0.006))
(INTERCONNECT input52.X INSDIODE2_5.DIODE (0.006:0.006:0.006) (0.006:0.006:0.006))
(INTERCONNECT input53.X _240_.A1 (0.006:0.006:0.006) (0.006:0.006:0.006))
(INTERCONNECT input54.X _242_.A1 (0.003:0.003:0.003) (0.003:0.003:0.003))
(INTERCONNECT input55.X _355_.A (0.003:0.003:0.003) (0.003:0.003:0.003))
(INTERCONNECT input55.X _354_.A (0.002:0.002:0.002) (0.002:0.002:0.002))
(INTERCONNECT input55.X _299_.CLK (0.045:0.045:0.045) (0.042:0.042:0.042))
(INTERCONNECT input55.X _298_.CLK (0.044:0.044:0.044) (0.041:0.041:0.041))
(INTERCONNECT input55.X _297_.CLK (0.043:0.043:0.043) (0.041:0.041:0.041))
(INTERCONNECT input55.X _296_.CLK (0.046:0.046:0.046) (0.043:0.043:0.043))
(INTERCONNECT input55.X _295_.CLK (0.047:0.047:0.047) (0.044:0.044:0.044))
(INTERCONNECT input55.X _294_.CLK (0.047:0.047:0.047) (0.044:0.044:0.044))
(INTERCONNECT input55.X _293_.CLK (0.047:0.047:0.047) (0.044:0.044:0.044))
(INTERCONNECT input55.X _292_.CLK (0.047:0.047:0.047) (0.044:0.044:0.044))
(INTERCONNECT input55.X _291_.CLK (0.041:0.041:0.041) (0.038:0.038:0.038))
(INTERCONNECT input55.X _290_.CLK (0.040:0.040:0.040) (0.038:0.038:0.038))
(INTERCONNECT input55.X _289_.CLK (0.040:0.040:0.040) (0.038:0.038:0.038))
(INTERCONNECT input55.X _288_.CLK (0.040:0.040:0.040) (0.038:0.038:0.038))
(INTERCONNECT input55.X _287_.CLK (0.041:0.041:0.041) (0.038:0.038:0.038))
(INTERCONNECT input55.X _286_.CLK (0.040:0.040:0.040) (0.037:0.037:0.037))
(INTERCONNECT input55.X _285_.CLK (0.036:0.036:0.036) (0.034:0.034:0.034))
(INTERCONNECT input55.X _284_.CLK (0.039:0.039:0.039) (0.036:0.036:0.036))
(INTERCONNECT input55.X _283_.CLK (0.019:0.019:0.019) (0.018:0.018:0.018))
(INTERCONNECT input55.X _282_.CLK (0.016:0.016:0.016) (0.015:0.015:0.015))
(INTERCONNECT input55.X _281_.CLK (0.013:0.013:0.013) (0.012:0.012:0.012))
(INTERCONNECT input55.X _280_.CLK (0.026:0.026:0.026) (0.024:0.024:0.024))
(INTERCONNECT input55.X _279_.CLK (0.008:0.008:0.008) (0.008:0.008:0.008))
(INTERCONNECT input55.X _278_.CLK (0.030:0.030:0.030) (0.028:0.028:0.028))
(INTERCONNECT input55.X _277_.CLK (0.046:0.046:0.046) (0.043:0.043:0.043))
(INTERCONNECT input55.X _276_.CLK (0.045:0.045:0.045) (0.042:0.042:0.042))
(INTERCONNECT input55.X _275_.CLK (0.046:0.046:0.046) (0.044:0.044:0.044))
(INTERCONNECT input55.X _274_.CLK (0.046:0.046:0.046) (0.043:0.043:0.043))
(INTERCONNECT input56.X _224_.C (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT input56.X _218_.A1 (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT input57.X _224_.A_N (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT input57.X _219_.A (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT input57.X _216_.B1 (0.002:0.002:0.002) (0.001:0.001:0.001))
(INTERCONNECT input58.X _224_.D (0.020:0.020:0.020) (0.019:0.019:0.019))
(INTERCONNECT input58.X _218_.A2 (0.020:0.020:0.020) (0.019:0.019:0.019))
(INTERCONNECT input59.X _223_.A1 (0.002:0.002:0.002) (0.002:0.002:0.002))
(INTERCONNECT input59.X _222_.A1 (0.002:0.002:0.002) (0.002:0.002:0.002))
(INTERCONNECT input6.X _159_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT input7.X _162_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT input8.X _164_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT input9.X _166_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT output100.X wb_data_o[5] (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT output101.X wb_data_o[6] (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT output102.X wb_data_o[7] (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT output103.X wb_data_o[8] (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT output104.X wb_data_o[9] (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT output105.X wb_stall_o (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT output60.X sram_addr1[0] (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT output61.X sram_addr1[1] (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT output62.X sram_addr1[2] (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT output63.X sram_addr1[3] (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT output64.X sram_addr1[4] (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT output65.X sram_addr1[5] (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT output66.X sram_addr1[6] (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT output67.X sram_addr1[7] (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT output68.X sram_addr1[8] (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT output69.X sram_clk0 (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT output70.X sram_clk1 (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT output71.X sram_csb1 (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT output72.X wb_ack_o (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT output73.X wb_data_o[0] (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT output74.X wb_data_o[10] (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT output75.X wb_data_o[11] (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT output76.X wb_data_o[12] (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT output77.X wb_data_o[13] (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT output78.X wb_data_o[14] (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT output79.X wb_data_o[15] (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT output80.X wb_data_o[16] (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT output81.X wb_data_o[17] (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT output82.X wb_data_o[18] (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT output83.X wb_data_o[19] (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT output84.X wb_data_o[1] (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT output85.X wb_data_o[20] (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT output86.X wb_data_o[21] (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT output87.X wb_data_o[22] (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT output88.X wb_data_o[23] (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT output89.X wb_data_o[24] (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT output90.X wb_data_o[25] (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT output91.X wb_data_o[26] (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT output92.X wb_data_o[27] (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT output93.X wb_data_o[28] (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT output94.X wb_data_o[29] (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT output95.X wb_data_o[2] (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT output96.X wb_data_o[30] (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT output97.X wb_data_o[31] (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT output98.X wb_data_o[3] (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT output99.X wb_data_o[4] (0.001:0.001:0.001) (0.001:0.001:0.001))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__or2_2")
(INSTANCE _116_)
(DELAY
(ABSOLUTE
(IOPATH A X (0.222:0.222:0.222) (0.379:0.379:0.379))
(IOPATH B X (0.218:0.218:0.218) (0.357:0.357:0.357))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__buf_6")
(INSTANCE _117_)
(DELAY
(ABSOLUTE
(IOPATH A X (0.222:0.222:0.222) (0.194:0.194:0.194))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__and2_1")
(INSTANCE _118_)
(DELAY
(ABSOLUTE
(IOPATH A X (0.132:0.132:0.132) (0.136:0.136:0.136))
(IOPATH B X (0.151:0.151:0.151) (0.169:0.169:0.169))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__buf_2")
(INSTANCE _119_)
(DELAY
(ABSOLUTE
(IOPATH A X (0.208:0.208:0.208) (0.179:0.179:0.179))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__or4_2")
(INSTANCE _120_)
(DELAY
(ABSOLUTE
(IOPATH A X (0.154:0.154:0.154) (0.721:0.721:0.721))
(IOPATH B X (0.152:0.152:0.152) (0.703:0.703:0.703))
(IOPATH C X (0.154:0.154:0.154) (0.670:0.670:0.670))
(IOPATH D X (0.155:0.155:0.155) (0.602:0.602:0.602))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__and2b_2")
(INSTANCE _121_)
(DELAY
(ABSOLUTE
(IOPATH A_N X (0.340:0.340:0.340) (0.321:0.321:0.321))
(IOPATH B X (0.291:0.291:0.291) (0.293:0.293:0.293))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__or4b_1")
(INSTANCE _122_)
(DELAY
(ABSOLUTE
(IOPATH A X (0.142:0.142:0.142) (0.544:0.544:0.544))
(IOPATH B X (0.124:0.124:0.124) (0.522:0.522:0.522))
(IOPATH C X (0.127:0.127:0.127) (0.518:0.518:0.518))
(IOPATH D_N X (0.203:0.203:0.203) (0.479:0.479:0.479))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__or4_1")
(INSTANCE _123_)
(DELAY
(ABSOLUTE
(IOPATH A X (0.172:0.172:0.172) (0.583:0.583:0.583))
(IOPATH B X (0.164:0.164:0.164) (0.562:0.562:0.562))
(IOPATH C X (0.149:0.149:0.149) (0.526:0.526:0.526))
(IOPATH D X (0.154:0.154:0.154) (0.460:0.460:0.460))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__or4_4")
(INSTANCE _124_)
(DELAY
(ABSOLUTE
(IOPATH A X (0.199:0.199:0.199) (0.615:0.615:0.615))
(IOPATH B X (0.198:0.198:0.198) (0.594:0.594:0.594))
(IOPATH C X (0.184:0.184:0.184) (0.558:0.558:0.558))
(IOPATH D X (0.183:0.183:0.183) (0.496:0.496:0.496))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__or3_1")
(INSTANCE _125_)
(DELAY
(ABSOLUTE
(IOPATH A X (0.104:0.104:0.104) (0.380:0.380:0.380))
(IOPATH B X (0.115:0.115:0.115) (0.365:0.366:0.366))
(IOPATH C X (0.117:0.117:0.117) (0.332:0.332:0.332))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__buf_4")
(INSTANCE _126_)
(DELAY
(ABSOLUTE
(IOPATH A X (0.244:0.244:0.244) (0.218:0.218:0.218))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__buf_4")
(INSTANCE _127_)
(DELAY
(ABSOLUTE
(IOPATH A X (0.266:0.266:0.266) (0.229:0.229:0.229))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__clkbuf_2")
(INSTANCE _128_)
(DELAY
(ABSOLUTE
(IOPATH A X (0.236:0.236:0.236) (0.195:0.195:0.195))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__and2_1")
(INSTANCE _129_)
(DELAY
(ABSOLUTE
(IOPATH A X (0.136:0.136:0.136) (0.148:0.148:0.148))
(IOPATH B X (0.151:0.151:0.151) (0.183:0.183:0.183))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__buf_4")
(INSTANCE _130_)
(DELAY
(ABSOLUTE
(IOPATH A X (0.215:0.215:0.215) (0.188:0.189:0.189))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__and2_1")
(INSTANCE _131_)
(DELAY
(ABSOLUTE
(IOPATH A X (0.192:0.192:0.192) (0.191:0.191:0.191))
(IOPATH B X (0.194:0.194:0.194) (0.212:0.212:0.212))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__buf_2")
(INSTANCE _132_)
(DELAY
(ABSOLUTE
(IOPATH A X (0.172:0.172:0.172) (0.160:0.160:0.160))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__and2_1")
(INSTANCE _133_)
(DELAY
(ABSOLUTE
(IOPATH A X (0.156:0.156:0.156) (0.157:0.157:0.157))
(IOPATH B X (0.149:0.149:0.149) (0.182:0.182:0.182))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__buf_4")
(INSTANCE _134_)
(DELAY
(ABSOLUTE
(IOPATH A X (0.201:0.201:0.201) (0.183:0.183:0.184))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__and2_1")
(INSTANCE _135_)
(DELAY
(ABSOLUTE
(IOPATH A X (0.122:0.122:0.122) (0.133:0.133:0.133))
(IOPATH B X (0.162:0.162:0.162) (0.192:0.192:0.192))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__dlymetal6s2s_1")
(INSTANCE _136_)
(DELAY
(ABSOLUTE
(IOPATH A X (0.170:0.170:0.171) (0.172:0.172:0.173))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__and2_1")
(INSTANCE _137_)
(DELAY
(ABSOLUTE
(IOPATH A X (0.113:0.113:0.113) (0.126:0.126:0.126))
(IOPATH B X (0.150:0.150:0.150) (0.183:0.183:0.183))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__buf_4")
(INSTANCE _138_)
(DELAY
(ABSOLUTE
(IOPATH A X (0.219:0.219:0.219) (0.190:0.190:0.191))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__clkbuf_1")
(INSTANCE _139_)
(DELAY
(ABSOLUTE
(IOPATH A X (0.202:0.202:0.202) (0.157:0.157:0.157))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__and2_1")
(INSTANCE _140_)
(DELAY
(ABSOLUTE
(IOPATH A X (0.196:0.196:0.196) (0.182:0.182:0.182))
(IOPATH B X (0.193:0.193:0.193) (0.197:0.197:0.197))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__clkbuf_4")
(INSTANCE _141_)
(DELAY
(ABSOLUTE
(IOPATH A X (0.236:0.236:0.236) (0.210:0.210:0.210))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__and2_1")
(INSTANCE _142_)
(DELAY
(ABSOLUTE
(IOPATH A X (0.192:0.192:0.192) (0.179:0.179:0.179))
(IOPATH B X (0.192:0.192:0.192) (0.197:0.197:0.197))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__buf_2")
(INSTANCE _143_)
(DELAY
(ABSOLUTE
(IOPATH A X (0.169:0.169:0.169) (0.157:0.158:0.158))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__and2_1")
(INSTANCE _144_)
(DELAY
(ABSOLUTE
(IOPATH A X (0.201:0.201:0.201) (0.179:0.179:0.179))
(IOPATH B X (0.218:0.218:0.218) (0.211:0.211:0.211))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__buf_2")
(INSTANCE _145_)
(DELAY
(ABSOLUTE
(IOPATH A X (0.180:0.180:0.180) (0.165:0.165:0.166))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__and2_1")
(INSTANCE _146_)
(DELAY
(ABSOLUTE
(IOPATH A X (0.124:0.124:0.124) (0.133:0.133:0.133))
(IOPATH B X (0.163:0.163:0.163) (0.177:0.177:0.177))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__clkbuf_4")
(INSTANCE _147_)
(DELAY
(ABSOLUTE
(IOPATH A X (0.203:0.203:0.203) (0.188:0.188:0.189))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__and2_1")
(INSTANCE _148_)
(DELAY
(ABSOLUTE
(IOPATH A X (0.122:0.122:0.122) (0.131:0.131:0.131))
(IOPATH B X (0.157:0.157:0.157) (0.173:0.173:0.173))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__clkbuf_4")
(INSTANCE _149_)
(DELAY
(ABSOLUTE
(IOPATH A X (0.243:0.243:0.243) (0.216:0.217:0.217))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__clkbuf_1")
(INSTANCE _150_)
(DELAY
(ABSOLUTE
(IOPATH A X (0.194:0.194:0.194) (0.153:0.153:0.153))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__and2_1")
(INSTANCE _151_)
(DELAY
(ABSOLUTE
(IOPATH A X (0.188:0.188:0.188) (0.171:0.171:0.171))
(IOPATH B X (0.203:0.203:0.203) (0.201:0.201:0.201))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__clkbuf_4")
(INSTANCE _152_)
(DELAY
(ABSOLUTE
(IOPATH A X (0.248:0.248:0.248) (0.217:0.217:0.218))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__and2_1")
(INSTANCE _153_)
(DELAY
(ABSOLUTE
(IOPATH A X (0.130:0.130:0.130) (0.136:0.136:0.136))
(IOPATH B X (0.153:0.153:0.153) (0.170:0.170:0.170))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__buf_4")
(INSTANCE _154_)
(DELAY
(ABSOLUTE
(IOPATH A X (0.216:0.216:0.216) (0.192:0.192:0.192))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__and2_1")
(INSTANCE _155_)
(DELAY
(ABSOLUTE
(IOPATH A X (0.121:0.121:0.121) (0.130:0.130:0.130))
(IOPATH B X (0.157:0.157:0.157) (0.171:0.171:0.171))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__clkbuf_8")
(INSTANCE _156_)
(DELAY
(ABSOLUTE
(IOPATH A X (0.187:0.187:0.187) (0.176:0.176:0.177))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__and2_1")
(INSTANCE _157_)
(DELAY
(ABSOLUTE
(IOPATH A X (0.121:0.121:0.121) (0.131:0.131:0.131))
(IOPATH B X (0.154:0.154:0.154) (0.171:0.171:0.171))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__clkbuf_4")
(INSTANCE _158_)
(DELAY
(ABSOLUTE
(IOPATH A X (0.227:0.227:0.227) (0.206:0.206:0.207))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__and2_1")
(INSTANCE _159_)
(DELAY
(ABSOLUTE
(IOPATH A X (0.117:0.117:0.117) (0.128:0.128:0.128))
(IOPATH B X (0.152:0.152:0.152) (0.169:0.169:0.169))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__buf_4")
(INSTANCE _160_)
(DELAY
(ABSOLUTE
(IOPATH A X (0.204:0.204:0.205) (0.185:0.186:0.186))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__dlymetal6s2s_1")
(INSTANCE _161_)
(DELAY
(ABSOLUTE
(IOPATH A X (0.220:0.220:0.221) (0.210:0.210:0.210))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__and2_1")
(INSTANCE _162_)
(DELAY
(ABSOLUTE
(IOPATH A X (0.130:0.130:0.130) (0.136:0.136:0.136))
(IOPATH B X (0.156:0.156:0.156) (0.175:0.175:0.175))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__buf_4")
(INSTANCE _163_)
(DELAY
(ABSOLUTE
(IOPATH A X (0.208:0.208:0.208) (0.189:0.189:0.189))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__and2_1")
(INSTANCE _164_)
(DELAY
(ABSOLUTE
(IOPATH A X (0.131:0.131:0.131) (0.136:0.136:0.136))
(IOPATH B X (0.167:0.167:0.167) (0.181:0.181:0.181))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__clkbuf_8")
(INSTANCE _165_)
(DELAY
(ABSOLUTE
(IOPATH A X (0.197:0.197:0.197) (0.182:0.183:0.183))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__and2_1")
(INSTANCE _166_)
(DELAY
(ABSOLUTE
(IOPATH A X (0.109:0.109:0.109) (0.122:0.122:0.122))
(IOPATH B X (0.148:0.148:0.148) (0.169:0.169:0.169))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__clkbuf_4")
(INSTANCE _167_)
(DELAY
(ABSOLUTE
(IOPATH A X (0.197:0.197:0.197) (0.184:0.185:0.185))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__and2_1")
(INSTANCE _168_)
(DELAY
(ABSOLUTE
(IOPATH A X (0.131:0.131:0.131) (0.136:0.136:0.136))
(IOPATH B X (0.171:0.171:0.171) (0.184:0.184:0.184))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__buf_6")
(INSTANCE _169_)
(DELAY
(ABSOLUTE
(IOPATH A X (0.201:0.201:0.201) (0.165:0.166:0.166))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__and2_1")
(INSTANCE _170_)
(DELAY
(ABSOLUTE
(IOPATH A X (0.133:0.133:0.133) (0.138:0.138:0.138))
(IOPATH B X (0.172:0.172:0.172) (0.184:0.184:0.184))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__buf_6")
(INSTANCE _171_)
(DELAY
(ABSOLUTE
(IOPATH A X (0.198:0.198:0.199) (0.165:0.165:0.166))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__dlymetal6s2s_1")
(INSTANCE _172_)
(DELAY
(ABSOLUTE
(IOPATH A X (0.231:0.231:0.231) (0.216:0.216:0.216))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__and2_1")
(INSTANCE _173_)
(DELAY
(ABSOLUTE
(IOPATH A X (0.111:0.111:0.111) (0.124:0.124:0.124))
(IOPATH B X (0.151:0.151:0.151) (0.172:0.172:0.172))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__buf_2")
(INSTANCE _174_)
(DELAY
(ABSOLUTE
(IOPATH A X (0.196:0.197:0.197) (0.172:0.172:0.172))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__and2_1")
(INSTANCE _175_)
(DELAY
(ABSOLUTE
(IOPATH A X (0.109:0.109:0.109) (0.123:0.123:0.123))
(IOPATH B X (0.151:0.151:0.151) (0.172:0.172:0.172))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__buf_2")
(INSTANCE _176_)
(DELAY
(ABSOLUTE
(IOPATH A X (0.228:0.228:0.228) (0.188:0.188:0.188))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__and2_1")
(INSTANCE _177_)
(DELAY
(ABSOLUTE
(IOPATH A X (0.108:0.108:0.108) (0.123:0.123:0.123))
(IOPATH B X (0.152:0.152:0.152) (0.173:0.173:0.173))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__buf_2")
(INSTANCE _178_)
(DELAY
(ABSOLUTE
(IOPATH A X (0.208:0.209:0.209) (0.178:0.179:0.179))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__and2_1")
(INSTANCE _179_)
(DELAY
(ABSOLUTE
(IOPATH A X (0.130:0.130:0.130) (0.137:0.137:0.137))
(IOPATH B X (0.168:0.168:0.168) (0.184:0.184:0.184))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__clkbuf_2")
(INSTANCE _180_)
(DELAY
(ABSOLUTE
(IOPATH A X (0.226:0.226:0.227) (0.194:0.195:0.195))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__and2_1")
(INSTANCE _181_)
(DELAY
(ABSOLUTE
(IOPATH A X (0.135:0.135:0.135) (0.140:0.140:0.140))
(IOPATH B X (0.176:0.176:0.176) (0.189:0.189:0.189))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__clkbuf_2")
(INSTANCE _182_)
(DELAY
(ABSOLUTE
(IOPATH A X (0.232:0.233:0.233) (0.198:0.199:0.199))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__clkbuf_1")
(INSTANCE _183_)
(DELAY
(ABSOLUTE
(IOPATH A X (0.199:0.200:0.200) (0.161:0.161:0.161))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__and2_1")
(INSTANCE _184_)
(DELAY
(ABSOLUTE
(IOPATH A X (0.140:0.140:0.140) (0.142:0.142:0.142))
(IOPATH B X (0.153:0.153:0.153) (0.171:0.171:0.171))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__clkbuf_4")
(INSTANCE _185_)
(DELAY
(ABSOLUTE
(IOPATH A X (0.194:0.194:0.194) (0.182:0.182:0.183))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__and2_1")
(INSTANCE _186_)
(DELAY
(ABSOLUTE
(IOPATH A X (0.120:0.120:0.120) (0.129:0.129:0.129))
(IOPATH B X (0.149:0.149:0.149) (0.167:0.167:0.167))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__clkbuf_4")
(INSTANCE _187_)
(DELAY
(ABSOLUTE
(IOPATH A X (0.180:0.180:0.181) (0.172:0.173:0.173))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__and2_1")
(INSTANCE _188_)
(DELAY
(ABSOLUTE
(IOPATH A X (0.152:0.152:0.152) (0.149:0.149:0.149))
(IOPATH B X (0.187:0.187:0.187) (0.191:0.191:0.191))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__buf_8")
(INSTANCE _189_)
(DELAY
(ABSOLUTE
(IOPATH A X (0.169:0.169:0.169) (0.155:0.155:0.156))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__and2_1")
(INSTANCE _190_)
(DELAY
(ABSOLUTE
(IOPATH A X (0.121:0.121:0.121) (0.131:0.131:0.131))
(IOPATH B X (0.157:0.157:0.157) (0.174:0.174:0.174))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__clkbuf_4")
(INSTANCE _191_)
(DELAY
(ABSOLUTE
(IOPATH A X (0.202:0.202:0.203) (0.188:0.188:0.188))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__and2_1")
(INSTANCE _192_)
(DELAY
(ABSOLUTE
(IOPATH A X (0.166:0.166:0.166) (0.157:0.157:0.157))
(IOPATH B X (0.203:0.203:0.203) (0.201:0.201:0.201))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__buf_8")
(INSTANCE _193_)
(DELAY
(ABSOLUTE
(IOPATH A X (0.197:0.197:0.197) (0.167:0.167:0.167))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__and2_1")
(INSTANCE _194_)
(DELAY
(ABSOLUTE
(IOPATH A X (0.104:0.104:0.104) (0.119:0.119:0.119))
(IOPATH B X (0.148:0.148:0.148) (0.169:0.169:0.169))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__buf_2")
(INSTANCE _195_)
(DELAY
(ABSOLUTE
(IOPATH A X (0.184:0.184:0.185) (0.166:0.166:0.167))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__and2_1")
(INSTANCE _196_)
(DELAY
(ABSOLUTE
(IOPATH A X (0.118:0.118:0.118) (0.129:0.129:0.129))
(IOPATH B X (0.163:0.163:0.163) (0.179:0.179:0.179))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__clkbuf_4")
(INSTANCE _197_)
(DELAY
(ABSOLUTE
(IOPATH A X (0.192:0.192:0.192) (0.181:0.181:0.181))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__and2_1")
(INSTANCE _198_)
(DELAY
(ABSOLUTE
(IOPATH A X (0.113:0.113:0.113) (0.126:0.126:0.126))
(IOPATH B X (0.151:0.151:0.151) (0.170:0.170:0.170))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__buf_2")
(INSTANCE _199_)
(DELAY
(ABSOLUTE
(IOPATH A X (0.227:0.227:0.227) (0.188:0.188:0.188))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__and2_1")
(INSTANCE _200_)
(DELAY
(ABSOLUTE
(IOPATH A X (0.113:0.113:0.113) (0.126:0.126:0.126))
(IOPATH B X (0.151:0.151:0.151) (0.171:0.171:0.171))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__clkbuf_1")
(INSTANCE _201_)
(DELAY
(ABSOLUTE
(IOPATH A X (0.078:0.079:0.079) (0.079:0.080:0.080))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__and2_1")
(INSTANCE _202_)
(DELAY
(ABSOLUTE
(IOPATH A X (0.123:0.123:0.123) (0.132:0.132:0.132))
(IOPATH B X (0.157:0.157:0.157) (0.176:0.176:0.176))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__clkbuf_2")
(INSTANCE _203_)
(DELAY
(ABSOLUTE
(IOPATH A X (0.186:0.186:0.186) (0.166:0.166:0.166))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__clkbuf_4")
(INSTANCE _204_)
(DELAY
(ABSOLUTE
(IOPATH A X (0.232:0.232:0.232) (0.219:0.219:0.220))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__and2_1")
(INSTANCE _205_)
(DELAY
(ABSOLUTE
(IOPATH A X (0.114:0.114:0.114) (0.126:0.126:0.126))
(IOPATH B X (0.139:0.139:0.139) (0.172:0.172:0.172))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__clkbuf_1")
(INSTANCE _206_)
(DELAY
(ABSOLUTE
(IOPATH A X (0.083:0.083:0.083) (0.082:0.083:0.083))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__and2_1")
(INSTANCE _207_)
(DELAY
(ABSOLUTE
(IOPATH A X (0.116:0.116:0.116) (0.128:0.128:0.128))
(IOPATH B X (0.144:0.144:0.144) (0.176:0.176:0.176))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__clkbuf_1")
(INSTANCE _208_)
(DELAY
(ABSOLUTE
(IOPATH A X (0.087:0.087:0.088) (0.085:0.086:0.086))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__and2_1")
(INSTANCE _209_)
(DELAY
(ABSOLUTE
(IOPATH A X (0.111:0.111:0.111) (0.124:0.124:0.124))
(IOPATH B X (0.138:0.138:0.138) (0.171:0.171:0.171))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__clkbuf_1")
(INSTANCE _210_)
(DELAY
(ABSOLUTE
(IOPATH A X (0.075:0.075:0.075) (0.077:0.077:0.078))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__and2_1")
(INSTANCE _211_)
(DELAY
(ABSOLUTE
(IOPATH A X (0.117:0.117:0.117) (0.128:0.128:0.128))
(IOPATH B X (0.141:0.141:0.141) (0.173:0.173:0.173))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__buf_2")
(INSTANCE _212_)
(DELAY
(ABSOLUTE
(IOPATH A X (0.211:0.211:0.211) (0.180:0.180:0.181))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__and2_1")
(INSTANCE _213_)
(DELAY
(ABSOLUTE
(IOPATH A X (0.124:0.124:0.124) (0.133:0.133:0.133))
(IOPATH B X (0.150:0.150:0.150) (0.179:0.179:0.179))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__clkbuf_2")
(INSTANCE _214_)
(DELAY
(ABSOLUTE
(IOPATH A X (0.197:0.197:0.197) (0.174:0.174:0.174))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__nor2_1")
(INSTANCE _215_)
(DELAY
(ABSOLUTE
(IOPATH A Y (0.272:0.272:0.272) (0.137:0.137:0.137))
(IOPATH B Y (0.255:0.255:0.255) (0.128:0.128:0.128))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__a21o_1")
(INSTANCE _216_)
(DELAY
(ABSOLUTE
(IOPATH A1 X (0.192:0.192:0.192) (0.209:0.209:0.209))
(IOPATH A2 X (0.195:0.195:0.195) (0.228:0.228:0.228))
(IOPATH B1 X (0.143:0.143:0.143) (0.196:0.196:0.196))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__nor2_1")
(INSTANCE _217_)
(DELAY
(ABSOLUTE
(IOPATH A Y (0.114:0.114:0.115) (0.085:0.085:0.085))
(IOPATH B Y (0.086:0.086:0.086) (0.048:0.048:0.049))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__a211oi_2")
(INSTANCE _218_)
(DELAY
(ABSOLUTE
(IOPATH A1 Y (0.253:0.253:0.253) (0.094:0.094:0.094))
(IOPATH A2 Y (0.288:0.288:0.288) (0.106:0.106:0.106))
(IOPATH B1 Y (0.278:0.278:0.278) (0.089:0.089:0.089))
(IOPATH C1 Y (0.225:0.225:0.225) (0.075:0.075:0.075))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__nor2_1")
(INSTANCE _219_)
(DELAY
(ABSOLUTE
(IOPATH A Y (0.112:0.112:0.112) (0.061:0.061:0.061))
(IOPATH B Y (0.092:0.093:0.094) (0.064:0.065:0.067))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__o21a_1")
(INSTANCE _220_)
(DELAY
(ABSOLUTE
(IOPATH A1 X (0.150:0.150:0.150) (0.198:0.198:0.198))
(IOPATH A2 X (0.156:0.156:0.157) (0.189:0.190:0.190))
(IOPATH B1 X (0.126:0.126:0.126) (0.101:0.104:0.108))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__nor2_1")
(INSTANCE _221_)
(DELAY
(ABSOLUTE
(IOPATH A Y (0.158:0.158:0.159) (0.074:0.074:0.075))
(IOPATH B Y (0.145:0.146:0.147) (0.091:0.094:0.097))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__o21a_1")
(INSTANCE _222_)
(DELAY
(ABSOLUTE
(IOPATH A1 X (0.136:0.136:0.136) (0.196:0.196:0.196))
(IOPATH A2 X (0.127:0.127:0.127) (0.175:0.175:0.175))
(IOPATH B1 X (0.133:0.133:0.133) (0.096:0.101:0.107))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__a21boi_1")
(INSTANCE _223_)
(DELAY
(ABSOLUTE
(IOPATH A1 Y (0.146:0.146:0.146) (0.084:0.084:0.084))
(IOPATH A2 Y (0.163:0.163:0.164) (0.114:0.114:0.114))
(IOPATH B1_N Y (0.180:0.180:0.180) (0.127:0.132:0.137))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__and4b_1")
(INSTANCE _224_)
(DELAY
(ABSOLUTE
(IOPATH A_N X (0.307:0.307:0.307) (0.236:0.236:0.236))
(IOPATH B X (0.292:0.292:0.292) (0.225:0.226:0.226))
(IOPATH C X (0.253:0.253:0.253) (0.230:0.230:0.230))
(IOPATH D X (0.267:0.267:0.267) (0.246:0.246:0.246))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__buf_4")
(INSTANCE _225_)
(DELAY
(ABSOLUTE
(IOPATH A X (0.219:0.220:0.220) (0.195:0.196:0.197))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__buf_4")
(INSTANCE _226_)
(DELAY
(ABSOLUTE
(IOPATH A X (0.232:0.232:0.232) (0.203:0.203:0.203))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__mux2_1")
(INSTANCE _227_)
(DELAY
(ABSOLUTE
(IOPATH A0 X (0.122:0.122:0.122) (0.276:0.276:0.276))
(IOPATH A1 X (0.142:0.142:0.142) (0.301:0.301:0.301))
(IOPATH S X (0.209:0.209:0.209) (0.338:0.338:0.338))
(IOPATH S X (0.159:0.159:0.159) (0.319:0.319:0.319))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__clkbuf_1")
(INSTANCE _228_)
(DELAY
(ABSOLUTE
(IOPATH A X (0.077:0.078:0.078) (0.090:0.090:0.090))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__mux2_1")
(INSTANCE _229_)
(DELAY
(ABSOLUTE
(IOPATH A0 X (0.116:0.116:0.116) (0.270:0.270:0.270))
(IOPATH A1 X (0.145:0.145:0.145) (0.292:0.292:0.292))
(IOPATH S X (0.204:0.204:0.204) (0.332:0.332:0.332))
(IOPATH S X (0.154:0.154:0.154) (0.314:0.314:0.314))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__clkbuf_1")
(INSTANCE _230_)
(DELAY
(ABSOLUTE
(IOPATH A X (0.075:0.075:0.076) (0.088:0.088:0.088))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__mux2_1")
(INSTANCE _231_)
(DELAY
(ABSOLUTE
(IOPATH A0 X (0.120:0.120:0.120) (0.272:0.272:0.272))
(IOPATH A1 X (0.145:0.145:0.145) (0.301:0.301:0.301))
(IOPATH S X (0.204:0.204:0.204) (0.332:0.332:0.332))
(IOPATH S X (0.154:0.154:0.154) (0.314:0.314:0.314))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__clkbuf_1")
(INSTANCE _232_)
(DELAY
(ABSOLUTE
(IOPATH A X (0.078:0.078:0.079) (0.090:0.090:0.090))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__mux2_1")
(INSTANCE _233_)
(DELAY
(ABSOLUTE
(IOPATH A0 X (0.119:0.119:0.119) (0.270:0.270:0.270))
(IOPATH A1 X (0.129:0.129:0.129) (0.289:0.289:0.289))
(IOPATH S X (0.204:0.204:0.204) (0.331:0.331:0.331))
(IOPATH S X (0.153:0.153:0.153) (0.313:0.313:0.313))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__clkbuf_1")
(INSTANCE _234_)
(DELAY
(ABSOLUTE
(IOPATH A X (0.077:0.077:0.078) (0.089:0.089:0.090))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__mux2_1")
(INSTANCE _235_)
(DELAY
(ABSOLUTE
(IOPATH A0 X (0.117:0.117:0.117) (0.271:0.271:0.271))
(IOPATH A1 X (0.156:0.156:0.156) (0.312:0.312:0.312))
(IOPATH S X (0.205:0.205:0.205) (0.333:0.333:0.333))
(IOPATH S X (0.155:0.155:0.155) (0.315:0.315:0.315))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__clkbuf_1")
(INSTANCE _236_)
(DELAY
(ABSOLUTE
(IOPATH A X (0.076:0.077:0.078) (0.089:0.089:0.090))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__buf_4")
(INSTANCE _237_)
(DELAY
(ABSOLUTE
(IOPATH A X (0.228:0.228:0.228) (0.202:0.202:0.202))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__mux2_1")
(INSTANCE _238_)
(DELAY
(ABSOLUTE
(IOPATH A0 X (0.129:0.129:0.129) (0.284:0.284:0.284))
(IOPATH A1 X (0.178:0.178:0.178) (0.320:0.320:0.320))
(IOPATH S X (0.215:0.215:0.215) (0.344:0.344:0.344))
(IOPATH S X (0.163:0.163:0.163) (0.327:0.327:0.327))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__clkbuf_1")
(INSTANCE _239_)
(DELAY
(ABSOLUTE
(IOPATH A X (0.084:0.085:0.086) (0.095:0.096:0.096))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__mux2_1")
(INSTANCE _240_)
(DELAY
(ABSOLUTE
(IOPATH A0 X (0.127:0.127:0.127) (0.279:0.279:0.279))
(IOPATH A1 X (0.154:0.154:0.154) (0.311:0.311:0.311))
(IOPATH S X (0.210:0.210:0.210) (0.338:0.338:0.338))
(IOPATH S X (0.157:0.157:0.157) (0.320:0.320:0.320))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__clkbuf_1")
(INSTANCE _241_)
(DELAY
(ABSOLUTE
(IOPATH A X (0.077:0.078:0.078) (0.090:0.090:0.090))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__mux2_1")
(INSTANCE _242_)
(DELAY
(ABSOLUTE
(IOPATH A0 X (0.121:0.121:0.121) (0.273:0.273:0.273))
(IOPATH A1 X (0.146:0.146:0.146) (0.295:0.295:0.295))
(IOPATH S X (0.206:0.206:0.206) (0.333:0.333:0.333))
(IOPATH S X (0.154:0.154:0.154) (0.316:0.316:0.316))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__clkbuf_1")
(INSTANCE _243_)
(DELAY
(ABSOLUTE
(IOPATH A X (0.081:0.081:0.082) (0.092:0.092:0.092))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__mux2_1")
(INSTANCE _244_)
(DELAY
(ABSOLUTE
(IOPATH A0 X (0.150:0.150:0.150) (0.294:0.294:0.294))
(IOPATH A1 X (0.158:0.158:0.158) (0.317:0.317:0.317))
(IOPATH S X (0.218:0.218:0.218) (0.347:0.347:0.347))
(IOPATH S X (0.166:0.166:0.166) (0.330:0.330:0.330))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__clkbuf_1")
(INSTANCE _245_)
(DELAY
(ABSOLUTE
(IOPATH A X (0.080:0.081:0.081) (0.093:0.093:0.093))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__mux2_1")
(INSTANCE _246_)
(DELAY
(ABSOLUTE
(IOPATH A0 X (0.117:0.117:0.117) (0.269:0.269:0.269))
(IOPATH A1 X (0.145:0.145:0.145) (0.301:0.301:0.301))
(IOPATH S X (0.204:0.204:0.204) (0.330:0.330:0.330))
(IOPATH S X (0.151:0.151:0.151) (0.313:0.313:0.313))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__clkbuf_1")
(INSTANCE _247_)
(DELAY
(ABSOLUTE
(IOPATH A X (0.074:0.074:0.075) (0.087:0.087:0.088))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__buf_4")
(INSTANCE _248_)
(DELAY
(ABSOLUTE
(IOPATH A X (0.247:0.247:0.247) (0.211:0.211:0.211))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__mux2_1")
(INSTANCE _249_)
(DELAY
(ABSOLUTE
(IOPATH A0 X (0.133:0.133:0.133) (0.283:0.283:0.283))
(IOPATH A1 X (0.160:0.160:0.160) (0.307:0.307:0.307))
(IOPATH S X (0.215:0.215:0.215) (0.345:0.345:0.345))
(IOPATH S X (0.166:0.166:0.166) (0.326:0.326:0.326))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__clkbuf_1")
(INSTANCE _250_)
(DELAY
(ABSOLUTE
(IOPATH A X (0.087:0.087:0.088) (0.097:0.097:0.097))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__mux2_1")
(INSTANCE _251_)
(DELAY
(ABSOLUTE
(IOPATH A0 X (0.129:0.129:0.129) (0.280:0.280:0.280))
(IOPATH A1 X (0.147:0.147:0.147) (0.299:0.299:0.299))
(IOPATH S X (0.214:0.214:0.214) (0.343:0.343:0.343))
(IOPATH S X (0.164:0.164:0.164) (0.324:0.324:0.324))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__clkbuf_1")
(INSTANCE _252_)
(DELAY
(ABSOLUTE
(IOPATH A X (0.082:0.082:0.083) (0.093:0.094:0.094))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__mux2_1")
(INSTANCE _253_)
(DELAY
(ABSOLUTE
(IOPATH A0 X (0.126:0.126:0.126) (0.274:0.274:0.274))
(IOPATH A1 X (0.146:0.146:0.146) (0.294:0.294:0.294))
(IOPATH S X (0.208:0.208:0.208) (0.335:0.335:0.335))
(IOPATH S X (0.158:0.158:0.158) (0.316:0.316:0.316))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__clkbuf_1")
(INSTANCE _254_)
(DELAY
(ABSOLUTE
(IOPATH A X (0.074:0.074:0.075) (0.087:0.087:0.087))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__mux2_1")
(INSTANCE _255_)
(DELAY
(ABSOLUTE
(IOPATH A0 X (0.134:0.134:0.134) (0.281:0.281:0.281))
(IOPATH A1 X (0.145:0.145:0.145) (0.297:0.297:0.297))
(IOPATH S X (0.212:0.212:0.212) (0.340:0.340:0.340))
(IOPATH S X (0.162:0.162:0.162) (0.322:0.322:0.322))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__clkbuf_1")
(INSTANCE _256_)
(DELAY
(ABSOLUTE
(IOPATH A X (0.077:0.078:0.078) (0.090:0.090:0.090))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__mux2_1")
(INSTANCE _257_)
(DELAY
(ABSOLUTE
(IOPATH A0 X (0.132:0.132:0.132) (0.277:0.277:0.277))
(IOPATH A1 X (0.118:0.118:0.118) (0.282:0.282:0.282))
(IOPATH S X (0.209:0.209:0.209) (0.337:0.337:0.337))
(IOPATH S X (0.160:0.160:0.160) (0.318:0.318:0.318))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__clkbuf_1")
(INSTANCE _258_)
(DELAY
(ABSOLUTE
(IOPATH A X (0.074:0.074:0.074) (0.087:0.088:0.088))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__clkbuf_4")
(INSTANCE _259_)
(DELAY
(ABSOLUTE
(IOPATH A X (0.235:0.235:0.236) (0.206:0.207:0.208))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__mux2_1")
(INSTANCE _260_)
(DELAY
(ABSOLUTE
(IOPATH A0 X (0.132:0.132:0.132) (0.277:0.277:0.277))
(IOPATH A1 X (0.116:0.116:0.116) (0.281:0.281:0.281))
(IOPATH S X (0.213:0.213:0.213) (0.331:0.331:0.331))
(IOPATH S X (0.151:0.151:0.151) (0.321:0.321:0.321))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__clkbuf_1")
(INSTANCE _261_)
(DELAY
(ABSOLUTE
(IOPATH A X (0.078:0.079:0.079) (0.090:0.091:0.091))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__mux2_1")
(INSTANCE _262_)
(DELAY
(ABSOLUTE
(IOPATH A0 X (0.119:0.119:0.119) (0.271:0.271:0.271))
(IOPATH A1 X (0.138:0.138:0.138) (0.295:0.295:0.295))
(IOPATH S X (0.212:0.212:0.212) (0.330:0.330:0.330))
(IOPATH S X (0.150:0.150:0.150) (0.320:0.320:0.320))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__clkbuf_1")
(INSTANCE _263_)
(DELAY
(ABSOLUTE
(IOPATH A X (0.073:0.073:0.074) (0.087:0.087:0.087))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__mux2_1")
(INSTANCE _264_)
(DELAY
(ABSOLUTE
(IOPATH A0 X (0.139:0.139:0.139) (0.290:0.290:0.290))
(IOPATH A1 X (0.131:0.131:0.131) (0.297:0.297:0.297))
(IOPATH S X (0.228:0.228:0.228) (0.348:0.348:0.348))
(IOPATH S X (0.166:0.166:0.166) (0.338:0.338:0.338))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__clkbuf_1")
(INSTANCE _265_)
(DELAY
(ABSOLUTE
(IOPATH A X (0.089:0.089:0.089) (0.099:0.099:0.099))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__mux2_1")
(INSTANCE _266_)
(DELAY
(ABSOLUTE
(IOPATH A0 X (0.142:0.142:0.142) (0.284:0.284:0.284))
(IOPATH A1 X (0.152:0.152:0.152) (0.299:0.299:0.299))
(IOPATH S X (0.216:0.216:0.216) (0.335:0.335:0.335))
(IOPATH S X (0.154:0.154:0.154) (0.324:0.324:0.324))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__clkbuf_1")
(INSTANCE _267_)
(DELAY
(ABSOLUTE
(IOPATH A X (0.079:0.079:0.080) (0.091:0.091:0.091))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__mux2_1")
(INSTANCE _268_)
(DELAY
(ABSOLUTE
(IOPATH A0 X (0.134:0.134:0.134) (0.280:0.280:0.280))
(IOPATH A1 X (0.151:0.151:0.151) (0.300:0.300:0.300))
(IOPATH S X (0.216:0.216:0.216) (0.335:0.335:0.335))
(IOPATH S X (0.154:0.154:0.154) (0.324:0.324:0.324))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__clkbuf_1")
(INSTANCE _269_)
(DELAY
(ABSOLUTE
(IOPATH A X (0.077:0.078:0.079) (0.090:0.090:0.091))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__mux2_1")
(INSTANCE _270_)
(DELAY
(ABSOLUTE
(IOPATH A0 X (0.129:0.129:0.129) (0.282:0.282:0.282))
(IOPATH A1 X (0.156:0.156:0.156) (0.314:0.314:0.314))
(IOPATH S X (0.213:0.213:0.213) (0.343:0.343:0.343))
(IOPATH S X (0.162:0.162:0.162) (0.324:0.324:0.324))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__clkbuf_1")
(INSTANCE _271_)
(DELAY
(ABSOLUTE
(IOPATH A X (0.080:0.081:0.081) (0.093:0.093:0.093))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__mux2_1")
(INSTANCE _272_)
(DELAY
(ABSOLUTE
(IOPATH A0 X (0.130:0.130:0.130) (0.277:0.277:0.277))
(IOPATH A1 X (0.171:0.171:0.171) (0.312:0.312:0.312))
(IOPATH S X (0.206:0.206:0.206) (0.335:0.335:0.335))
(IOPATH S X (0.155:0.155:0.155) (0.316:0.316:0.316))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__clkbuf_1")
(INSTANCE _273_)
(DELAY
(ABSOLUTE
(IOPATH A X (0.078:0.079:0.080) (0.090:0.090:0.091))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__dfxtp_1")
(INSTANCE _274_)
(DELAY
(ABSOLUTE
(IOPATH CLK Q (0.408:0.408:0.408) (0.377:0.377:0.377))
)
)
(TIMINGCHECK
(HOLD (posedge D) (posedge CLK) (-0.016:-0.016:-0.016))
(HOLD (negedge D) (posedge CLK) (-0.012:-0.019:-0.025))
(SETUP (posedge D) (posedge CLK) (0.038:0.038:0.038))
(SETUP (negedge D) (posedge CLK) (0.067:0.074:0.081))
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__dfxtp_1")
(INSTANCE _275_)
(DELAY
(ABSOLUTE
(IOPATH CLK Q (0.438:0.438:0.438) (0.392:0.392:0.392))
)
)
(TIMINGCHECK
(HOLD (posedge D) (posedge CLK) (-0.011:-0.011:-0.011))
(HOLD (negedge D) (posedge CLK) (-0.013:-0.014:-0.015))
(SETUP (posedge D) (posedge CLK) (0.032:0.032:0.033))
(SETUP (negedge D) (posedge CLK) (0.069:0.070:0.071))
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__dfxtp_1")
(INSTANCE _276_)
(DELAY
(ABSOLUTE
(IOPATH CLK Q (0.477:0.477:0.477) (0.409:0.409:0.409))
)
)
(TIMINGCHECK
(HOLD (posedge D) (posedge CLK) (-0.008:-0.009:-0.009))
(HOLD (negedge D) (posedge CLK) (-0.010:-0.011:-0.012))
(SETUP (posedge D) (posedge CLK) (0.029:0.029:0.029))
(SETUP (negedge D) (posedge CLK) (0.065:0.066:0.068))
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__dfxtp_1")
(INSTANCE _277_)
(DELAY
(ABSOLUTE
(IOPATH CLK Q (0.484:0.484:0.484) (0.413:0.413:0.413))
)
)
(TIMINGCHECK
(HOLD (posedge D) (posedge CLK) (-0.021:-0.023:-0.024))
(HOLD (negedge D) (posedge CLK) (-0.015:-0.022:-0.028))
(SETUP (posedge D) (posedge CLK) (0.043:0.045:0.047))
(SETUP (negedge D) (posedge CLK) (0.071:0.078:0.084))
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__dfxtp_1")
(INSTANCE _278_)
(DELAY
(ABSOLUTE
(IOPATH CLK Q (0.362:0.362:0.362) (0.348:0.348:0.348))
)
)
(TIMINGCHECK
(HOLD (posedge D) (posedge CLK) (-0.011:-0.011:-0.011))
(HOLD (negedge D) (posedge CLK) (-0.012:-0.012:-0.012))
(SETUP (posedge D) (posedge CLK) (0.032:0.032:0.032))
(SETUP (negedge D) (posedge CLK) (0.067:0.067:0.067))
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__dfxtp_1")
(INSTANCE _279_)
(DELAY
(ABSOLUTE
(IOPATH CLK Q (0.358:0.358:0.358) (0.345:0.345:0.345))
)
)
(TIMINGCHECK
(HOLD (posedge D) (posedge CLK) (-0.011:-0.011:-0.011))
(HOLD (negedge D) (posedge CLK) (-0.013:-0.013:-0.013))
(SETUP (posedge D) (posedge CLK) (0.033:0.033:0.033))
(SETUP (negedge D) (posedge CLK) (0.069:0.069:0.069))
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__dfxtp_1")
(INSTANCE _280_)
(DELAY
(ABSOLUTE
(IOPATH CLK Q (0.368:0.368:0.368) (0.351:0.351:0.351))
)
)
(TIMINGCHECK
(HOLD (posedge D) (posedge CLK) (-0.012:-0.012:-0.012))
(HOLD (negedge D) (posedge CLK) (-0.013:-0.013:-0.013))
(SETUP (posedge D) (posedge CLK) (0.033:0.033:0.033))
(SETUP (negedge D) (posedge CLK) (0.068:0.068:0.068))
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__dfxtp_1")
(INSTANCE _281_)
(DELAY
(ABSOLUTE
(IOPATH CLK Q (0.365:0.365:0.365) (0.349:0.349:0.349))
)
)
(TIMINGCHECK
(HOLD (posedge D) (posedge CLK) (-0.012:-0.012:-0.012))
(HOLD (negedge D) (posedge CLK) (-0.013:-0.013:-0.013))
(SETUP (posedge D) (posedge CLK) (0.033:0.033:0.033))
(SETUP (negedge D) (posedge CLK) (0.069:0.069:0.069))
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__dfxtp_1")
(INSTANCE _282_)
(DELAY
(ABSOLUTE
(IOPATH CLK Q (0.358:0.358:0.358) (0.345:0.345:0.345))
)
)
(TIMINGCHECK
(HOLD (posedge D) (posedge CLK) (-0.012:-0.012:-0.012))
(HOLD (negedge D) (posedge CLK) (-0.013:-0.013:-0.013))
(SETUP (posedge D) (posedge CLK) (0.033:0.033:0.033))
(SETUP (negedge D) (posedge CLK) (0.069:0.069:0.069))
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__dfxtp_1")
(INSTANCE _283_)
(DELAY
(ABSOLUTE
(IOPATH CLK Q (0.361:0.361:0.361) (0.347:0.347:0.347))
)
)
(TIMINGCHECK
(HOLD (posedge D) (posedge CLK) (-0.013:-0.013:-0.013))
(HOLD (negedge D) (posedge CLK) (-0.014:-0.014:-0.014))
(SETUP (posedge D) (posedge CLK) (0.034:0.034:0.034))
(SETUP (negedge D) (posedge CLK) (0.069:0.069:0.069))
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__dfxtp_1")
(INSTANCE _284_)
(DELAY
(ABSOLUTE
(IOPATH CLK Q (0.372:0.372:0.372) (0.355:0.355:0.355))
)
)
(TIMINGCHECK
(HOLD (posedge D) (posedge CLK) (-0.010:-0.010:-0.010))
(HOLD (negedge D) (posedge CLK) (-0.010:-0.010:-0.010))
(SETUP (posedge D) (posedge CLK) (0.031:0.031:0.031))
(SETUP (negedge D) (posedge CLK) (0.066:0.066:0.066))
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__dfxtp_1")
(INSTANCE _285_)
(DELAY
(ABSOLUTE
(IOPATH CLK Q (0.367:0.367:0.367) (0.351:0.351:0.351))
)
)
(TIMINGCHECK
(HOLD (posedge D) (posedge CLK) (-0.011:-0.011:-0.011))
(HOLD (negedge D) (posedge CLK) (-0.012:-0.012:-0.012))
(SETUP (posedge D) (posedge CLK) (0.032:0.032:0.032))
(SETUP (negedge D) (posedge CLK) (0.067:0.067:0.067))
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__dfxtp_1")
(INSTANCE _286_)
(DELAY
(ABSOLUTE
(IOPATH CLK Q (0.403:0.403:0.403) (0.373:0.373:0.373))
)
)
(TIMINGCHECK
(HOLD (posedge D) (posedge CLK) (-0.010:-0.010:-0.010))
(HOLD (negedge D) (posedge CLK) (-0.010:-0.010:-0.010))
(SETUP (posedge D) (posedge CLK) (0.031:0.031:0.031))
(SETUP (negedge D) (posedge CLK) (0.065:0.065:0.065))
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__dfxtp_1")
(INSTANCE _287_)
(DELAY
(ABSOLUTE
(IOPATH CLK Q (0.365:0.365:0.365) (0.350:0.350:0.350))
)
)
(TIMINGCHECK
(HOLD (posedge D) (posedge CLK) (-0.009:-0.009:-0.009))
(HOLD (negedge D) (posedge CLK) (-0.009:-0.009:-0.009))
(SETUP (posedge D) (posedge CLK) (0.030:0.030:0.030))
(SETUP (negedge D) (posedge CLK) (0.065:0.065:0.065))
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__dfxtp_1")
(INSTANCE _288_)
(DELAY
(ABSOLUTE
(IOPATH CLK Q (0.380:0.380:0.380) (0.360:0.360:0.360))
)
)
(TIMINGCHECK
(HOLD (posedge D) (posedge CLK) (-0.012:-0.012:-0.012))
(HOLD (negedge D) (posedge CLK) (-0.012:-0.012:-0.012))
(SETUP (posedge D) (posedge CLK) (0.033:0.033:0.033))
(SETUP (negedge D) (posedge CLK) (0.068:0.068:0.068))
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__dfxtp_1")
(INSTANCE _289_)
(DELAY
(ABSOLUTE
(IOPATH CLK Q (0.376:0.376:0.376) (0.357:0.357:0.357))
)
)
(TIMINGCHECK
(HOLD (posedge D) (posedge CLK) (-0.011:-0.011:-0.011))
(HOLD (negedge D) (posedge CLK) (-0.011:-0.011:-0.011))
(SETUP (posedge D) (posedge CLK) (0.032:0.032:0.032))
(SETUP (negedge D) (posedge CLK) (0.066:0.066:0.066))
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__dfxtp_1")
(INSTANCE _290_)
(DELAY
(ABSOLUTE
(IOPATH CLK Q (0.384:0.384:0.384) (0.362:0.362:0.362))
)
)
(TIMINGCHECK
(HOLD (posedge D) (posedge CLK) (-0.009:-0.009:-0.009))
(HOLD (negedge D) (posedge CLK) (-0.009:-0.009:-0.009))
(SETUP (posedge D) (posedge CLK) (0.030:0.030:0.030))
(SETUP (negedge D) (posedge CLK) (0.065:0.065:0.065))
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__dfxtp_1")
(INSTANCE _291_)
(DELAY
(ABSOLUTE
(IOPATH CLK Q (0.391:0.391:0.391) (0.366:0.366:0.366))
)
)
(TIMINGCHECK
(HOLD (posedge D) (posedge CLK) (-0.010:-0.010:-0.010))
(HOLD (negedge D) (posedge CLK) (-0.010:-0.010:-0.010))
(SETUP (posedge D) (posedge CLK) (0.031:0.031:0.031))
(SETUP (negedge D) (posedge CLK) (0.065:0.065:0.065))
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__dfxtp_1")
(INSTANCE _292_)
(DELAY
(ABSOLUTE
(IOPATH CLK Q (0.393:0.393:0.393) (0.368:0.368:0.368))
)
)
(TIMINGCHECK
(HOLD (posedge D) (posedge CLK) (-0.008:-0.008:-0.008))
(HOLD (negedge D) (posedge CLK) (-0.008:-0.008:-0.008))
(SETUP (posedge D) (posedge CLK) (0.029:0.029:0.029))
(SETUP (negedge D) (posedge CLK) (0.063:0.063:0.063))
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__dfxtp_1")
(INSTANCE _293_)
(DELAY
(ABSOLUTE
(IOPATH CLK Q (0.395:0.395:0.395) (0.369:0.369:0.369))
)
)
(TIMINGCHECK
(HOLD (posedge D) (posedge CLK) (-0.010:-0.010:-0.010))
(HOLD (negedge D) (posedge CLK) (-0.009:-0.009:-0.009))
(SETUP (posedge D) (posedge CLK) (0.031:0.031:0.031))
(SETUP (negedge D) (posedge CLK) (0.065:0.065:0.065))
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__dfxtp_1")
(INSTANCE _294_)
(DELAY
(ABSOLUTE
(IOPATH CLK Q (0.371:0.371:0.371) (0.353:0.353:0.353))
)
)
(TIMINGCHECK
(HOLD (posedge D) (posedge CLK) (-0.008:-0.008:-0.008))
(HOLD (negedge D) (posedge CLK) (-0.008:-0.008:-0.008))
(SETUP (posedge D) (posedge CLK) (0.029:0.029:0.029))
(SETUP (negedge D) (posedge CLK) (0.063:0.063:0.063))
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__dfxtp_1")
(INSTANCE _295_)
(DELAY
(ABSOLUTE
(IOPATH CLK Q (0.377:0.377:0.377) (0.357:0.357:0.357))
)
)
(TIMINGCHECK
(HOLD (posedge D) (posedge CLK) (-0.011:-0.011:-0.011))
(HOLD (negedge D) (posedge CLK) (-0.011:-0.011:-0.011))
(SETUP (posedge D) (posedge CLK) (0.032:0.032:0.032))
(SETUP (negedge D) (posedge CLK) (0.066:0.066:0.066))
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__dfxtp_1")
(INSTANCE _296_)
(DELAY
(ABSOLUTE
(IOPATH CLK Q (0.411:0.411:0.411) (0.378:0.378:0.378))
)
)
(TIMINGCHECK
(HOLD (posedge D) (posedge CLK) (-0.010:-0.010:-0.010))
(HOLD (negedge D) (posedge CLK) (-0.010:-0.010:-0.010))
(SETUP (posedge D) (posedge CLK) (0.031:0.031:0.031))
(SETUP (negedge D) (posedge CLK) (0.065:0.065:0.065))
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__dfxtp_1")
(INSTANCE _297_)
(DELAY
(ABSOLUTE
(IOPATH CLK Q (0.394:0.394:0.394) (0.368:0.368:0.368))
)
)
(TIMINGCHECK
(HOLD (posedge D) (posedge CLK) (-0.010:-0.010:-0.010))
(HOLD (negedge D) (posedge CLK) (-0.010:-0.010:-0.010))
(SETUP (posedge D) (posedge CLK) (0.031:0.031:0.031))
(SETUP (negedge D) (posedge CLK) (0.065:0.065:0.065))
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__dfxtp_1")
(INSTANCE _298_)
(DELAY
(ABSOLUTE
(IOPATH CLK Q (0.369:0.369:0.369) (0.353:0.353:0.353))
)
)
(TIMINGCHECK
(HOLD (posedge D) (posedge CLK) (-0.010:-0.010:-0.010))
(HOLD (negedge D) (posedge CLK) (-0.010:-0.010:-0.010))
(SETUP (posedge D) (posedge CLK) (0.031:0.031:0.031))
(SETUP (negedge D) (posedge CLK) (0.065:0.065:0.065))
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__dfxtp_1")
(INSTANCE _299_)
(DELAY
(ABSOLUTE
(IOPATH CLK Q (0.387:0.387:0.387) (0.364:0.364:0.364))
)
)
(TIMINGCHECK
(HOLD (posedge D) (posedge CLK) (-0.010:-0.010:-0.010))
(HOLD (negedge D) (posedge CLK) (-0.010:-0.010:-0.010))
(SETUP (posedge D) (posedge CLK) (0.031:0.031:0.031))
(SETUP (negedge D) (posedge CLK) (0.065:0.065:0.065))
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__clkbuf_1")
(INSTANCE _354_)
(DELAY
(ABSOLUTE
(IOPATH A X (0.113:0.113:0.113) (0.107:0.107:0.107))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__clkbuf_1")
(INSTANCE _355_)
(DELAY
(ABSOLUTE
(IOPATH A X (0.111:0.111:0.111) (0.105:0.105:0.105))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__clkbuf_2")
(INSTANCE input1)
(DELAY
(ABSOLUTE
(IOPATH A X (0.141:0.141:0.141) (0.129:0.129:0.129))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__clkbuf_1")
(INSTANCE input10)
(DELAY
(ABSOLUTE
(IOPATH A X (0.069:0.069:0.069) (0.070:0.070:0.070))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__clkbuf_1")
(INSTANCE input11)
(DELAY
(ABSOLUTE
(IOPATH A X (0.074:0.074:0.074) (0.073:0.073:0.073))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__clkbuf_2")
(INSTANCE input12)
(DELAY
(ABSOLUTE
(IOPATH A X (0.174:0.174:0.174) (0.154:0.154:0.154))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__clkbuf_1")
(INSTANCE input13)
(DELAY
(ABSOLUTE
(IOPATH A X (0.076:0.076:0.076) (0.074:0.074:0.074))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__clkbuf_1")
(INSTANCE input14)
(DELAY
(ABSOLUTE
(IOPATH A X (0.072:0.072:0.072) (0.072:0.072:0.072))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__clkbuf_1")
(INSTANCE input15)
(DELAY
(ABSOLUTE
(IOPATH A X (0.070:0.070:0.070) (0.070:0.070:0.070))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__clkbuf_1")
(INSTANCE input16)
(DELAY
(ABSOLUTE
(IOPATH A X (0.082:0.082:0.082) (0.078:0.078:0.078))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__clkbuf_1")
(INSTANCE input17)
(DELAY
(ABSOLUTE
(IOPATH A X (0.075:0.075:0.075) (0.074:0.074:0.074))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__clkbuf_1")
(INSTANCE input18)
(DELAY
(ABSOLUTE
(IOPATH A X (0.124:0.124:0.124) (0.102:0.102:0.102))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__clkbuf_1")
(INSTANCE input19)
(DELAY
(ABSOLUTE
(IOPATH A X (0.091:0.091:0.091) (0.083:0.083:0.083))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__clkbuf_1")
(INSTANCE input2)
(DELAY
(ABSOLUTE
(IOPATH A X (0.115:0.115:0.115) (0.097:0.097:0.097))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__clkbuf_1")
(INSTANCE input20)
(DELAY
(ABSOLUTE
(IOPATH A X (0.079:0.079:0.079) (0.076:0.076:0.076))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__clkbuf_1")
(INSTANCE input21)
(DELAY
(ABSOLUTE
(IOPATH A X (0.078:0.078:0.078) (0.076:0.076:0.076))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__clkbuf_1")
(INSTANCE input22)
(DELAY
(ABSOLUTE
(IOPATH A X (0.074:0.074:0.074) (0.073:0.073:0.073))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__clkbuf_1")
(INSTANCE input23)
(DELAY
(ABSOLUTE
(IOPATH A X (0.177:0.177:0.177) (0.130:0.130:0.130))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__clkbuf_1")
(INSTANCE input24)
(DELAY
(ABSOLUTE
(IOPATH A X (0.069:0.069:0.069) (0.070:0.070:0.070))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__clkbuf_1")
(INSTANCE input25)
(DELAY
(ABSOLUTE
(IOPATH A X (0.069:0.069:0.069) (0.070:0.070:0.070))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__clkbuf_1")
(INSTANCE input26)
(DELAY
(ABSOLUTE
(IOPATH A X (0.065:0.065:0.065) (0.067:0.067:0.067))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__clkbuf_1")
(INSTANCE input27)
(DELAY
(ABSOLUTE
(IOPATH A X (0.072:0.072:0.072) (0.072:0.072:0.072))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__clkbuf_1")
(INSTANCE input28)
(DELAY
(ABSOLUTE
(IOPATH A X (0.168:0.168:0.168) (0.125:0.125:0.125))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__clkbuf_1")
(INSTANCE input29)
(DELAY
(ABSOLUTE
(IOPATH A X (0.161:0.161:0.161) (0.122:0.122:0.122))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__clkbuf_1")
(INSTANCE input3)
(DELAY
(ABSOLUTE
(IOPATH A X (0.097:0.097:0.097) (0.086:0.086:0.086))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__clkbuf_1")
(INSTANCE input30)
(DELAY
(ABSOLUTE
(IOPATH A X (0.115:0.115:0.115) (0.097:0.097:0.097))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__clkbuf_1")
(INSTANCE input31)
(DELAY
(ABSOLUTE
(IOPATH A X (0.068:0.068:0.068) (0.069:0.069:0.069))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__clkbuf_1")
(INSTANCE input32)
(DELAY
(ABSOLUTE
(IOPATH A X (0.078:0.078:0.078) (0.076:0.076:0.076))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__clkbuf_4")
(INSTANCE input33)
(DELAY
(ABSOLUTE
(IOPATH A X (0.184:0.184:0.184) (0.172:0.172:0.172))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__clkbuf_4")
(INSTANCE input34)
(DELAY
(ABSOLUTE
(IOPATH A X (0.192:0.192:0.192) (0.178:0.178:0.178))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__buf_4")
(INSTANCE input35)
(DELAY
(ABSOLUTE
(IOPATH A X (0.185:0.185:0.185) (0.173:0.173:0.173))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__buf_4")
(INSTANCE input36)
(DELAY
(ABSOLUTE
(IOPATH A X (0.153:0.153:0.153) (0.155:0.155:0.155))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__dlymetal6s2s_1")
(INSTANCE input37)
(DELAY
(ABSOLUTE
(IOPATH A X (0.144:0.144:0.144) (0.154:0.154:0.154))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__buf_4")
(INSTANCE input38)
(DELAY
(ABSOLUTE
(IOPATH A X (0.154:0.154:0.154) (0.156:0.156:0.156))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__clkbuf_1")
(INSTANCE input39)
(DELAY
(ABSOLUTE
(IOPATH A X (0.075:0.075:0.075) (0.073:0.073:0.073))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__clkbuf_1")
(INSTANCE input4)
(DELAY
(ABSOLUTE
(IOPATH A X (0.072:0.072:0.072) (0.072:0.072:0.072))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__clkbuf_1")
(INSTANCE input40)
(DELAY
(ABSOLUTE
(IOPATH A X (0.073:0.073:0.073) (0.071:0.071:0.071))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__clkbuf_2")
(INSTANCE input41)
(DELAY
(ABSOLUTE
(IOPATH A X (0.142:0.142:0.142) (0.129:0.129:0.129))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__clkbuf_1")
(INSTANCE input42)
(DELAY
(ABSOLUTE
(IOPATH A X (0.072:0.072:0.072) (0.071:0.071:0.071))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__clkbuf_1")
(INSTANCE input43)
(DELAY
(ABSOLUTE
(IOPATH A X (0.144:0.144:0.144) (0.112:0.112:0.112))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__buf_6")
(INSTANCE input44)
(DELAY
(ABSOLUTE
(IOPATH A X (0.155:0.155:0.155) (0.138:0.138:0.138))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__clkbuf_2")
(INSTANCE input45)
(DELAY
(ABSOLUTE
(IOPATH A X (0.162:0.162:0.162) (0.144:0.144:0.144))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__dlymetal6s2s_1")
(INSTANCE input46)
(DELAY
(ABSOLUTE
(IOPATH A X (0.206:0.206:0.206) (0.188:0.188:0.188))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__clkbuf_2")
(INSTANCE input47)
(DELAY
(ABSOLUTE
(IOPATH A X (0.141:0.141:0.141) (0.129:0.129:0.129))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__clkbuf_1")
(INSTANCE input48)
(DELAY
(ABSOLUTE
(IOPATH A X (0.134:0.134:0.134) (0.107:0.107:0.107))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__clkbuf_8")
(INSTANCE input49)
(DELAY
(ABSOLUTE
(IOPATH A X (0.183:0.183:0.183) (0.170:0.170:0.170))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__clkbuf_1")
(INSTANCE input5)
(DELAY
(ABSOLUTE
(IOPATH A X (0.076:0.076:0.076) (0.074:0.074:0.074))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__clkbuf_2")
(INSTANCE input50)
(DELAY
(ABSOLUTE
(IOPATH A X (0.126:0.126:0.126) (0.117:0.117:0.117))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__clkbuf_2")
(INSTANCE input51)
(DELAY
(ABSOLUTE
(IOPATH A X (0.185:0.185:0.185) (0.161:0.161:0.161))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__buf_2")
(INSTANCE input52)
(DELAY
(ABSOLUTE
(IOPATH A X (0.217:0.217:0.217) (0.178:0.178:0.178))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__clkbuf_4")
(INSTANCE input53)
(DELAY
(ABSOLUTE
(IOPATH A X (0.199:0.199:0.199) (0.183:0.183:0.183))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__buf_2")
(INSTANCE input54)
(DELAY
(ABSOLUTE
(IOPATH A X (0.157:0.157:0.157) (0.146:0.146:0.146))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__buf_12")
(INSTANCE input55)
(DELAY
(ABSOLUTE
(IOPATH A X (0.183:0.183:0.183) (0.149:0.149:0.149))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__clkbuf_2")
(INSTANCE input56)
(DELAY
(ABSOLUTE
(IOPATH A X (0.145:0.145:0.145) (0.132:0.132:0.132))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__clkbuf_2")
(INSTANCE input57)
(DELAY
(ABSOLUTE
(IOPATH A X (0.146:0.146:0.146) (0.132:0.132:0.132))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__buf_6")
(INSTANCE input58)
(DELAY
(ABSOLUTE
(IOPATH A X (0.161:0.161:0.161) (0.139:0.139:0.139))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__clkbuf_2")
(INSTANCE input59)
(DELAY
(ABSOLUTE
(IOPATH A X (0.167:0.167:0.167) (0.148:0.148:0.148))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__clkbuf_1")
(INSTANCE input6)
(DELAY
(ABSOLUTE
(IOPATH A X (0.073:0.073:0.073) (0.072:0.072:0.072))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__clkbuf_1")
(INSTANCE input7)
(DELAY
(ABSOLUTE
(IOPATH A X (0.100:0.100:0.100) (0.088:0.088:0.088))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__clkbuf_1")
(INSTANCE input8)
(DELAY
(ABSOLUTE
(IOPATH A X (0.080:0.080:0.080) (0.077:0.077:0.077))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__clkbuf_1")
(INSTANCE input9)
(DELAY
(ABSOLUTE
(IOPATH A X (0.071:0.071:0.071) (0.071:0.071:0.071))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__buf_2")
(INSTANCE output100)
(DELAY
(ABSOLUTE
(IOPATH A X (0.239:0.239:0.239) (0.210:0.210:0.210))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__buf_2")
(INSTANCE output101)
(DELAY
(ABSOLUTE
(IOPATH A X (0.219:0.219:0.219) (0.186:0.186:0.186))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__buf_2")
(INSTANCE output102)
(DELAY
(ABSOLUTE
(IOPATH A X (0.221:0.221:0.221) (0.187:0.187:0.187))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__buf_2")
(INSTANCE output103)
(DELAY
(ABSOLUTE
(IOPATH A X (0.231:0.231:0.231) (0.203:0.203:0.203))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__buf_2")
(INSTANCE output104)
(DELAY
(ABSOLUTE
(IOPATH A X (0.248:0.248:0.248) (0.219:0.219:0.219))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__buf_2")
(INSTANCE output105)
(DELAY
(ABSOLUTE
(IOPATH A X (0.235:0.235:0.235) (0.195:0.195:0.195))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__buf_2")
(INSTANCE output60)
(DELAY
(ABSOLUTE
(IOPATH A X (0.252:0.252:0.252) (0.209:0.209:0.209))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__buf_2")
(INSTANCE output61)
(DELAY
(ABSOLUTE
(IOPATH A X (0.197:0.197:0.197) (0.171:0.171:0.171))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__buf_2")
(INSTANCE output62)
(DELAY
(ABSOLUTE
(IOPATH A X (0.236:0.236:0.236) (0.210:0.210:0.210))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__buf_2")
(INSTANCE output63)
(DELAY
(ABSOLUTE
(IOPATH A X (0.200:0.200:0.200) (0.173:0.173:0.173))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__buf_2")
(INSTANCE output64)
(DELAY
(ABSOLUTE
(IOPATH A X (0.201:0.201:0.201) (0.174:0.174:0.174))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__buf_2")
(INSTANCE output65)
(DELAY
(ABSOLUTE
(IOPATH A X (0.197:0.197:0.197) (0.171:0.171:0.171))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__buf_2")
(INSTANCE output66)
(DELAY
(ABSOLUTE
(IOPATH A X (0.247:0.247:0.247) (0.205:0.205:0.205))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__buf_2")
(INSTANCE output67)
(DELAY
(ABSOLUTE
(IOPATH A X (0.243:0.243:0.243) (0.216:0.216:0.216))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__buf_2")
(INSTANCE output68)
(DELAY
(ABSOLUTE
(IOPATH A X (0.246:0.246:0.246) (0.203:0.203:0.203))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__buf_2")
(INSTANCE output69)
(DELAY
(ABSOLUTE
(IOPATH A X (0.203:0.203:0.203) (0.174:0.174:0.174))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__buf_2")
(INSTANCE output70)
(DELAY
(ABSOLUTE
(IOPATH A X (0.200:0.200:0.200) (0.173:0.173:0.173))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__buf_2")
(INSTANCE output71)
(DELAY
(ABSOLUTE
(IOPATH A X (0.261:0.261:0.261) (0.216:0.216:0.216))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__buf_2")
(INSTANCE output72)
(DELAY
(ABSOLUTE
(IOPATH A X (0.227:0.227:0.227) (0.189:0.189:0.189))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__buf_2")
(INSTANCE output73)
(DELAY
(ABSOLUTE
(IOPATH A X (0.252:0.252:0.252) (0.209:0.209:0.209))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__buf_2")
(INSTANCE output74)
(DELAY
(ABSOLUTE
(IOPATH A X (0.237:0.237:0.237) (0.209:0.209:0.209))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__buf_2")
(INSTANCE output75)
(DELAY
(ABSOLUTE
(IOPATH A X (0.245:0.245:0.245) (0.204:0.204:0.204))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__buf_2")
(INSTANCE output76)
(DELAY
(ABSOLUTE
(IOPATH A X (0.227:0.227:0.227) (0.199:0.199:0.199))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__buf_2")
(INSTANCE output77)
(DELAY
(ABSOLUTE
(IOPATH A X (0.240:0.240:0.240) (0.212:0.212:0.212))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__buf_2")
(INSTANCE output78)
(DELAY
(ABSOLUTE
(IOPATH A X (0.242:0.242:0.242) (0.202:0.202:0.202))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__buf_2")
(INSTANCE output79)
(DELAY
(ABSOLUTE
(IOPATH A X (0.242:0.242:0.242) (0.201:0.201:0.201))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__buf_2")
(INSTANCE output80)
(DELAY
(ABSOLUTE
(IOPATH A X (0.229:0.229:0.229) (0.202:0.202:0.202))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__buf_2")
(INSTANCE output81)
(DELAY
(ABSOLUTE
(IOPATH A X (0.231:0.231:0.231) (0.203:0.203:0.203))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__buf_2")
(INSTANCE output82)
(DELAY
(ABSOLUTE
(IOPATH A X (0.244:0.244:0.244) (0.202:0.202:0.202))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__buf_2")
(INSTANCE output83)
(DELAY
(ABSOLUTE
(IOPATH A X (0.242:0.242:0.242) (0.201:0.201:0.201))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__buf_2")
(INSTANCE output84)
(DELAY
(ABSOLUTE
(IOPATH A X (0.221:0.221:0.221) (0.187:0.187:0.187))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__buf_2")
(INSTANCE output85)
(DELAY
(ABSOLUTE
(IOPATH A X (0.243:0.243:0.243) (0.202:0.202:0.202))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__buf_2")
(INSTANCE output86)
(DELAY
(ABSOLUTE
(IOPATH A X (0.256:0.256:0.256) (0.211:0.211:0.211))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__buf_2")
(INSTANCE output87)
(DELAY
(ABSOLUTE
(IOPATH A X (0.247:0.247:0.247) (0.205:0.205:0.205))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__buf_2")
(INSTANCE output88)
(DELAY
(ABSOLUTE
(IOPATH A X (0.255:0.255:0.255) (0.228:0.228:0.228))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__buf_2")
(INSTANCE output89)
(DELAY
(ABSOLUTE
(IOPATH A X (0.252:0.252:0.252) (0.228:0.228:0.228))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__buf_2")
(INSTANCE output90)
(DELAY
(ABSOLUTE
(IOPATH A X (0.227:0.227:0.227) (0.200:0.200:0.200))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__buf_2")
(INSTANCE output91)
(DELAY
(ABSOLUTE
(IOPATH A X (0.221:0.221:0.221) (0.194:0.194:0.194))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__buf_2")
(INSTANCE output92)
(DELAY
(ABSOLUTE
(IOPATH A X (0.229:0.229:0.229) (0.193:0.193:0.193))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__buf_2")
(INSTANCE output93)
(DELAY
(ABSOLUTE
(IOPATH A X (0.236:0.236:0.236) (0.207:0.207:0.206))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__buf_2")
(INSTANCE output94)
(DELAY
(ABSOLUTE
(IOPATH A X (0.249:0.249:0.249) (0.209:0.209:0.209))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__buf_2")
(INSTANCE output95)
(DELAY
(ABSOLUTE
(IOPATH A X (0.247:0.247:0.247) (0.205:0.205:0.205))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__buf_2")
(INSTANCE output96)
(DELAY
(ABSOLUTE
(IOPATH A X (0.236:0.236:0.236) (0.197:0.197:0.197))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__buf_2")
(INSTANCE output97)
(DELAY
(ABSOLUTE
(IOPATH A X (0.226:0.226:0.226) (0.198:0.198:0.198))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__buf_2")
(INSTANCE output98)
(DELAY
(ABSOLUTE
(IOPATH A X (0.233:0.233:0.233) (0.195:0.195:0.195))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__buf_2")
(INSTANCE output99)
(DELAY
(ABSOLUTE
(IOPATH A X (0.251:0.251:0.251) (0.209:0.209:0.209))
)
)
)
)