blob: c9d2357548b79a7624c245a685f3df6951a1d393 [file] [log] [blame]
openlane/Peripherals_Flat\runs\Peripherals_Flat\logs\synthesis\1-synthesis.log[5211]: ABC: Warning: Detected 2 multi-output gates (for example, "sky130_fd_sc_hd__fa_1").
openlane/Peripherals_Flat\runs\Peripherals_Flat\logs\synthesis\1-synthesis.log[5413]: Warning: Wire Peripherals.\wb_stall_o is used but has no driver.
openlane/Peripherals_Flat\runs\Peripherals_Flat\logs\synthesis\1-synthesis.log[5414]: Warning: Wire Peripherals.\wb_error_o is used but has no driver.
openlane/Peripherals_Flat\runs\Peripherals_Flat\logs\synthesis\1-synthesis.log[5415]: Warning: Wire Peripherals.\wb_data_o [31] is used but has no driver.
openlane/Peripherals_Flat\runs\Peripherals_Flat\logs\synthesis\1-synthesis.log[5416]: Warning: Wire Peripherals.\wb_data_o [30] is used but has no driver.
openlane/Peripherals_Flat\runs\Peripherals_Flat\logs\synthesis\1-synthesis.log[5417]: Warning: Wire Peripherals.\wb_data_o [29] is used but has no driver.
openlane/Peripherals_Flat\runs\Peripherals_Flat\logs\synthesis\1-synthesis.log[5418]: Warning: Wire Peripherals.\wb_data_o [28] is used but has no driver.
openlane/Peripherals_Flat\runs\Peripherals_Flat\logs\synthesis\1-synthesis.log[5419]: Warning: Wire Peripherals.\wb_data_o [27] is used but has no driver.
openlane/Peripherals_Flat\runs\Peripherals_Flat\logs\synthesis\1-synthesis.log[5420]: Warning: Wire Peripherals.\wb_data_o [26] is used but has no driver.
openlane/Peripherals_Flat\runs\Peripherals_Flat\logs\synthesis\1-synthesis.log[5421]: Warning: Wire Peripherals.\wb_data_o [25] is used but has no driver.
openlane/Peripherals_Flat\runs\Peripherals_Flat\logs\synthesis\1-synthesis.log[5422]: Warning: Wire Peripherals.\wb_data_o [24] is used but has no driver.
openlane/Peripherals_Flat\runs\Peripherals_Flat\logs\synthesis\1-synthesis.log[5423]: Warning: Wire Peripherals.\wb_data_o [23] is used but has no driver.
openlane/Peripherals_Flat\runs\Peripherals_Flat\logs\synthesis\1-synthesis.log[5424]: Warning: Wire Peripherals.\wb_data_o [22] is used but has no driver.
openlane/Peripherals_Flat\runs\Peripherals_Flat\logs\synthesis\1-synthesis.log[5425]: Warning: Wire Peripherals.\wb_data_o [21] is used but has no driver.
openlane/Peripherals_Flat\runs\Peripherals_Flat\logs\synthesis\1-synthesis.log[5426]: Warning: Wire Peripherals.\wb_data_o [20] is used but has no driver.
openlane/Peripherals_Flat\runs\Peripherals_Flat\logs\synthesis\1-synthesis.log[5427]: Warning: Wire Peripherals.\wb_data_o [19] is used but has no driver.
openlane/Peripherals_Flat\runs\Peripherals_Flat\logs\synthesis\1-synthesis.log[5428]: Warning: Wire Peripherals.\wb_data_o [18] is used but has no driver.
openlane/Peripherals_Flat\runs\Peripherals_Flat\logs\synthesis\1-synthesis.log[5429]: Warning: Wire Peripherals.\wb_data_o [17] is used but has no driver.
openlane/Peripherals_Flat\runs\Peripherals_Flat\logs\synthesis\1-synthesis.log[5430]: Warning: Wire Peripherals.\wb_data_o [16] is used but has no driver.
openlane/Peripherals_Flat\runs\Peripherals_Flat\logs\synthesis\1-synthesis.log[5431]: Warning: Wire Peripherals.\wb_data_o [15] is used but has no driver.
openlane/Peripherals_Flat\runs\Peripherals_Flat\logs\synthesis\1-synthesis.log[5432]: Warning: Wire Peripherals.\wb_data_o [14] is used but has no driver.
openlane/Peripherals_Flat\runs\Peripherals_Flat\logs\synthesis\1-synthesis.log[5433]: Warning: Wire Peripherals.\wb_data_o [13] is used but has no driver.
openlane/Peripherals_Flat\runs\Peripherals_Flat\logs\synthesis\1-synthesis.log[5434]: Warning: Wire Peripherals.\wb_data_o [12] is used but has no driver.
openlane/Peripherals_Flat\runs\Peripherals_Flat\logs\synthesis\1-synthesis.log[5435]: Warning: Wire Peripherals.\wb_data_o [11] is used but has no driver.
openlane/Peripherals_Flat\runs\Peripherals_Flat\logs\synthesis\1-synthesis.log[5436]: Warning: Wire Peripherals.\wb_data_o [10] is used but has no driver.
openlane/Peripherals_Flat\runs\Peripherals_Flat\logs\synthesis\1-synthesis.log[5437]: Warning: Wire Peripherals.\wb_data_o [9] is used but has no driver.
openlane/Peripherals_Flat\runs\Peripherals_Flat\logs\synthesis\1-synthesis.log[5438]: Warning: Wire Peripherals.\wb_data_o [8] is used but has no driver.
openlane/Peripherals_Flat\runs\Peripherals_Flat\logs\synthesis\1-synthesis.log[5439]: Warning: Wire Peripherals.\wb_data_o [7] is used but has no driver.
openlane/Peripherals_Flat\runs\Peripherals_Flat\logs\synthesis\1-synthesis.log[5440]: Warning: Wire Peripherals.\wb_data_o [6] is used but has no driver.
openlane/Peripherals_Flat\runs\Peripherals_Flat\logs\synthesis\1-synthesis.log[5441]: Warning: Wire Peripherals.\wb_data_o [5] is used but has no driver.
openlane/Peripherals_Flat\runs\Peripherals_Flat\logs\synthesis\1-synthesis.log[5442]: Warning: Wire Peripherals.\wb_data_o [4] is used but has no driver.
openlane/Peripherals_Flat\runs\Peripherals_Flat\logs\synthesis\1-synthesis.log[5443]: Warning: Wire Peripherals.\wb_data_o [3] is used but has no driver.
openlane/Peripherals_Flat\runs\Peripherals_Flat\logs\synthesis\1-synthesis.log[5444]: Warning: Wire Peripherals.\wb_data_o [2] is used but has no driver.
openlane/Peripherals_Flat\runs\Peripherals_Flat\logs\synthesis\1-synthesis.log[5445]: Warning: Wire Peripherals.\wb_data_o [1] is used but has no driver.
openlane/Peripherals_Flat\runs\Peripherals_Flat\logs\synthesis\1-synthesis.log[5446]: Warning: Wire Peripherals.\wb_data_o [0] is used but has no driver.
openlane/Peripherals_Flat\runs\Peripherals_Flat\logs\synthesis\1-synthesis.log[5447]: Warning: Wire Peripherals.\wb_ack_o is used but has no driver.
openlane/Peripherals_Flat\runs\Peripherals_Flat\logs\synthesis\1-synthesis.log[5448]: Warning: Wire Peripherals.\probe_blink [1] is used but has no driver.
openlane/Peripherals_Flat\runs\Peripherals_Flat\logs\synthesis\1-synthesis.log[5449]: Warning: Wire Peripherals.\probe_blink [0] is used but has no driver.
openlane/Peripherals_Flat\runs\Peripherals_Flat\logs\synthesis\1-synthesis.log[5450]: Warning: Wire Peripherals.\jtag_tms is used but has no driver.
openlane/Peripherals_Flat\runs\Peripherals_Flat\logs\synthesis\1-synthesis.log[5451]: Warning: Wire Peripherals.\jtag_tdi is used but has no driver.
openlane/Peripherals_Flat\runs\Peripherals_Flat\logs\synthesis\1-synthesis.log[5452]: Warning: Wire Peripherals.\jtag_tck is used but has no driver.
openlane/Peripherals_Flat\runs\Peripherals_Flat\logs\synthesis\1-synthesis.log[5453]: Warning: Wire Peripherals.\io_out [37] is used but has no driver.
openlane/Peripherals_Flat\runs\Peripherals_Flat\logs\synthesis\1-synthesis.log[5454]: Warning: Wire Peripherals.\io_out [36] is used but has no driver.
openlane/Peripherals_Flat\runs\Peripherals_Flat\logs\synthesis\1-synthesis.log[5455]: Warning: Wire Peripherals.\io_out [35] is used but has no driver.
openlane/Peripherals_Flat\runs\Peripherals_Flat\logs\synthesis\1-synthesis.log[5456]: Warning: Wire Peripherals.\io_out [34] is used but has no driver.
openlane/Peripherals_Flat\runs\Peripherals_Flat\logs\synthesis\1-synthesis.log[5457]: Warning: Wire Peripherals.\io_out [33] is used but has no driver.
openlane/Peripherals_Flat\runs\Peripherals_Flat\logs\synthesis\1-synthesis.log[5458]: Warning: Wire Peripherals.\io_out [32] is used but has no driver.
openlane/Peripherals_Flat\runs\Peripherals_Flat\logs\synthesis\1-synthesis.log[5459]: Warning: Wire Peripherals.\io_out [31] is used but has no driver.
openlane/Peripherals_Flat\runs\Peripherals_Flat\logs\synthesis\1-synthesis.log[5460]: Warning: Wire Peripherals.\io_out [30] is used but has no driver.
openlane/Peripherals_Flat\runs\Peripherals_Flat\logs\synthesis\1-synthesis.log[5461]: Warning: Wire Peripherals.\io_out [29] is used but has no driver.
openlane/Peripherals_Flat\runs\Peripherals_Flat\logs\synthesis\1-synthesis.log[5462]: Warning: Wire Peripherals.\io_out [28] is used but has no driver.
openlane/Peripherals_Flat\runs\Peripherals_Flat\logs\synthesis\1-synthesis.log[5463]: Warning: Wire Peripherals.\io_out [27] is used but has no driver.
openlane/Peripherals_Flat\runs\Peripherals_Flat\logs\synthesis\1-synthesis.log[5464]: Warning: Wire Peripherals.\io_out [26] is used but has no driver.
openlane/Peripherals_Flat\runs\Peripherals_Flat\logs\synthesis\1-synthesis.log[5465]: Warning: Wire Peripherals.\io_out [25] is used but has no driver.
openlane/Peripherals_Flat\runs\Peripherals_Flat\logs\synthesis\1-synthesis.log[5466]: Warning: Wire Peripherals.\io_out [24] is used but has no driver.
openlane/Peripherals_Flat\runs\Peripherals_Flat\logs\synthesis\1-synthesis.log[5467]: Warning: Wire Peripherals.\io_out [23] is used but has no driver.
openlane/Peripherals_Flat\runs\Peripherals_Flat\logs\synthesis\1-synthesis.log[5468]: Warning: Wire Peripherals.\io_out [22] is used but has no driver.
openlane/Peripherals_Flat\runs\Peripherals_Flat\logs\synthesis\1-synthesis.log[5469]: Warning: Wire Peripherals.\io_out [21] is used but has no driver.
openlane/Peripherals_Flat\runs\Peripherals_Flat\logs\synthesis\1-synthesis.log[5470]: Warning: Wire Peripherals.\io_out [20] is used but has no driver.
openlane/Peripherals_Flat\runs\Peripherals_Flat\logs\synthesis\1-synthesis.log[5471]: Warning: Wire Peripherals.\io_out [19] is used but has no driver.
openlane/Peripherals_Flat\runs\Peripherals_Flat\logs\synthesis\1-synthesis.log[5472]: Warning: Wire Peripherals.\io_out [18] is used but has no driver.
openlane/Peripherals_Flat\runs\Peripherals_Flat\logs\synthesis\1-synthesis.log[5473]: Warning: Wire Peripherals.\io_out [17] is used but has no driver.
openlane/Peripherals_Flat\runs\Peripherals_Flat\logs\synthesis\1-synthesis.log[5474]: Warning: Wire Peripherals.\io_out [16] is used but has no driver.
openlane/Peripherals_Flat\runs\Peripherals_Flat\logs\synthesis\1-synthesis.log[5475]: Warning: Wire Peripherals.\io_out [15] is used but has no driver.
openlane/Peripherals_Flat\runs\Peripherals_Flat\logs\synthesis\1-synthesis.log[5476]: Warning: Wire Peripherals.\io_out [14] is used but has no driver.
openlane/Peripherals_Flat\runs\Peripherals_Flat\logs\synthesis\1-synthesis.log[5477]: Warning: Wire Peripherals.\io_out [13] is used but has no driver.
openlane/Peripherals_Flat\runs\Peripherals_Flat\logs\synthesis\1-synthesis.log[5478]: Warning: Wire Peripherals.\io_out [12] is used but has no driver.
openlane/Peripherals_Flat\runs\Peripherals_Flat\logs\synthesis\1-synthesis.log[5479]: Warning: Wire Peripherals.\io_out [11] is used but has no driver.
openlane/Peripherals_Flat\runs\Peripherals_Flat\logs\synthesis\1-synthesis.log[5480]: Warning: Wire Peripherals.\io_out [10] is used but has no driver.
openlane/Peripherals_Flat\runs\Peripherals_Flat\logs\synthesis\1-synthesis.log[5481]: Warning: Wire Peripherals.\io_out [9] is used but has no driver.
openlane/Peripherals_Flat\runs\Peripherals_Flat\logs\synthesis\1-synthesis.log[5482]: Warning: Wire Peripherals.\io_out [8] is used but has no driver.
openlane/Peripherals_Flat\runs\Peripherals_Flat\logs\synthesis\1-synthesis.log[5483]: Warning: Wire Peripherals.\io_out [7] is used but has no driver.
openlane/Peripherals_Flat\runs\Peripherals_Flat\logs\synthesis\1-synthesis.log[5484]: Warning: Wire Peripherals.\io_out [6] is used but has no driver.
openlane/Peripherals_Flat\runs\Peripherals_Flat\logs\synthesis\1-synthesis.log[5485]: Warning: Wire Peripherals.\io_out [5] is used but has no driver.
openlane/Peripherals_Flat\runs\Peripherals_Flat\logs\synthesis\1-synthesis.log[5486]: Warning: Wire Peripherals.\io_out [4] is used but has no driver.
openlane/Peripherals_Flat\runs\Peripherals_Flat\logs\synthesis\1-synthesis.log[5487]: Warning: Wire Peripherals.\io_out [3] is used but has no driver.
openlane/Peripherals_Flat\runs\Peripherals_Flat\logs\synthesis\1-synthesis.log[5488]: Warning: Wire Peripherals.\io_out [2] is used but has no driver.
openlane/Peripherals_Flat\runs\Peripherals_Flat\logs\synthesis\1-synthesis.log[5489]: Warning: Wire Peripherals.\io_out [1] is used but has no driver.
openlane/Peripherals_Flat\runs\Peripherals_Flat\logs\synthesis\1-synthesis.log[5490]: Warning: Wire Peripherals.\io_out [0] is used but has no driver.
openlane/Peripherals_Flat\runs\Peripherals_Flat\logs\synthesis\1-synthesis.log[5491]: Warning: Wire Peripherals.\io_oeb [37] is used but has no driver.
openlane/Peripherals_Flat\runs\Peripherals_Flat\logs\synthesis\1-synthesis.log[5492]: Warning: Wire Peripherals.\io_oeb [36] is used but has no driver.
openlane/Peripherals_Flat\runs\Peripherals_Flat\logs\synthesis\1-synthesis.log[5493]: Warning: Wire Peripherals.\io_oeb [35] is used but has no driver.
openlane/Peripherals_Flat\runs\Peripherals_Flat\logs\synthesis\1-synthesis.log[5494]: Warning: Wire Peripherals.\io_oeb [34] is used but has no driver.
openlane/Peripherals_Flat\runs\Peripherals_Flat\logs\synthesis\1-synthesis.log[5495]: Warning: Wire Peripherals.\io_oeb [33] is used but has no driver.
openlane/Peripherals_Flat\runs\Peripherals_Flat\logs\synthesis\1-synthesis.log[5496]: Warning: Wire Peripherals.\io_oeb [32] is used but has no driver.
openlane/Peripherals_Flat\runs\Peripherals_Flat\logs\synthesis\1-synthesis.log[5497]: Warning: Wire Peripherals.\io_oeb [31] is used but has no driver.
openlane/Peripherals_Flat\runs\Peripherals_Flat\logs\synthesis\1-synthesis.log[5498]: Warning: Wire Peripherals.\io_oeb [30] is used but has no driver.
openlane/Peripherals_Flat\runs\Peripherals_Flat\logs\synthesis\1-synthesis.log[5499]: Warning: Wire Peripherals.\io_oeb [29] is used but has no driver.
openlane/Peripherals_Flat\runs\Peripherals_Flat\logs\synthesis\1-synthesis.log[5500]: Warning: Wire Peripherals.\io_oeb [28] is used but has no driver.
openlane/Peripherals_Flat\runs\Peripherals_Flat\logs\synthesis\1-synthesis.log[5501]: Warning: Wire Peripherals.\io_oeb [27] is used but has no driver.
openlane/Peripherals_Flat\runs\Peripherals_Flat\logs\synthesis\1-synthesis.log[5502]: Warning: Wire Peripherals.\io_oeb [26] is used but has no driver.
openlane/Peripherals_Flat\runs\Peripherals_Flat\logs\synthesis\1-synthesis.log[5503]: Warning: Wire Peripherals.\io_oeb [25] is used but has no driver.
openlane/Peripherals_Flat\runs\Peripherals_Flat\logs\synthesis\1-synthesis.log[5504]: Warning: Wire Peripherals.\io_oeb [24] is used but has no driver.
openlane/Peripherals_Flat\runs\Peripherals_Flat\logs\synthesis\1-synthesis.log[5505]: Warning: Wire Peripherals.\io_oeb [23] is used but has no driver.
openlane/Peripherals_Flat\runs\Peripherals_Flat\logs\synthesis\1-synthesis.log[5506]: Warning: Wire Peripherals.\io_oeb [22] is used but has no driver.
openlane/Peripherals_Flat\runs\Peripherals_Flat\logs\synthesis\1-synthesis.log[5507]: Warning: Wire Peripherals.\io_oeb [21] is used but has no driver.
openlane/Peripherals_Flat\runs\Peripherals_Flat\logs\synthesis\1-synthesis.log[5508]: Warning: Wire Peripherals.\io_oeb [20] is used but has no driver.
openlane/Peripherals_Flat\runs\Peripherals_Flat\logs\synthesis\1-synthesis.log[5509]: Warning: Wire Peripherals.\io_oeb [19] is used but has no driver.
openlane/Peripherals_Flat\runs\Peripherals_Flat\logs\synthesis\1-synthesis.log[5510]: Warning: Wire Peripherals.\io_oeb [18] is used but has no driver.
openlane/Peripherals_Flat\runs\Peripherals_Flat\logs\synthesis\1-synthesis.log[5511]: Warning: Wire Peripherals.\io_oeb [17] is used but has no driver.
openlane/Peripherals_Flat\runs\Peripherals_Flat\logs\synthesis\1-synthesis.log[5512]: Warning: Wire Peripherals.\io_oeb [16] is used but has no driver.
openlane/Peripherals_Flat\runs\Peripherals_Flat\logs\synthesis\1-synthesis.log[5513]: Warning: Wire Peripherals.\io_oeb [15] is used but has no driver.
openlane/Peripherals_Flat\runs\Peripherals_Flat\logs\synthesis\1-synthesis.log[5514]: Warning: Wire Peripherals.\io_oeb [14] is used but has no driver.
openlane/Peripherals_Flat\runs\Peripherals_Flat\logs\synthesis\1-synthesis.log[5515]: Warning: Wire Peripherals.\io_oeb [13] is used but has no driver.
openlane/Peripherals_Flat\runs\Peripherals_Flat\logs\synthesis\1-synthesis.log[5516]: Warning: Wire Peripherals.\io_oeb [12] is used but has no driver.
openlane/Peripherals_Flat\runs\Peripherals_Flat\logs\synthesis\1-synthesis.log[5517]: Warning: Wire Peripherals.\io_oeb [11] is used but has no driver.
openlane/Peripherals_Flat\runs\Peripherals_Flat\logs\synthesis\1-synthesis.log[5518]: Warning: Wire Peripherals.\io_oeb [10] is used but has no driver.
openlane/Peripherals_Flat\runs\Peripherals_Flat\logs\synthesis\1-synthesis.log[5519]: Warning: Wire Peripherals.\io_oeb [9] is used but has no driver.
openlane/Peripherals_Flat\runs\Peripherals_Flat\logs\synthesis\1-synthesis.log[5520]: Warning: Wire Peripherals.\io_oeb [8] is used but has no driver.
openlane/Peripherals_Flat\runs\Peripherals_Flat\logs\synthesis\1-synthesis.log[5521]: Warning: Wire Peripherals.\io_oeb [7] is used but has no driver.
openlane/Peripherals_Flat\runs\Peripherals_Flat\logs\synthesis\1-synthesis.log[5522]: Warning: Wire Peripherals.\io_oeb [6] is used but has no driver.
openlane/Peripherals_Flat\runs\Peripherals_Flat\logs\synthesis\1-synthesis.log[5523]: Warning: Wire Peripherals.\io_oeb [5] is used but has no driver.
openlane/Peripherals_Flat\runs\Peripherals_Flat\logs\synthesis\1-synthesis.log[5524]: Warning: Wire Peripherals.\io_oeb [4] is used but has no driver.
openlane/Peripherals_Flat\runs\Peripherals_Flat\logs\synthesis\1-synthesis.log[5525]: Warning: Wire Peripherals.\io_oeb [3] is used but has no driver.
openlane/Peripherals_Flat\runs\Peripherals_Flat\logs\synthesis\1-synthesis.log[5526]: Warning: Wire Peripherals.\io_oeb [2] is used but has no driver.
openlane/Peripherals_Flat\runs\Peripherals_Flat\logs\synthesis\1-synthesis.log[5527]: Warning: Wire Peripherals.\io_oeb [1] is used but has no driver.
openlane/Peripherals_Flat\runs\Peripherals_Flat\logs\synthesis\1-synthesis.log[5528]: Warning: Wire Peripherals.\io_oeb [0] is used but has no driver.
openlane/Peripherals_Flat\runs\Peripherals_Flat\logs\synthesis\1-synthesis.log[5529]: Warning: Wire Peripherals.\internal_uart_tx is used but has no driver.
openlane/Peripherals_Flat\runs\Peripherals_Flat\logs\synthesis\1-synthesis.log[5530]: Warning: Wire Peripherals.\flash_io1_read is used but has no driver.
openlane/Peripherals_Flat\runs\Peripherals_Flat\logs\synthesis\1-synthesis.log[5531]: Warning: Wire Peripherals.\flash_io0_read is used but has no driver.
openlane/Peripherals_Flat\runs\Peripherals_Flat\logs\synthesis\1-synthesis.log[5619]: Warnings: 119 unique messages, 119 total
openlane/Peripherals_Flat\runs\Peripherals_Flat\logs\signoff\26-gds_ptrs.log[145]: CIF file read warning: CIF style sky130(vendor): units rescaled by factor of 5 / 1
openlane/Peripherals_Flat\runs\Peripherals_Flat\logs\signoff\26-gds_ptrs.log[146]: CIF file read warning: Input off lambda grid by 2/5; snapped to grid.
openlane/Peripherals_Flat\runs\Peripherals_Flat\logs\signoff\27-gdsii-klayout.log[12]: WARNING: no fill config file specified
openlane/Peripherals_Flat\runs\Peripherals_Flat\logs\signoff\32-lef.log[1]: Warning: netgen command 'format' use fully-qualified name '::netgen::format'
openlane/Peripherals_Flat\runs\Peripherals_Flat\logs\signoff\32-lef.log[2]: Warning: netgen command 'global' use fully-qualified name '::netgen::global'
openlane/Peripherals_Flat\runs\Peripherals_Flat\logs\signoff\32-lef.log[6]: Warning: A case-insensitive file has been read and so the verilog file must be treated case-insensitive to match.
openlane/Peripherals_Flat\runs\Peripherals_Flat\logs\signoff\33-drc.log[145]: CIF file read warning: CIF style sky130(vendor): units rescaled by factor of 5 / 1
openlane/Peripherals_Flat\runs\Peripherals_Flat\logs\signoff\33-drc.log[146]: CIF file read warning: Input off lambda grid by 2/5; snapped to grid.
openlane/Peripherals_Flat\runs\Peripherals_Flat\reports\synthesis\1-synthesis.AREA 0.chk.rpt[3]: Warning: Wire Peripherals.\wb_stall_o is used but has no driver.
openlane/Peripherals_Flat\runs\Peripherals_Flat\reports\synthesis\1-synthesis.AREA 0.chk.rpt[4]: Warning: Wire Peripherals.\wb_error_o is used but has no driver.
openlane/Peripherals_Flat\runs\Peripherals_Flat\reports\synthesis\1-synthesis.AREA 0.chk.rpt[5]: Warning: Wire Peripherals.\wb_data_o [31] is used but has no driver.
openlane/Peripherals_Flat\runs\Peripherals_Flat\reports\synthesis\1-synthesis.AREA 0.chk.rpt[6]: Warning: Wire Peripherals.\wb_data_o [30] is used but has no driver.
openlane/Peripherals_Flat\runs\Peripherals_Flat\reports\synthesis\1-synthesis.AREA 0.chk.rpt[7]: Warning: Wire Peripherals.\wb_data_o [29] is used but has no driver.
openlane/Peripherals_Flat\runs\Peripherals_Flat\reports\synthesis\1-synthesis.AREA 0.chk.rpt[8]: Warning: Wire Peripherals.\wb_data_o [28] is used but has no driver.
openlane/Peripherals_Flat\runs\Peripherals_Flat\reports\synthesis\1-synthesis.AREA 0.chk.rpt[9]: Warning: Wire Peripherals.\wb_data_o [27] is used but has no driver.
openlane/Peripherals_Flat\runs\Peripherals_Flat\reports\synthesis\1-synthesis.AREA 0.chk.rpt[10]: Warning: Wire Peripherals.\wb_data_o [26] is used but has no driver.
openlane/Peripherals_Flat\runs\Peripherals_Flat\reports\synthesis\1-synthesis.AREA 0.chk.rpt[11]: Warning: Wire Peripherals.\wb_data_o [25] is used but has no driver.
openlane/Peripherals_Flat\runs\Peripherals_Flat\reports\synthesis\1-synthesis.AREA 0.chk.rpt[12]: Warning: Wire Peripherals.\wb_data_o [24] is used but has no driver.
openlane/Peripherals_Flat\runs\Peripherals_Flat\reports\synthesis\1-synthesis.AREA 0.chk.rpt[13]: Warning: Wire Peripherals.\wb_data_o [23] is used but has no driver.
openlane/Peripherals_Flat\runs\Peripherals_Flat\reports\synthesis\1-synthesis.AREA 0.chk.rpt[14]: Warning: Wire Peripherals.\wb_data_o [22] is used but has no driver.
openlane/Peripherals_Flat\runs\Peripherals_Flat\reports\synthesis\1-synthesis.AREA 0.chk.rpt[15]: Warning: Wire Peripherals.\wb_data_o [21] is used but has no driver.
openlane/Peripherals_Flat\runs\Peripherals_Flat\reports\synthesis\1-synthesis.AREA 0.chk.rpt[16]: Warning: Wire Peripherals.\wb_data_o [20] is used but has no driver.
openlane/Peripherals_Flat\runs\Peripherals_Flat\reports\synthesis\1-synthesis.AREA 0.chk.rpt[17]: Warning: Wire Peripherals.\wb_data_o [19] is used but has no driver.
openlane/Peripherals_Flat\runs\Peripherals_Flat\reports\synthesis\1-synthesis.AREA 0.chk.rpt[18]: Warning: Wire Peripherals.\wb_data_o [18] is used but has no driver.
openlane/Peripherals_Flat\runs\Peripherals_Flat\reports\synthesis\1-synthesis.AREA 0.chk.rpt[19]: Warning: Wire Peripherals.\wb_data_o [17] is used but has no driver.
openlane/Peripherals_Flat\runs\Peripherals_Flat\reports\synthesis\1-synthesis.AREA 0.chk.rpt[20]: Warning: Wire Peripherals.\wb_data_o [16] is used but has no driver.
openlane/Peripherals_Flat\runs\Peripherals_Flat\reports\synthesis\1-synthesis.AREA 0.chk.rpt[21]: Warning: Wire Peripherals.\wb_data_o [15] is used but has no driver.
openlane/Peripherals_Flat\runs\Peripherals_Flat\reports\synthesis\1-synthesis.AREA 0.chk.rpt[22]: Warning: Wire Peripherals.\wb_data_o [14] is used but has no driver.
openlane/Peripherals_Flat\runs\Peripherals_Flat\reports\synthesis\1-synthesis.AREA 0.chk.rpt[23]: Warning: Wire Peripherals.\wb_data_o [13] is used but has no driver.
openlane/Peripherals_Flat\runs\Peripherals_Flat\reports\synthesis\1-synthesis.AREA 0.chk.rpt[24]: Warning: Wire Peripherals.\wb_data_o [12] is used but has no driver.
openlane/Peripherals_Flat\runs\Peripherals_Flat\reports\synthesis\1-synthesis.AREA 0.chk.rpt[25]: Warning: Wire Peripherals.\wb_data_o [11] is used but has no driver.
openlane/Peripherals_Flat\runs\Peripherals_Flat\reports\synthesis\1-synthesis.AREA 0.chk.rpt[26]: Warning: Wire Peripherals.\wb_data_o [10] is used but has no driver.
openlane/Peripherals_Flat\runs\Peripherals_Flat\reports\synthesis\1-synthesis.AREA 0.chk.rpt[27]: Warning: Wire Peripherals.\wb_data_o [9] is used but has no driver.
openlane/Peripherals_Flat\runs\Peripherals_Flat\reports\synthesis\1-synthesis.AREA 0.chk.rpt[28]: Warning: Wire Peripherals.\wb_data_o [8] is used but has no driver.
openlane/Peripherals_Flat\runs\Peripherals_Flat\reports\synthesis\1-synthesis.AREA 0.chk.rpt[29]: Warning: Wire Peripherals.\wb_data_o [7] is used but has no driver.
openlane/Peripherals_Flat\runs\Peripherals_Flat\reports\synthesis\1-synthesis.AREA 0.chk.rpt[30]: Warning: Wire Peripherals.\wb_data_o [6] is used but has no driver.
openlane/Peripherals_Flat\runs\Peripherals_Flat\reports\synthesis\1-synthesis.AREA 0.chk.rpt[31]: Warning: Wire Peripherals.\wb_data_o [5] is used but has no driver.
openlane/Peripherals_Flat\runs\Peripherals_Flat\reports\synthesis\1-synthesis.AREA 0.chk.rpt[32]: Warning: Wire Peripherals.\wb_data_o [4] is used but has no driver.
openlane/Peripherals_Flat\runs\Peripherals_Flat\reports\synthesis\1-synthesis.AREA 0.chk.rpt[33]: Warning: Wire Peripherals.\wb_data_o [3] is used but has no driver.
openlane/Peripherals_Flat\runs\Peripherals_Flat\reports\synthesis\1-synthesis.AREA 0.chk.rpt[34]: Warning: Wire Peripherals.\wb_data_o [2] is used but has no driver.
openlane/Peripherals_Flat\runs\Peripherals_Flat\reports\synthesis\1-synthesis.AREA 0.chk.rpt[35]: Warning: Wire Peripherals.\wb_data_o [1] is used but has no driver.
openlane/Peripherals_Flat\runs\Peripherals_Flat\reports\synthesis\1-synthesis.AREA 0.chk.rpt[36]: Warning: Wire Peripherals.\wb_data_o [0] is used but has no driver.
openlane/Peripherals_Flat\runs\Peripherals_Flat\reports\synthesis\1-synthesis.AREA 0.chk.rpt[37]: Warning: Wire Peripherals.\wb_ack_o is used but has no driver.
openlane/Peripherals_Flat\runs\Peripherals_Flat\reports\synthesis\1-synthesis.AREA 0.chk.rpt[38]: Warning: Wire Peripherals.\probe_blink [1] is used but has no driver.
openlane/Peripherals_Flat\runs\Peripherals_Flat\reports\synthesis\1-synthesis.AREA 0.chk.rpt[39]: Warning: Wire Peripherals.\probe_blink [0] is used but has no driver.
openlane/Peripherals_Flat\runs\Peripherals_Flat\reports\synthesis\1-synthesis.AREA 0.chk.rpt[40]: Warning: Wire Peripherals.\jtag_tms is used but has no driver.
openlane/Peripherals_Flat\runs\Peripherals_Flat\reports\synthesis\1-synthesis.AREA 0.chk.rpt[41]: Warning: Wire Peripherals.\jtag_tdi is used but has no driver.
openlane/Peripherals_Flat\runs\Peripherals_Flat\reports\synthesis\1-synthesis.AREA 0.chk.rpt[42]: Warning: Wire Peripherals.\jtag_tck is used but has no driver.
openlane/Peripherals_Flat\runs\Peripherals_Flat\reports\synthesis\1-synthesis.AREA 0.chk.rpt[43]: Warning: Wire Peripherals.\io_out [37] is used but has no driver.
openlane/Peripherals_Flat\runs\Peripherals_Flat\reports\synthesis\1-synthesis.AREA 0.chk.rpt[44]: Warning: Wire Peripherals.\io_out [36] is used but has no driver.
openlane/Peripherals_Flat\runs\Peripherals_Flat\reports\synthesis\1-synthesis.AREA 0.chk.rpt[45]: Warning: Wire Peripherals.\io_out [35] is used but has no driver.
openlane/Peripherals_Flat\runs\Peripherals_Flat\reports\synthesis\1-synthesis.AREA 0.chk.rpt[46]: Warning: Wire Peripherals.\io_out [34] is used but has no driver.
openlane/Peripherals_Flat\runs\Peripherals_Flat\reports\synthesis\1-synthesis.AREA 0.chk.rpt[47]: Warning: Wire Peripherals.\io_out [33] is used but has no driver.
openlane/Peripherals_Flat\runs\Peripherals_Flat\reports\synthesis\1-synthesis.AREA 0.chk.rpt[48]: Warning: Wire Peripherals.\io_out [32] is used but has no driver.
openlane/Peripherals_Flat\runs\Peripherals_Flat\reports\synthesis\1-synthesis.AREA 0.chk.rpt[49]: Warning: Wire Peripherals.\io_out [31] is used but has no driver.
openlane/Peripherals_Flat\runs\Peripherals_Flat\reports\synthesis\1-synthesis.AREA 0.chk.rpt[50]: Warning: Wire Peripherals.\io_out [30] is used but has no driver.
openlane/Peripherals_Flat\runs\Peripherals_Flat\reports\synthesis\1-synthesis.AREA 0.chk.rpt[51]: Warning: Wire Peripherals.\io_out [29] is used but has no driver.
openlane/Peripherals_Flat\runs\Peripherals_Flat\reports\synthesis\1-synthesis.AREA 0.chk.rpt[52]: Warning: Wire Peripherals.\io_out [28] is used but has no driver.
openlane/Peripherals_Flat\runs\Peripherals_Flat\reports\synthesis\1-synthesis.AREA 0.chk.rpt[53]: Warning: Wire Peripherals.\io_out [27] is used but has no driver.
openlane/Peripherals_Flat\runs\Peripherals_Flat\reports\synthesis\1-synthesis.AREA 0.chk.rpt[54]: Warning: Wire Peripherals.\io_out [26] is used but has no driver.
openlane/Peripherals_Flat\runs\Peripherals_Flat\reports\synthesis\1-synthesis.AREA 0.chk.rpt[55]: Warning: Wire Peripherals.\io_out [25] is used but has no driver.
openlane/Peripherals_Flat\runs\Peripherals_Flat\reports\synthesis\1-synthesis.AREA 0.chk.rpt[56]: Warning: Wire Peripherals.\io_out [24] is used but has no driver.
openlane/Peripherals_Flat\runs\Peripherals_Flat\reports\synthesis\1-synthesis.AREA 0.chk.rpt[57]: Warning: Wire Peripherals.\io_out [23] is used but has no driver.
openlane/Peripherals_Flat\runs\Peripherals_Flat\reports\synthesis\1-synthesis.AREA 0.chk.rpt[58]: Warning: Wire Peripherals.\io_out [22] is used but has no driver.
openlane/Peripherals_Flat\runs\Peripherals_Flat\reports\synthesis\1-synthesis.AREA 0.chk.rpt[59]: Warning: Wire Peripherals.\io_out [21] is used but has no driver.
openlane/Peripherals_Flat\runs\Peripherals_Flat\reports\synthesis\1-synthesis.AREA 0.chk.rpt[60]: Warning: Wire Peripherals.\io_out [20] is used but has no driver.
openlane/Peripherals_Flat\runs\Peripherals_Flat\reports\synthesis\1-synthesis.AREA 0.chk.rpt[61]: Warning: Wire Peripherals.\io_out [19] is used but has no driver.
openlane/Peripherals_Flat\runs\Peripherals_Flat\reports\synthesis\1-synthesis.AREA 0.chk.rpt[62]: Warning: Wire Peripherals.\io_out [18] is used but has no driver.
openlane/Peripherals_Flat\runs\Peripherals_Flat\reports\synthesis\1-synthesis.AREA 0.chk.rpt[63]: Warning: Wire Peripherals.\io_out [17] is used but has no driver.
openlane/Peripherals_Flat\runs\Peripherals_Flat\reports\synthesis\1-synthesis.AREA 0.chk.rpt[64]: Warning: Wire Peripherals.\io_out [16] is used but has no driver.
openlane/Peripherals_Flat\runs\Peripherals_Flat\reports\synthesis\1-synthesis.AREA 0.chk.rpt[65]: Warning: Wire Peripherals.\io_out [15] is used but has no driver.
openlane/Peripherals_Flat\runs\Peripherals_Flat\reports\synthesis\1-synthesis.AREA 0.chk.rpt[66]: Warning: Wire Peripherals.\io_out [14] is used but has no driver.
openlane/Peripherals_Flat\runs\Peripherals_Flat\reports\synthesis\1-synthesis.AREA 0.chk.rpt[67]: Warning: Wire Peripherals.\io_out [13] is used but has no driver.
openlane/Peripherals_Flat\runs\Peripherals_Flat\reports\synthesis\1-synthesis.AREA 0.chk.rpt[68]: Warning: Wire Peripherals.\io_out [12] is used but has no driver.
openlane/Peripherals_Flat\runs\Peripherals_Flat\reports\synthesis\1-synthesis.AREA 0.chk.rpt[69]: Warning: Wire Peripherals.\io_out [11] is used but has no driver.
openlane/Peripherals_Flat\runs\Peripherals_Flat\reports\synthesis\1-synthesis.AREA 0.chk.rpt[70]: Warning: Wire Peripherals.\io_out [10] is used but has no driver.
openlane/Peripherals_Flat\runs\Peripherals_Flat\reports\synthesis\1-synthesis.AREA 0.chk.rpt[71]: Warning: Wire Peripherals.\io_out [9] is used but has no driver.
openlane/Peripherals_Flat\runs\Peripherals_Flat\reports\synthesis\1-synthesis.AREA 0.chk.rpt[72]: Warning: Wire Peripherals.\io_out [8] is used but has no driver.
openlane/Peripherals_Flat\runs\Peripherals_Flat\reports\synthesis\1-synthesis.AREA 0.chk.rpt[73]: Warning: Wire Peripherals.\io_out [7] is used but has no driver.
openlane/Peripherals_Flat\runs\Peripherals_Flat\reports\synthesis\1-synthesis.AREA 0.chk.rpt[74]: Warning: Wire Peripherals.\io_out [6] is used but has no driver.
openlane/Peripherals_Flat\runs\Peripherals_Flat\reports\synthesis\1-synthesis.AREA 0.chk.rpt[75]: Warning: Wire Peripherals.\io_out [5] is used but has no driver.
openlane/Peripherals_Flat\runs\Peripherals_Flat\reports\synthesis\1-synthesis.AREA 0.chk.rpt[76]: Warning: Wire Peripherals.\io_out [4] is used but has no driver.
openlane/Peripherals_Flat\runs\Peripherals_Flat\reports\synthesis\1-synthesis.AREA 0.chk.rpt[77]: Warning: Wire Peripherals.\io_out [3] is used but has no driver.
openlane/Peripherals_Flat\runs\Peripherals_Flat\reports\synthesis\1-synthesis.AREA 0.chk.rpt[78]: Warning: Wire Peripherals.\io_out [2] is used but has no driver.
openlane/Peripherals_Flat\runs\Peripherals_Flat\reports\synthesis\1-synthesis.AREA 0.chk.rpt[79]: Warning: Wire Peripherals.\io_out [1] is used but has no driver.
openlane/Peripherals_Flat\runs\Peripherals_Flat\reports\synthesis\1-synthesis.AREA 0.chk.rpt[80]: Warning: Wire Peripherals.\io_out [0] is used but has no driver.
openlane/Peripherals_Flat\runs\Peripherals_Flat\reports\synthesis\1-synthesis.AREA 0.chk.rpt[81]: Warning: Wire Peripherals.\io_oeb [37] is used but has no driver.
openlane/Peripherals_Flat\runs\Peripherals_Flat\reports\synthesis\1-synthesis.AREA 0.chk.rpt[82]: Warning: Wire Peripherals.\io_oeb [36] is used but has no driver.
openlane/Peripherals_Flat\runs\Peripherals_Flat\reports\synthesis\1-synthesis.AREA 0.chk.rpt[83]: Warning: Wire Peripherals.\io_oeb [35] is used but has no driver.
openlane/Peripherals_Flat\runs\Peripherals_Flat\reports\synthesis\1-synthesis.AREA 0.chk.rpt[84]: Warning: Wire Peripherals.\io_oeb [34] is used but has no driver.
openlane/Peripherals_Flat\runs\Peripherals_Flat\reports\synthesis\1-synthesis.AREA 0.chk.rpt[85]: Warning: Wire Peripherals.\io_oeb [33] is used but has no driver.
openlane/Peripherals_Flat\runs\Peripherals_Flat\reports\synthesis\1-synthesis.AREA 0.chk.rpt[86]: Warning: Wire Peripherals.\io_oeb [32] is used but has no driver.
openlane/Peripherals_Flat\runs\Peripherals_Flat\reports\synthesis\1-synthesis.AREA 0.chk.rpt[87]: Warning: Wire Peripherals.\io_oeb [31] is used but has no driver.
openlane/Peripherals_Flat\runs\Peripherals_Flat\reports\synthesis\1-synthesis.AREA 0.chk.rpt[88]: Warning: Wire Peripherals.\io_oeb [30] is used but has no driver.
openlane/Peripherals_Flat\runs\Peripherals_Flat\reports\synthesis\1-synthesis.AREA 0.chk.rpt[89]: Warning: Wire Peripherals.\io_oeb [29] is used but has no driver.
openlane/Peripherals_Flat\runs\Peripherals_Flat\reports\synthesis\1-synthesis.AREA 0.chk.rpt[90]: Warning: Wire Peripherals.\io_oeb [28] is used but has no driver.
openlane/Peripherals_Flat\runs\Peripherals_Flat\reports\synthesis\1-synthesis.AREA 0.chk.rpt[91]: Warning: Wire Peripherals.\io_oeb [27] is used but has no driver.
openlane/Peripherals_Flat\runs\Peripherals_Flat\reports\synthesis\1-synthesis.AREA 0.chk.rpt[92]: Warning: Wire Peripherals.\io_oeb [26] is used but has no driver.
openlane/Peripherals_Flat\runs\Peripherals_Flat\reports\synthesis\1-synthesis.AREA 0.chk.rpt[93]: Warning: Wire Peripherals.\io_oeb [25] is used but has no driver.
openlane/Peripherals_Flat\runs\Peripherals_Flat\reports\synthesis\1-synthesis.AREA 0.chk.rpt[94]: Warning: Wire Peripherals.\io_oeb [24] is used but has no driver.
openlane/Peripherals_Flat\runs\Peripherals_Flat\reports\synthesis\1-synthesis.AREA 0.chk.rpt[95]: Warning: Wire Peripherals.\io_oeb [23] is used but has no driver.
openlane/Peripherals_Flat\runs\Peripherals_Flat\reports\synthesis\1-synthesis.AREA 0.chk.rpt[96]: Warning: Wire Peripherals.\io_oeb [22] is used but has no driver.
openlane/Peripherals_Flat\runs\Peripherals_Flat\reports\synthesis\1-synthesis.AREA 0.chk.rpt[97]: Warning: Wire Peripherals.\io_oeb [21] is used but has no driver.
openlane/Peripherals_Flat\runs\Peripherals_Flat\reports\synthesis\1-synthesis.AREA 0.chk.rpt[98]: Warning: Wire Peripherals.\io_oeb [20] is used but has no driver.
openlane/Peripherals_Flat\runs\Peripherals_Flat\reports\synthesis\1-synthesis.AREA 0.chk.rpt[99]: Warning: Wire Peripherals.\io_oeb [19] is used but has no driver.
openlane/Peripherals_Flat\runs\Peripherals_Flat\reports\synthesis\1-synthesis.AREA 0.chk.rpt[100]: Warning: Wire Peripherals.\io_oeb [18] is used but has no driver.
openlane/Peripherals_Flat\runs\Peripherals_Flat\reports\synthesis\1-synthesis.AREA 0.chk.rpt[101]: Warning: Wire Peripherals.\io_oeb [17] is used but has no driver.
openlane/Peripherals_Flat\runs\Peripherals_Flat\reports\synthesis\1-synthesis.AREA 0.chk.rpt[102]: Warning: Wire Peripherals.\io_oeb [16] is used but has no driver.
openlane/Peripherals_Flat\runs\Peripherals_Flat\reports\synthesis\1-synthesis.AREA 0.chk.rpt[103]: Warning: Wire Peripherals.\io_oeb [15] is used but has no driver.
openlane/Peripherals_Flat\runs\Peripherals_Flat\reports\synthesis\1-synthesis.AREA 0.chk.rpt[104]: Warning: Wire Peripherals.\io_oeb [14] is used but has no driver.
openlane/Peripherals_Flat\runs\Peripherals_Flat\reports\synthesis\1-synthesis.AREA 0.chk.rpt[105]: Warning: Wire Peripherals.\io_oeb [13] is used but has no driver.
openlane/Peripherals_Flat\runs\Peripherals_Flat\reports\synthesis\1-synthesis.AREA 0.chk.rpt[106]: Warning: Wire Peripherals.\io_oeb [12] is used but has no driver.
openlane/Peripherals_Flat\runs\Peripherals_Flat\reports\synthesis\1-synthesis.AREA 0.chk.rpt[107]: Warning: Wire Peripherals.\io_oeb [11] is used but has no driver.
openlane/Peripherals_Flat\runs\Peripherals_Flat\reports\synthesis\1-synthesis.AREA 0.chk.rpt[108]: Warning: Wire Peripherals.\io_oeb [10] is used but has no driver.
openlane/Peripherals_Flat\runs\Peripherals_Flat\reports\synthesis\1-synthesis.AREA 0.chk.rpt[109]: Warning: Wire Peripherals.\io_oeb [9] is used but has no driver.
openlane/Peripherals_Flat\runs\Peripherals_Flat\reports\synthesis\1-synthesis.AREA 0.chk.rpt[110]: Warning: Wire Peripherals.\io_oeb [8] is used but has no driver.
openlane/Peripherals_Flat\runs\Peripherals_Flat\reports\synthesis\1-synthesis.AREA 0.chk.rpt[111]: Warning: Wire Peripherals.\io_oeb [7] is used but has no driver.
openlane/Peripherals_Flat\runs\Peripherals_Flat\reports\synthesis\1-synthesis.AREA 0.chk.rpt[112]: Warning: Wire Peripherals.\io_oeb [6] is used but has no driver.
openlane/Peripherals_Flat\runs\Peripherals_Flat\reports\synthesis\1-synthesis.AREA 0.chk.rpt[113]: Warning: Wire Peripherals.\io_oeb [5] is used but has no driver.
openlane/Peripherals_Flat\runs\Peripherals_Flat\reports\synthesis\1-synthesis.AREA 0.chk.rpt[114]: Warning: Wire Peripherals.\io_oeb [4] is used but has no driver.
openlane/Peripherals_Flat\runs\Peripherals_Flat\reports\synthesis\1-synthesis.AREA 0.chk.rpt[115]: Warning: Wire Peripherals.\io_oeb [3] is used but has no driver.
openlane/Peripherals_Flat\runs\Peripherals_Flat\reports\synthesis\1-synthesis.AREA 0.chk.rpt[116]: Warning: Wire Peripherals.\io_oeb [2] is used but has no driver.
openlane/Peripherals_Flat\runs\Peripherals_Flat\reports\synthesis\1-synthesis.AREA 0.chk.rpt[117]: Warning: Wire Peripherals.\io_oeb [1] is used but has no driver.
openlane/Peripherals_Flat\runs\Peripherals_Flat\reports\synthesis\1-synthesis.AREA 0.chk.rpt[118]: Warning: Wire Peripherals.\io_oeb [0] is used but has no driver.
openlane/Peripherals_Flat\runs\Peripherals_Flat\reports\synthesis\1-synthesis.AREA 0.chk.rpt[119]: Warning: Wire Peripherals.\internal_uart_tx is used but has no driver.
openlane/Peripherals_Flat\runs\Peripherals_Flat\reports\synthesis\1-synthesis.AREA 0.chk.rpt[120]: Warning: Wire Peripherals.\flash_io1_read is used but has no driver.
openlane/Peripherals_Flat\runs\Peripherals_Flat\reports\synthesis\1-synthesis.AREA 0.chk.rpt[121]: Warning: Wire Peripherals.\flash_io0_read is used but has no driver.
openlane/Peripherals_Flat\runs\Peripherals_Flat\reports\signoff\antenna.rpt[0]: Warning - class CORE ANTENNACELL is not found. This message can be ignored if not in the antenna-avoid flow