blob: 9ae2d4da97c0e3dd3045baef9e631b12d3fcabb3 [file] [log] [blame]
module PeripheralSelect #(
parameter ID = 8'h00
)(
input wire[23:0] peripheralBus_address,
output wire[15:0] localAddress,
output wire peripheralEnable
);
assign peripheralEnable = peripheralBus_address[23:16] == ID;
assign localAddress = peripheralBus_address[15:0];
endmodule