blob: c4613599dbd18ffa7952e3e37d0603a891df454d [file] [log] [blame]
*SPEF "ieee 1481-1999"
*DESIGN "Flash"
*DATE "11:11:11 Fri 11 11, 1111"
*VENDOR "OpenRCX"
*PROGRAM "Parallel Extraction"
*VERSION "1.0"
*DESIGN_FLOW "NAME_SCOPE LOCAL" "PIN_CAP NONE"
*DIVIDER /
*DELIMITER :
*BUS_DELIMITER []
*T_UNIT 1 NS
*C_UNIT 1 PF
*R_UNIT 1 OHM
*L_UNIT 1 HENRY
*NAME_MAP
*1 net111
*2 flash_io0_read
*3 net158
*4 net112
*5 flash_io1_read
*6 net113
*7 net114
*8 net115
*9 net116
*10 net117
*11 net118
*12 net119
*13 net120
*14 net121
*15 net122
*16 net123
*17 net124
*18 sram_addr1[0]
*19 sram_addr1[1]
*20 sram_addr1[2]
*21 sram_addr1[3]
*22 sram_addr1[4]
*23 sram_addr1[5]
*24 sram_addr1[6]
*25 sram_addr1[7]
*26 sram_addr1[8]
*27 sram_clk0
*28 sram_clk1
*29 net159
*30 sram_csb1
*31 net125
*32 net135
*33 net136
*34 net137
*35 net138
*36 net139
*37 net140
*38 net141
*39 net142
*40 net143
*41 net144
*42 net126
*43 net145
*44 net146
*45 net147
*46 net148
*47 net149
*48 net150
*49 net151
*50 net152
*51 net106
*52 net107
*53 net127
*54 net108
*55 net109
*56 net128
*57 net129
*58 net130
*59 net131
*60 net132
*61 net133
*62 net134
*63 sram_dout0[0]
*64 sram_dout0[10]
*65 sram_dout0[11]
*66 sram_dout0[12]
*67 sram_dout0[13]
*68 sram_dout0[14]
*69 sram_dout0[15]
*70 sram_dout0[16]
*71 sram_dout0[17]
*72 sram_dout0[18]
*73 sram_dout0[19]
*74 sram_dout0[1]
*75 sram_dout0[20]
*76 sram_dout0[21]
*77 sram_dout0[22]
*78 sram_dout0[23]
*79 sram_dout0[24]
*80 sram_dout0[25]
*81 sram_dout0[26]
*82 sram_dout0[27]
*83 sram_dout0[28]
*84 sram_dout0[29]
*85 sram_dout0[2]
*86 sram_dout0[30]
*87 sram_dout0[31]
*88 sram_dout0[3]
*89 sram_dout0[4]
*90 sram_dout0[5]
*91 sram_dout0[6]
*92 sram_dout0[7]
*93 sram_dout0[8]
*94 sram_dout0[9]
*95 sram_dout1[0]
*96 sram_dout1[10]
*97 sram_dout1[11]
*98 sram_dout1[12]
*99 sram_dout1[13]
*100 sram_dout1[14]
*101 sram_dout1[15]
*102 sram_dout1[16]
*103 sram_dout1[17]
*104 sram_dout1[18]
*105 sram_dout1[19]
*106 sram_dout1[1]
*107 sram_dout1[20]
*108 sram_dout1[21]
*109 sram_dout1[22]
*110 sram_dout1[23]
*111 sram_dout1[24]
*112 sram_dout1[25]
*113 sram_dout1[26]
*114 sram_dout1[27]
*115 sram_dout1[28]
*116 sram_dout1[29]
*117 sram_dout1[2]
*118 sram_dout1[30]
*119 sram_dout1[31]
*120 sram_dout1[3]
*121 sram_dout1[4]
*122 sram_dout1[5]
*123 sram_dout1[6]
*124 sram_dout1[7]
*125 sram_dout1[8]
*126 sram_dout1[9]
*127 net153
*128 net154
*129 net155
*130 net156
*131 net157
*134 wb_ack_o
*135 wb_adr_i[0]
*136 wb_adr_i[10]
*137 wb_adr_i[11]
*138 wb_adr_i[12]
*139 wb_adr_i[13]
*140 wb_adr_i[14]
*141 wb_adr_i[15]
*142 wb_adr_i[16]
*143 wb_adr_i[17]
*144 wb_adr_i[18]
*145 wb_adr_i[19]
*146 wb_adr_i[1]
*147 wb_adr_i[20]
*148 wb_adr_i[21]
*149 wb_adr_i[22]
*150 wb_adr_i[23]
*151 wb_adr_i[2]
*152 wb_adr_i[3]
*153 wb_adr_i[4]
*154 wb_adr_i[5]
*155 wb_adr_i[6]
*156 wb_adr_i[7]
*157 wb_adr_i[8]
*158 wb_adr_i[9]
*159 wb_clk_i
*160 wb_cyc_i
*161 wb_data_i[0]
*162 wb_data_i[10]
*163 wb_data_i[11]
*164 wb_data_i[12]
*165 wb_data_i[13]
*166 wb_data_i[14]
*167 wb_data_i[15]
*168 wb_data_i[16]
*169 wb_data_i[17]
*170 wb_data_i[18]
*171 wb_data_i[19]
*172 wb_data_i[1]
*173 wb_data_i[20]
*174 wb_data_i[21]
*175 wb_data_i[22]
*176 wb_data_i[23]
*177 wb_data_i[24]
*178 wb_data_i[25]
*179 wb_data_i[26]
*180 wb_data_i[27]
*181 wb_data_i[28]
*182 wb_data_i[29]
*183 wb_data_i[2]
*184 wb_data_i[30]
*185 wb_data_i[31]
*186 wb_data_i[3]
*187 wb_data_i[4]
*188 wb_data_i[5]
*189 wb_data_i[6]
*190 wb_data_i[7]
*191 wb_data_i[8]
*192 wb_data_i[9]
*193 wb_data_o[0]
*194 wb_data_o[10]
*195 wb_data_o[11]
*196 wb_data_o[12]
*197 wb_data_o[13]
*198 wb_data_o[14]
*199 wb_data_o[15]
*200 wb_data_o[16]
*201 wb_data_o[17]
*202 wb_data_o[18]
*203 wb_data_o[19]
*204 wb_data_o[1]
*205 wb_data_o[20]
*206 wb_data_o[21]
*207 wb_data_o[22]
*208 wb_data_o[23]
*209 wb_data_o[24]
*210 wb_data_o[25]
*211 wb_data_o[26]
*212 wb_data_o[27]
*213 wb_data_o[28]
*214 wb_data_o[29]
*215 wb_data_o[2]
*216 wb_data_o[30]
*217 wb_data_o[31]
*218 wb_data_o[3]
*219 wb_data_o[4]
*220 wb_data_o[5]
*221 wb_data_o[6]
*222 wb_data_o[7]
*223 wb_data_o[8]
*224 wb_data_o[9]
*225 net110
*226 wb_rst_i
*227 wb_sel_i[0]
*228 wb_sel_i[1]
*229 wb_sel_i[2]
*230 wb_sel_i[3]
*231 wb_stall_o
*232 wb_stb_i
*233 wb_we_i
*234 _000_
*235 _001_
*236 _002_
*237 _003_
*238 _004_
*239 _005_
*240 _006_
*241 _007_
*242 _008_
*243 _009_
*244 _010_
*245 _011_
*246 _012_
*247 _013_
*248 _014_
*249 _015_
*250 _016_
*251 _017_
*252 _018_
*253 _019_
*254 _020_
*255 _021_
*256 _022_
*257 _023_
*258 _024_
*259 _025_
*260 _026_
*261 _027_
*262 _028_
*263 _029_
*264 _030_
*265 _031_
*266 _032_
*267 _033_
*268 _034_
*269 _035_
*270 _036_
*271 _037_
*272 _038_
*273 _039_
*274 _040_
*275 _041_
*276 _042_
*277 _043_
*278 _044_
*279 _045_
*280 _046_
*281 _047_
*282 _048_
*283 _049_
*284 _050_
*285 _051_
*286 _052_
*287 _053_
*288 _054_
*289 _055_
*290 _056_
*291 _057_
*292 _058_
*293 _059_
*294 _060_
*295 _061_
*296 _062_
*297 _063_
*298 _064_
*299 _065_
*300 _066_
*301 _067_
*302 _068_
*303 _069_
*304 _070_
*305 _071_
*306 _072_
*307 _073_
*308 _074_
*309 _075_
*310 _076_
*311 _077_
*312 _078_
*313 _079_
*314 _080_
*315 _081_
*316 _082_
*317 _083_
*318 _084_
*319 _085_
*320 _086_
*321 _087_
*322 _088_
*323 _089_
*324 _090_
*325 _091_
*326 _092_
*327 _093_
*328 _094_
*329 _095_
*330 _096_
*331 _097_
*332 _098_
*333 _099_
*334 _100_
*335 _101_
*336 _102_
*337 _103_
*338 _104_
*339 _105_
*340 _106_
*341 _107_
*342 _108_
*343 _109_
*344 _110_
*345 _111_
*346 _112_
*347 _113_
*348 _114_
*349 _115_
*350 _116_
*351 _117_
*352 _118_
*353 _119_
*354 _120_
*355 _121_
*356 _122_
*357 _123_
*358 _124_
*359 _125_
*360 _126_
*361 _127_
*362 _128_
*363 _129_
*364 _130_
*365 _131_
*366 _132_
*367 _133_
*368 _134_
*369 _135_
*370 _136_
*371 _137_
*372 _138_
*373 _139_
*374 _140_
*375 _141_
*376 _142_
*377 _143_
*378 _144_
*379 _145_
*380 _146_
*381 _147_
*382 _148_
*383 _149_
*384 _150_
*385 _151_
*386 _152_
*387 _153_
*388 _154_
*389 _155_
*390 _156_
*391 _157_
*392 _158_
*393 _159_
*394 _160_
*395 _161_
*396 _162_
*397 net1
*398 net10
*399 net100
*400 net101
*401 net102
*402 net103
*403 net104
*404 net105
*405 net11
*406 net12
*407 net13
*408 net14
*409 net15
*410 net16
*411 net17
*412 net18
*413 net19
*414 net2
*415 net20
*416 net21
*417 net22
*418 net23
*419 net24
*420 net25
*421 net26
*422 net27
*423 net28
*424 net29
*425 net3
*426 net30
*427 net31
*428 net32
*429 net33
*430 net34
*431 net35
*432 net36
*433 net37
*434 net38
*435 net39
*436 net4
*437 net40
*438 net41
*439 net42
*440 net43
*441 net44
*442 net45
*443 net46
*444 net47
*445 net48
*446 net49
*447 net5
*448 net50
*449 net51
*450 net52
*451 net53
*452 net54
*453 net55
*454 net56
*455 net57
*456 net58
*457 net59
*458 net6
*459 net60
*460 net61
*461 net62
*462 net63
*463 net64
*464 net65
*465 net66
*466 net67
*467 net68
*468 net69
*469 net7
*470 net70
*471 net71
*472 net72
*473 net73
*474 net74
*475 net75
*476 net76
*477 net77
*478 net78
*479 net79
*480 net8
*481 net80
*482 net81
*483 net82
*484 net83
*485 net84
*486 net85
*487 net86
*488 net87
*489 net88
*490 net89
*491 net9
*492 net90
*493 net91
*494 net92
*495 net93
*496 net94
*497 net95
*498 net96
*499 net97
*500 net98
*501 net99
*502 wbFlashInterface\.currentAddress\[10\]
*503 wbFlashInterface\.currentAddress\[11\]
*504 wbFlashInterface\.currentAddress\[12\]
*505 wbFlashInterface\.currentAddress\[13\]
*506 wbFlashInterface\.currentAddress\[14\]
*507 wbFlashInterface\.currentAddress\[15\]
*508 wbFlashInterface\.currentAddress\[16\]
*509 wbFlashInterface\.currentAddress\[17\]
*510 wbFlashInterface\.currentAddress\[18\]
*511 wbFlashInterface\.currentAddress\[19\]
*512 wbFlashInterface\.currentAddress\[20\]
*513 wbFlashInterface\.currentAddress\[21\]
*514 wbFlashInterface\.currentAddress\[22\]
*515 wbFlashInterface\.currentAddress\[23\]
*516 wbFlashInterface\.currentAddress\[2\]
*517 wbFlashInterface\.currentAddress\[3\]
*518 wbFlashInterface\.currentAddress\[4\]
*519 wbFlashInterface\.currentAddress\[5\]
*520 wbFlashInterface\.currentAddress\[6\]
*521 wbFlashInterface\.currentAddress\[7\]
*522 wbFlashInterface\.currentAddress\[8\]
*523 wbFlashInterface\.currentAddress\[9\]
*524 wbFlashInterface\.state\[0\]
*525 wbFlashInterface\.state\[1\]
*526 FILLER_0_101
*527 FILLER_0_108
*528 FILLER_0_113
*529 FILLER_0_117
*530 FILLER_0_122
*531 FILLER_0_129
*532 FILLER_0_136
*533 FILLER_0_141
*534 FILLER_0_146
*535 FILLER_0_154
*536 FILLER_0_160
*537 FILLER_0_164
*538 FILLER_0_172
*539 FILLER_0_180
*540 FILLER_0_188
*541 FILLER_0_19
*542 FILLER_0_192
*543 FILLER_0_197
*544 FILLER_0_207
*545 FILLER_0_215
*546 FILLER_0_220
*547 FILLER_0_225
*548 FILLER_0_233
*549 FILLER_0_240
*550 FILLER_0_244
*551 FILLER_0_248
*552 FILLER_0_253
*553 FILLER_0_260
*554 FILLER_0_269
*555 FILLER_0_27
*556 FILLER_0_276
*557 FILLER_0_281
*558 FILLER_0_285
*559 FILLER_0_289
*560 FILLER_0_296
*561 FILLER_0_312
*562 FILLER_0_318
*563 FILLER_0_329
*564 FILLER_0_33
*565 FILLER_0_335
*566 FILLER_0_347
*567 FILLER_0_355
*568 FILLER_0_363
*569 FILLER_0_365
*570 FILLER_0_373
*571 FILLER_0_381
*572 FILLER_0_387
*573 FILLER_0_391
*574 FILLER_0_393
*575 FILLER_0_403
*576 FILLER_0_41
*577 FILLER_0_410
*578 FILLER_0_418
*579 FILLER_0_425
*580 FILLER_0_429
*581 FILLER_0_434
*582 FILLER_0_441
*583 FILLER_0_447
*584 FILLER_0_45
*585 FILLER_0_453
*586 FILLER_0_461
*587 FILLER_0_468
*588 FILLER_0_477
*589 FILLER_0_487
*590 FILLER_0_493
*591 FILLER_0_500
*592 FILLER_0_505
*593 FILLER_0_509
*594 FILLER_0_516
*595 FILLER_0_52
*596 FILLER_0_523
*597 FILLER_0_531
*598 FILLER_0_533
*599 FILLER_0_543
*600 FILLER_0_553
*601 FILLER_0_559
*602 FILLER_0_561
*603 FILLER_0_571
*604 FILLER_0_581
*605 FILLER_0_587
*606 FILLER_0_589
*607 FILLER_0_599
*608 FILLER_0_61
*609 FILLER_0_611
*610 FILLER_0_615
*611 FILLER_0_620
*612 FILLER_0_624
*613 FILLER_0_69
*614 FILLER_0_73
*615 FILLER_0_80
*616 FILLER_0_85
*617 FILLER_0_90
*618 FILLER_10_100
*619 FILLER_10_112
*620 FILLER_10_118
*621 FILLER_10_126
*622 FILLER_10_138
*623 FILLER_10_141
*624 FILLER_10_156
*625 FILLER_10_168
*626 FILLER_10_173
*627 FILLER_10_181
*628 FILLER_10_190
*629 FILLER_10_203
*630 FILLER_10_212
*631 FILLER_10_23
*632 FILLER_10_233
*633 FILLER_10_245
*634 FILLER_10_251
*635 FILLER_10_253
*636 FILLER_10_265
*637 FILLER_10_27
*638 FILLER_10_277
*639 FILLER_10_289
*640 FILLER_10_29
*641 FILLER_10_3
*642 FILLER_10_301
*643 FILLER_10_307
*644 FILLER_10_309
*645 FILLER_10_321
*646 FILLER_10_333
*647 FILLER_10_345
*648 FILLER_10_35
*649 FILLER_10_357
*650 FILLER_10_363
*651 FILLER_10_365
*652 FILLER_10_377
*653 FILLER_10_389
*654 FILLER_10_401
*655 FILLER_10_413
*656 FILLER_10_419
*657 FILLER_10_421
*658 FILLER_10_433
*659 FILLER_10_445
*660 FILLER_10_457
*661 FILLER_10_46
*662 FILLER_10_469
*663 FILLER_10_475
*664 FILLER_10_477
*665 FILLER_10_489
*666 FILLER_10_501
*667 FILLER_10_513
*668 FILLER_10_525
*669 FILLER_10_531
*670 FILLER_10_533
*671 FILLER_10_54
*672 FILLER_10_545
*673 FILLER_10_557
*674 FILLER_10_569
*675 FILLER_10_581
*676 FILLER_10_587
*677 FILLER_10_589
*678 FILLER_10_601
*679 FILLER_10_613
*680 FILLER_10_72
*681 FILLER_10_79
*682 FILLER_10_83
*683 FILLER_10_92
*684 FILLER_11_109
*685 FILLER_11_113
*686 FILLER_11_122
*687 FILLER_11_134
*688 FILLER_11_146
*689 FILLER_11_158
*690 FILLER_11_166
*691 FILLER_11_169
*692 FILLER_11_181
*693 FILLER_11_20
*694 FILLER_11_201
*695 FILLER_11_213
*696 FILLER_11_221
*697 FILLER_11_225
*698 FILLER_11_237
*699 FILLER_11_249
*700 FILLER_11_261
*701 FILLER_11_273
*702 FILLER_11_279
*703 FILLER_11_28
*704 FILLER_11_281
*705 FILLER_11_293
*706 FILLER_11_3
*707 FILLER_11_305
*708 FILLER_11_317
*709 FILLER_11_329
*710 FILLER_11_335
*711 FILLER_11_337
*712 FILLER_11_349
*713 FILLER_11_361
*714 FILLER_11_37
*715 FILLER_11_373
*716 FILLER_11_385
*717 FILLER_11_391
*718 FILLER_11_393
*719 FILLER_11_405
*720 FILLER_11_41
*721 FILLER_11_417
*722 FILLER_11_429
*723 FILLER_11_441
*724 FILLER_11_447
*725 FILLER_11_449
*726 FILLER_11_46
*727 FILLER_11_461
*728 FILLER_11_473
*729 FILLER_11_485
*730 FILLER_11_497
*731 FILLER_11_503
*732 FILLER_11_505
*733 FILLER_11_517
*734 FILLER_11_529
*735 FILLER_11_54
*736 FILLER_11_541
*737 FILLER_11_553
*738 FILLER_11_559
*739 FILLER_11_561
*740 FILLER_11_57
*741 FILLER_11_573
*742 FILLER_11_585
*743 FILLER_11_597
*744 FILLER_11_609
*745 FILLER_11_615
*746 FILLER_11_617
*747 FILLER_11_65
*748 FILLER_11_74
*749 FILLER_11_85
*750 FILLER_11_97
*751 FILLER_12_122
*752 FILLER_12_134
*753 FILLER_12_141
*754 FILLER_12_161
*755 FILLER_12_173
*756 FILLER_12_18
*757 FILLER_12_185
*758 FILLER_12_193
*759 FILLER_12_197
*760 FILLER_12_209
*761 FILLER_12_221
*762 FILLER_12_233
*763 FILLER_12_245
*764 FILLER_12_251
*765 FILLER_12_253
*766 FILLER_12_26
*767 FILLER_12_265
*768 FILLER_12_277
*769 FILLER_12_289
*770 FILLER_12_29
*771 FILLER_12_301
*772 FILLER_12_307
*773 FILLER_12_309
*774 FILLER_12_321
*775 FILLER_12_333
*776 FILLER_12_345
*777 FILLER_12_357
*778 FILLER_12_363
*779 FILLER_12_365
*780 FILLER_12_37
*781 FILLER_12_377
*782 FILLER_12_389
*783 FILLER_12_401
*784 FILLER_12_413
*785 FILLER_12_419
*786 FILLER_12_421
*787 FILLER_12_433
*788 FILLER_12_445
*789 FILLER_12_457
*790 FILLER_12_469
*791 FILLER_12_475
*792 FILLER_12_477
*793 FILLER_12_489
*794 FILLER_12_49
*795 FILLER_12_501
*796 FILLER_12_513
*797 FILLER_12_525
*798 FILLER_12_531
*799 FILLER_12_533
*800 FILLER_12_545
*801 FILLER_12_557
*802 FILLER_12_569
*803 FILLER_12_581
*804 FILLER_12_587
*805 FILLER_12_589
*806 FILLER_12_6
*807 FILLER_12_601
*808 FILLER_12_61
*809 FILLER_12_613
*810 FILLER_12_76
*811 FILLER_12_85
*812 FILLER_12_97
*813 FILLER_13_108
*814 FILLER_13_117
*815 FILLER_13_121
*816 FILLER_13_126
*817 FILLER_13_138
*818 FILLER_13_144
*819 FILLER_13_154
*820 FILLER_13_166
*821 FILLER_13_169
*822 FILLER_13_177
*823 FILLER_13_186
*824 FILLER_13_19
*825 FILLER_13_198
*826 FILLER_13_210
*827 FILLER_13_220
*828 FILLER_13_231
*829 FILLER_13_243
*830 FILLER_13_255
*831 FILLER_13_267
*832 FILLER_13_279
*833 FILLER_13_281
*834 FILLER_13_293
*835 FILLER_13_305
*836 FILLER_13_31
*837 FILLER_13_317
*838 FILLER_13_329
*839 FILLER_13_335
*840 FILLER_13_337
*841 FILLER_13_349
*842 FILLER_13_361
*843 FILLER_13_373
*844 FILLER_13_385
*845 FILLER_13_391
*846 FILLER_13_393
*847 FILLER_13_405
*848 FILLER_13_41
*849 FILLER_13_417
*850 FILLER_13_429
*851 FILLER_13_441
*852 FILLER_13_447
*853 FILLER_13_449
*854 FILLER_13_461
*855 FILLER_13_473
*856 FILLER_13_485
*857 FILLER_13_497
*858 FILLER_13_503
*859 FILLER_13_505
*860 FILLER_13_517
*861 FILLER_13_529
*862 FILLER_13_53
*863 FILLER_13_541
*864 FILLER_13_553
*865 FILLER_13_559
*866 FILLER_13_561
*867 FILLER_13_57
*868 FILLER_13_573
*869 FILLER_13_585
*870 FILLER_13_597
*871 FILLER_13_609
*872 FILLER_13_615
*873 FILLER_13_617
*874 FILLER_13_69
*875 FILLER_13_7
*876 FILLER_13_76
*877 FILLER_13_85
*878 FILLER_13_97
*879 FILLER_14_109
*880 FILLER_14_113
*881 FILLER_14_120
*882 FILLER_14_132
*883 FILLER_14_141
*884 FILLER_14_153
*885 FILLER_14_165
*886 FILLER_14_173
*887 FILLER_14_191
*888 FILLER_14_195
*889 FILLER_14_197
*890 FILLER_14_209
*891 FILLER_14_227
*892 FILLER_14_234
*893 FILLER_14_24
*894 FILLER_14_246
*895 FILLER_14_253
*896 FILLER_14_265
*897 FILLER_14_277
*898 FILLER_14_289
*899 FILLER_14_29
*900 FILLER_14_3
*901 FILLER_14_301
*902 FILLER_14_307
*903 FILLER_14_309
*904 FILLER_14_321
*905 FILLER_14_333
*906 FILLER_14_345
*907 FILLER_14_357
*908 FILLER_14_363
*909 FILLER_14_365
*910 FILLER_14_377
*911 FILLER_14_389
*912 FILLER_14_401
*913 FILLER_14_413
*914 FILLER_14_419
*915 FILLER_14_421
*916 FILLER_14_433
*917 FILLER_14_445
*918 FILLER_14_457
*919 FILLER_14_469
*920 FILLER_14_475
*921 FILLER_14_477
*922 FILLER_14_489
*923 FILLER_14_501
*924 FILLER_14_51
*925 FILLER_14_513
*926 FILLER_14_525
*927 FILLER_14_531
*928 FILLER_14_533
*929 FILLER_14_545
*930 FILLER_14_557
*931 FILLER_14_569
*932 FILLER_14_581
*933 FILLER_14_587
*934 FILLER_14_589
*935 FILLER_14_59
*936 FILLER_14_601
*937 FILLER_14_613
*938 FILLER_14_67
*939 FILLER_14_7
*940 FILLER_14_76
*941 FILLER_14_85
*942 FILLER_14_97
*943 FILLER_15_10
*944 FILLER_15_101
*945 FILLER_15_108
*946 FILLER_15_113
*947 FILLER_15_121
*948 FILLER_15_131
*949 FILLER_15_143
*950 FILLER_15_149
*951 FILLER_15_156
*952 FILLER_15_169
*953 FILLER_15_177
*954 FILLER_15_181
*955 FILLER_15_193
*956 FILLER_15_20
*957 FILLER_15_205
*958 FILLER_15_213
*959 FILLER_15_217
*960 FILLER_15_223
*961 FILLER_15_225
*962 FILLER_15_237
*963 FILLER_15_249
*964 FILLER_15_261
*965 FILLER_15_273
*966 FILLER_15_279
*967 FILLER_15_281
*968 FILLER_15_293
*969 FILLER_15_305
*970 FILLER_15_317
*971 FILLER_15_32
*972 FILLER_15_329
*973 FILLER_15_335
*974 FILLER_15_337
*975 FILLER_15_349
*976 FILLER_15_361
*977 FILLER_15_373
*978 FILLER_15_38
*979 FILLER_15_385
*980 FILLER_15_391
*981 FILLER_15_393
*982 FILLER_15_405
*983 FILLER_15_417
*984 FILLER_15_429
*985 FILLER_15_441
*986 FILLER_15_447
*987 FILLER_15_449
*988 FILLER_15_461
*989 FILLER_15_473
*990 FILLER_15_485
*991 FILLER_15_497
*992 FILLER_15_50
*993 FILLER_15_503
*994 FILLER_15_505
*995 FILLER_15_517
*996 FILLER_15_529
*997 FILLER_15_541
*998 FILLER_15_553
*999 FILLER_15_559
*1000 FILLER_15_561
*1001 FILLER_15_57
*1002 FILLER_15_573
*1003 FILLER_15_585
*1004 FILLER_15_597
*1005 FILLER_15_6
*1006 FILLER_15_609
*1007 FILLER_15_615
*1008 FILLER_15_617
*1009 FILLER_15_69
*1010 FILLER_15_76
*1011 FILLER_16_109
*1012 FILLER_16_11
*1013 FILLER_16_124
*1014 FILLER_16_136
*1015 FILLER_16_141
*1016 FILLER_16_147
*1017 FILLER_16_15
*1018 FILLER_16_151
*1019 FILLER_16_163
*1020 FILLER_16_175
*1021 FILLER_16_187
*1022 FILLER_16_195
*1023 FILLER_16_197
*1024 FILLER_16_209
*1025 FILLER_16_229
*1026 FILLER_16_241
*1027 FILLER_16_249
*1028 FILLER_16_253
*1029 FILLER_16_265
*1030 FILLER_16_27
*1031 FILLER_16_277
*1032 FILLER_16_289
*1033 FILLER_16_29
*1034 FILLER_16_301
*1035 FILLER_16_307
*1036 FILLER_16_309
*1037 FILLER_16_321
*1038 FILLER_16_333
*1039 FILLER_16_345
*1040 FILLER_16_357
*1041 FILLER_16_363
*1042 FILLER_16_365
*1043 FILLER_16_377
*1044 FILLER_16_389
*1045 FILLER_16_401
*1046 FILLER_16_41
*1047 FILLER_16_413
*1048 FILLER_16_419
*1049 FILLER_16_421
*1050 FILLER_16_433
*1051 FILLER_16_445
*1052 FILLER_16_457
*1053 FILLER_16_469
*1054 FILLER_16_475
*1055 FILLER_16_477
*1056 FILLER_16_489
*1057 FILLER_16_501
*1058 FILLER_16_513
*1059 FILLER_16_525
*1060 FILLER_16_53
*1061 FILLER_16_531
*1062 FILLER_16_533
*1063 FILLER_16_545
*1064 FILLER_16_557
*1065 FILLER_16_569
*1066 FILLER_16_581
*1067 FILLER_16_587
*1068 FILLER_16_589
*1069 FILLER_16_601
*1070 FILLER_16_613
*1071 FILLER_16_65
*1072 FILLER_16_7
*1073 FILLER_16_77
*1074 FILLER_16_83
*1075 FILLER_16_85
*1076 FILLER_16_97
*1077 FILLER_17_110
*1078 FILLER_17_113
*1079 FILLER_17_13
*1080 FILLER_17_134
*1081 FILLER_17_146
*1082 FILLER_17_164
*1083 FILLER_17_169
*1084 FILLER_17_177
*1085 FILLER_17_186
*1086 FILLER_17_198
*1087 FILLER_17_210
*1088 FILLER_17_222
*1089 FILLER_17_225
*1090 FILLER_17_237
*1091 FILLER_17_249
*1092 FILLER_17_261
*1093 FILLER_17_273
*1094 FILLER_17_279
*1095 FILLER_17_281
*1096 FILLER_17_293
*1097 FILLER_17_305
*1098 FILLER_17_317
*1099 FILLER_17_329
*1100 FILLER_17_33
*1101 FILLER_17_335
*1102 FILLER_17_337
*1103 FILLER_17_349
*1104 FILLER_17_361
*1105 FILLER_17_373
*1106 FILLER_17_385
*1107 FILLER_17_391
*1108 FILLER_17_393
*1109 FILLER_17_405
*1110 FILLER_17_417
*1111 FILLER_17_429
*1112 FILLER_17_441
*1113 FILLER_17_447
*1114 FILLER_17_449
*1115 FILLER_17_45
*1116 FILLER_17_461
*1117 FILLER_17_473
*1118 FILLER_17_485
*1119 FILLER_17_497
*1120 FILLER_17_503
*1121 FILLER_17_505
*1122 FILLER_17_517
*1123 FILLER_17_529
*1124 FILLER_17_53
*1125 FILLER_17_541
*1126 FILLER_17_553
*1127 FILLER_17_559
*1128 FILLER_17_561
*1129 FILLER_17_573
*1130 FILLER_17_585
*1131 FILLER_17_597
*1132 FILLER_17_6
*1133 FILLER_17_609
*1134 FILLER_17_615
*1135 FILLER_17_617
*1136 FILLER_17_74
*1137 FILLER_17_86
*1138 FILLER_17_98
*1139 FILLER_18_107
*1140 FILLER_18_11
*1141 FILLER_18_123
*1142 FILLER_18_131
*1143 FILLER_18_139
*1144 FILLER_18_141
*1145 FILLER_18_153
*1146 FILLER_18_165
*1147 FILLER_18_171
*1148 FILLER_18_192
*1149 FILLER_18_197
*1150 FILLER_18_209
*1151 FILLER_18_221
*1152 FILLER_18_233
*1153 FILLER_18_24
*1154 FILLER_18_245
*1155 FILLER_18_251
*1156 FILLER_18_253
*1157 FILLER_18_265
*1158 FILLER_18_277
*1159 FILLER_18_289
*1160 FILLER_18_29
*1161 FILLER_18_3
*1162 FILLER_18_301
*1163 FILLER_18_307
*1164 FILLER_18_309
*1165 FILLER_18_321
*1166 FILLER_18_333
*1167 FILLER_18_345
*1168 FILLER_18_357
*1169 FILLER_18_363
*1170 FILLER_18_365
*1171 FILLER_18_377
*1172 FILLER_18_389
*1173 FILLER_18_401
*1174 FILLER_18_41
*1175 FILLER_18_413
*1176 FILLER_18_419
*1177 FILLER_18_421
*1178 FILLER_18_433
*1179 FILLER_18_445
*1180 FILLER_18_457
*1181 FILLER_18_469
*1182 FILLER_18_475
*1183 FILLER_18_477
*1184 FILLER_18_489
*1185 FILLER_18_49
*1186 FILLER_18_501
*1187 FILLER_18_513
*1188 FILLER_18_525
*1189 FILLER_18_531
*1190 FILLER_18_533
*1191 FILLER_18_545
*1192 FILLER_18_55
*1193 FILLER_18_557
*1194 FILLER_18_569
*1195 FILLER_18_581
*1196 FILLER_18_587
*1197 FILLER_18_589
*1198 FILLER_18_601
*1199 FILLER_18_613
*1200 FILLER_18_65
*1201 FILLER_18_7
*1202 FILLER_18_77
*1203 FILLER_18_83
*1204 FILLER_18_95
*1205 FILLER_19_102
*1206 FILLER_19_110
*1207 FILLER_19_113
*1208 FILLER_19_121
*1209 FILLER_19_13
*1210 FILLER_19_134
*1211 FILLER_19_146
*1212 FILLER_19_158
*1213 FILLER_19_166
*1214 FILLER_19_169
*1215 FILLER_19_177
*1216 FILLER_19_182
*1217 FILLER_19_194
*1218 FILLER_19_206
*1219 FILLER_19_218
*1220 FILLER_19_225
*1221 FILLER_19_23
*1222 FILLER_19_237
*1223 FILLER_19_249
*1224 FILLER_19_261
*1225 FILLER_19_273
*1226 FILLER_19_279
*1227 FILLER_19_281
*1228 FILLER_19_293
*1229 FILLER_19_305
*1230 FILLER_19_317
*1231 FILLER_19_329
*1232 FILLER_19_335
*1233 FILLER_19_337
*1234 FILLER_19_349
*1235 FILLER_19_35
*1236 FILLER_19_361
*1237 FILLER_19_373
*1238 FILLER_19_385
*1239 FILLER_19_391
*1240 FILLER_19_393
*1241 FILLER_19_405
*1242 FILLER_19_417
*1243 FILLER_19_429
*1244 FILLER_19_441
*1245 FILLER_19_447
*1246 FILLER_19_449
*1247 FILLER_19_461
*1248 FILLER_19_47
*1249 FILLER_19_473
*1250 FILLER_19_485
*1251 FILLER_19_497
*1252 FILLER_19_503
*1253 FILLER_19_505
*1254 FILLER_19_517
*1255 FILLER_19_529
*1256 FILLER_19_541
*1257 FILLER_19_55
*1258 FILLER_19_553
*1259 FILLER_19_559
*1260 FILLER_19_561
*1261 FILLER_19_57
*1262 FILLER_19_573
*1263 FILLER_19_585
*1264 FILLER_19_597
*1265 FILLER_19_609
*1266 FILLER_19_615
*1267 FILLER_19_617
*1268 FILLER_19_65
*1269 FILLER_19_7
*1270 FILLER_19_77
*1271 FILLER_19_81
*1272 FILLER_1_105
*1273 FILLER_1_111
*1274 FILLER_1_116
*1275 FILLER_1_126
*1276 FILLER_1_13
*1277 FILLER_1_133
*1278 FILLER_1_142
*1279 FILLER_1_157
*1280 FILLER_1_164
*1281 FILLER_1_172
*1282 FILLER_1_179
*1283 FILLER_1_186
*1284 FILLER_1_193
*1285 FILLER_1_203
*1286 FILLER_1_207
*1287 FILLER_1_21
*1288 FILLER_1_211
*1289 FILLER_1_220
*1290 FILLER_1_228
*1291 FILLER_1_240
*1292 FILLER_1_244
*1293 FILLER_1_248
*1294 FILLER_1_260
*1295 FILLER_1_264
*1296 FILLER_1_276
*1297 FILLER_1_281
*1298 FILLER_1_29
*1299 FILLER_1_293
*1300 FILLER_1_301
*1301 FILLER_1_313
*1302 FILLER_1_321
*1303 FILLER_1_327
*1304 FILLER_1_335
*1305 FILLER_1_337
*1306 FILLER_1_343
*1307 FILLER_1_35
*1308 FILLER_1_355
*1309 FILLER_1_359
*1310 FILLER_1_371
*1311 FILLER_1_378
*1312 FILLER_1_390
*1313 FILLER_1_396
*1314 FILLER_1_408
*1315 FILLER_1_423
*1316 FILLER_1_435
*1317 FILLER_1_447
*1318 FILLER_1_449
*1319 FILLER_1_455
*1320 FILLER_1_467
*1321 FILLER_1_471
*1322 FILLER_1_486
*1323 FILLER_1_498
*1324 FILLER_1_508
*1325 FILLER_1_52
*1326 FILLER_1_520
*1327 FILLER_1_528
*1328 FILLER_1_534
*1329 FILLER_1_546
*1330 FILLER_1_552
*1331 FILLER_1_556
*1332 FILLER_1_561
*1333 FILLER_1_566
*1334 FILLER_1_57
*1335 FILLER_1_578
*1336 FILLER_1_582
*1337 FILLER_1_594
*1338 FILLER_1_598
*1339 FILLER_1_612
*1340 FILLER_1_617
*1341 FILLER_1_621
*1342 FILLER_1_66
*1343 FILLER_1_86
*1344 FILLER_1_90
*1345 FILLER_1_94
*1346 FILLER_20_106
*1347 FILLER_20_118
*1348 FILLER_20_126
*1349 FILLER_20_133
*1350 FILLER_20_139
*1351 FILLER_20_141
*1352 FILLER_20_150
*1353 FILLER_20_162
*1354 FILLER_20_170
*1355 FILLER_20_177
*1356 FILLER_20_187
*1357 FILLER_20_195
*1358 FILLER_20_197
*1359 FILLER_20_209
*1360 FILLER_20_221
*1361 FILLER_20_233
*1362 FILLER_20_24
*1363 FILLER_20_245
*1364 FILLER_20_251
*1365 FILLER_20_253
*1366 FILLER_20_265
*1367 FILLER_20_277
*1368 FILLER_20_289
*1369 FILLER_20_29
*1370 FILLER_20_3
*1371 FILLER_20_301
*1372 FILLER_20_307
*1373 FILLER_20_309
*1374 FILLER_20_321
*1375 FILLER_20_333
*1376 FILLER_20_345
*1377 FILLER_20_357
*1378 FILLER_20_363
*1379 FILLER_20_365
*1380 FILLER_20_377
*1381 FILLER_20_389
*1382 FILLER_20_401
*1383 FILLER_20_41
*1384 FILLER_20_413
*1385 FILLER_20_419
*1386 FILLER_20_421
*1387 FILLER_20_433
*1388 FILLER_20_445
*1389 FILLER_20_457
*1390 FILLER_20_469
*1391 FILLER_20_475
*1392 FILLER_20_477
*1393 FILLER_20_489
*1394 FILLER_20_501
*1395 FILLER_20_513
*1396 FILLER_20_525
*1397 FILLER_20_531
*1398 FILLER_20_533
*1399 FILLER_20_545
*1400 FILLER_20_557
*1401 FILLER_20_569
*1402 FILLER_20_581
*1403 FILLER_20_587
*1404 FILLER_20_589
*1405 FILLER_20_60
*1406 FILLER_20_601
*1407 FILLER_20_613
*1408 FILLER_20_7
*1409 FILLER_20_74
*1410 FILLER_20_82
*1411 FILLER_20_85
*1412 FILLER_20_94
*1413 FILLER_21_107
*1414 FILLER_21_111
*1415 FILLER_21_113
*1416 FILLER_21_121
*1417 FILLER_21_125
*1418 FILLER_21_13
*1419 FILLER_21_137
*1420 FILLER_21_141
*1421 FILLER_21_145
*1422 FILLER_21_157
*1423 FILLER_21_165
*1424 FILLER_21_17
*1425 FILLER_21_172
*1426 FILLER_21_180
*1427 FILLER_21_198
*1428 FILLER_21_210
*1429 FILLER_21_222
*1430 FILLER_21_225
*1431 FILLER_21_237
*1432 FILLER_21_249
*1433 FILLER_21_261
*1434 FILLER_21_273
*1435 FILLER_21_279
*1436 FILLER_21_281
*1437 FILLER_21_29
*1438 FILLER_21_293
*1439 FILLER_21_305
*1440 FILLER_21_317
*1441 FILLER_21_329
*1442 FILLER_21_335
*1443 FILLER_21_337
*1444 FILLER_21_349
*1445 FILLER_21_361
*1446 FILLER_21_373
*1447 FILLER_21_385
*1448 FILLER_21_391
*1449 FILLER_21_393
*1450 FILLER_21_405
*1451 FILLER_21_41
*1452 FILLER_21_417
*1453 FILLER_21_429
*1454 FILLER_21_441
*1455 FILLER_21_447
*1456 FILLER_21_449
*1457 FILLER_21_461
*1458 FILLER_21_473
*1459 FILLER_21_485
*1460 FILLER_21_497
*1461 FILLER_21_503
*1462 FILLER_21_505
*1463 FILLER_21_517
*1464 FILLER_21_52
*1465 FILLER_21_529
*1466 FILLER_21_541
*1467 FILLER_21_553
*1468 FILLER_21_559
*1469 FILLER_21_561
*1470 FILLER_21_57
*1471 FILLER_21_573
*1472 FILLER_21_585
*1473 FILLER_21_597
*1474 FILLER_21_609
*1475 FILLER_21_61
*1476 FILLER_21_615
*1477 FILLER_21_617
*1478 FILLER_21_68
*1479 FILLER_21_7
*1480 FILLER_21_80
*1481 FILLER_21_84
*1482 FILLER_21_87
*1483 FILLER_21_95
*1484 FILLER_22_102
*1485 FILLER_22_11
*1486 FILLER_22_114
*1487 FILLER_22_132
*1488 FILLER_22_158
*1489 FILLER_22_170
*1490 FILLER_22_182
*1491 FILLER_22_194
*1492 FILLER_22_197
*1493 FILLER_22_209
*1494 FILLER_22_21
*1495 FILLER_22_221
*1496 FILLER_22_233
*1497 FILLER_22_245
*1498 FILLER_22_251
*1499 FILLER_22_253
*1500 FILLER_22_265
*1501 FILLER_22_27
*1502 FILLER_22_277
*1503 FILLER_22_289
*1504 FILLER_22_29
*1505 FILLER_22_301
*1506 FILLER_22_307
*1507 FILLER_22_309
*1508 FILLER_22_321
*1509 FILLER_22_333
*1510 FILLER_22_345
*1511 FILLER_22_357
*1512 FILLER_22_363
*1513 FILLER_22_365
*1514 FILLER_22_377
*1515 FILLER_22_389
*1516 FILLER_22_401
*1517 FILLER_22_41
*1518 FILLER_22_413
*1519 FILLER_22_419
*1520 FILLER_22_421
*1521 FILLER_22_433
*1522 FILLER_22_445
*1523 FILLER_22_457
*1524 FILLER_22_469
*1525 FILLER_22_475
*1526 FILLER_22_477
*1527 FILLER_22_489
*1528 FILLER_22_501
*1529 FILLER_22_513
*1530 FILLER_22_525
*1531 FILLER_22_53
*1532 FILLER_22_531
*1533 FILLER_22_533
*1534 FILLER_22_545
*1535 FILLER_22_557
*1536 FILLER_22_569
*1537 FILLER_22_57
*1538 FILLER_22_581
*1539 FILLER_22_587
*1540 FILLER_22_589
*1541 FILLER_22_601
*1542 FILLER_22_613
*1543 FILLER_22_64
*1544 FILLER_22_7
*1545 FILLER_22_71
*1546 FILLER_22_83
*1547 FILLER_22_85
*1548 FILLER_22_90
*1549 FILLER_23_105
*1550 FILLER_23_111
*1551 FILLER_23_113
*1552 FILLER_23_125
*1553 FILLER_23_137
*1554 FILLER_23_149
*1555 FILLER_23_161
*1556 FILLER_23_167
*1557 FILLER_23_186
*1558 FILLER_23_198
*1559 FILLER_23_210
*1560 FILLER_23_222
*1561 FILLER_23_225
*1562 FILLER_23_237
*1563 FILLER_23_249
*1564 FILLER_23_26
*1565 FILLER_23_261
*1566 FILLER_23_273
*1567 FILLER_23_279
*1568 FILLER_23_281
*1569 FILLER_23_293
*1570 FILLER_23_305
*1571 FILLER_23_317
*1572 FILLER_23_329
*1573 FILLER_23_335
*1574 FILLER_23_337
*1575 FILLER_23_349
*1576 FILLER_23_361
*1577 FILLER_23_373
*1578 FILLER_23_38
*1579 FILLER_23_385
*1580 FILLER_23_391
*1581 FILLER_23_393
*1582 FILLER_23_405
*1583 FILLER_23_417
*1584 FILLER_23_429
*1585 FILLER_23_441
*1586 FILLER_23_447
*1587 FILLER_23_449
*1588 FILLER_23_461
*1589 FILLER_23_473
*1590 FILLER_23_485
*1591 FILLER_23_497
*1592 FILLER_23_50
*1593 FILLER_23_503
*1594 FILLER_23_505
*1595 FILLER_23_517
*1596 FILLER_23_529
*1597 FILLER_23_541
*1598 FILLER_23_553
*1599 FILLER_23_559
*1600 FILLER_23_561
*1601 FILLER_23_57
*1602 FILLER_23_573
*1603 FILLER_23_585
*1604 FILLER_23_597
*1605 FILLER_23_6
*1606 FILLER_23_609
*1607 FILLER_23_615
*1608 FILLER_23_617
*1609 FILLER_23_69
*1610 FILLER_23_81
*1611 FILLER_23_87
*1612 FILLER_24_109
*1613 FILLER_24_121
*1614 FILLER_24_133
*1615 FILLER_24_139
*1616 FILLER_24_141
*1617 FILLER_24_15
*1618 FILLER_24_153
*1619 FILLER_24_165
*1620 FILLER_24_177
*1621 FILLER_24_189
*1622 FILLER_24_195
*1623 FILLER_24_197
*1624 FILLER_24_209
*1625 FILLER_24_221
*1626 FILLER_24_233
*1627 FILLER_24_245
*1628 FILLER_24_251
*1629 FILLER_24_253
*1630 FILLER_24_265
*1631 FILLER_24_27
*1632 FILLER_24_277
*1633 FILLER_24_289
*1634 FILLER_24_29
*1635 FILLER_24_301
*1636 FILLER_24_307
*1637 FILLER_24_309
*1638 FILLER_24_321
*1639 FILLER_24_333
*1640 FILLER_24_345
*1641 FILLER_24_357
*1642 FILLER_24_363
*1643 FILLER_24_365
*1644 FILLER_24_377
*1645 FILLER_24_389
*1646 FILLER_24_401
*1647 FILLER_24_41
*1648 FILLER_24_413
*1649 FILLER_24_419
*1650 FILLER_24_421
*1651 FILLER_24_433
*1652 FILLER_24_445
*1653 FILLER_24_457
*1654 FILLER_24_469
*1655 FILLER_24_475
*1656 FILLER_24_477
*1657 FILLER_24_489
*1658 FILLER_24_501
*1659 FILLER_24_513
*1660 FILLER_24_525
*1661 FILLER_24_53
*1662 FILLER_24_531
*1663 FILLER_24_533
*1664 FILLER_24_545
*1665 FILLER_24_557
*1666 FILLER_24_569
*1667 FILLER_24_581
*1668 FILLER_24_587
*1669 FILLER_24_589
*1670 FILLER_24_6
*1671 FILLER_24_601
*1672 FILLER_24_613
*1673 FILLER_24_70
*1674 FILLER_24_82
*1675 FILLER_24_85
*1676 FILLER_24_97
*1677 FILLER_25_105
*1678 FILLER_25_111
*1679 FILLER_25_113
*1680 FILLER_25_125
*1681 FILLER_25_137
*1682 FILLER_25_149
*1683 FILLER_25_161
*1684 FILLER_25_167
*1685 FILLER_25_169
*1686 FILLER_25_18
*1687 FILLER_25_181
*1688 FILLER_25_193
*1689 FILLER_25_205
*1690 FILLER_25_217
*1691 FILLER_25_223
*1692 FILLER_25_225
*1693 FILLER_25_237
*1694 FILLER_25_249
*1695 FILLER_25_261
*1696 FILLER_25_273
*1697 FILLER_25_279
*1698 FILLER_25_281
*1699 FILLER_25_293
*1700 FILLER_25_305
*1701 FILLER_25_317
*1702 FILLER_25_329
*1703 FILLER_25_335
*1704 FILLER_25_337
*1705 FILLER_25_349
*1706 FILLER_25_361
*1707 FILLER_25_373
*1708 FILLER_25_38
*1709 FILLER_25_385
*1710 FILLER_25_391
*1711 FILLER_25_393
*1712 FILLER_25_405
*1713 FILLER_25_417
*1714 FILLER_25_429
*1715 FILLER_25_441
*1716 FILLER_25_447
*1717 FILLER_25_449
*1718 FILLER_25_461
*1719 FILLER_25_473
*1720 FILLER_25_485
*1721 FILLER_25_497
*1722 FILLER_25_50
*1723 FILLER_25_503
*1724 FILLER_25_505
*1725 FILLER_25_517
*1726 FILLER_25_529
*1727 FILLER_25_541
*1728 FILLER_25_553
*1729 FILLER_25_559
*1730 FILLER_25_561
*1731 FILLER_25_57
*1732 FILLER_25_573
*1733 FILLER_25_585
*1734 FILLER_25_597
*1735 FILLER_25_6
*1736 FILLER_25_609
*1737 FILLER_25_615
*1738 FILLER_25_617
*1739 FILLER_25_69
*1740 FILLER_25_81
*1741 FILLER_25_93
*1742 FILLER_26_109
*1743 FILLER_26_121
*1744 FILLER_26_133
*1745 FILLER_26_139
*1746 FILLER_26_141
*1747 FILLER_26_153
*1748 FILLER_26_165
*1749 FILLER_26_177
*1750 FILLER_26_189
*1751 FILLER_26_195
*1752 FILLER_26_197
*1753 FILLER_26_209
*1754 FILLER_26_221
*1755 FILLER_26_233
*1756 FILLER_26_24
*1757 FILLER_26_245
*1758 FILLER_26_251
*1759 FILLER_26_253
*1760 FILLER_26_265
*1761 FILLER_26_277
*1762 FILLER_26_289
*1763 FILLER_26_301
*1764 FILLER_26_307
*1765 FILLER_26_309
*1766 FILLER_26_32
*1767 FILLER_26_321
*1768 FILLER_26_333
*1769 FILLER_26_345
*1770 FILLER_26_357
*1771 FILLER_26_363
*1772 FILLER_26_365
*1773 FILLER_26_377
*1774 FILLER_26_389
*1775 FILLER_26_401
*1776 FILLER_26_413
*1777 FILLER_26_419
*1778 FILLER_26_421
*1779 FILLER_26_433
*1780 FILLER_26_44
*1781 FILLER_26_445
*1782 FILLER_26_457
*1783 FILLER_26_469
*1784 FILLER_26_475
*1785 FILLER_26_477
*1786 FILLER_26_489
*1787 FILLER_26_501
*1788 FILLER_26_513
*1789 FILLER_26_525
*1790 FILLER_26_531
*1791 FILLER_26_533
*1792 FILLER_26_545
*1793 FILLER_26_557
*1794 FILLER_26_56
*1795 FILLER_26_569
*1796 FILLER_26_581
*1797 FILLER_26_587
*1798 FILLER_26_589
*1799 FILLER_26_601
*1800 FILLER_26_613
*1801 FILLER_26_68
*1802 FILLER_26_7
*1803 FILLER_26_80
*1804 FILLER_26_85
*1805 FILLER_26_97
*1806 FILLER_27_105
*1807 FILLER_27_111
*1808 FILLER_27_113
*1809 FILLER_27_125
*1810 FILLER_27_137
*1811 FILLER_27_149
*1812 FILLER_27_15
*1813 FILLER_27_161
*1814 FILLER_27_167
*1815 FILLER_27_169
*1816 FILLER_27_181
*1817 FILLER_27_193
*1818 FILLER_27_205
*1819 FILLER_27_217
*1820 FILLER_27_223
*1821 FILLER_27_225
*1822 FILLER_27_237
*1823 FILLER_27_249
*1824 FILLER_27_261
*1825 FILLER_27_273
*1826 FILLER_27_279
*1827 FILLER_27_281
*1828 FILLER_27_293
*1829 FILLER_27_3
*1830 FILLER_27_305
*1831 FILLER_27_317
*1832 FILLER_27_329
*1833 FILLER_27_33
*1834 FILLER_27_335
*1835 FILLER_27_337
*1836 FILLER_27_349
*1837 FILLER_27_361
*1838 FILLER_27_373
*1839 FILLER_27_385
*1840 FILLER_27_391
*1841 FILLER_27_393
*1842 FILLER_27_405
*1843 FILLER_27_417
*1844 FILLER_27_429
*1845 FILLER_27_441
*1846 FILLER_27_447
*1847 FILLER_27_449
*1848 FILLER_27_45
*1849 FILLER_27_461
*1850 FILLER_27_473
*1851 FILLER_27_485
*1852 FILLER_27_497
*1853 FILLER_27_503
*1854 FILLER_27_505
*1855 FILLER_27_517
*1856 FILLER_27_529
*1857 FILLER_27_53
*1858 FILLER_27_541
*1859 FILLER_27_553
*1860 FILLER_27_559
*1861 FILLER_27_561
*1862 FILLER_27_57
*1863 FILLER_27_573
*1864 FILLER_27_585
*1865 FILLER_27_597
*1866 FILLER_27_609
*1867 FILLER_27_615
*1868 FILLER_27_617
*1869 FILLER_27_69
*1870 FILLER_27_81
*1871 FILLER_27_93
*1872 FILLER_28_109
*1873 FILLER_28_121
*1874 FILLER_28_13
*1875 FILLER_28_133
*1876 FILLER_28_139
*1877 FILLER_28_141
*1878 FILLER_28_153
*1879 FILLER_28_165
*1880 FILLER_28_177
*1881 FILLER_28_189
*1882 FILLER_28_195
*1883 FILLER_28_197
*1884 FILLER_28_209
*1885 FILLER_28_221
*1886 FILLER_28_23
*1887 FILLER_28_233
*1888 FILLER_28_245
*1889 FILLER_28_251
*1890 FILLER_28_253
*1891 FILLER_28_265
*1892 FILLER_28_27
*1893 FILLER_28_277
*1894 FILLER_28_289
*1895 FILLER_28_29
*1896 FILLER_28_301
*1897 FILLER_28_307
*1898 FILLER_28_309
*1899 FILLER_28_321
*1900 FILLER_28_333
*1901 FILLER_28_345
*1902 FILLER_28_357
*1903 FILLER_28_363
*1904 FILLER_28_365
*1905 FILLER_28_377
*1906 FILLER_28_389
*1907 FILLER_28_401
*1908 FILLER_28_41
*1909 FILLER_28_413
*1910 FILLER_28_419
*1911 FILLER_28_421
*1912 FILLER_28_433
*1913 FILLER_28_445
*1914 FILLER_28_457
*1915 FILLER_28_469
*1916 FILLER_28_475
*1917 FILLER_28_477
*1918 FILLER_28_489
*1919 FILLER_28_501
*1920 FILLER_28_513
*1921 FILLER_28_525
*1922 FILLER_28_53
*1923 FILLER_28_531
*1924 FILLER_28_533
*1925 FILLER_28_545
*1926 FILLER_28_557
*1927 FILLER_28_569
*1928 FILLER_28_581
*1929 FILLER_28_587
*1930 FILLER_28_589
*1931 FILLER_28_601
*1932 FILLER_28_613
*1933 FILLER_28_65
*1934 FILLER_28_7
*1935 FILLER_28_77
*1936 FILLER_28_83
*1937 FILLER_28_85
*1938 FILLER_28_97
*1939 FILLER_29_105
*1940 FILLER_29_111
*1941 FILLER_29_113
*1942 FILLER_29_125
*1943 FILLER_29_137
*1944 FILLER_29_14
*1945 FILLER_29_149
*1946 FILLER_29_161
*1947 FILLER_29_167
*1948 FILLER_29_169
*1949 FILLER_29_181
*1950 FILLER_29_19
*1951 FILLER_29_193
*1952 FILLER_29_205
*1953 FILLER_29_217
*1954 FILLER_29_223
*1955 FILLER_29_225
*1956 FILLER_29_237
*1957 FILLER_29_249
*1958 FILLER_29_261
*1959 FILLER_29_27
*1960 FILLER_29_273
*1961 FILLER_29_279
*1962 FILLER_29_281
*1963 FILLER_29_293
*1964 FILLER_29_305
*1965 FILLER_29_317
*1966 FILLER_29_329
*1967 FILLER_29_335
*1968 FILLER_29_337
*1969 FILLER_29_349
*1970 FILLER_29_361
*1971 FILLER_29_373
*1972 FILLER_29_385
*1973 FILLER_29_39
*1974 FILLER_29_391
*1975 FILLER_29_393
*1976 FILLER_29_405
*1977 FILLER_29_417
*1978 FILLER_29_429
*1979 FILLER_29_441
*1980 FILLER_29_447
*1981 FILLER_29_449
*1982 FILLER_29_461
*1983 FILLER_29_473
*1984 FILLER_29_485
*1985 FILLER_29_497
*1986 FILLER_29_503
*1987 FILLER_29_505
*1988 FILLER_29_51
*1989 FILLER_29_517
*1990 FILLER_29_529
*1991 FILLER_29_541
*1992 FILLER_29_55
*1993 FILLER_29_553
*1994 FILLER_29_559
*1995 FILLER_29_561
*1996 FILLER_29_57
*1997 FILLER_29_573
*1998 FILLER_29_585
*1999 FILLER_29_597
*2000 FILLER_29_6
*2001 FILLER_29_609
*2002 FILLER_29_615
*2003 FILLER_29_617
*2004 FILLER_29_69
*2005 FILLER_29_81
*2006 FILLER_29_93
*2007 FILLER_2_10
*2008 FILLER_2_109
*2009 FILLER_2_113
*2010 FILLER_2_130
*2011 FILLER_2_138
*2012 FILLER_2_141
*2013 FILLER_2_149
*2014 FILLER_2_169
*2015 FILLER_2_179
*2016 FILLER_2_18
*2017 FILLER_2_187
*2018 FILLER_2_192
*2019 FILLER_2_214
*2020 FILLER_2_226
*2021 FILLER_2_238
*2022 FILLER_2_250
*2023 FILLER_2_253
*2024 FILLER_2_26
*2025 FILLER_2_265
*2026 FILLER_2_277
*2027 FILLER_2_289
*2028 FILLER_2_3
*2029 FILLER_2_301
*2030 FILLER_2_307
*2031 FILLER_2_309
*2032 FILLER_2_32
*2033 FILLER_2_321
*2034 FILLER_2_333
*2035 FILLER_2_345
*2036 FILLER_2_357
*2037 FILLER_2_363
*2038 FILLER_2_365
*2039 FILLER_2_377
*2040 FILLER_2_389
*2041 FILLER_2_401
*2042 FILLER_2_41
*2043 FILLER_2_413
*2044 FILLER_2_419
*2045 FILLER_2_421
*2046 FILLER_2_433
*2047 FILLER_2_445
*2048 FILLER_2_457
*2049 FILLER_2_469
*2050 FILLER_2_475
*2051 FILLER_2_477
*2052 FILLER_2_48
*2053 FILLER_2_489
*2054 FILLER_2_501
*2055 FILLER_2_513
*2056 FILLER_2_525
*2057 FILLER_2_531
*2058 FILLER_2_533
*2059 FILLER_2_545
*2060 FILLER_2_55
*2061 FILLER_2_557
*2062 FILLER_2_569
*2063 FILLER_2_581
*2064 FILLER_2_587
*2065 FILLER_2_589
*2066 FILLER_2_601
*2067 FILLER_2_61
*2068 FILLER_2_613
*2069 FILLER_2_621
*2070 FILLER_2_65
*2071 FILLER_2_75
*2072 FILLER_2_83
*2073 FILLER_2_85
*2074 FILLER_2_97
*2075 FILLER_30_109
*2076 FILLER_30_11
*2077 FILLER_30_121
*2078 FILLER_30_133
*2079 FILLER_30_139
*2080 FILLER_30_141
*2081 FILLER_30_153
*2082 FILLER_30_165
*2083 FILLER_30_177
*2084 FILLER_30_189
*2085 FILLER_30_195
*2086 FILLER_30_197
*2087 FILLER_30_209
*2088 FILLER_30_22
*2089 FILLER_30_221
*2090 FILLER_30_233
*2091 FILLER_30_245
*2092 FILLER_30_251
*2093 FILLER_30_253
*2094 FILLER_30_265
*2095 FILLER_30_277
*2096 FILLER_30_289
*2097 FILLER_30_29
*2098 FILLER_30_301
*2099 FILLER_30_307
*2100 FILLER_30_309
*2101 FILLER_30_321
*2102 FILLER_30_333
*2103 FILLER_30_345
*2104 FILLER_30_357
*2105 FILLER_30_363
*2106 FILLER_30_365
*2107 FILLER_30_377
*2108 FILLER_30_389
*2109 FILLER_30_39
*2110 FILLER_30_401
*2111 FILLER_30_413
*2112 FILLER_30_419
*2113 FILLER_30_421
*2114 FILLER_30_433
*2115 FILLER_30_445
*2116 FILLER_30_457
*2117 FILLER_30_469
*2118 FILLER_30_475
*2119 FILLER_30_477
*2120 FILLER_30_489
*2121 FILLER_30_501
*2122 FILLER_30_51
*2123 FILLER_30_513
*2124 FILLER_30_525
*2125 FILLER_30_531
*2126 FILLER_30_533
*2127 FILLER_30_545
*2128 FILLER_30_557
*2129 FILLER_30_569
*2130 FILLER_30_581
*2131 FILLER_30_587
*2132 FILLER_30_589
*2133 FILLER_30_601
*2134 FILLER_30_613
*2135 FILLER_30_63
*2136 FILLER_30_7
*2137 FILLER_30_75
*2138 FILLER_30_83
*2139 FILLER_30_85
*2140 FILLER_30_97
*2141 FILLER_31_10
*2142 FILLER_31_105
*2143 FILLER_31_111
*2144 FILLER_31_113
*2145 FILLER_31_125
*2146 FILLER_31_137
*2147 FILLER_31_149
*2148 FILLER_31_161
*2149 FILLER_31_167
*2150 FILLER_31_169
*2151 FILLER_31_181
*2152 FILLER_31_193
*2153 FILLER_31_205
*2154 FILLER_31_217
*2155 FILLER_31_223
*2156 FILLER_31_225
*2157 FILLER_31_237
*2158 FILLER_31_249
*2159 FILLER_31_261
*2160 FILLER_31_27
*2161 FILLER_31_273
*2162 FILLER_31_279
*2163 FILLER_31_281
*2164 FILLER_31_293
*2165 FILLER_31_305
*2166 FILLER_31_317
*2167 FILLER_31_329
*2168 FILLER_31_335
*2169 FILLER_31_337
*2170 FILLER_31_349
*2171 FILLER_31_361
*2172 FILLER_31_373
*2173 FILLER_31_385
*2174 FILLER_31_39
*2175 FILLER_31_391
*2176 FILLER_31_393
*2177 FILLER_31_405
*2178 FILLER_31_417
*2179 FILLER_31_429
*2180 FILLER_31_441
*2181 FILLER_31_447
*2182 FILLER_31_449
*2183 FILLER_31_461
*2184 FILLER_31_473
*2185 FILLER_31_485
*2186 FILLER_31_497
*2187 FILLER_31_503
*2188 FILLER_31_505
*2189 FILLER_31_51
*2190 FILLER_31_517
*2191 FILLER_31_529
*2192 FILLER_31_541
*2193 FILLER_31_55
*2194 FILLER_31_553
*2195 FILLER_31_559
*2196 FILLER_31_561
*2197 FILLER_31_57
*2198 FILLER_31_573
*2199 FILLER_31_585
*2200 FILLER_31_597
*2201 FILLER_31_6
*2202 FILLER_31_609
*2203 FILLER_31_615
*2204 FILLER_31_617
*2205 FILLER_31_69
*2206 FILLER_31_81
*2207 FILLER_31_93
*2208 FILLER_32_109
*2209 FILLER_32_121
*2210 FILLER_32_133
*2211 FILLER_32_139
*2212 FILLER_32_141
*2213 FILLER_32_15
*2214 FILLER_32_153
*2215 FILLER_32_165
*2216 FILLER_32_177
*2217 FILLER_32_189
*2218 FILLER_32_195
*2219 FILLER_32_197
*2220 FILLER_32_209
*2221 FILLER_32_221
*2222 FILLER_32_233
*2223 FILLER_32_245
*2224 FILLER_32_251
*2225 FILLER_32_253
*2226 FILLER_32_265
*2227 FILLER_32_27
*2228 FILLER_32_277
*2229 FILLER_32_289
*2230 FILLER_32_29
*2231 FILLER_32_301
*2232 FILLER_32_307
*2233 FILLER_32_309
*2234 FILLER_32_321
*2235 FILLER_32_333
*2236 FILLER_32_345
*2237 FILLER_32_357
*2238 FILLER_32_363
*2239 FILLER_32_365
*2240 FILLER_32_37
*2241 FILLER_32_377
*2242 FILLER_32_389
*2243 FILLER_32_401
*2244 FILLER_32_413
*2245 FILLER_32_419
*2246 FILLER_32_421
*2247 FILLER_32_433
*2248 FILLER_32_445
*2249 FILLER_32_457
*2250 FILLER_32_469
*2251 FILLER_32_475
*2252 FILLER_32_477
*2253 FILLER_32_489
*2254 FILLER_32_49
*2255 FILLER_32_501
*2256 FILLER_32_513
*2257 FILLER_32_525
*2258 FILLER_32_531
*2259 FILLER_32_533
*2260 FILLER_32_545
*2261 FILLER_32_557
*2262 FILLER_32_569
*2263 FILLER_32_581
*2264 FILLER_32_587
*2265 FILLER_32_589
*2266 FILLER_32_6
*2267 FILLER_32_601
*2268 FILLER_32_61
*2269 FILLER_32_613
*2270 FILLER_32_73
*2271 FILLER_32_81
*2272 FILLER_32_85
*2273 FILLER_32_97
*2274 FILLER_33_105
*2275 FILLER_33_111
*2276 FILLER_33_113
*2277 FILLER_33_125
*2278 FILLER_33_137
*2279 FILLER_33_149
*2280 FILLER_33_161
*2281 FILLER_33_167
*2282 FILLER_33_169
*2283 FILLER_33_181
*2284 FILLER_33_19
*2285 FILLER_33_193
*2286 FILLER_33_205
*2287 FILLER_33_217
*2288 FILLER_33_223
*2289 FILLER_33_225
*2290 FILLER_33_237
*2291 FILLER_33_249
*2292 FILLER_33_261
*2293 FILLER_33_27
*2294 FILLER_33_273
*2295 FILLER_33_279
*2296 FILLER_33_281
*2297 FILLER_33_293
*2298 FILLER_33_305
*2299 FILLER_33_317
*2300 FILLER_33_329
*2301 FILLER_33_335
*2302 FILLER_33_337
*2303 FILLER_33_349
*2304 FILLER_33_361
*2305 FILLER_33_373
*2306 FILLER_33_385
*2307 FILLER_33_39
*2308 FILLER_33_391
*2309 FILLER_33_393
*2310 FILLER_33_405
*2311 FILLER_33_417
*2312 FILLER_33_429
*2313 FILLER_33_441
*2314 FILLER_33_447
*2315 FILLER_33_449
*2316 FILLER_33_461
*2317 FILLER_33_473
*2318 FILLER_33_485
*2319 FILLER_33_497
*2320 FILLER_33_503
*2321 FILLER_33_505
*2322 FILLER_33_51
*2323 FILLER_33_517
*2324 FILLER_33_529
*2325 FILLER_33_541
*2326 FILLER_33_55
*2327 FILLER_33_553
*2328 FILLER_33_559
*2329 FILLER_33_561
*2330 FILLER_33_57
*2331 FILLER_33_573
*2332 FILLER_33_585
*2333 FILLER_33_597
*2334 FILLER_33_609
*2335 FILLER_33_615
*2336 FILLER_33_617
*2337 FILLER_33_69
*2338 FILLER_33_7
*2339 FILLER_33_81
*2340 FILLER_33_93
*2341 FILLER_34_109
*2342 FILLER_34_11
*2343 FILLER_34_121
*2344 FILLER_34_133
*2345 FILLER_34_139
*2346 FILLER_34_141
*2347 FILLER_34_153
*2348 FILLER_34_165
*2349 FILLER_34_177
*2350 FILLER_34_189
*2351 FILLER_34_195
*2352 FILLER_34_197
*2353 FILLER_34_209
*2354 FILLER_34_21
*2355 FILLER_34_221
*2356 FILLER_34_233
*2357 FILLER_34_245
*2358 FILLER_34_251
*2359 FILLER_34_253
*2360 FILLER_34_265
*2361 FILLER_34_27
*2362 FILLER_34_277
*2363 FILLER_34_289
*2364 FILLER_34_29
*2365 FILLER_34_301
*2366 FILLER_34_307
*2367 FILLER_34_309
*2368 FILLER_34_321
*2369 FILLER_34_333
*2370 FILLER_34_345
*2371 FILLER_34_357
*2372 FILLER_34_363
*2373 FILLER_34_365
*2374 FILLER_34_377
*2375 FILLER_34_389
*2376 FILLER_34_401
*2377 FILLER_34_413
*2378 FILLER_34_419
*2379 FILLER_34_421
*2380 FILLER_34_433
*2381 FILLER_34_445
*2382 FILLER_34_457
*2383 FILLER_34_469
*2384 FILLER_34_475
*2385 FILLER_34_477
*2386 FILLER_34_489
*2387 FILLER_34_501
*2388 FILLER_34_51
*2389 FILLER_34_513
*2390 FILLER_34_525
*2391 FILLER_34_531
*2392 FILLER_34_533
*2393 FILLER_34_545
*2394 FILLER_34_557
*2395 FILLER_34_569
*2396 FILLER_34_581
*2397 FILLER_34_587
*2398 FILLER_34_589
*2399 FILLER_34_601
*2400 FILLER_34_613
*2401 FILLER_34_63
*2402 FILLER_34_7
*2403 FILLER_34_75
*2404 FILLER_34_83
*2405 FILLER_34_85
*2406 FILLER_34_97
*2407 FILLER_35_105
*2408 FILLER_35_111
*2409 FILLER_35_113
*2410 FILLER_35_125
*2411 FILLER_35_137
*2412 FILLER_35_149
*2413 FILLER_35_161
*2414 FILLER_35_167
*2415 FILLER_35_169
*2416 FILLER_35_181
*2417 FILLER_35_193
*2418 FILLER_35_205
*2419 FILLER_35_217
*2420 FILLER_35_223
*2421 FILLER_35_225
*2422 FILLER_35_237
*2423 FILLER_35_249
*2424 FILLER_35_26
*2425 FILLER_35_261
*2426 FILLER_35_273
*2427 FILLER_35_279
*2428 FILLER_35_281
*2429 FILLER_35_293
*2430 FILLER_35_3
*2431 FILLER_35_305
*2432 FILLER_35_317
*2433 FILLER_35_329
*2434 FILLER_35_335
*2435 FILLER_35_337
*2436 FILLER_35_349
*2437 FILLER_35_361
*2438 FILLER_35_373
*2439 FILLER_35_38
*2440 FILLER_35_385
*2441 FILLER_35_391
*2442 FILLER_35_393
*2443 FILLER_35_405
*2444 FILLER_35_417
*2445 FILLER_35_429
*2446 FILLER_35_441
*2447 FILLER_35_447
*2448 FILLER_35_449
*2449 FILLER_35_45
*2450 FILLER_35_461
*2451 FILLER_35_473
*2452 FILLER_35_485
*2453 FILLER_35_497
*2454 FILLER_35_503
*2455 FILLER_35_505
*2456 FILLER_35_517
*2457 FILLER_35_529
*2458 FILLER_35_53
*2459 FILLER_35_541
*2460 FILLER_35_553
*2461 FILLER_35_559
*2462 FILLER_35_561
*2463 FILLER_35_57
*2464 FILLER_35_573
*2465 FILLER_35_585
*2466 FILLER_35_597
*2467 FILLER_35_609
*2468 FILLER_35_615
*2469 FILLER_35_617
*2470 FILLER_35_69
*2471 FILLER_35_81
*2472 FILLER_35_9
*2473 FILLER_35_93
*2474 FILLER_36_109
*2475 FILLER_36_121
*2476 FILLER_36_133
*2477 FILLER_36_139
*2478 FILLER_36_141
*2479 FILLER_36_15
*2480 FILLER_36_153
*2481 FILLER_36_165
*2482 FILLER_36_177
*2483 FILLER_36_189
*2484 FILLER_36_195
*2485 FILLER_36_197
*2486 FILLER_36_209
*2487 FILLER_36_221
*2488 FILLER_36_233
*2489 FILLER_36_245
*2490 FILLER_36_251
*2491 FILLER_36_253
*2492 FILLER_36_265
*2493 FILLER_36_27
*2494 FILLER_36_277
*2495 FILLER_36_289
*2496 FILLER_36_29
*2497 FILLER_36_301
*2498 FILLER_36_307
*2499 FILLER_36_309
*2500 FILLER_36_321
*2501 FILLER_36_333
*2502 FILLER_36_345
*2503 FILLER_36_357
*2504 FILLER_36_363
*2505 FILLER_36_365
*2506 FILLER_36_377
*2507 FILLER_36_389
*2508 FILLER_36_401
*2509 FILLER_36_41
*2510 FILLER_36_413
*2511 FILLER_36_419
*2512 FILLER_36_421
*2513 FILLER_36_433
*2514 FILLER_36_445
*2515 FILLER_36_457
*2516 FILLER_36_469
*2517 FILLER_36_475
*2518 FILLER_36_477
*2519 FILLER_36_489
*2520 FILLER_36_501
*2521 FILLER_36_513
*2522 FILLER_36_525
*2523 FILLER_36_53
*2524 FILLER_36_531
*2525 FILLER_36_533
*2526 FILLER_36_545
*2527 FILLER_36_557
*2528 FILLER_36_569
*2529 FILLER_36_581
*2530 FILLER_36_587
*2531 FILLER_36_589
*2532 FILLER_36_6
*2533 FILLER_36_601
*2534 FILLER_36_613
*2535 FILLER_36_65
*2536 FILLER_36_77
*2537 FILLER_36_83
*2538 FILLER_36_85
*2539 FILLER_36_97
*2540 FILLER_37_105
*2541 FILLER_37_111
*2542 FILLER_37_113
*2543 FILLER_37_125
*2544 FILLER_37_137
*2545 FILLER_37_149
*2546 FILLER_37_161
*2547 FILLER_37_167
*2548 FILLER_37_169
*2549 FILLER_37_181
*2550 FILLER_37_19
*2551 FILLER_37_193
*2552 FILLER_37_205
*2553 FILLER_37_217
*2554 FILLER_37_223
*2555 FILLER_37_225
*2556 FILLER_37_237
*2557 FILLER_37_249
*2558 FILLER_37_261
*2559 FILLER_37_27
*2560 FILLER_37_273
*2561 FILLER_37_279
*2562 FILLER_37_281
*2563 FILLER_37_293
*2564 FILLER_37_305
*2565 FILLER_37_317
*2566 FILLER_37_329
*2567 FILLER_37_335
*2568 FILLER_37_337
*2569 FILLER_37_349
*2570 FILLER_37_361
*2571 FILLER_37_373
*2572 FILLER_37_38
*2573 FILLER_37_385
*2574 FILLER_37_391
*2575 FILLER_37_393
*2576 FILLER_37_405
*2577 FILLER_37_417
*2578 FILLER_37_429
*2579 FILLER_37_441
*2580 FILLER_37_447
*2581 FILLER_37_449
*2582 FILLER_37_461
*2583 FILLER_37_473
*2584 FILLER_37_485
*2585 FILLER_37_497
*2586 FILLER_37_50
*2587 FILLER_37_503
*2588 FILLER_37_505
*2589 FILLER_37_517
*2590 FILLER_37_529
*2591 FILLER_37_541
*2592 FILLER_37_553
*2593 FILLER_37_559
*2594 FILLER_37_561
*2595 FILLER_37_57
*2596 FILLER_37_573
*2597 FILLER_37_585
*2598 FILLER_37_597
*2599 FILLER_37_609
*2600 FILLER_37_615
*2601 FILLER_37_617
*2602 FILLER_37_69
*2603 FILLER_37_7
*2604 FILLER_37_81
*2605 FILLER_37_93
*2606 FILLER_38_109
*2607 FILLER_38_121
*2608 FILLER_38_133
*2609 FILLER_38_139
*2610 FILLER_38_141
*2611 FILLER_38_153
*2612 FILLER_38_165
*2613 FILLER_38_177
*2614 FILLER_38_189
*2615 FILLER_38_195
*2616 FILLER_38_197
*2617 FILLER_38_209
*2618 FILLER_38_21
*2619 FILLER_38_221
*2620 FILLER_38_233
*2621 FILLER_38_245
*2622 FILLER_38_251
*2623 FILLER_38_253
*2624 FILLER_38_265
*2625 FILLER_38_27
*2626 FILLER_38_277
*2627 FILLER_38_289
*2628 FILLER_38_29
*2629 FILLER_38_301
*2630 FILLER_38_307
*2631 FILLER_38_309
*2632 FILLER_38_321
*2633 FILLER_38_333
*2634 FILLER_38_345
*2635 FILLER_38_357
*2636 FILLER_38_363
*2637 FILLER_38_365
*2638 FILLER_38_377
*2639 FILLER_38_389
*2640 FILLER_38_401
*2641 FILLER_38_413
*2642 FILLER_38_419
*2643 FILLER_38_421
*2644 FILLER_38_433
*2645 FILLER_38_445
*2646 FILLER_38_457
*2647 FILLER_38_469
*2648 FILLER_38_475
*2649 FILLER_38_477
*2650 FILLER_38_48
*2651 FILLER_38_489
*2652 FILLER_38_501
*2653 FILLER_38_513
*2654 FILLER_38_525
*2655 FILLER_38_531
*2656 FILLER_38_533
*2657 FILLER_38_545
*2658 FILLER_38_557
*2659 FILLER_38_569
*2660 FILLER_38_581
*2661 FILLER_38_587
*2662 FILLER_38_589
*2663 FILLER_38_6
*2664 FILLER_38_60
*2665 FILLER_38_601
*2666 FILLER_38_613
*2667 FILLER_38_72
*2668 FILLER_38_85
*2669 FILLER_38_97
*2670 FILLER_39_105
*2671 FILLER_39_111
*2672 FILLER_39_113
*2673 FILLER_39_125
*2674 FILLER_39_137
*2675 FILLER_39_149
*2676 FILLER_39_161
*2677 FILLER_39_167
*2678 FILLER_39_169
*2679 FILLER_39_181
*2680 FILLER_39_193
*2681 FILLER_39_205
*2682 FILLER_39_217
*2683 FILLER_39_223
*2684 FILLER_39_225
*2685 FILLER_39_237
*2686 FILLER_39_249
*2687 FILLER_39_26
*2688 FILLER_39_261
*2689 FILLER_39_273
*2690 FILLER_39_279
*2691 FILLER_39_281
*2692 FILLER_39_293
*2693 FILLER_39_305
*2694 FILLER_39_317
*2695 FILLER_39_329
*2696 FILLER_39_335
*2697 FILLER_39_337
*2698 FILLER_39_349
*2699 FILLER_39_35
*2700 FILLER_39_361
*2701 FILLER_39_373
*2702 FILLER_39_385
*2703 FILLER_39_391
*2704 FILLER_39_393
*2705 FILLER_39_405
*2706 FILLER_39_417
*2707 FILLER_39_429
*2708 FILLER_39_441
*2709 FILLER_39_447
*2710 FILLER_39_449
*2711 FILLER_39_461
*2712 FILLER_39_47
*2713 FILLER_39_473
*2714 FILLER_39_485
*2715 FILLER_39_497
*2716 FILLER_39_503
*2717 FILLER_39_505
*2718 FILLER_39_517
*2719 FILLER_39_529
*2720 FILLER_39_541
*2721 FILLER_39_55
*2722 FILLER_39_553
*2723 FILLER_39_559
*2724 FILLER_39_561
*2725 FILLER_39_57
*2726 FILLER_39_573
*2727 FILLER_39_585
*2728 FILLER_39_597
*2729 FILLER_39_6
*2730 FILLER_39_609
*2731 FILLER_39_615
*2732 FILLER_39_617
*2733 FILLER_39_69
*2734 FILLER_39_81
*2735 FILLER_39_93
*2736 FILLER_3_11
*2737 FILLER_3_110
*2738 FILLER_3_113
*2739 FILLER_3_120
*2740 FILLER_3_132
*2741 FILLER_3_144
*2742 FILLER_3_15
*2743 FILLER_3_156
*2744 FILLER_3_169
*2745 FILLER_3_181
*2746 FILLER_3_193
*2747 FILLER_3_205
*2748 FILLER_3_217
*2749 FILLER_3_223
*2750 FILLER_3_225
*2751 FILLER_3_237
*2752 FILLER_3_249
*2753 FILLER_3_261
*2754 FILLER_3_273
*2755 FILLER_3_279
*2756 FILLER_3_281
*2757 FILLER_3_293
*2758 FILLER_3_305
*2759 FILLER_3_317
*2760 FILLER_3_329
*2761 FILLER_3_335
*2762 FILLER_3_337
*2763 FILLER_3_349
*2764 FILLER_3_35
*2765 FILLER_3_361
*2766 FILLER_3_373
*2767 FILLER_3_385
*2768 FILLER_3_391
*2769 FILLER_3_393
*2770 FILLER_3_405
*2771 FILLER_3_417
*2772 FILLER_3_42
*2773 FILLER_3_429
*2774 FILLER_3_441
*2775 FILLER_3_447
*2776 FILLER_3_449
*2777 FILLER_3_461
*2778 FILLER_3_473
*2779 FILLER_3_485
*2780 FILLER_3_49
*2781 FILLER_3_497
*2782 FILLER_3_503
*2783 FILLER_3_505
*2784 FILLER_3_517
*2785 FILLER_3_529
*2786 FILLER_3_541
*2787 FILLER_3_55
*2788 FILLER_3_553
*2789 FILLER_3_559
*2790 FILLER_3_561
*2791 FILLER_3_57
*2792 FILLER_3_573
*2793 FILLER_3_585
*2794 FILLER_3_597
*2795 FILLER_3_609
*2796 FILLER_3_615
*2797 FILLER_3_617
*2798 FILLER_3_69
*2799 FILLER_3_7
*2800 FILLER_3_74
*2801 FILLER_3_86
*2802 FILLER_3_98
*2803 FILLER_40_109
*2804 FILLER_40_11
*2805 FILLER_40_121
*2806 FILLER_40_133
*2807 FILLER_40_139
*2808 FILLER_40_141
*2809 FILLER_40_15
*2810 FILLER_40_153
*2811 FILLER_40_165
*2812 FILLER_40_177
*2813 FILLER_40_189
*2814 FILLER_40_195
*2815 FILLER_40_197
*2816 FILLER_40_209
*2817 FILLER_40_221
*2818 FILLER_40_233
*2819 FILLER_40_245
*2820 FILLER_40_251
*2821 FILLER_40_253
*2822 FILLER_40_265
*2823 FILLER_40_27
*2824 FILLER_40_277
*2825 FILLER_40_289
*2826 FILLER_40_29
*2827 FILLER_40_301
*2828 FILLER_40_307
*2829 FILLER_40_309
*2830 FILLER_40_321
*2831 FILLER_40_333
*2832 FILLER_40_345
*2833 FILLER_40_35
*2834 FILLER_40_357
*2835 FILLER_40_363
*2836 FILLER_40_365
*2837 FILLER_40_377
*2838 FILLER_40_389
*2839 FILLER_40_401
*2840 FILLER_40_413
*2841 FILLER_40_419
*2842 FILLER_40_421
*2843 FILLER_40_433
*2844 FILLER_40_445
*2845 FILLER_40_457
*2846 FILLER_40_469
*2847 FILLER_40_47
*2848 FILLER_40_475
*2849 FILLER_40_477
*2850 FILLER_40_489
*2851 FILLER_40_501
*2852 FILLER_40_513
*2853 FILLER_40_525
*2854 FILLER_40_531
*2855 FILLER_40_533
*2856 FILLER_40_545
*2857 FILLER_40_557
*2858 FILLER_40_569
*2859 FILLER_40_581
*2860 FILLER_40_587
*2861 FILLER_40_589
*2862 FILLER_40_59
*2863 FILLER_40_601
*2864 FILLER_40_613
*2865 FILLER_40_7
*2866 FILLER_40_71
*2867 FILLER_40_83
*2868 FILLER_40_85
*2869 FILLER_40_97
*2870 FILLER_41_105
*2871 FILLER_41_11
*2872 FILLER_41_111
*2873 FILLER_41_113
*2874 FILLER_41_125
*2875 FILLER_41_137
*2876 FILLER_41_149
*2877 FILLER_41_16
*2878 FILLER_41_161
*2879 FILLER_41_167
*2880 FILLER_41_169
*2881 FILLER_41_181
*2882 FILLER_41_193
*2883 FILLER_41_205
*2884 FILLER_41_217
*2885 FILLER_41_223
*2886 FILLER_41_225
*2887 FILLER_41_237
*2888 FILLER_41_249
*2889 FILLER_41_261
*2890 FILLER_41_273
*2891 FILLER_41_279
*2892 FILLER_41_281
*2893 FILLER_41_293
*2894 FILLER_41_3
*2895 FILLER_41_305
*2896 FILLER_41_31
*2897 FILLER_41_317
*2898 FILLER_41_329
*2899 FILLER_41_335
*2900 FILLER_41_337
*2901 FILLER_41_349
*2902 FILLER_41_361
*2903 FILLER_41_373
*2904 FILLER_41_385
*2905 FILLER_41_39
*2906 FILLER_41_391
*2907 FILLER_41_393
*2908 FILLER_41_405
*2909 FILLER_41_417
*2910 FILLER_41_429
*2911 FILLER_41_441
*2912 FILLER_41_447
*2913 FILLER_41_449
*2914 FILLER_41_461
*2915 FILLER_41_473
*2916 FILLER_41_485
*2917 FILLER_41_497
*2918 FILLER_41_503
*2919 FILLER_41_505
*2920 FILLER_41_51
*2921 FILLER_41_517
*2922 FILLER_41_529
*2923 FILLER_41_541
*2924 FILLER_41_55
*2925 FILLER_41_553
*2926 FILLER_41_559
*2927 FILLER_41_561
*2928 FILLER_41_57
*2929 FILLER_41_573
*2930 FILLER_41_585
*2931 FILLER_41_597
*2932 FILLER_41_609
*2933 FILLER_41_615
*2934 FILLER_41_617
*2935 FILLER_41_69
*2936 FILLER_41_81
*2937 FILLER_41_93
*2938 FILLER_42_109
*2939 FILLER_42_121
*2940 FILLER_42_133
*2941 FILLER_42_139
*2942 FILLER_42_141
*2943 FILLER_42_153
*2944 FILLER_42_165
*2945 FILLER_42_177
*2946 FILLER_42_189
*2947 FILLER_42_19
*2948 FILLER_42_195
*2949 FILLER_42_197
*2950 FILLER_42_209
*2951 FILLER_42_221
*2952 FILLER_42_233
*2953 FILLER_42_24
*2954 FILLER_42_245
*2955 FILLER_42_251
*2956 FILLER_42_253
*2957 FILLER_42_265
*2958 FILLER_42_277
*2959 FILLER_42_289
*2960 FILLER_42_301
*2961 FILLER_42_307
*2962 FILLER_42_309
*2963 FILLER_42_321
*2964 FILLER_42_333
*2965 FILLER_42_345
*2966 FILLER_42_357
*2967 FILLER_42_363
*2968 FILLER_42_365
*2969 FILLER_42_377
*2970 FILLER_42_389
*2971 FILLER_42_401
*2972 FILLER_42_413
*2973 FILLER_42_419
*2974 FILLER_42_421
*2975 FILLER_42_433
*2976 FILLER_42_445
*2977 FILLER_42_45
*2978 FILLER_42_457
*2979 FILLER_42_469
*2980 FILLER_42_475
*2981 FILLER_42_477
*2982 FILLER_42_489
*2983 FILLER_42_501
*2984 FILLER_42_513
*2985 FILLER_42_525
*2986 FILLER_42_531
*2987 FILLER_42_533
*2988 FILLER_42_545
*2989 FILLER_42_557
*2990 FILLER_42_569
*2991 FILLER_42_57
*2992 FILLER_42_581
*2993 FILLER_42_587
*2994 FILLER_42_589
*2995 FILLER_42_601
*2996 FILLER_42_613
*2997 FILLER_42_69
*2998 FILLER_42_7
*2999 FILLER_42_81
*3000 FILLER_42_85
*3001 FILLER_42_97
*3002 FILLER_43_105
*3003 FILLER_43_111
*3004 FILLER_43_113
*3005 FILLER_43_125
*3006 FILLER_43_137
*3007 FILLER_43_149
*3008 FILLER_43_161
*3009 FILLER_43_167
*3010 FILLER_43_169
*3011 FILLER_43_18
*3012 FILLER_43_181
*3013 FILLER_43_193
*3014 FILLER_43_205
*3015 FILLER_43_217
*3016 FILLER_43_223
*3017 FILLER_43_225
*3018 FILLER_43_237
*3019 FILLER_43_249
*3020 FILLER_43_261
*3021 FILLER_43_273
*3022 FILLER_43_279
*3023 FILLER_43_281
*3024 FILLER_43_293
*3025 FILLER_43_30
*3026 FILLER_43_305
*3027 FILLER_43_317
*3028 FILLER_43_329
*3029 FILLER_43_335
*3030 FILLER_43_337
*3031 FILLER_43_349
*3032 FILLER_43_36
*3033 FILLER_43_361
*3034 FILLER_43_373
*3035 FILLER_43_385
*3036 FILLER_43_391
*3037 FILLER_43_393
*3038 FILLER_43_405
*3039 FILLER_43_417
*3040 FILLER_43_429
*3041 FILLER_43_441
*3042 FILLER_43_447
*3043 FILLER_43_449
*3044 FILLER_43_461
*3045 FILLER_43_473
*3046 FILLER_43_48
*3047 FILLER_43_485
*3048 FILLER_43_497
*3049 FILLER_43_503
*3050 FILLER_43_505
*3051 FILLER_43_517
*3052 FILLER_43_529
*3053 FILLER_43_541
*3054 FILLER_43_553
*3055 FILLER_43_559
*3056 FILLER_43_561
*3057 FILLER_43_57
*3058 FILLER_43_573
*3059 FILLER_43_585
*3060 FILLER_43_597
*3061 FILLER_43_6
*3062 FILLER_43_609
*3063 FILLER_43_615
*3064 FILLER_43_617
*3065 FILLER_43_69
*3066 FILLER_43_81
*3067 FILLER_43_93
*3068 FILLER_44_109
*3069 FILLER_44_121
*3070 FILLER_44_133
*3071 FILLER_44_139
*3072 FILLER_44_141
*3073 FILLER_44_153
*3074 FILLER_44_165
*3075 FILLER_44_177
*3076 FILLER_44_189
*3077 FILLER_44_195
*3078 FILLER_44_197
*3079 FILLER_44_209
*3080 FILLER_44_22
*3081 FILLER_44_221
*3082 FILLER_44_233
*3083 FILLER_44_245
*3084 FILLER_44_251
*3085 FILLER_44_253
*3086 FILLER_44_265
*3087 FILLER_44_277
*3088 FILLER_44_289
*3089 FILLER_44_301
*3090 FILLER_44_307
*3091 FILLER_44_309
*3092 FILLER_44_321
*3093 FILLER_44_333
*3094 FILLER_44_345
*3095 FILLER_44_35
*3096 FILLER_44_357
*3097 FILLER_44_363
*3098 FILLER_44_365
*3099 FILLER_44_377
*3100 FILLER_44_389
*3101 FILLER_44_401
*3102 FILLER_44_413
*3103 FILLER_44_419
*3104 FILLER_44_421
*3105 FILLER_44_433
*3106 FILLER_44_445
*3107 FILLER_44_457
*3108 FILLER_44_469
*3109 FILLER_44_47
*3110 FILLER_44_475
*3111 FILLER_44_477
*3112 FILLER_44_489
*3113 FILLER_44_501
*3114 FILLER_44_513
*3115 FILLER_44_525
*3116 FILLER_44_531
*3117 FILLER_44_533
*3118 FILLER_44_545
*3119 FILLER_44_557
*3120 FILLER_44_569
*3121 FILLER_44_581
*3122 FILLER_44_587
*3123 FILLER_44_589
*3124 FILLER_44_59
*3125 FILLER_44_601
*3126 FILLER_44_613
*3127 FILLER_44_7
*3128 FILLER_44_71
*3129 FILLER_44_83
*3130 FILLER_44_85
*3131 FILLER_44_97
*3132 FILLER_45_105
*3133 FILLER_45_111
*3134 FILLER_45_113
*3135 FILLER_45_125
*3136 FILLER_45_137
*3137 FILLER_45_149
*3138 FILLER_45_161
*3139 FILLER_45_167
*3140 FILLER_45_169
*3141 FILLER_45_181
*3142 FILLER_45_193
*3143 FILLER_45_205
*3144 FILLER_45_217
*3145 FILLER_45_223
*3146 FILLER_45_225
*3147 FILLER_45_237
*3148 FILLER_45_249
*3149 FILLER_45_26
*3150 FILLER_45_261
*3151 FILLER_45_273
*3152 FILLER_45_279
*3153 FILLER_45_281
*3154 FILLER_45_293
*3155 FILLER_45_305
*3156 FILLER_45_317
*3157 FILLER_45_329
*3158 FILLER_45_335
*3159 FILLER_45_337
*3160 FILLER_45_349
*3161 FILLER_45_361
*3162 FILLER_45_373
*3163 FILLER_45_38
*3164 FILLER_45_385
*3165 FILLER_45_391
*3166 FILLER_45_393
*3167 FILLER_45_405
*3168 FILLER_45_417
*3169 FILLER_45_429
*3170 FILLER_45_441
*3171 FILLER_45_447
*3172 FILLER_45_449
*3173 FILLER_45_461
*3174 FILLER_45_473
*3175 FILLER_45_485
*3176 FILLER_45_497
*3177 FILLER_45_50
*3178 FILLER_45_503
*3179 FILLER_45_505
*3180 FILLER_45_517
*3181 FILLER_45_529
*3182 FILLER_45_541
*3183 FILLER_45_553
*3184 FILLER_45_559
*3185 FILLER_45_561
*3186 FILLER_45_57
*3187 FILLER_45_573
*3188 FILLER_45_585
*3189 FILLER_45_597
*3190 FILLER_45_6
*3191 FILLER_45_609
*3192 FILLER_45_615
*3193 FILLER_45_617
*3194 FILLER_45_69
*3195 FILLER_45_81
*3196 FILLER_45_93
*3197 FILLER_46_109
*3198 FILLER_46_11
*3199 FILLER_46_121
*3200 FILLER_46_133
*3201 FILLER_46_139
*3202 FILLER_46_141
*3203 FILLER_46_15
*3204 FILLER_46_153
*3205 FILLER_46_165
*3206 FILLER_46_177
*3207 FILLER_46_189
*3208 FILLER_46_195
*3209 FILLER_46_197
*3210 FILLER_46_209
*3211 FILLER_46_221
*3212 FILLER_46_233
*3213 FILLER_46_245
*3214 FILLER_46_251
*3215 FILLER_46_253
*3216 FILLER_46_265
*3217 FILLER_46_27
*3218 FILLER_46_277
*3219 FILLER_46_289
*3220 FILLER_46_301
*3221 FILLER_46_307
*3222 FILLER_46_309
*3223 FILLER_46_321
*3224 FILLER_46_333
*3225 FILLER_46_345
*3226 FILLER_46_357
*3227 FILLER_46_363
*3228 FILLER_46_365
*3229 FILLER_46_377
*3230 FILLER_46_38
*3231 FILLER_46_389
*3232 FILLER_46_401
*3233 FILLER_46_413
*3234 FILLER_46_419
*3235 FILLER_46_421
*3236 FILLER_46_433
*3237 FILLER_46_445
*3238 FILLER_46_457
*3239 FILLER_46_469
*3240 FILLER_46_475
*3241 FILLER_46_477
*3242 FILLER_46_489
*3243 FILLER_46_50
*3244 FILLER_46_501
*3245 FILLER_46_513
*3246 FILLER_46_525
*3247 FILLER_46_531
*3248 FILLER_46_533
*3249 FILLER_46_545
*3250 FILLER_46_557
*3251 FILLER_46_569
*3252 FILLER_46_581
*3253 FILLER_46_587
*3254 FILLER_46_589
*3255 FILLER_46_601
*3256 FILLER_46_613
*3257 FILLER_46_62
*3258 FILLER_46_7
*3259 FILLER_46_74
*3260 FILLER_46_82
*3261 FILLER_46_85
*3262 FILLER_46_97
*3263 FILLER_47_105
*3264 FILLER_47_111
*3265 FILLER_47_113
*3266 FILLER_47_125
*3267 FILLER_47_137
*3268 FILLER_47_149
*3269 FILLER_47_15
*3270 FILLER_47_161
*3271 FILLER_47_167
*3272 FILLER_47_169
*3273 FILLER_47_181
*3274 FILLER_47_193
*3275 FILLER_47_205
*3276 FILLER_47_217
*3277 FILLER_47_223
*3278 FILLER_47_225
*3279 FILLER_47_237
*3280 FILLER_47_249
*3281 FILLER_47_261
*3282 FILLER_47_27
*3283 FILLER_47_273
*3284 FILLER_47_279
*3285 FILLER_47_281
*3286 FILLER_47_293
*3287 FILLER_47_305
*3288 FILLER_47_317
*3289 FILLER_47_32
*3290 FILLER_47_329
*3291 FILLER_47_335
*3292 FILLER_47_337
*3293 FILLER_47_349
*3294 FILLER_47_361
*3295 FILLER_47_373
*3296 FILLER_47_385
*3297 FILLER_47_391
*3298 FILLER_47_393
*3299 FILLER_47_405
*3300 FILLER_47_417
*3301 FILLER_47_429
*3302 FILLER_47_441
*3303 FILLER_47_447
*3304 FILLER_47_449
*3305 FILLER_47_461
*3306 FILLER_47_473
*3307 FILLER_47_485
*3308 FILLER_47_497
*3309 FILLER_47_503
*3310 FILLER_47_505
*3311 FILLER_47_517
*3312 FILLER_47_52
*3313 FILLER_47_529
*3314 FILLER_47_541
*3315 FILLER_47_553
*3316 FILLER_47_559
*3317 FILLER_47_561
*3318 FILLER_47_57
*3319 FILLER_47_573
*3320 FILLER_47_585
*3321 FILLER_47_597
*3322 FILLER_47_6
*3323 FILLER_47_609
*3324 FILLER_47_615
*3325 FILLER_47_617
*3326 FILLER_47_69
*3327 FILLER_47_81
*3328 FILLER_47_93
*3329 FILLER_48_109
*3330 FILLER_48_121
*3331 FILLER_48_133
*3332 FILLER_48_139
*3333 FILLER_48_141
*3334 FILLER_48_153
*3335 FILLER_48_165
*3336 FILLER_48_177
*3337 FILLER_48_189
*3338 FILLER_48_195
*3339 FILLER_48_197
*3340 FILLER_48_209
*3341 FILLER_48_221
*3342 FILLER_48_233
*3343 FILLER_48_24
*3344 FILLER_48_245
*3345 FILLER_48_251
*3346 FILLER_48_253
*3347 FILLER_48_265
*3348 FILLER_48_277
*3349 FILLER_48_289
*3350 FILLER_48_29
*3351 FILLER_48_3
*3352 FILLER_48_301
*3353 FILLER_48_307
*3354 FILLER_48_309
*3355 FILLER_48_321
*3356 FILLER_48_333
*3357 FILLER_48_345
*3358 FILLER_48_357
*3359 FILLER_48_363
*3360 FILLER_48_365
*3361 FILLER_48_377
*3362 FILLER_48_389
*3363 FILLER_48_401
*3364 FILLER_48_41
*3365 FILLER_48_413
*3366 FILLER_48_419
*3367 FILLER_48_421
*3368 FILLER_48_433
*3369 FILLER_48_445
*3370 FILLER_48_457
*3371 FILLER_48_469
*3372 FILLER_48_475
*3373 FILLER_48_477
*3374 FILLER_48_489
*3375 FILLER_48_501
*3376 FILLER_48_513
*3377 FILLER_48_525
*3378 FILLER_48_53
*3379 FILLER_48_531
*3380 FILLER_48_533
*3381 FILLER_48_545
*3382 FILLER_48_557
*3383 FILLER_48_569
*3384 FILLER_48_581
*3385 FILLER_48_587
*3386 FILLER_48_589
*3387 FILLER_48_601
*3388 FILLER_48_613
*3389 FILLER_48_65
*3390 FILLER_48_7
*3391 FILLER_48_77
*3392 FILLER_48_83
*3393 FILLER_48_85
*3394 FILLER_48_97
*3395 FILLER_49_105
*3396 FILLER_49_111
*3397 FILLER_49_113
*3398 FILLER_49_125
*3399 FILLER_49_137
*3400 FILLER_49_149
*3401 FILLER_49_161
*3402 FILLER_49_167
*3403 FILLER_49_169
*3404 FILLER_49_181
*3405 FILLER_49_193
*3406 FILLER_49_205
*3407 FILLER_49_217
*3408 FILLER_49_22
*3409 FILLER_49_223
*3410 FILLER_49_225
*3411 FILLER_49_237
*3412 FILLER_49_249
*3413 FILLER_49_261
*3414 FILLER_49_273
*3415 FILLER_49_279
*3416 FILLER_49_281
*3417 FILLER_49_293
*3418 FILLER_49_305
*3419 FILLER_49_317
*3420 FILLER_49_329
*3421 FILLER_49_335
*3422 FILLER_49_337
*3423 FILLER_49_34
*3424 FILLER_49_349
*3425 FILLER_49_361
*3426 FILLER_49_373
*3427 FILLER_49_385
*3428 FILLER_49_391
*3429 FILLER_49_393
*3430 FILLER_49_405
*3431 FILLER_49_417
*3432 FILLER_49_429
*3433 FILLER_49_441
*3434 FILLER_49_447
*3435 FILLER_49_449
*3436 FILLER_49_46
*3437 FILLER_49_461
*3438 FILLER_49_473
*3439 FILLER_49_485
*3440 FILLER_49_497
*3441 FILLER_49_503
*3442 FILLER_49_505
*3443 FILLER_49_517
*3444 FILLER_49_529
*3445 FILLER_49_54
*3446 FILLER_49_541
*3447 FILLER_49_553
*3448 FILLER_49_559
*3449 FILLER_49_561
*3450 FILLER_49_57
*3451 FILLER_49_573
*3452 FILLER_49_585
*3453 FILLER_49_597
*3454 FILLER_49_609
*3455 FILLER_49_615
*3456 FILLER_49_617
*3457 FILLER_49_69
*3458 FILLER_49_7
*3459 FILLER_49_81
*3460 FILLER_49_93
*3461 FILLER_4_109
*3462 FILLER_4_11
*3463 FILLER_4_121
*3464 FILLER_4_129
*3465 FILLER_4_137
*3466 FILLER_4_141
*3467 FILLER_4_15
*3468 FILLER_4_153
*3469 FILLER_4_165
*3470 FILLER_4_170
*3471 FILLER_4_182
*3472 FILLER_4_194
*3473 FILLER_4_197
*3474 FILLER_4_209
*3475 FILLER_4_22
*3476 FILLER_4_221
*3477 FILLER_4_233
*3478 FILLER_4_245
*3479 FILLER_4_251
*3480 FILLER_4_253
*3481 FILLER_4_265
*3482 FILLER_4_277
*3483 FILLER_4_289
*3484 FILLER_4_301
*3485 FILLER_4_307
*3486 FILLER_4_309
*3487 FILLER_4_321
*3488 FILLER_4_333
*3489 FILLER_4_345
*3490 FILLER_4_35
*3491 FILLER_4_357
*3492 FILLER_4_363
*3493 FILLER_4_365
*3494 FILLER_4_377
*3495 FILLER_4_389
*3496 FILLER_4_401
*3497 FILLER_4_413
*3498 FILLER_4_419
*3499 FILLER_4_42
*3500 FILLER_4_421
*3501 FILLER_4_433
*3502 FILLER_4_445
*3503 FILLER_4_457
*3504 FILLER_4_469
*3505 FILLER_4_475
*3506 FILLER_4_477
*3507 FILLER_4_489
*3508 FILLER_4_49
*3509 FILLER_4_501
*3510 FILLER_4_513
*3511 FILLER_4_525
*3512 FILLER_4_531
*3513 FILLER_4_533
*3514 FILLER_4_545
*3515 FILLER_4_557
*3516 FILLER_4_569
*3517 FILLER_4_581
*3518 FILLER_4_587
*3519 FILLER_4_589
*3520 FILLER_4_601
*3521 FILLER_4_61
*3522 FILLER_4_613
*3523 FILLER_4_7
*3524 FILLER_4_73
*3525 FILLER_4_81
*3526 FILLER_4_85
*3527 FILLER_4_97
*3528 FILLER_50_109
*3529 FILLER_50_121
*3530 FILLER_50_133
*3531 FILLER_50_139
*3532 FILLER_50_141
*3533 FILLER_50_153
*3534 FILLER_50_165
*3535 FILLER_50_177
*3536 FILLER_50_18
*3537 FILLER_50_189
*3538 FILLER_50_195
*3539 FILLER_50_197
*3540 FILLER_50_209
*3541 FILLER_50_221
*3542 FILLER_50_233
*3543 FILLER_50_245
*3544 FILLER_50_251
*3545 FILLER_50_253
*3546 FILLER_50_26
*3547 FILLER_50_265
*3548 FILLER_50_277
*3549 FILLER_50_289
*3550 FILLER_50_301
*3551 FILLER_50_307
*3552 FILLER_50_309
*3553 FILLER_50_321
*3554 FILLER_50_333
*3555 FILLER_50_345
*3556 FILLER_50_357
*3557 FILLER_50_363
*3558 FILLER_50_365
*3559 FILLER_50_377
*3560 FILLER_50_38
*3561 FILLER_50_389
*3562 FILLER_50_401
*3563 FILLER_50_413
*3564 FILLER_50_419
*3565 FILLER_50_421
*3566 FILLER_50_433
*3567 FILLER_50_445
*3568 FILLER_50_457
*3569 FILLER_50_469
*3570 FILLER_50_475
*3571 FILLER_50_477
*3572 FILLER_50_489
*3573 FILLER_50_50
*3574 FILLER_50_501
*3575 FILLER_50_513
*3576 FILLER_50_525
*3577 FILLER_50_531
*3578 FILLER_50_533
*3579 FILLER_50_545
*3580 FILLER_50_557
*3581 FILLER_50_569
*3582 FILLER_50_581
*3583 FILLER_50_587
*3584 FILLER_50_589
*3585 FILLER_50_6
*3586 FILLER_50_601
*3587 FILLER_50_613
*3588 FILLER_50_62
*3589 FILLER_50_74
*3590 FILLER_50_82
*3591 FILLER_50_85
*3592 FILLER_50_97
*3593 FILLER_51_105
*3594 FILLER_51_111
*3595 FILLER_51_113
*3596 FILLER_51_125
*3597 FILLER_51_137
*3598 FILLER_51_149
*3599 FILLER_51_161
*3600 FILLER_51_167
*3601 FILLER_51_169
*3602 FILLER_51_181
*3603 FILLER_51_19
*3604 FILLER_51_193
*3605 FILLER_51_205
*3606 FILLER_51_217
*3607 FILLER_51_223
*3608 FILLER_51_225
*3609 FILLER_51_237
*3610 FILLER_51_249
*3611 FILLER_51_261
*3612 FILLER_51_27
*3613 FILLER_51_273
*3614 FILLER_51_279
*3615 FILLER_51_281
*3616 FILLER_51_293
*3617 FILLER_51_305
*3618 FILLER_51_317
*3619 FILLER_51_329
*3620 FILLER_51_335
*3621 FILLER_51_337
*3622 FILLER_51_349
*3623 FILLER_51_361
*3624 FILLER_51_373
*3625 FILLER_51_385
*3626 FILLER_51_391
*3627 FILLER_51_393
*3628 FILLER_51_405
*3629 FILLER_51_417
*3630 FILLER_51_429
*3631 FILLER_51_441
*3632 FILLER_51_447
*3633 FILLER_51_449
*3634 FILLER_51_45
*3635 FILLER_51_461
*3636 FILLER_51_473
*3637 FILLER_51_485
*3638 FILLER_51_497
*3639 FILLER_51_503
*3640 FILLER_51_505
*3641 FILLER_51_517
*3642 FILLER_51_529
*3643 FILLER_51_53
*3644 FILLER_51_541
*3645 FILLER_51_553
*3646 FILLER_51_559
*3647 FILLER_51_561
*3648 FILLER_51_57
*3649 FILLER_51_573
*3650 FILLER_51_585
*3651 FILLER_51_597
*3652 FILLER_51_609
*3653 FILLER_51_615
*3654 FILLER_51_617
*3655 FILLER_51_69
*3656 FILLER_51_7
*3657 FILLER_51_81
*3658 FILLER_51_93
*3659 FILLER_52_109
*3660 FILLER_52_121
*3661 FILLER_52_133
*3662 FILLER_52_139
*3663 FILLER_52_141
*3664 FILLER_52_153
*3665 FILLER_52_165
*3666 FILLER_52_177
*3667 FILLER_52_189
*3668 FILLER_52_195
*3669 FILLER_52_197
*3670 FILLER_52_209
*3671 FILLER_52_221
*3672 FILLER_52_233
*3673 FILLER_52_24
*3674 FILLER_52_245
*3675 FILLER_52_251
*3676 FILLER_52_253
*3677 FILLER_52_265
*3678 FILLER_52_277
*3679 FILLER_52_289
*3680 FILLER_52_3
*3681 FILLER_52_301
*3682 FILLER_52_307
*3683 FILLER_52_309
*3684 FILLER_52_32
*3685 FILLER_52_321
*3686 FILLER_52_333
*3687 FILLER_52_345
*3688 FILLER_52_357
*3689 FILLER_52_363
*3690 FILLER_52_365
*3691 FILLER_52_377
*3692 FILLER_52_389
*3693 FILLER_52_401
*3694 FILLER_52_413
*3695 FILLER_52_419
*3696 FILLER_52_421
*3697 FILLER_52_433
*3698 FILLER_52_44
*3699 FILLER_52_445
*3700 FILLER_52_457
*3701 FILLER_52_469
*3702 FILLER_52_475
*3703 FILLER_52_477
*3704 FILLER_52_489
*3705 FILLER_52_501
*3706 FILLER_52_513
*3707 FILLER_52_525
*3708 FILLER_52_531
*3709 FILLER_52_533
*3710 FILLER_52_545
*3711 FILLER_52_557
*3712 FILLER_52_56
*3713 FILLER_52_569
*3714 FILLER_52_581
*3715 FILLER_52_587
*3716 FILLER_52_589
*3717 FILLER_52_601
*3718 FILLER_52_613
*3719 FILLER_52_68
*3720 FILLER_52_7
*3721 FILLER_52_80
*3722 FILLER_52_85
*3723 FILLER_52_97
*3724 FILLER_53_105
*3725 FILLER_53_111
*3726 FILLER_53_113
*3727 FILLER_53_125
*3728 FILLER_53_13
*3729 FILLER_53_137
*3730 FILLER_53_149
*3731 FILLER_53_161
*3732 FILLER_53_167
*3733 FILLER_53_169
*3734 FILLER_53_181
*3735 FILLER_53_193
*3736 FILLER_53_205
*3737 FILLER_53_217
*3738 FILLER_53_223
*3739 FILLER_53_225
*3740 FILLER_53_23
*3741 FILLER_53_237
*3742 FILLER_53_249
*3743 FILLER_53_261
*3744 FILLER_53_273
*3745 FILLER_53_279
*3746 FILLER_53_281
*3747 FILLER_53_293
*3748 FILLER_53_305
*3749 FILLER_53_317
*3750 FILLER_53_329
*3751 FILLER_53_335
*3752 FILLER_53_337
*3753 FILLER_53_349
*3754 FILLER_53_35
*3755 FILLER_53_361
*3756 FILLER_53_373
*3757 FILLER_53_385
*3758 FILLER_53_391
*3759 FILLER_53_393
*3760 FILLER_53_405
*3761 FILLER_53_417
*3762 FILLER_53_429
*3763 FILLER_53_441
*3764 FILLER_53_447
*3765 FILLER_53_449
*3766 FILLER_53_461
*3767 FILLER_53_47
*3768 FILLER_53_473
*3769 FILLER_53_485
*3770 FILLER_53_497
*3771 FILLER_53_503
*3772 FILLER_53_505
*3773 FILLER_53_517
*3774 FILLER_53_529
*3775 FILLER_53_541
*3776 FILLER_53_55
*3777 FILLER_53_553
*3778 FILLER_53_559
*3779 FILLER_53_561
*3780 FILLER_53_57
*3781 FILLER_53_573
*3782 FILLER_53_585
*3783 FILLER_53_597
*3784 FILLER_53_609
*3785 FILLER_53_615
*3786 FILLER_53_617
*3787 FILLER_53_69
*3788 FILLER_53_7
*3789 FILLER_53_81
*3790 FILLER_53_93
*3791 FILLER_54_109
*3792 FILLER_54_12
*3793 FILLER_54_121
*3794 FILLER_54_133
*3795 FILLER_54_139
*3796 FILLER_54_141
*3797 FILLER_54_153
*3798 FILLER_54_16
*3799 FILLER_54_165
*3800 FILLER_54_177
*3801 FILLER_54_189
*3802 FILLER_54_195
*3803 FILLER_54_197
*3804 FILLER_54_209
*3805 FILLER_54_221
*3806 FILLER_54_23
*3807 FILLER_54_233
*3808 FILLER_54_245
*3809 FILLER_54_251
*3810 FILLER_54_253
*3811 FILLER_54_265
*3812 FILLER_54_27
*3813 FILLER_54_277
*3814 FILLER_54_289
*3815 FILLER_54_29
*3816 FILLER_54_301
*3817 FILLER_54_307
*3818 FILLER_54_309
*3819 FILLER_54_321
*3820 FILLER_54_333
*3821 FILLER_54_345
*3822 FILLER_54_357
*3823 FILLER_54_363
*3824 FILLER_54_365
*3825 FILLER_54_377
*3826 FILLER_54_389
*3827 FILLER_54_39
*3828 FILLER_54_401
*3829 FILLER_54_413
*3830 FILLER_54_419
*3831 FILLER_54_421
*3832 FILLER_54_433
*3833 FILLER_54_445
*3834 FILLER_54_457
*3835 FILLER_54_469
*3836 FILLER_54_475
*3837 FILLER_54_477
*3838 FILLER_54_489
*3839 FILLER_54_501
*3840 FILLER_54_51
*3841 FILLER_54_513
*3842 FILLER_54_525
*3843 FILLER_54_531
*3844 FILLER_54_533
*3845 FILLER_54_545
*3846 FILLER_54_557
*3847 FILLER_54_569
*3848 FILLER_54_581
*3849 FILLER_54_587
*3850 FILLER_54_589
*3851 FILLER_54_6
*3852 FILLER_54_601
*3853 FILLER_54_613
*3854 FILLER_54_63
*3855 FILLER_54_75
*3856 FILLER_54_83
*3857 FILLER_54_85
*3858 FILLER_54_97
*3859 FILLER_55_105
*3860 FILLER_55_111
*3861 FILLER_55_113
*3862 FILLER_55_125
*3863 FILLER_55_137
*3864 FILLER_55_149
*3865 FILLER_55_161
*3866 FILLER_55_167
*3867 FILLER_55_169
*3868 FILLER_55_181
*3869 FILLER_55_193
*3870 FILLER_55_205
*3871 FILLER_55_217
*3872 FILLER_55_22
*3873 FILLER_55_223
*3874 FILLER_55_225
*3875 FILLER_55_237
*3876 FILLER_55_249
*3877 FILLER_55_261
*3878 FILLER_55_273
*3879 FILLER_55_279
*3880 FILLER_55_281
*3881 FILLER_55_293
*3882 FILLER_55_305
*3883 FILLER_55_317
*3884 FILLER_55_329
*3885 FILLER_55_335
*3886 FILLER_55_337
*3887 FILLER_55_34
*3888 FILLER_55_349
*3889 FILLER_55_361
*3890 FILLER_55_373
*3891 FILLER_55_385
*3892 FILLER_55_391
*3893 FILLER_55_393
*3894 FILLER_55_405
*3895 FILLER_55_417
*3896 FILLER_55_429
*3897 FILLER_55_441
*3898 FILLER_55_447
*3899 FILLER_55_449
*3900 FILLER_55_461
*3901 FILLER_55_473
*3902 FILLER_55_485
*3903 FILLER_55_497
*3904 FILLER_55_503
*3905 FILLER_55_505
*3906 FILLER_55_517
*3907 FILLER_55_52
*3908 FILLER_55_529
*3909 FILLER_55_541
*3910 FILLER_55_553
*3911 FILLER_55_559
*3912 FILLER_55_561
*3913 FILLER_55_57
*3914 FILLER_55_573
*3915 FILLER_55_585
*3916 FILLER_55_597
*3917 FILLER_55_609
*3918 FILLER_55_615
*3919 FILLER_55_617
*3920 FILLER_55_69
*3921 FILLER_55_7
*3922 FILLER_55_81
*3923 FILLER_55_93
*3924 FILLER_56_109
*3925 FILLER_56_121
*3926 FILLER_56_133
*3927 FILLER_56_139
*3928 FILLER_56_141
*3929 FILLER_56_153
*3930 FILLER_56_165
*3931 FILLER_56_177
*3932 FILLER_56_189
*3933 FILLER_56_195
*3934 FILLER_56_197
*3935 FILLER_56_209
*3936 FILLER_56_221
*3937 FILLER_56_233
*3938 FILLER_56_24
*3939 FILLER_56_245
*3940 FILLER_56_251
*3941 FILLER_56_253
*3942 FILLER_56_265
*3943 FILLER_56_277
*3944 FILLER_56_289
*3945 FILLER_56_29
*3946 FILLER_56_3
*3947 FILLER_56_301
*3948 FILLER_56_307
*3949 FILLER_56_309
*3950 FILLER_56_321
*3951 FILLER_56_333
*3952 FILLER_56_345
*3953 FILLER_56_357
*3954 FILLER_56_363
*3955 FILLER_56_365
*3956 FILLER_56_377
*3957 FILLER_56_389
*3958 FILLER_56_40
*3959 FILLER_56_401
*3960 FILLER_56_413
*3961 FILLER_56_419
*3962 FILLER_56_421
*3963 FILLER_56_433
*3964 FILLER_56_445
*3965 FILLER_56_457
*3966 FILLER_56_469
*3967 FILLER_56_475
*3968 FILLER_56_477
*3969 FILLER_56_489
*3970 FILLER_56_501
*3971 FILLER_56_513
*3972 FILLER_56_52
*3973 FILLER_56_525
*3974 FILLER_56_531
*3975 FILLER_56_533
*3976 FILLER_56_545
*3977 FILLER_56_557
*3978 FILLER_56_569
*3979 FILLER_56_581
*3980 FILLER_56_587
*3981 FILLER_56_589
*3982 FILLER_56_601
*3983 FILLER_56_613
*3984 FILLER_56_64
*3985 FILLER_56_7
*3986 FILLER_56_76
*3987 FILLER_56_85
*3988 FILLER_56_97
*3989 FILLER_57_105
*3990 FILLER_57_111
*3991 FILLER_57_113
*3992 FILLER_57_125
*3993 FILLER_57_137
*3994 FILLER_57_149
*3995 FILLER_57_15
*3996 FILLER_57_161
*3997 FILLER_57_167
*3998 FILLER_57_169
*3999 FILLER_57_181
*4000 FILLER_57_193
*4001 FILLER_57_205
*4002 FILLER_57_217
*4003 FILLER_57_223
*4004 FILLER_57_225
*4005 FILLER_57_237
*4006 FILLER_57_249
*4007 FILLER_57_261
*4008 FILLER_57_27
*4009 FILLER_57_273
*4010 FILLER_57_279
*4011 FILLER_57_281
*4012 FILLER_57_293
*4013 FILLER_57_305
*4014 FILLER_57_317
*4015 FILLER_57_329
*4016 FILLER_57_335
*4017 FILLER_57_337
*4018 FILLER_57_349
*4019 FILLER_57_361
*4020 FILLER_57_373
*4021 FILLER_57_385
*4022 FILLER_57_39
*4023 FILLER_57_391
*4024 FILLER_57_393
*4025 FILLER_57_405
*4026 FILLER_57_417
*4027 FILLER_57_429
*4028 FILLER_57_441
*4029 FILLER_57_447
*4030 FILLER_57_449
*4031 FILLER_57_461
*4032 FILLER_57_473
*4033 FILLER_57_485
*4034 FILLER_57_497
*4035 FILLER_57_503
*4036 FILLER_57_505
*4037 FILLER_57_51
*4038 FILLER_57_517
*4039 FILLER_57_529
*4040 FILLER_57_541
*4041 FILLER_57_55
*4042 FILLER_57_553
*4043 FILLER_57_559
*4044 FILLER_57_561
*4045 FILLER_57_57
*4046 FILLER_57_573
*4047 FILLER_57_585
*4048 FILLER_57_597
*4049 FILLER_57_6
*4050 FILLER_57_609
*4051 FILLER_57_615
*4052 FILLER_57_617
*4053 FILLER_57_69
*4054 FILLER_57_81
*4055 FILLER_57_93
*4056 FILLER_58_109
*4057 FILLER_58_121
*4058 FILLER_58_133
*4059 FILLER_58_139
*4060 FILLER_58_141
*4061 FILLER_58_153
*4062 FILLER_58_165
*4063 FILLER_58_177
*4064 FILLER_58_189
*4065 FILLER_58_19
*4066 FILLER_58_195
*4067 FILLER_58_197
*4068 FILLER_58_209
*4069 FILLER_58_221
*4070 FILLER_58_233
*4071 FILLER_58_245
*4072 FILLER_58_251
*4073 FILLER_58_253
*4074 FILLER_58_265
*4075 FILLER_58_27
*4076 FILLER_58_277
*4077 FILLER_58_289
*4078 FILLER_58_29
*4079 FILLER_58_301
*4080 FILLER_58_307
*4081 FILLER_58_309
*4082 FILLER_58_321
*4083 FILLER_58_333
*4084 FILLER_58_345
*4085 FILLER_58_357
*4086 FILLER_58_363
*4087 FILLER_58_365
*4088 FILLER_58_377
*4089 FILLER_58_389
*4090 FILLER_58_401
*4091 FILLER_58_41
*4092 FILLER_58_413
*4093 FILLER_58_419
*4094 FILLER_58_421
*4095 FILLER_58_433
*4096 FILLER_58_445
*4097 FILLER_58_457
*4098 FILLER_58_469
*4099 FILLER_58_475
*4100 FILLER_58_477
*4101 FILLER_58_489
*4102 FILLER_58_501
*4103 FILLER_58_513
*4104 FILLER_58_525
*4105 FILLER_58_53
*4106 FILLER_58_531
*4107 FILLER_58_533
*4108 FILLER_58_545
*4109 FILLER_58_557
*4110 FILLER_58_569
*4111 FILLER_58_581
*4112 FILLER_58_587
*4113 FILLER_58_589
*4114 FILLER_58_601
*4115 FILLER_58_613
*4116 FILLER_58_65
*4117 FILLER_58_7
*4118 FILLER_58_77
*4119 FILLER_58_83
*4120 FILLER_58_85
*4121 FILLER_58_97
*4122 FILLER_59_105
*4123 FILLER_59_111
*4124 FILLER_59_113
*4125 FILLER_59_125
*4126 FILLER_59_137
*4127 FILLER_59_149
*4128 FILLER_59_161
*4129 FILLER_59_167
*4130 FILLER_59_169
*4131 FILLER_59_18
*4132 FILLER_59_181
*4133 FILLER_59_193
*4134 FILLER_59_205
*4135 FILLER_59_217
*4136 FILLER_59_223
*4137 FILLER_59_225
*4138 FILLER_59_237
*4139 FILLER_59_249
*4140 FILLER_59_261
*4141 FILLER_59_273
*4142 FILLER_59_279
*4143 FILLER_59_281
*4144 FILLER_59_293
*4145 FILLER_59_30
*4146 FILLER_59_305
*4147 FILLER_59_317
*4148 FILLER_59_329
*4149 FILLER_59_335
*4150 FILLER_59_337
*4151 FILLER_59_349
*4152 FILLER_59_361
*4153 FILLER_59_373
*4154 FILLER_59_385
*4155 FILLER_59_391
*4156 FILLER_59_393
*4157 FILLER_59_405
*4158 FILLER_59_417
*4159 FILLER_59_42
*4160 FILLER_59_429
*4161 FILLER_59_441
*4162 FILLER_59_447
*4163 FILLER_59_449
*4164 FILLER_59_461
*4165 FILLER_59_473
*4166 FILLER_59_485
*4167 FILLER_59_497
*4168 FILLER_59_503
*4169 FILLER_59_505
*4170 FILLER_59_517
*4171 FILLER_59_529
*4172 FILLER_59_54
*4173 FILLER_59_541
*4174 FILLER_59_553
*4175 FILLER_59_559
*4176 FILLER_59_561
*4177 FILLER_59_57
*4178 FILLER_59_573
*4179 FILLER_59_585
*4180 FILLER_59_597
*4181 FILLER_59_6
*4182 FILLER_59_609
*4183 FILLER_59_615
*4184 FILLER_59_617
*4185 FILLER_59_69
*4186 FILLER_59_81
*4187 FILLER_59_93
*4188 FILLER_5_110
*4189 FILLER_5_113
*4190 FILLER_5_118
*4191 FILLER_5_138
*4192 FILLER_5_15
*4193 FILLER_5_150
*4194 FILLER_5_158
*4195 FILLER_5_164
*4196 FILLER_5_175
*4197 FILLER_5_187
*4198 FILLER_5_195
*4199 FILLER_5_199
*4200 FILLER_5_220
*4201 FILLER_5_225
*4202 FILLER_5_237
*4203 FILLER_5_248
*4204 FILLER_5_26
*4205 FILLER_5_260
*4206 FILLER_5_272
*4207 FILLER_5_281
*4208 FILLER_5_293
*4209 FILLER_5_3
*4210 FILLER_5_305
*4211 FILLER_5_317
*4212 FILLER_5_329
*4213 FILLER_5_33
*4214 FILLER_5_335
*4215 FILLER_5_337
*4216 FILLER_5_349
*4217 FILLER_5_361
*4218 FILLER_5_373
*4219 FILLER_5_385
*4220 FILLER_5_391
*4221 FILLER_5_393
*4222 FILLER_5_40
*4223 FILLER_5_405
*4224 FILLER_5_417
*4225 FILLER_5_429
*4226 FILLER_5_44
*4227 FILLER_5_441
*4228 FILLER_5_447
*4229 FILLER_5_449
*4230 FILLER_5_461
*4231 FILLER_5_473
*4232 FILLER_5_485
*4233 FILLER_5_497
*4234 FILLER_5_50
*4235 FILLER_5_503
*4236 FILLER_5_505
*4237 FILLER_5_517
*4238 FILLER_5_529
*4239 FILLER_5_541
*4240 FILLER_5_553
*4241 FILLER_5_559
*4242 FILLER_5_561
*4243 FILLER_5_57
*4244 FILLER_5_573
*4245 FILLER_5_585
*4246 FILLER_5_597
*4247 FILLER_5_609
*4248 FILLER_5_615
*4249 FILLER_5_617
*4250 FILLER_5_63
*4251 FILLER_5_73
*4252 FILLER_5_8
*4253 FILLER_5_88
*4254 FILLER_5_98
*4255 FILLER_60_109
*4256 FILLER_60_121
*4257 FILLER_60_133
*4258 FILLER_60_139
*4259 FILLER_60_141
*4260 FILLER_60_153
*4261 FILLER_60_165
*4262 FILLER_60_177
*4263 FILLER_60_189
*4264 FILLER_60_19
*4265 FILLER_60_195
*4266 FILLER_60_197
*4267 FILLER_60_209
*4268 FILLER_60_221
*4269 FILLER_60_233
*4270 FILLER_60_245
*4271 FILLER_60_251
*4272 FILLER_60_253
*4273 FILLER_60_265
*4274 FILLER_60_27
*4275 FILLER_60_277
*4276 FILLER_60_289
*4277 FILLER_60_29
*4278 FILLER_60_301
*4279 FILLER_60_307
*4280 FILLER_60_309
*4281 FILLER_60_321
*4282 FILLER_60_333
*4283 FILLER_60_345
*4284 FILLER_60_357
*4285 FILLER_60_363
*4286 FILLER_60_365
*4287 FILLER_60_377
*4288 FILLER_60_389
*4289 FILLER_60_401
*4290 FILLER_60_41
*4291 FILLER_60_413
*4292 FILLER_60_419
*4293 FILLER_60_421
*4294 FILLER_60_433
*4295 FILLER_60_445
*4296 FILLER_60_457
*4297 FILLER_60_469
*4298 FILLER_60_475
*4299 FILLER_60_477
*4300 FILLER_60_489
*4301 FILLER_60_501
*4302 FILLER_60_513
*4303 FILLER_60_525
*4304 FILLER_60_53
*4305 FILLER_60_531
*4306 FILLER_60_533
*4307 FILLER_60_545
*4308 FILLER_60_557
*4309 FILLER_60_569
*4310 FILLER_60_581
*4311 FILLER_60_587
*4312 FILLER_60_589
*4313 FILLER_60_601
*4314 FILLER_60_613
*4315 FILLER_60_65
*4316 FILLER_60_7
*4317 FILLER_60_77
*4318 FILLER_60_83
*4319 FILLER_60_85
*4320 FILLER_60_97
*4321 FILLER_61_105
*4322 FILLER_61_111
*4323 FILLER_61_113
*4324 FILLER_61_125
*4325 FILLER_61_137
*4326 FILLER_61_149
*4327 FILLER_61_15
*4328 FILLER_61_161
*4329 FILLER_61_167
*4330 FILLER_61_169
*4331 FILLER_61_181
*4332 FILLER_61_193
*4333 FILLER_61_205
*4334 FILLER_61_217
*4335 FILLER_61_223
*4336 FILLER_61_225
*4337 FILLER_61_237
*4338 FILLER_61_249
*4339 FILLER_61_261
*4340 FILLER_61_27
*4341 FILLER_61_273
*4342 FILLER_61_279
*4343 FILLER_61_281
*4344 FILLER_61_293
*4345 FILLER_61_3
*4346 FILLER_61_305
*4347 FILLER_61_317
*4348 FILLER_61_329
*4349 FILLER_61_335
*4350 FILLER_61_337
*4351 FILLER_61_349
*4352 FILLER_61_361
*4353 FILLER_61_373
*4354 FILLER_61_385
*4355 FILLER_61_39
*4356 FILLER_61_391
*4357 FILLER_61_393
*4358 FILLER_61_405
*4359 FILLER_61_417
*4360 FILLER_61_429
*4361 FILLER_61_441
*4362 FILLER_61_447
*4363 FILLER_61_449
*4364 FILLER_61_461
*4365 FILLER_61_473
*4366 FILLER_61_485
*4367 FILLER_61_497
*4368 FILLER_61_503
*4369 FILLER_61_505
*4370 FILLER_61_51
*4371 FILLER_61_517
*4372 FILLER_61_529
*4373 FILLER_61_541
*4374 FILLER_61_55
*4375 FILLER_61_553
*4376 FILLER_61_559
*4377 FILLER_61_561
*4378 FILLER_61_57
*4379 FILLER_61_573
*4380 FILLER_61_585
*4381 FILLER_61_597
*4382 FILLER_61_609
*4383 FILLER_61_615
*4384 FILLER_61_617
*4385 FILLER_61_69
*4386 FILLER_61_81
*4387 FILLER_61_93
*4388 FILLER_62_109
*4389 FILLER_62_121
*4390 FILLER_62_133
*4391 FILLER_62_139
*4392 FILLER_62_141
*4393 FILLER_62_153
*4394 FILLER_62_165
*4395 FILLER_62_177
*4396 FILLER_62_189
*4397 FILLER_62_19
*4398 FILLER_62_195
*4399 FILLER_62_197
*4400 FILLER_62_209
*4401 FILLER_62_221
*4402 FILLER_62_233
*4403 FILLER_62_245
*4404 FILLER_62_251
*4405 FILLER_62_253
*4406 FILLER_62_265
*4407 FILLER_62_27
*4408 FILLER_62_277
*4409 FILLER_62_289
*4410 FILLER_62_29
*4411 FILLER_62_301
*4412 FILLER_62_307
*4413 FILLER_62_309
*4414 FILLER_62_321
*4415 FILLER_62_333
*4416 FILLER_62_345
*4417 FILLER_62_357
*4418 FILLER_62_363
*4419 FILLER_62_365
*4420 FILLER_62_377
*4421 FILLER_62_389
*4422 FILLER_62_401
*4423 FILLER_62_41
*4424 FILLER_62_413
*4425 FILLER_62_419
*4426 FILLER_62_421
*4427 FILLER_62_433
*4428 FILLER_62_445
*4429 FILLER_62_457
*4430 FILLER_62_469
*4431 FILLER_62_475
*4432 FILLER_62_477
*4433 FILLER_62_489
*4434 FILLER_62_501
*4435 FILLER_62_513
*4436 FILLER_62_525
*4437 FILLER_62_53
*4438 FILLER_62_531
*4439 FILLER_62_533
*4440 FILLER_62_545
*4441 FILLER_62_557
*4442 FILLER_62_569
*4443 FILLER_62_581
*4444 FILLER_62_587
*4445 FILLER_62_589
*4446 FILLER_62_601
*4447 FILLER_62_613
*4448 FILLER_62_65
*4449 FILLER_62_7
*4450 FILLER_62_77
*4451 FILLER_62_83
*4452 FILLER_62_85
*4453 FILLER_62_97
*4454 FILLER_63_105
*4455 FILLER_63_111
*4456 FILLER_63_113
*4457 FILLER_63_125
*4458 FILLER_63_137
*4459 FILLER_63_149
*4460 FILLER_63_161
*4461 FILLER_63_167
*4462 FILLER_63_169
*4463 FILLER_63_181
*4464 FILLER_63_19
*4465 FILLER_63_193
*4466 FILLER_63_205
*4467 FILLER_63_217
*4468 FILLER_63_223
*4469 FILLER_63_225
*4470 FILLER_63_237
*4471 FILLER_63_249
*4472 FILLER_63_261
*4473 FILLER_63_273
*4474 FILLER_63_279
*4475 FILLER_63_281
*4476 FILLER_63_293
*4477 FILLER_63_305
*4478 FILLER_63_31
*4479 FILLER_63_317
*4480 FILLER_63_329
*4481 FILLER_63_335
*4482 FILLER_63_337
*4483 FILLER_63_349
*4484 FILLER_63_361
*4485 FILLER_63_373
*4486 FILLER_63_385
*4487 FILLER_63_391
*4488 FILLER_63_393
*4489 FILLER_63_405
*4490 FILLER_63_417
*4491 FILLER_63_429
*4492 FILLER_63_43
*4493 FILLER_63_441
*4494 FILLER_63_447
*4495 FILLER_63_449
*4496 FILLER_63_461
*4497 FILLER_63_473
*4498 FILLER_63_485
*4499 FILLER_63_497
*4500 FILLER_63_503
*4501 FILLER_63_505
*4502 FILLER_63_517
*4503 FILLER_63_529
*4504 FILLER_63_541
*4505 FILLER_63_55
*4506 FILLER_63_553
*4507 FILLER_63_559
*4508 FILLER_63_561
*4509 FILLER_63_57
*4510 FILLER_63_573
*4511 FILLER_63_585
*4512 FILLER_63_597
*4513 FILLER_63_609
*4514 FILLER_63_615
*4515 FILLER_63_617
*4516 FILLER_63_69
*4517 FILLER_63_7
*4518 FILLER_63_81
*4519 FILLER_63_93
*4520 FILLER_64_109
*4521 FILLER_64_121
*4522 FILLER_64_133
*4523 FILLER_64_139
*4524 FILLER_64_141
*4525 FILLER_64_15
*4526 FILLER_64_153
*4527 FILLER_64_165
*4528 FILLER_64_177
*4529 FILLER_64_189
*4530 FILLER_64_195
*4531 FILLER_64_197
*4532 FILLER_64_209
*4533 FILLER_64_221
*4534 FILLER_64_233
*4535 FILLER_64_245
*4536 FILLER_64_251
*4537 FILLER_64_253
*4538 FILLER_64_265
*4539 FILLER_64_27
*4540 FILLER_64_277
*4541 FILLER_64_289
*4542 FILLER_64_29
*4543 FILLER_64_3
*4544 FILLER_64_301
*4545 FILLER_64_307
*4546 FILLER_64_309
*4547 FILLER_64_321
*4548 FILLER_64_333
*4549 FILLER_64_345
*4550 FILLER_64_357
*4551 FILLER_64_363
*4552 FILLER_64_365
*4553 FILLER_64_377
*4554 FILLER_64_389
*4555 FILLER_64_401
*4556 FILLER_64_41
*4557 FILLER_64_413
*4558 FILLER_64_419
*4559 FILLER_64_421
*4560 FILLER_64_433
*4561 FILLER_64_445
*4562 FILLER_64_457
*4563 FILLER_64_469
*4564 FILLER_64_475
*4565 FILLER_64_477
*4566 FILLER_64_489
*4567 FILLER_64_501
*4568 FILLER_64_513
*4569 FILLER_64_525
*4570 FILLER_64_53
*4571 FILLER_64_531
*4572 FILLER_64_533
*4573 FILLER_64_545
*4574 FILLER_64_557
*4575 FILLER_64_569
*4576 FILLER_64_581
*4577 FILLER_64_587
*4578 FILLER_64_589
*4579 FILLER_64_601
*4580 FILLER_64_613
*4581 FILLER_64_65
*4582 FILLER_64_77
*4583 FILLER_64_83
*4584 FILLER_64_85
*4585 FILLER_64_97
*4586 FILLER_65_105
*4587 FILLER_65_111
*4588 FILLER_65_113
*4589 FILLER_65_125
*4590 FILLER_65_137
*4591 FILLER_65_149
*4592 FILLER_65_161
*4593 FILLER_65_167
*4594 FILLER_65_169
*4595 FILLER_65_181
*4596 FILLER_65_19
*4597 FILLER_65_193
*4598 FILLER_65_205
*4599 FILLER_65_217
*4600 FILLER_65_223
*4601 FILLER_65_225
*4602 FILLER_65_237
*4603 FILLER_65_249
*4604 FILLER_65_261
*4605 FILLER_65_273
*4606 FILLER_65_279
*4607 FILLER_65_281
*4608 FILLER_65_293
*4609 FILLER_65_305
*4610 FILLER_65_31
*4611 FILLER_65_317
*4612 FILLER_65_329
*4613 FILLER_65_335
*4614 FILLER_65_337
*4615 FILLER_65_349
*4616 FILLER_65_361
*4617 FILLER_65_373
*4618 FILLER_65_385
*4619 FILLER_65_391
*4620 FILLER_65_393
*4621 FILLER_65_405
*4622 FILLER_65_417
*4623 FILLER_65_429
*4624 FILLER_65_43
*4625 FILLER_65_441
*4626 FILLER_65_447
*4627 FILLER_65_449
*4628 FILLER_65_461
*4629 FILLER_65_473
*4630 FILLER_65_485
*4631 FILLER_65_497
*4632 FILLER_65_503
*4633 FILLER_65_505
*4634 FILLER_65_517
*4635 FILLER_65_529
*4636 FILLER_65_541
*4637 FILLER_65_55
*4638 FILLER_65_553
*4639 FILLER_65_559
*4640 FILLER_65_561
*4641 FILLER_65_57
*4642 FILLER_65_573
*4643 FILLER_65_585
*4644 FILLER_65_597
*4645 FILLER_65_609
*4646 FILLER_65_615
*4647 FILLER_65_617
*4648 FILLER_65_69
*4649 FILLER_65_7
*4650 FILLER_65_81
*4651 FILLER_65_93
*4652 FILLER_66_109
*4653 FILLER_66_121
*4654 FILLER_66_133
*4655 FILLER_66_139
*4656 FILLER_66_141
*4657 FILLER_66_153
*4658 FILLER_66_165
*4659 FILLER_66_177
*4660 FILLER_66_189
*4661 FILLER_66_19
*4662 FILLER_66_195
*4663 FILLER_66_197
*4664 FILLER_66_209
*4665 FILLER_66_221
*4666 FILLER_66_233
*4667 FILLER_66_245
*4668 FILLER_66_251
*4669 FILLER_66_253
*4670 FILLER_66_265
*4671 FILLER_66_27
*4672 FILLER_66_277
*4673 FILLER_66_289
*4674 FILLER_66_29
*4675 FILLER_66_301
*4676 FILLER_66_307
*4677 FILLER_66_309
*4678 FILLER_66_321
*4679 FILLER_66_333
*4680 FILLER_66_345
*4681 FILLER_66_357
*4682 FILLER_66_363
*4683 FILLER_66_365
*4684 FILLER_66_377
*4685 FILLER_66_389
*4686 FILLER_66_401
*4687 FILLER_66_41
*4688 FILLER_66_413
*4689 FILLER_66_419
*4690 FILLER_66_421
*4691 FILLER_66_433
*4692 FILLER_66_445
*4693 FILLER_66_457
*4694 FILLER_66_469
*4695 FILLER_66_475
*4696 FILLER_66_477
*4697 FILLER_66_489
*4698 FILLER_66_501
*4699 FILLER_66_513
*4700 FILLER_66_525
*4701 FILLER_66_53
*4702 FILLER_66_531
*4703 FILLER_66_533
*4704 FILLER_66_545
*4705 FILLER_66_557
*4706 FILLER_66_569
*4707 FILLER_66_581
*4708 FILLER_66_587
*4709 FILLER_66_589
*4710 FILLER_66_601
*4711 FILLER_66_613
*4712 FILLER_66_65
*4713 FILLER_66_7
*4714 FILLER_66_77
*4715 FILLER_66_83
*4716 FILLER_66_85
*4717 FILLER_66_97
*4718 FILLER_67_105
*4719 FILLER_67_111
*4720 FILLER_67_113
*4721 FILLER_67_125
*4722 FILLER_67_137
*4723 FILLER_67_149
*4724 FILLER_67_161
*4725 FILLER_67_167
*4726 FILLER_67_169
*4727 FILLER_67_181
*4728 FILLER_67_19
*4729 FILLER_67_193
*4730 FILLER_67_205
*4731 FILLER_67_217
*4732 FILLER_67_223
*4733 FILLER_67_225
*4734 FILLER_67_237
*4735 FILLER_67_249
*4736 FILLER_67_261
*4737 FILLER_67_273
*4738 FILLER_67_279
*4739 FILLER_67_281
*4740 FILLER_67_293
*4741 FILLER_67_305
*4742 FILLER_67_31
*4743 FILLER_67_317
*4744 FILLER_67_329
*4745 FILLER_67_335
*4746 FILLER_67_337
*4747 FILLER_67_349
*4748 FILLER_67_361
*4749 FILLER_67_373
*4750 FILLER_67_385
*4751 FILLER_67_391
*4752 FILLER_67_393
*4753 FILLER_67_405
*4754 FILLER_67_417
*4755 FILLER_67_429
*4756 FILLER_67_43
*4757 FILLER_67_441
*4758 FILLER_67_447
*4759 FILLER_67_449
*4760 FILLER_67_461
*4761 FILLER_67_473
*4762 FILLER_67_485
*4763 FILLER_67_497
*4764 FILLER_67_503
*4765 FILLER_67_505
*4766 FILLER_67_517
*4767 FILLER_67_529
*4768 FILLER_67_541
*4769 FILLER_67_55
*4770 FILLER_67_553
*4771 FILLER_67_559
*4772 FILLER_67_561
*4773 FILLER_67_57
*4774 FILLER_67_573
*4775 FILLER_67_585
*4776 FILLER_67_597
*4777 FILLER_67_609
*4778 FILLER_67_615
*4779 FILLER_67_617
*4780 FILLER_67_69
*4781 FILLER_67_7
*4782 FILLER_67_81
*4783 FILLER_67_93
*4784 FILLER_68_109
*4785 FILLER_68_113
*4786 FILLER_68_125
*4787 FILLER_68_137
*4788 FILLER_68_141
*4789 FILLER_68_15
*4790 FILLER_68_153
*4791 FILLER_68_165
*4792 FILLER_68_169
*4793 FILLER_68_181
*4794 FILLER_68_193
*4795 FILLER_68_200
*4796 FILLER_68_212
*4797 FILLER_68_225
*4798 FILLER_68_23
*4799 FILLER_68_237
*4800 FILLER_68_249
*4801 FILLER_68_253
*4802 FILLER_68_265
*4803 FILLER_68_27
*4804 FILLER_68_276
*4805 FILLER_68_281
*4806 FILLER_68_293
*4807 FILLER_68_305
*4808 FILLER_68_309
*4809 FILLER_68_32
*4810 FILLER_68_321
*4811 FILLER_68_333
*4812 FILLER_68_337
*4813 FILLER_68_349
*4814 FILLER_68_361
*4815 FILLER_68_365
*4816 FILLER_68_377
*4817 FILLER_68_389
*4818 FILLER_68_393
*4819 FILLER_68_405
*4820 FILLER_68_417
*4821 FILLER_68_421
*4822 FILLER_68_433
*4823 FILLER_68_439
*4824 FILLER_68_44
*4825 FILLER_68_447
*4826 FILLER_68_449
*4827 FILLER_68_461
*4828 FILLER_68_473
*4829 FILLER_68_477
*4830 FILLER_68_489
*4831 FILLER_68_501
*4832 FILLER_68_505
*4833 FILLER_68_517
*4834 FILLER_68_521
*4835 FILLER_68_529
*4836 FILLER_68_533
*4837 FILLER_68_545
*4838 FILLER_68_557
*4839 FILLER_68_561
*4840 FILLER_68_57
*4841 FILLER_68_573
*4842 FILLER_68_585
*4843 FILLER_68_589
*4844 FILLER_68_597
*4845 FILLER_68_602
*4846 FILLER_68_614
*4847 FILLER_68_617
*4848 FILLER_68_69
*4849 FILLER_68_7
*4850 FILLER_68_81
*4851 FILLER_68_85
*4852 FILLER_68_97
*4853 FILLER_6_102
*4854 FILLER_6_114
*4855 FILLER_6_120
*4856 FILLER_6_128
*4857 FILLER_6_141
*4858 FILLER_6_153
*4859 FILLER_6_175
*4860 FILLER_6_183
*4861 FILLER_6_195
*4862 FILLER_6_197
*4863 FILLER_6_204
*4864 FILLER_6_211
*4865 FILLER_6_223
*4866 FILLER_6_235
*4867 FILLER_6_24
*4868 FILLER_6_247
*4869 FILLER_6_251
*4870 FILLER_6_253
*4871 FILLER_6_265
*4872 FILLER_6_277
*4873 FILLER_6_289
*4874 FILLER_6_3
*4875 FILLER_6_301
*4876 FILLER_6_307
*4877 FILLER_6_309
*4878 FILLER_6_321
*4879 FILLER_6_333
*4880 FILLER_6_34
*4881 FILLER_6_345
*4882 FILLER_6_357
*4883 FILLER_6_363
*4884 FILLER_6_365
*4885 FILLER_6_377
*4886 FILLER_6_389
*4887 FILLER_6_401
*4888 FILLER_6_413
*4889 FILLER_6_419
*4890 FILLER_6_421
*4891 FILLER_6_433
*4892 FILLER_6_445
*4893 FILLER_6_457
*4894 FILLER_6_46
*4895 FILLER_6_469
*4896 FILLER_6_475
*4897 FILLER_6_477
*4898 FILLER_6_489
*4899 FILLER_6_501
*4900 FILLER_6_513
*4901 FILLER_6_525
*4902 FILLER_6_53
*4903 FILLER_6_531
*4904 FILLER_6_533
*4905 FILLER_6_545
*4906 FILLER_6_557
*4907 FILLER_6_569
*4908 FILLER_6_581
*4909 FILLER_6_587
*4910 FILLER_6_589
*4911 FILLER_6_601
*4912 FILLER_6_613
*4913 FILLER_6_7
*4914 FILLER_6_73
*4915 FILLER_6_81
*4916 FILLER_6_85
*4917 FILLER_7_105
*4918 FILLER_7_11
*4919 FILLER_7_111
*4920 FILLER_7_113
*4921 FILLER_7_121
*4922 FILLER_7_133
*4923 FILLER_7_145
*4924 FILLER_7_157
*4925 FILLER_7_165
*4926 FILLER_7_169
*4927 FILLER_7_177
*4928 FILLER_7_189
*4929 FILLER_7_21
*4930 FILLER_7_210
*4931 FILLER_7_222
*4932 FILLER_7_225
*4933 FILLER_7_237
*4934 FILLER_7_249
*4935 FILLER_7_261
*4936 FILLER_7_273
*4937 FILLER_7_279
*4938 FILLER_7_281
*4939 FILLER_7_293
*4940 FILLER_7_305
*4941 FILLER_7_317
*4942 FILLER_7_329
*4943 FILLER_7_33
*4944 FILLER_7_335
*4945 FILLER_7_337
*4946 FILLER_7_349
*4947 FILLER_7_361
*4948 FILLER_7_373
*4949 FILLER_7_385
*4950 FILLER_7_39
*4951 FILLER_7_391
*4952 FILLER_7_393
*4953 FILLER_7_405
*4954 FILLER_7_417
*4955 FILLER_7_429
*4956 FILLER_7_441
*4957 FILLER_7_447
*4958 FILLER_7_449
*4959 FILLER_7_461
*4960 FILLER_7_473
*4961 FILLER_7_485
*4962 FILLER_7_497
*4963 FILLER_7_503
*4964 FILLER_7_505
*4965 FILLER_7_51
*4966 FILLER_7_517
*4967 FILLER_7_529
*4968 FILLER_7_541
*4969 FILLER_7_55
*4970 FILLER_7_553
*4971 FILLER_7_559
*4972 FILLER_7_561
*4973 FILLER_7_57
*4974 FILLER_7_573
*4975 FILLER_7_585
*4976 FILLER_7_597
*4977 FILLER_7_609
*4978 FILLER_7_615
*4979 FILLER_7_617
*4980 FILLER_7_69
*4981 FILLER_7_7
*4982 FILLER_7_81
*4983 FILLER_7_93
*4984 FILLER_8_109
*4985 FILLER_8_117
*4986 FILLER_8_122
*4987 FILLER_8_132
*4988 FILLER_8_141
*4989 FILLER_8_153
*4990 FILLER_8_161
*4991 FILLER_8_173
*4992 FILLER_8_18
*4993 FILLER_8_185
*4994 FILLER_8_193
*4995 FILLER_8_197
*4996 FILLER_8_209
*4997 FILLER_8_221
*4998 FILLER_8_233
*4999 FILLER_8_245
*5000 FILLER_8_251
*5001 FILLER_8_253
*5002 FILLER_8_26
*5003 FILLER_8_265
*5004 FILLER_8_277
*5005 FILLER_8_289
*5006 FILLER_8_29
*5007 FILLER_8_301
*5008 FILLER_8_307
*5009 FILLER_8_309
*5010 FILLER_8_321
*5011 FILLER_8_333
*5012 FILLER_8_345
*5013 FILLER_8_357
*5014 FILLER_8_363
*5015 FILLER_8_365
*5016 FILLER_8_377
*5017 FILLER_8_389
*5018 FILLER_8_401
*5019 FILLER_8_41
*5020 FILLER_8_413
*5021 FILLER_8_419
*5022 FILLER_8_421
*5023 FILLER_8_433
*5024 FILLER_8_445
*5025 FILLER_8_457
*5026 FILLER_8_469
*5027 FILLER_8_47
*5028 FILLER_8_475
*5029 FILLER_8_477
*5030 FILLER_8_489
*5031 FILLER_8_501
*5032 FILLER_8_513
*5033 FILLER_8_525
*5034 FILLER_8_531
*5035 FILLER_8_533
*5036 FILLER_8_545
*5037 FILLER_8_557
*5038 FILLER_8_569
*5039 FILLER_8_581
*5040 FILLER_8_587
*5041 FILLER_8_589
*5042 FILLER_8_59
*5043 FILLER_8_6
*5044 FILLER_8_601
*5045 FILLER_8_613
*5046 FILLER_8_71
*5047 FILLER_8_83
*5048 FILLER_8_85
*5049 FILLER_8_97
*5050 FILLER_9_103
*5051 FILLER_9_108
*5052 FILLER_9_11
*5053 FILLER_9_113
*5054 FILLER_9_134
*5055 FILLER_9_146
*5056 FILLER_9_15
*5057 FILLER_9_164
*5058 FILLER_9_169
*5059 FILLER_9_177
*5060 FILLER_9_189
*5061 FILLER_9_201
*5062 FILLER_9_213
*5063 FILLER_9_221
*5064 FILLER_9_231
*5065 FILLER_9_243
*5066 FILLER_9_255
*5067 FILLER_9_267
*5068 FILLER_9_27
*5069 FILLER_9_279
*5070 FILLER_9_281
*5071 FILLER_9_293
*5072 FILLER_9_305
*5073 FILLER_9_317
*5074 FILLER_9_329
*5075 FILLER_9_335
*5076 FILLER_9_337
*5077 FILLER_9_349
*5078 FILLER_9_35
*5079 FILLER_9_361
*5080 FILLER_9_373
*5081 FILLER_9_385
*5082 FILLER_9_391
*5083 FILLER_9_393
*5084 FILLER_9_405
*5085 FILLER_9_41
*5086 FILLER_9_417
*5087 FILLER_9_429
*5088 FILLER_9_441
*5089 FILLER_9_447
*5090 FILLER_9_449
*5091 FILLER_9_45
*5092 FILLER_9_461
*5093 FILLER_9_473
*5094 FILLER_9_485
*5095 FILLER_9_497
*5096 FILLER_9_503
*5097 FILLER_9_505
*5098 FILLER_9_517
*5099 FILLER_9_52
*5100 FILLER_9_529
*5101 FILLER_9_541
*5102 FILLER_9_553
*5103 FILLER_9_559
*5104 FILLER_9_561
*5105 FILLER_9_573
*5106 FILLER_9_585
*5107 FILLER_9_597
*5108 FILLER_9_609
*5109 FILLER_9_615
*5110 FILLER_9_617
*5111 FILLER_9_63
*5112 FILLER_9_7
*5113 FILLER_9_75
*5114 FILLER_9_97
*5115 Flash_106
*5116 Flash_107
*5117 Flash_108
*5118 Flash_109
*5119 Flash_110
*5120 Flash_111
*5121 Flash_112
*5122 Flash_113
*5123 Flash_114
*5124 Flash_115
*5125 Flash_116
*5126 Flash_117
*5127 Flash_118
*5128 Flash_119
*5129 Flash_120
*5130 Flash_121
*5131 Flash_122
*5132 Flash_123
*5133 Flash_124
*5134 Flash_125
*5135 Flash_126
*5136 Flash_127
*5137 Flash_128
*5138 Flash_129
*5139 Flash_130
*5140 Flash_131
*5141 Flash_132
*5142 Flash_133
*5143 Flash_134
*5144 Flash_135
*5145 Flash_136
*5146 Flash_137
*5147 Flash_138
*5148 Flash_139
*5149 Flash_140
*5150 Flash_141
*5151 Flash_142
*5152 Flash_143
*5153 Flash_144
*5154 Flash_145
*5155 Flash_146
*5156 Flash_147
*5157 Flash_148
*5158 Flash_149
*5159 Flash_150
*5160 Flash_151
*5161 Flash_152
*5162 Flash_153
*5163 Flash_154
*5164 Flash_155
*5165 Flash_156
*5166 Flash_157
*5167 Flash_158
*5168 Flash_159
*5169 INSDIODE2_0
*5170 INSDIODE2_1
*5171 INSDIODE2_2
*5172 PHY_0
*5173 PHY_1
*5174 PHY_10
*5175 PHY_100
*5176 PHY_101
*5177 PHY_102
*5178 PHY_103
*5179 PHY_104
*5180 PHY_105
*5181 PHY_106
*5182 PHY_107
*5183 PHY_108
*5184 PHY_109
*5185 PHY_11
*5186 PHY_110
*5187 PHY_111
*5188 PHY_112
*5189 PHY_113
*5190 PHY_114
*5191 PHY_115
*5192 PHY_116
*5193 PHY_117
*5194 PHY_118
*5195 PHY_119
*5196 PHY_12
*5197 PHY_120
*5198 PHY_121
*5199 PHY_122
*5200 PHY_123
*5201 PHY_124
*5202 PHY_125
*5203 PHY_126
*5204 PHY_127
*5205 PHY_128
*5206 PHY_129
*5207 PHY_13
*5208 PHY_130
*5209 PHY_131
*5210 PHY_132
*5211 PHY_133
*5212 PHY_134
*5213 PHY_135
*5214 PHY_136
*5215 PHY_137
*5216 PHY_14
*5217 PHY_15
*5218 PHY_16
*5219 PHY_17
*5220 PHY_18
*5221 PHY_19
*5222 PHY_2
*5223 PHY_20
*5224 PHY_21
*5225 PHY_22
*5226 PHY_23
*5227 PHY_24
*5228 PHY_25
*5229 PHY_26
*5230 PHY_27
*5231 PHY_28
*5232 PHY_29
*5233 PHY_3
*5234 PHY_30
*5235 PHY_31
*5236 PHY_32
*5237 PHY_33
*5238 PHY_34
*5239 PHY_35
*5240 PHY_36
*5241 PHY_37
*5242 PHY_38
*5243 PHY_39
*5244 PHY_4
*5245 PHY_40
*5246 PHY_41
*5247 PHY_42
*5248 PHY_43
*5249 PHY_44
*5250 PHY_45
*5251 PHY_46
*5252 PHY_47
*5253 PHY_48
*5254 PHY_49
*5255 PHY_5
*5256 PHY_50
*5257 PHY_51
*5258 PHY_52
*5259 PHY_53
*5260 PHY_54
*5261 PHY_55
*5262 PHY_56
*5263 PHY_57
*5264 PHY_58
*5265 PHY_59
*5266 PHY_6
*5267 PHY_60
*5268 PHY_61
*5269 PHY_62
*5270 PHY_63
*5271 PHY_64
*5272 PHY_65
*5273 PHY_66
*5274 PHY_67
*5275 PHY_68
*5276 PHY_69
*5277 PHY_7
*5278 PHY_70
*5279 PHY_71
*5280 PHY_72
*5281 PHY_73
*5282 PHY_74
*5283 PHY_75
*5284 PHY_76
*5285 PHY_77
*5286 PHY_78
*5287 PHY_79
*5288 PHY_8
*5289 PHY_80
*5290 PHY_81
*5291 PHY_82
*5292 PHY_83
*5293 PHY_84
*5294 PHY_85
*5295 PHY_86
*5296 PHY_87
*5297 PHY_88
*5298 PHY_89
*5299 PHY_9
*5300 PHY_90
*5301 PHY_91
*5302 PHY_92
*5303 PHY_93
*5304 PHY_94
*5305 PHY_95
*5306 PHY_96
*5307 PHY_97
*5308 PHY_98
*5309 PHY_99
*5310 TAP_138
*5311 TAP_139
*5312 TAP_140
*5313 TAP_141
*5314 TAP_142
*5315 TAP_143
*5316 TAP_144
*5317 TAP_145
*5318 TAP_146
*5319 TAP_147
*5320 TAP_148
*5321 TAP_149
*5322 TAP_150
*5323 TAP_151
*5324 TAP_152
*5325 TAP_153
*5326 TAP_154
*5327 TAP_155
*5328 TAP_156
*5329 TAP_157
*5330 TAP_158
*5331 TAP_159
*5332 TAP_160
*5333 TAP_161
*5334 TAP_162
*5335 TAP_163
*5336 TAP_164
*5337 TAP_165
*5338 TAP_166
*5339 TAP_167
*5340 TAP_168
*5341 TAP_169
*5342 TAP_170
*5343 TAP_171
*5344 TAP_172
*5345 TAP_173
*5346 TAP_174
*5347 TAP_175
*5348 TAP_176
*5349 TAP_177
*5350 TAP_178
*5351 TAP_179
*5352 TAP_180
*5353 TAP_181
*5354 TAP_182
*5355 TAP_183
*5356 TAP_184
*5357 TAP_185
*5358 TAP_186
*5359 TAP_187
*5360 TAP_188
*5361 TAP_189
*5362 TAP_190
*5363 TAP_191
*5364 TAP_192
*5365 TAP_193
*5366 TAP_194
*5367 TAP_195
*5368 TAP_196
*5369 TAP_197
*5370 TAP_198
*5371 TAP_199
*5372 TAP_200
*5373 TAP_201
*5374 TAP_202
*5375 TAP_203
*5376 TAP_204
*5377 TAP_205
*5378 TAP_206
*5379 TAP_207
*5380 TAP_208
*5381 TAP_209
*5382 TAP_210
*5383 TAP_211
*5384 TAP_212
*5385 TAP_213
*5386 TAP_214
*5387 TAP_215
*5388 TAP_216
*5389 TAP_217
*5390 TAP_218
*5391 TAP_219
*5392 TAP_220
*5393 TAP_221
*5394 TAP_222
*5395 TAP_223
*5396 TAP_224
*5397 TAP_225
*5398 TAP_226
*5399 TAP_227
*5400 TAP_228
*5401 TAP_229
*5402 TAP_230
*5403 TAP_231
*5404 TAP_232
*5405 TAP_233
*5406 TAP_234
*5407 TAP_235
*5408 TAP_236
*5409 TAP_237
*5410 TAP_238
*5411 TAP_239
*5412 TAP_240
*5413 TAP_241
*5414 TAP_242
*5415 TAP_243
*5416 TAP_244
*5417 TAP_245
*5418 TAP_246
*5419 TAP_247
*5420 TAP_248
*5421 TAP_249
*5422 TAP_250
*5423 TAP_251
*5424 TAP_252
*5425 TAP_253
*5426 TAP_254
*5427 TAP_255
*5428 TAP_256
*5429 TAP_257
*5430 TAP_258
*5431 TAP_259
*5432 TAP_260
*5433 TAP_261
*5434 TAP_262
*5435 TAP_263
*5436 TAP_264
*5437 TAP_265
*5438 TAP_266
*5439 TAP_267
*5440 TAP_268
*5441 TAP_269
*5442 TAP_270
*5443 TAP_271
*5444 TAP_272
*5445 TAP_273
*5446 TAP_274
*5447 TAP_275
*5448 TAP_276
*5449 TAP_277
*5450 TAP_278
*5451 TAP_279
*5452 TAP_280
*5453 TAP_281
*5454 TAP_282
*5455 TAP_283
*5456 TAP_284
*5457 TAP_285
*5458 TAP_286
*5459 TAP_287
*5460 TAP_288
*5461 TAP_289
*5462 TAP_290
*5463 TAP_291
*5464 TAP_292
*5465 TAP_293
*5466 TAP_294
*5467 TAP_295
*5468 TAP_296
*5469 TAP_297
*5470 TAP_298
*5471 TAP_299
*5472 TAP_300
*5473 TAP_301
*5474 TAP_302
*5475 TAP_303
*5476 TAP_304
*5477 TAP_305
*5478 TAP_306
*5479 TAP_307
*5480 TAP_308
*5481 TAP_309
*5482 TAP_310
*5483 TAP_311
*5484 TAP_312
*5485 TAP_313
*5486 TAP_314
*5487 TAP_315
*5488 TAP_316
*5489 TAP_317
*5490 TAP_318
*5491 TAP_319
*5492 TAP_320
*5493 TAP_321
*5494 TAP_322
*5495 TAP_323
*5496 TAP_324
*5497 TAP_325
*5498 TAP_326
*5499 TAP_327
*5500 TAP_328
*5501 TAP_329
*5502 TAP_330
*5503 TAP_331
*5504 TAP_332
*5505 TAP_333
*5506 TAP_334
*5507 TAP_335
*5508 TAP_336
*5509 TAP_337
*5510 TAP_338
*5511 TAP_339
*5512 TAP_340
*5513 TAP_341
*5514 TAP_342
*5515 TAP_343
*5516 TAP_344
*5517 TAP_345
*5518 TAP_346
*5519 TAP_347
*5520 TAP_348
*5521 TAP_349
*5522 TAP_350
*5523 TAP_351
*5524 TAP_352
*5525 TAP_353
*5526 TAP_354
*5527 TAP_355
*5528 TAP_356
*5529 TAP_357
*5530 TAP_358
*5531 TAP_359
*5532 TAP_360
*5533 TAP_361
*5534 TAP_362
*5535 TAP_363
*5536 TAP_364
*5537 TAP_365
*5538 TAP_366
*5539 TAP_367
*5540 TAP_368
*5541 TAP_369
*5542 TAP_370
*5543 TAP_371
*5544 TAP_372
*5545 TAP_373
*5546 TAP_374
*5547 TAP_375
*5548 TAP_376
*5549 TAP_377
*5550 TAP_378
*5551 TAP_379
*5552 TAP_380
*5553 TAP_381
*5554 TAP_382
*5555 TAP_383
*5556 TAP_384
*5557 TAP_385
*5558 TAP_386
*5559 TAP_387
*5560 TAP_388
*5561 TAP_389
*5562 TAP_390
*5563 TAP_391
*5564 TAP_392
*5565 TAP_393
*5566 TAP_394
*5567 TAP_395
*5568 TAP_396
*5569 TAP_397
*5570 TAP_398
*5571 TAP_399
*5572 TAP_400
*5573 TAP_401
*5574 TAP_402
*5575 TAP_403
*5576 TAP_404
*5577 TAP_405
*5578 TAP_406
*5579 TAP_407
*5580 TAP_408
*5581 TAP_409
*5582 TAP_410
*5583 TAP_411
*5584 TAP_412
*5585 TAP_413
*5586 TAP_414
*5587 TAP_415
*5588 TAP_416
*5589 TAP_417
*5590 TAP_418
*5591 TAP_419
*5592 TAP_420
*5593 TAP_421
*5594 TAP_422
*5595 TAP_423
*5596 TAP_424
*5597 TAP_425
*5598 TAP_426
*5599 TAP_427
*5600 TAP_428
*5601 TAP_429
*5602 TAP_430
*5603 TAP_431
*5604 TAP_432
*5605 TAP_433
*5606 TAP_434
*5607 TAP_435
*5608 TAP_436
*5609 TAP_437
*5610 TAP_438
*5611 TAP_439
*5612 TAP_440
*5613 TAP_441
*5614 TAP_442
*5615 TAP_443
*5616 TAP_444
*5617 TAP_445
*5618 TAP_446
*5619 TAP_447
*5620 TAP_448
*5621 TAP_449
*5622 TAP_450
*5623 TAP_451
*5624 TAP_452
*5625 TAP_453
*5626 TAP_454
*5627 TAP_455
*5628 TAP_456
*5629 TAP_457
*5630 TAP_458
*5631 TAP_459
*5632 TAP_460
*5633 TAP_461
*5634 TAP_462
*5635 TAP_463
*5636 TAP_464
*5637 TAP_465
*5638 TAP_466
*5639 TAP_467
*5640 TAP_468
*5641 TAP_469
*5642 TAP_470
*5643 TAP_471
*5644 TAP_472
*5645 TAP_473
*5646 TAP_474
*5647 TAP_475
*5648 TAP_476
*5649 TAP_477
*5650 TAP_478
*5651 TAP_479
*5652 TAP_480
*5653 TAP_481
*5654 TAP_482
*5655 TAP_483
*5656 TAP_484
*5657 TAP_485
*5658 TAP_486
*5659 TAP_487
*5660 TAP_488
*5661 TAP_489
*5662 TAP_490
*5663 TAP_491
*5664 TAP_492
*5665 TAP_493
*5666 TAP_494
*5667 TAP_495
*5668 TAP_496
*5669 TAP_497
*5670 TAP_498
*5671 TAP_499
*5672 TAP_500
*5673 TAP_501
*5674 TAP_502
*5675 TAP_503
*5676 TAP_504
*5677 TAP_505
*5678 TAP_506
*5679 TAP_507
*5680 TAP_508
*5681 TAP_509
*5682 TAP_510
*5683 TAP_511
*5684 TAP_512
*5685 TAP_513
*5686 TAP_514
*5687 TAP_515
*5688 TAP_516
*5689 TAP_517
*5690 TAP_518
*5691 TAP_519
*5692 TAP_520
*5693 TAP_521
*5694 TAP_522
*5695 TAP_523
*5696 TAP_524
*5697 TAP_525
*5698 TAP_526
*5699 TAP_527
*5700 TAP_528
*5701 TAP_529
*5702 TAP_530
*5703 TAP_531
*5704 TAP_532
*5705 TAP_533
*5706 TAP_534
*5707 TAP_535
*5708 TAP_536
*5709 TAP_537
*5710 TAP_538
*5711 TAP_539
*5712 TAP_540
*5713 TAP_541
*5714 TAP_542
*5715 TAP_543
*5716 TAP_544
*5717 TAP_545
*5718 TAP_546
*5719 TAP_547
*5720 TAP_548
*5721 TAP_549
*5722 TAP_550
*5723 TAP_551
*5724 TAP_552
*5725 TAP_553
*5726 TAP_554
*5727 TAP_555
*5728 TAP_556
*5729 TAP_557
*5730 TAP_558
*5731 TAP_559
*5732 TAP_560
*5733 TAP_561
*5734 TAP_562
*5735 TAP_563
*5736 TAP_564
*5737 TAP_565
*5738 TAP_566
*5739 TAP_567
*5740 TAP_568
*5741 TAP_569
*5742 TAP_570
*5743 TAP_571
*5744 TAP_572
*5745 TAP_573
*5746 TAP_574
*5747 TAP_575
*5748 TAP_576
*5749 TAP_577
*5750 TAP_578
*5751 TAP_579
*5752 TAP_580
*5753 TAP_581
*5754 TAP_582
*5755 TAP_583
*5756 TAP_584
*5757 TAP_585
*5758 TAP_586
*5759 TAP_587
*5760 TAP_588
*5761 TAP_589
*5762 TAP_590
*5763 TAP_591
*5764 TAP_592
*5765 TAP_593
*5766 TAP_594
*5767 TAP_595
*5768 TAP_596
*5769 TAP_597
*5770 TAP_598
*5771 TAP_599
*5772 TAP_600
*5773 TAP_601
*5774 TAP_602
*5775 TAP_603
*5776 TAP_604
*5777 TAP_605
*5778 TAP_606
*5779 TAP_607
*5780 TAP_608
*5781 TAP_609
*5782 TAP_610
*5783 TAP_611
*5784 TAP_612
*5785 TAP_613
*5786 TAP_614
*5787 TAP_615
*5788 TAP_616
*5789 TAP_617
*5790 TAP_618
*5791 TAP_619
*5792 TAP_620
*5793 TAP_621
*5794 TAP_622
*5795 TAP_623
*5796 TAP_624
*5797 TAP_625
*5798 TAP_626
*5799 TAP_627
*5800 TAP_628
*5801 TAP_629
*5802 TAP_630
*5803 TAP_631
*5804 TAP_632
*5805 TAP_633
*5806 TAP_634
*5807 TAP_635
*5808 TAP_636
*5809 TAP_637
*5810 TAP_638
*5811 TAP_639
*5812 TAP_640
*5813 TAP_641
*5814 TAP_642
*5815 TAP_643
*5816 TAP_644
*5817 TAP_645
*5818 TAP_646
*5819 TAP_647
*5820 TAP_648
*5821 TAP_649
*5822 TAP_650
*5823 TAP_651
*5824 TAP_652
*5825 TAP_653
*5826 TAP_654
*5827 TAP_655
*5828 TAP_656
*5829 TAP_657
*5830 TAP_658
*5831 TAP_659
*5832 TAP_660
*5833 TAP_661
*5834 TAP_662
*5835 TAP_663
*5836 TAP_664
*5837 TAP_665
*5838 TAP_666
*5839 TAP_667
*5840 TAP_668
*5841 TAP_669
*5842 TAP_670
*5843 TAP_671
*5844 TAP_672
*5845 TAP_673
*5846 TAP_674
*5847 TAP_675
*5848 TAP_676
*5849 TAP_677
*5850 TAP_678
*5851 TAP_679
*5852 TAP_680
*5853 TAP_681
*5854 TAP_682
*5855 TAP_683
*5856 TAP_684
*5857 TAP_685
*5858 TAP_686
*5859 TAP_687
*5860 TAP_688
*5861 TAP_689
*5862 TAP_690
*5863 TAP_691
*5864 TAP_692
*5865 TAP_693
*5866 TAP_694
*5867 TAP_695
*5868 TAP_696
*5869 TAP_697
*5870 TAP_698
*5871 TAP_699
*5872 TAP_700
*5873 TAP_701
*5874 TAP_702
*5875 TAP_703
*5876 TAP_704
*5877 TAP_705
*5878 TAP_706
*5879 TAP_707
*5880 TAP_708
*5881 TAP_709
*5882 TAP_710
*5883 TAP_711
*5884 TAP_712
*5885 TAP_713
*5886 TAP_714
*5887 TAP_715
*5888 TAP_716
*5889 TAP_717
*5890 TAP_718
*5891 TAP_719
*5892 TAP_720
*5893 TAP_721
*5894 TAP_722
*5895 TAP_723
*5896 TAP_724
*5897 TAP_725
*5898 TAP_726
*5899 TAP_727
*5900 TAP_728
*5901 TAP_729
*5902 TAP_730
*5903 TAP_731
*5904 TAP_732
*5905 TAP_733
*5906 TAP_734
*5907 TAP_735
*5908 TAP_736
*5909 TAP_737
*5910 TAP_738
*5911 TAP_739
*5912 TAP_740
*5913 TAP_741
*5914 TAP_742
*5915 TAP_743
*5916 TAP_744
*5917 TAP_745
*5918 TAP_746
*5919 TAP_747
*5920 TAP_748
*5921 TAP_749
*5922 TAP_750
*5923 TAP_751
*5924 TAP_752
*5925 TAP_753
*5926 TAP_754
*5927 TAP_755
*5928 TAP_756
*5929 TAP_757
*5930 TAP_758
*5931 TAP_759
*5932 TAP_760
*5933 TAP_761
*5934 TAP_762
*5935 TAP_763
*5936 TAP_764
*5937 TAP_765
*5938 TAP_766
*5939 TAP_767
*5940 TAP_768
*5941 TAP_769
*5942 TAP_770
*5943 TAP_771
*5944 TAP_772
*5945 TAP_773
*5946 TAP_774
*5947 TAP_775
*5948 TAP_776
*5949 TAP_777
*5950 TAP_778
*5951 TAP_779
*5952 TAP_780
*5953 TAP_781
*5954 TAP_782
*5955 TAP_783
*5956 TAP_784
*5957 TAP_785
*5958 TAP_786
*5959 TAP_787
*5960 TAP_788
*5961 TAP_789
*5962 TAP_790
*5963 TAP_791
*5964 TAP_792
*5965 TAP_793
*5966 TAP_794
*5967 TAP_795
*5968 TAP_796
*5969 TAP_797
*5970 TAP_798
*5971 TAP_799
*5972 TAP_800
*5973 TAP_801
*5974 TAP_802
*5975 TAP_803
*5976 TAP_804
*5977 TAP_805
*5978 TAP_806
*5979 TAP_807
*5980 TAP_808
*5981 TAP_809
*5982 TAP_810
*5983 TAP_811
*5984 TAP_812
*5985 TAP_813
*5986 TAP_814
*5987 TAP_815
*5988 TAP_816
*5989 TAP_817
*5990 TAP_818
*5991 TAP_819
*5992 TAP_820
*5993 TAP_821
*5994 TAP_822
*5995 TAP_823
*5996 TAP_824
*5997 TAP_825
*5998 TAP_826
*5999 TAP_827
*6000 TAP_828
*6001 TAP_829
*6002 TAP_830
*6003 TAP_831
*6004 TAP_832
*6005 TAP_833
*6006 TAP_834
*6007 TAP_835
*6008 TAP_836
*6009 TAP_837
*6010 TAP_838
*6011 TAP_839
*6012 TAP_840
*6013 TAP_841
*6014 TAP_842
*6015 TAP_843
*6016 TAP_844
*6017 TAP_845
*6018 TAP_846
*6019 TAP_847
*6020 TAP_848
*6021 TAP_849
*6022 TAP_850
*6023 TAP_851
*6024 TAP_852
*6025 TAP_853
*6026 TAP_854
*6027 TAP_855
*6028 TAP_856
*6029 TAP_857
*6030 TAP_858
*6031 TAP_859
*6032 TAP_860
*6033 TAP_861
*6034 TAP_862
*6035 TAP_863
*6036 TAP_864
*6037 TAP_865
*6038 TAP_866
*6039 TAP_867
*6040 TAP_868
*6041 TAP_869
*6042 TAP_870
*6043 TAP_871
*6044 TAP_872
*6045 TAP_873
*6046 TAP_874
*6047 TAP_875
*6048 TAP_876
*6049 TAP_877
*6050 TAP_878
*6051 TAP_879
*6052 TAP_880
*6053 TAP_881
*6054 TAP_882
*6055 TAP_883
*6056 TAP_884
*6057 TAP_885
*6058 TAP_886
*6059 TAP_887
*6060 TAP_888
*6061 TAP_889
*6062 TAP_890
*6063 TAP_891
*6064 TAP_892
*6065 TAP_893
*6066 TAP_894
*6067 TAP_895
*6068 TAP_896
*6069 TAP_897
*6070 TAP_898
*6071 TAP_899
*6072 TAP_900
*6073 TAP_901
*6074 TAP_902
*6075 TAP_903
*6076 TAP_904
*6077 TAP_905
*6078 TAP_906
*6079 TAP_907
*6080 TAP_908
*6081 TAP_909
*6082 TAP_910
*6083 TAP_911
*6084 TAP_912
*6085 TAP_913
*6086 TAP_914
*6087 TAP_915
*6088 TAP_916
*6089 TAP_917
*6090 TAP_918
*6091 _163_
*6092 _164_
*6093 _165_
*6094 _166_
*6095 _167_
*6096 _168_
*6097 _169_
*6098 _170_
*6099 _171_
*6100 _172_
*6101 _173_
*6102 _174_
*6103 _175_
*6104 _176_
*6105 _177_
*6106 _178_
*6107 _179_
*6108 _180_
*6109 _181_
*6110 _182_
*6111 _183_
*6112 _184_
*6113 _185_
*6114 _186_
*6115 _187_
*6116 _188_
*6117 _189_
*6118 _190_
*6119 _191_
*6120 _192_
*6121 _193_
*6122 _194_
*6123 _195_
*6124 _196_
*6125 _197_
*6126 _198_
*6127 _199_
*6128 _200_
*6129 _201_
*6130 _202_
*6131 _203_
*6132 _204_
*6133 _205_
*6134 _206_
*6135 _207_
*6136 _208_
*6137 _209_
*6138 _210_
*6139 _211_
*6140 _212_
*6141 _213_
*6142 _214_
*6143 _215_
*6144 _216_
*6145 _217_
*6146 _218_
*6147 _219_
*6148 _220_
*6149 _221_
*6150 _222_
*6151 _223_
*6152 _224_
*6153 _225_
*6154 _226_
*6155 _227_
*6156 _228_
*6157 _229_
*6158 _230_
*6159 _231_
*6160 _232_
*6161 _233_
*6162 _234_
*6163 _235_
*6164 _236_
*6165 _237_
*6166 _238_
*6167 _239_
*6168 _240_
*6169 _241_
*6170 _242_
*6171 _243_
*6172 _244_
*6173 _245_
*6174 _246_
*6175 _247_
*6176 _248_
*6177 _249_
*6178 _250_
*6179 _251_
*6180 _252_
*6181 _253_
*6182 _254_
*6183 _255_
*6184 _256_
*6185 _257_
*6186 _258_
*6187 _259_
*6188 _260_
*6189 _261_
*6190 _262_
*6191 _263_
*6192 _264_
*6193 _265_
*6194 _266_
*6195 _267_
*6196 _268_
*6197 _269_
*6198 _270_
*6199 _271_
*6200 _272_
*6201 _273_
*6202 _274_
*6203 _275_
*6204 _276_
*6205 _277_
*6206 _278_
*6207 _279_
*6208 _280_
*6209 _281_
*6210 _282_
*6211 _283_
*6212 _284_
*6213 _285_
*6214 _286_
*6215 _287_
*6216 _288_
*6217 _289_
*6218 _290_
*6219 _291_
*6220 _292_
*6221 _293_
*6222 _294_
*6223 _295_
*6224 _296_
*6225 _297_
*6226 _298_
*6227 _299_
*6228 _300_
*6229 _301_
*6230 _302_
*6231 _303_
*6232 _304_
*6233 _305_
*6234 _306_
*6235 _307_
*6236 _308_
*6237 _309_
*6238 _310_
*6239 _311_
*6240 _312_
*6241 _313_
*6242 _314_
*6243 _315_
*6244 _316_
*6245 _317_
*6246 _318_
*6247 _319_
*6248 _320_
*6249 _321_
*6250 _322_
*6251 _323_
*6252 _324_
*6253 _325_
*6254 _326_
*6255 _327_
*6256 _328_
*6257 _329_
*6258 _330_
*6259 _331_
*6260 _332_
*6261 _333_
*6262 _334_
*6263 _335_
*6264 _336_
*6265 _337_
*6266 _338_
*6267 _339_
*6268 _340_
*6269 _341_
*6270 _342_
*6271 _343_
*6272 _344_
*6273 _345_
*6274 _346_
*6275 _347_
*6276 _348_
*6277 _349_
*6278 _350_
*6279 _351_
*6280 _352_
*6281 _353_
*6282 _354_
*6283 _355_
*6284 _356_
*6285 _357_
*6286 _358_
*6287 _359_
*6288 _360_
*6289 _361_
*6290 _362_
*6291 _363_
*6292 _364_
*6293 _365_
*6294 _366_
*6295 _367_
*6296 _368_
*6297 _369_
*6298 _370_
*6299 _371_
*6300 _372_
*6301 _373_
*6302 _374_
*6303 _375_
*6304 _376_
*6305 _377_
*6306 _378_
*6307 _379_
*6308 _380_
*6309 _381_
*6310 _382_
*6311 _383_
*6312 _384_
*6313 _385_
*6314 _386_
*6315 _387_
*6316 _388_
*6317 _389_
*6318 _390_
*6319 _391_
*6320 _392_
*6321 _393_
*6322 _448_
*6323 _449_
*6324 input1
*6325 input10
*6326 input11
*6327 input12
*6328 input13
*6329 input14
*6330 input15
*6331 input16
*6332 input17
*6333 input18
*6334 input19
*6335 input2
*6336 input20
*6337 input21
*6338 input22
*6339 input23
*6340 input24
*6341 input25
*6342 input26
*6343 input27
*6344 input28
*6345 input29
*6346 input3
*6347 input30
*6348 input31
*6349 input32
*6350 input33
*6351 input34
*6352 input35
*6353 input36
*6354 input37
*6355 input38
*6356 input39
*6357 input4
*6358 input40
*6359 input41
*6360 input42
*6361 input43
*6362 input44
*6363 input45
*6364 input46
*6365 input47
*6366 input48
*6367 input49
*6368 input5
*6369 input50
*6370 input51
*6371 input52
*6372 input53
*6373 input54
*6374 input55
*6375 input56
*6376 input57
*6377 input58
*6378 input59
*6379 input6
*6380 input7
*6381 input8
*6382 input9
*6383 output100
*6384 output101
*6385 output102
*6386 output103
*6387 output104
*6388 output105
*6389 output60
*6390 output61
*6391 output62
*6392 output63
*6393 output64
*6394 output65
*6395 output66
*6396 output67
*6397 output68
*6398 output69
*6399 output70
*6400 output71
*6401 output72
*6402 output73
*6403 output74
*6404 output75
*6405 output76
*6406 output77
*6407 output78
*6408 output79
*6409 output80
*6410 output81
*6411 output82
*6412 output83
*6413 output84
*6414 output85
*6415 output86
*6416 output87
*6417 output88
*6418 output89
*6419 output90
*6420 output91
*6421 output92
*6422 output93
*6423 output94
*6424 output95
*6425 output96
*6426 output97
*6427 output98
*6428 output99
*PORTS
flash_csb O
flash_io0_read I
flash_io0_we O
flash_io0_write O
flash_io1_read I
flash_io1_we O
flash_io1_write O
flash_sck O
sram_addr0[0] O
sram_addr0[1] O
sram_addr0[2] O
sram_addr0[3] O
sram_addr0[4] O
sram_addr0[5] O
sram_addr0[6] O
sram_addr0[7] O
sram_addr0[8] O
sram_addr1[0] O
sram_addr1[1] O
sram_addr1[2] O
sram_addr1[3] O
sram_addr1[4] O
sram_addr1[5] O
sram_addr1[6] O
sram_addr1[7] O
sram_addr1[8] O
sram_clk0 O
sram_clk1 O
sram_csb0 O
sram_csb1 O
sram_din0[0] O
sram_din0[10] O
sram_din0[11] O
sram_din0[12] O
sram_din0[13] O
sram_din0[14] O
sram_din0[15] O
sram_din0[16] O
sram_din0[17] O
sram_din0[18] O
sram_din0[19] O
sram_din0[1] O
sram_din0[20] O
sram_din0[21] O
sram_din0[22] O
sram_din0[23] O
sram_din0[24] O
sram_din0[25] O
sram_din0[26] O
sram_din0[27] O
sram_din0[28] O
sram_din0[29] O
sram_din0[2] O
sram_din0[30] O
sram_din0[31] O
sram_din0[3] O
sram_din0[4] O
sram_din0[5] O
sram_din0[6] O
sram_din0[7] O
sram_din0[8] O
sram_din0[9] O
sram_dout0[0] I
sram_dout0[10] I
sram_dout0[11] I
sram_dout0[12] I
sram_dout0[13] I
sram_dout0[14] I
sram_dout0[15] I
sram_dout0[16] I
sram_dout0[17] I
sram_dout0[18] I
sram_dout0[19] I
sram_dout0[1] I
sram_dout0[20] I
sram_dout0[21] I
sram_dout0[22] I
sram_dout0[23] I
sram_dout0[24] I
sram_dout0[25] I
sram_dout0[26] I
sram_dout0[27] I
sram_dout0[28] I
sram_dout0[29] I
sram_dout0[2] I
sram_dout0[30] I
sram_dout0[31] I
sram_dout0[3] I
sram_dout0[4] I
sram_dout0[5] I
sram_dout0[6] I
sram_dout0[7] I
sram_dout0[8] I
sram_dout0[9] I
sram_dout1[0] I
sram_dout1[10] I
sram_dout1[11] I
sram_dout1[12] I
sram_dout1[13] I
sram_dout1[14] I
sram_dout1[15] I
sram_dout1[16] I
sram_dout1[17] I
sram_dout1[18] I
sram_dout1[19] I
sram_dout1[1] I
sram_dout1[20] I
sram_dout1[21] I
sram_dout1[22] I
sram_dout1[23] I
sram_dout1[24] I
sram_dout1[25] I
sram_dout1[26] I
sram_dout1[27] I
sram_dout1[28] I
sram_dout1[29] I
sram_dout1[2] I
sram_dout1[30] I
sram_dout1[31] I
sram_dout1[3] I
sram_dout1[4] I
sram_dout1[5] I
sram_dout1[6] I
sram_dout1[7] I
sram_dout1[8] I
sram_dout1[9] I
sram_web0 O
sram_wmask0[0] O
sram_wmask0[1] O
sram_wmask0[2] O
sram_wmask0[3] O
wb_ack_o O
wb_adr_i[0] I
wb_adr_i[10] I
wb_adr_i[11] I
wb_adr_i[12] I
wb_adr_i[13] I
wb_adr_i[14] I
wb_adr_i[15] I
wb_adr_i[16] I
wb_adr_i[17] I
wb_adr_i[18] I
wb_adr_i[19] I
wb_adr_i[1] I
wb_adr_i[20] I
wb_adr_i[21] I
wb_adr_i[22] I
wb_adr_i[23] I
wb_adr_i[2] I
wb_adr_i[3] I
wb_adr_i[4] I
wb_adr_i[5] I
wb_adr_i[6] I
wb_adr_i[7] I
wb_adr_i[8] I
wb_adr_i[9] I
wb_clk_i I
wb_cyc_i I
wb_data_i[0] I
wb_data_i[10] I
wb_data_i[11] I
wb_data_i[12] I
wb_data_i[13] I
wb_data_i[14] I
wb_data_i[15] I
wb_data_i[16] I
wb_data_i[17] I
wb_data_i[18] I
wb_data_i[19] I
wb_data_i[1] I
wb_data_i[20] I
wb_data_i[21] I
wb_data_i[22] I
wb_data_i[23] I
wb_data_i[24] I
wb_data_i[25] I
wb_data_i[26] I
wb_data_i[27] I
wb_data_i[28] I
wb_data_i[29] I
wb_data_i[2] I
wb_data_i[30] I
wb_data_i[31] I
wb_data_i[3] I
wb_data_i[4] I
wb_data_i[5] I
wb_data_i[6] I
wb_data_i[7] I
wb_data_i[8] I
wb_data_i[9] I
wb_data_o[0] O
wb_data_o[10] O
wb_data_o[11] O
wb_data_o[12] O
wb_data_o[13] O
wb_data_o[14] O
wb_data_o[15] O
wb_data_o[16] O
wb_data_o[17] O
wb_data_o[18] O
wb_data_o[19] O
wb_data_o[1] O
wb_data_o[20] O
wb_data_o[21] O
wb_data_o[22] O
wb_data_o[23] O
wb_data_o[24] O
wb_data_o[25] O
wb_data_o[26] O
wb_data_o[27] O
wb_data_o[28] O
wb_data_o[29] O
wb_data_o[2] O
wb_data_o[30] O
wb_data_o[31] O
wb_data_o[3] O
wb_data_o[4] O
wb_data_o[5] O
wb_data_o[6] O
wb_data_o[7] O
wb_data_o[8] O
wb_data_o[9] O
wb_error_o O
wb_rst_i I
wb_sel_i[0] I
wb_sel_i[1] I
wb_sel_i[2] I
wb_sel_i[3] I
wb_stall_o O
wb_stb_i I
wb_we_i I
*D_NET *1 0.00101485
*CONN
*P flash_csb O
*I *5120:LO O *D sky130_fd_sc_hd__conb_1
*CAP
1 flash_csb 0.000507427
2 *5120:LO 0.000507427
3 flash_csb wb_data_o[30] 0
4 flash_csb wb_data_o[31] 0
*RES
1 *5120:LO flash_csb 21.1821
*END
*D_NET *3 0.00111134
*CONN
*P flash_io0_we O
*I *5167:HI O *D sky130_fd_sc_hd__conb_1
*CAP
1 flash_io0_we 0.000555668
2 *5167:HI 0.000555668
*RES
1 *5167:HI flash_io0_we 23.0214
*END
*D_NET *4 0.000987734
*CONN
*P flash_io0_write O
*I *5121:LO O *D sky130_fd_sc_hd__conb_1
*CAP
1 flash_io0_write 0.000493867
2 *5121:LO 0.000493867
*RES
1 *5121:LO flash_io0_write 21.4321
*END
*D_NET *6 0.000882484
*CONN
*P flash_io1_we O
*I *5122:LO O *D sky130_fd_sc_hd__conb_1
*CAP
1 flash_io1_we 0.000441242
2 *5122:LO 0.000441242
*RES
1 *5122:LO flash_io1_we 21.3071
*END
*D_NET *7 0.00101066
*CONN
*P flash_io1_write O
*I *5123:LO O *D sky130_fd_sc_hd__conb_1
*CAP
1 flash_io1_write 0.00050533
2 *5123:LO 0.00050533
*RES
1 *5123:LO flash_io1_write 21.1821
*END
*D_NET *8 0.00101066
*CONN
*P flash_sck O
*I *5124:LO O *D sky130_fd_sc_hd__conb_1
*CAP
1 flash_sck 0.00050533
2 *5124:LO 0.00050533
*RES
1 *5124:LO flash_sck 21.1821
*END
*D_NET *9 0.00388856
*CONN
*P sram_addr0[0] O
*I *5125:LO O *D sky130_fd_sc_hd__conb_1
*CAP
1 sram_addr0[0] 0.000972065
2 *5125:LO 0.000972065
3 sram_addr0[0] sram_addr1[0] 0
4 sram_addr0[0] sram_web0 0
5 sram_addr0[0] wb_error_o 7.86825e-06
6 sram_addr0[0] wb_stall_o 2.48668e-05
7 sram_addr0[0] *6209:A 0.000264088
8 sram_addr0[0] *6297:CLK 0.00011818
9 sram_addr0[0] *6297:D 0.000386666
10 sram_addr0[0] *6322:A 6.08467e-05
11 sram_addr0[0] *6399:A 9.49075e-05
12 sram_addr0[0] *403:25 0.000168566
13 sram_addr0[0] *403:34 0.000174801
14 sram_addr0[0] *453:8 0.000531226
15 sram_addr0[0] *453:28 2.09495e-05
16 sram_addr0[0] *473:40 9.14669e-05
*RES
1 *5125:LO sram_addr0[0] 37.8071
*END
*D_NET *10 0.000963668
*CONN
*P sram_addr0[1] O
*I *5126:LO O *D sky130_fd_sc_hd__conb_1
*CAP
1 sram_addr0[1] 0.000480234
2 *5126:LO 0.000480234
3 sram_addr0[1] sram_addr1[1] 0
4 sram_addr0[1] sram_wmask0[0] 0
5 sram_addr0[1] *6390:A 3.20069e-06
6 sram_addr0[1] *410:12 0
*RES
1 *5126:LO sram_addr0[1] 21.5929
*END
*D_NET *11 0.000948393
*CONN
*P sram_addr0[2] O
*I *5127:LO O *D sky130_fd_sc_hd__conb_1
*CAP
1 sram_addr0[2] 0.000409138
2 *5127:LO 0.000409138
3 sram_addr0[2] sram_addr1[2] 0
4 sram_addr0[2] sram_din0[2] 0
5 sram_addr0[2] sram_wmask0[1] 0
6 sram_addr0[2] *6391:A 6.50586e-05
7 sram_addr0[2] *408:19 6.50586e-05
*RES
1 *5127:LO sram_addr0[2] 20.3607
*END
*D_NET *12 0.00159419
*CONN
*P sram_addr0[3] O
*I *5128:LO O *D sky130_fd_sc_hd__conb_1
*CAP
1 sram_addr0[3] 0.000612633
2 *5128:LO 0.000612633
3 sram_addr0[3] sram_addr1[3] 0
4 sram_addr0[3] sram_wmask0[2] 0
5 sram_addr0[3] *6196:B1 0
6 sram_addr0[3] *6339:A 0
7 sram_addr0[3] *6392:A 0.000144531
8 sram_addr0[3] *307:17 0.000224395
*RES
1 *5128:LO sram_addr0[3] 23.4143
*END
*D_NET *13 0.00106152
*CONN
*P sram_addr0[4] O
*I *5129:LO O *D sky130_fd_sc_hd__conb_1
*CAP
1 sram_addr0[4] 0.000442818
2 *5129:LO 0.000442818
3 sram_addr0[4] sram_addr1[4] 0
4 sram_addr0[4] sram_wmask0[3] 0
5 sram_addr0[4] *6342:A 0
6 sram_addr0[4] *398:12 5.77061e-05
7 sram_addr0[4] *408:19 0.00011818
*RES
1 *5129:LO sram_addr0[4] 20.7714
*END
*D_NET *14 0.000981918
*CONN
*P sram_addr0[5] O
*I *5130:LO O *D sky130_fd_sc_hd__conb_1
*CAP
1 sram_addr0[5] 0.000477667
2 *5130:LO 0.000477667
3 sram_addr0[5] sram_addr1[5] 0
4 sram_addr0[5] *6343:A 0
5 sram_addr0[5] *417:13 2.65831e-05
6 sram_addr0[5] *480:31 0
*RES
1 *5130:LO sram_addr0[5] 20.3607
*END
*D_NET *15 0.00152298
*CONN
*P sram_addr0[6] O
*I *5131:LO O *D sky130_fd_sc_hd__conb_1
*CAP
1 sram_addr0[6] 0.000488199
2 *5131:LO 0.000488199
3 sram_addr0[6] sram_addr1[6] 0
4 sram_addr0[6] *6344:A 0
5 sram_addr0[6] *447:19 0.00027329
6 sram_addr0[6] *491:29 0.00027329
*RES
1 *5131:LO sram_addr0[6] 22.0036
*END
*D_NET *16 0.00129279
*CONN
*P sram_addr0[7] O
*I *5132:LO O *D sky130_fd_sc_hd__conb_1
*CAP
1 sram_addr0[7] 0.000480027
2 *5132:LO 0.000480027
3 sram_addr0[7] sram_addr1[7] 0
4 sram_addr0[7] sram_din0[7] 0
5 sram_addr0[7] *6345:A 0
6 sram_addr0[7] *427:13 9.34396e-06
7 sram_addr0[7] *447:19 0.000224381
8 sram_addr0[7] *491:29 9.90116e-05
*RES
1 *5132:LO sram_addr0[7] 21.5929
*END
*D_NET *17 0.00119252
*CONN
*P sram_addr0[8] O
*I *5133:LO O *D sky130_fd_sc_hd__conb_1
*CAP
1 sram_addr0[8] 0.000478094
2 *5133:LO 0.000478094
3 sram_addr0[8] sram_addr1[8] 0
4 sram_addr0[8] *6347:A 0
5 sram_addr0[8] *427:13 0.000118166
6 sram_addr0[8] *447:19 0.000118166
*RES
1 *5133:LO sram_addr0[8] 20.7714
*END
*D_NET *18 0.00165956
*CONN
*P sram_addr1[0] O
*I *6389:X O *D sky130_fd_sc_hd__buf_2
*CAP
1 sram_addr1[0] 0.000652099
2 *6389:X 0.000652099
3 sram_addr1[0] wb_ack_o 0.000178174
4 sram_addr1[0] wb_error_o 2.86353e-06
5 sram_addr1[0] wb_stall_o 7.13655e-06
6 sram_addr1[0] *6377:A 5.80703e-06
7 sram_addr1[0] *6389:A 0.00013715
8 sram_addr1[0] *453:649 2.42273e-05
9 sram_addr0[0] sram_addr1[0] 0
*RES
1 *6389:X sram_addr1[0] 23.45
*END
*D_NET *19 0.00141451
*CONN
*P sram_addr1[1] O
*I *6390:X O *D sky130_fd_sc_hd__buf_2
*CAP
1 sram_addr1[1] 0.000501739
2 *6390:X 0.000501739
3 sram_addr1[1] sram_din0[1] 1.00846e-05
4 sram_addr1[1] *6390:A 9.14669e-05
5 sram_addr1[1] *410:12 0.000309486
6 sram_addr0[1] sram_addr1[1] 0
*RES
1 *6390:X sram_addr1[1] 21.8071
*END
*D_NET *20 0.00103213
*CONN
*P sram_addr1[2] O
*I *6391:X O *D sky130_fd_sc_hd__buf_2
*CAP
1 sram_addr1[2] 0.000469397
2 *6391:X 0.000469397
3 sram_addr1[2] sram_din0[2] 0
4 sram_addr1[2] *6391:A 6.50727e-05
5 sram_addr1[2] *408:19 2.82583e-05
6 sram_addr0[2] sram_addr1[2] 0
*RES
1 *6391:X sram_addr1[2] 20.575
*END
*D_NET *21 0.00117879
*CONN
*P sram_addr1[3] O
*I *6392:X O *D sky130_fd_sc_hd__buf_2
*CAP
1 sram_addr1[3] 0.000404364
2 *6392:X 0.000404364
3 sram_addr1[3] sram_din0[3] 0
4 sram_addr1[3] *6196:B1 0.000252342
5 sram_addr1[3] *6198:B1 6.69207e-05
6 sram_addr1[3] *6392:A 2.65831e-05
7 sram_addr1[3] *408:19 2.42138e-05
8 sram_addr0[3] sram_addr1[3] 0
*RES
1 *6392:X sram_addr1[3] 20.575
*END
*D_NET *22 0.00112781
*CONN
*P sram_addr1[4] O
*I *6393:X O *D sky130_fd_sc_hd__buf_2
*CAP
1 sram_addr1[4] 0.000488195
2 *6393:X 0.000488195
3 sram_addr1[4] sram_din0[4] 0
4 sram_addr1[4] *398:12 3.98548e-05
5 sram_addr1[4] *408:19 2.42273e-05
6 sram_addr1[4] *413:14 2.22788e-05
7 sram_addr1[4] *417:24 6.50586e-05
8 sram_addr0[4] sram_addr1[4] 0
*RES
1 *6393:X sram_addr1[4] 20.575
*END
*D_NET *23 0.00114
*CONN
*P sram_addr1[5] O
*I *6394:X O *D sky130_fd_sc_hd__buf_2
*CAP
1 sram_addr1[5] 0.000505593
2 *6394:X 0.000505593
3 sram_addr1[5] sram_din0[5] 0
4 sram_addr1[5] *480:31 3.95252e-05
5 sram_addr1[5] *491:29 8.92859e-05
6 sram_addr0[5] sram_addr1[5] 0
*RES
1 *6394:X sram_addr1[5] 20.575
*END
*D_NET *24 0.00107071
*CONN
*P sram_addr1[6] O
*I *6395:X O *D sky130_fd_sc_hd__buf_2
*CAP
1 sram_addr1[6] 0.000424466
2 *6395:X 0.000424466
3 sram_addr1[6] sram_din0[6] 0.000221776
4 sram_addr0[6] sram_addr1[6] 0
*RES
1 *6395:X sram_addr1[6] 22.1643
*END
*D_NET *25 0.00138218
*CONN
*P sram_addr1[7] O
*I *6396:X O *D sky130_fd_sc_hd__buf_2
*CAP
1 sram_addr1[7] 0.000454486
2 *6396:X 0.000454486
3 sram_addr1[7] sram_din0[7] 0
4 sram_addr1[7] *427:13 0.000171288
5 sram_addr1[7] *491:29 0.000301919
6 sram_addr0[7] sram_addr1[7] 0
*RES
1 *6396:X sram_addr1[7] 20.575
*END
*D_NET *26 0.00123278
*CONN
*P sram_addr1[8] O
*I *6397:X O *D sky130_fd_sc_hd__buf_2
*CAP
1 sram_addr1[8] 0.000530745
2 *6397:X 0.000530745
3 sram_addr1[8] sram_din0[8] 0
4 sram_addr1[8] *427:13 0.000171288
5 sram_addr0[8] sram_addr1[8] 0
*RES
1 *6397:X sram_addr1[8] 20.575
*END
*D_NET *27 0.00223516
*CONN
*P sram_clk0 O
*I *6398:X O *D sky130_fd_sc_hd__buf_2
*CAP
1 sram_clk0 0.0010829
2 *6398:X 0.0010829
3 sram_clk0 sram_clk1 4.29909e-05
4 sram_clk0 *6398:A 2.63704e-05
*RES
1 *6398:X sram_clk0 32.7893
*END
*D_NET *28 0.00244754
*CONN
*P sram_clk1 O
*I *6399:X O *D sky130_fd_sc_hd__buf_2
*CAP
1 sram_clk1 0.00111841
2 *6399:X 0.00111841
3 sram_clk1 sram_csb0 0
4 sram_clk1 sram_csb1 0
5 sram_clk1 *6376:A 0
6 sram_clk1 *6400:A 2.65667e-05
7 sram_clk1 *402:36 0.000141157
8 sram_clk1 *402:42 0
9 sram_clk0 sram_clk1 4.29909e-05
*RES
1 *6399:X sram_clk1 34.0393
*END
*D_NET *29 0.00533472
*CONN
*P sram_csb0 O
*I *5168:HI O *D sky130_fd_sc_hd__conb_1
*CAP
1 sram_csb0 0.00145221
2 *5168:HI 0.00145221
3 sram_csb0 sram_din0[0] 1.1512e-05
4 sram_csb0 wb_ack_o 0.000167076
5 sram_csb0 *6297:D 9.60366e-05
6 sram_csb0 *6374:A 0.000272732
7 sram_csb0 *6375:A 0.000246464
8 sram_csb0 *6376:A 4.45485e-05
9 sram_csb0 *6378:A 0.000219461
10 sram_csb0 *6389:A 0
11 sram_csb0 *266:8 0
12 sram_csb0 *367:9 0
13 sram_csb0 *453:649 0.00011818
14 sram_csb0 *455:20 0.00125429
15 sram_clk1 sram_csb0 0
*RES
1 *5168:HI sram_csb0 44.7893
*END
*D_NET *30 0.00177385
*CONN
*P sram_csb1 O
*I *6400:X O *D sky130_fd_sc_hd__buf_2
*CAP
1 sram_csb1 0.000656209
2 *6400:X 0.000656209
3 sram_csb1 sram_web0 0
4 sram_csb1 *6374:A 0.000123218
5 sram_csb1 *6376:A 0.000166931
6 sram_csb1 *402:36 0.000171288
7 sram_clk1 sram_csb1 0
*RES
1 *6400:X sram_csb1 25.4321
*END
*D_NET *31 0.00195019
*CONN
*P sram_din0[0] O
*I *5134:LO O *D sky130_fd_sc_hd__conb_1
*CAP
1 sram_din0[0] 0.000654121
2 *5134:LO 0.000654121
3 sram_din0[0] wb_ack_o 0.000221923
4 sram_din0[0] *6324:A 0
5 sram_din0[0] *6375:A 0
6 sram_din0[0] *6378:A 7.85354e-05
7 sram_din0[0] *6389:A 0
8 sram_din0[0] *402:36 0.000211492
9 sram_din0[0] *453:649 0.000118485
10 sram_csb0 sram_din0[0] 1.1512e-05
*RES
1 *5134:LO sram_din0[0] 26.45
*END
*D_NET *32 0.00130285
*CONN
*P sram_din0[10] O
*I *5144:LO O *D sky130_fd_sc_hd__conb_1
*CAP
1 sram_din0[10] 0.000480138
2 *5144:LO 0.000480138
3 sram_din0[10] *6335:A 0
4 sram_din0[10] *6349:A 0
5 sram_din0[10] *447:19 0.000171288
6 sram_din0[10] *480:18 0.000171288
*RES
1 *5144:LO sram_din0[10] 21.1821
*END
*D_NET *33 0.00129116
*CONN
*P sram_din0[11] O
*I *5145:LO O *D sky130_fd_sc_hd__conb_1
*CAP
1 sram_din0[11] 0.000474291
2 *5145:LO 0.000474291
3 sram_din0[11] *6335:A 0
4 sram_din0[11] *6346:A 0
5 sram_din0[11] *447:19 0.000171288
6 sram_din0[11] *480:18 0.000171288
*RES
1 *5145:LO sram_din0[11] 21.1821
*END
*D_NET *34 0.0016384
*CONN
*P sram_din0[12] O
*I *5146:LO O *D sky130_fd_sc_hd__conb_1
*CAP
1 sram_din0[12] 0.000673264
2 *5146:LO 0.000673264
3 sram_din0[12] *6346:A 0
4 sram_din0[12] *420:13 0.000171288
5 sram_din0[12] *425:8 0.000120584
6 sram_din0[12] *436:12 0
*RES
1 *5146:LO sram_din0[12] 23.0036
*END
*D_NET *35 0.00147065
*CONN
*P sram_din0[13] O
*I *5147:LO O *D sky130_fd_sc_hd__conb_1
*CAP
1 sram_din0[13] 0.00072063
2 *5147:LO 0.00072063
3 sram_din0[13] *6357:A 0
4 sram_din0[13] *420:9 2.93863e-05
*RES
1 *5147:LO sram_din0[13] 23.0036
*END
*D_NET *36 0.00149364
*CONN
*P sram_din0[14] O
*I *5148:LO O *D sky130_fd_sc_hd__conb_1
*CAP
1 sram_din0[14] 0.000677609
2 *5148:LO 0.000677609
3 sram_din0[14] *6368:A 0
4 sram_din0[14] *408:12 5.56367e-05
5 sram_din0[14] *420:9 2.32834e-05
6 sram_din0[14] *447:17 1.55462e-05
7 sram_din0[14] *458:14 4.39593e-05
*RES
1 *5148:LO sram_din0[14] 23.0036
*END
*D_NET *37 0.00167613
*CONN
*P sram_din0[15] O
*I *5149:LO O *D sky130_fd_sc_hd__conb_1
*CAP
1 sram_din0[15] 0.000813277
2 *5149:LO 0.000813277
3 sram_din0[15] *6379:A 0
4 sram_din0[15] *6380:A 0
5 sram_din0[15] *420:9 4.95737e-05
*RES
1 *5149:LO sram_din0[15] 24.4857
*END
*D_NET *38 0.00182247
*CONN
*P sram_din0[16] O
*I *5150:LO O *D sky130_fd_sc_hd__conb_1
*CAP
1 sram_din0[16] 0.000836872
2 *5150:LO 0.000836872
3 sram_din0[16] *6380:A 0
4 sram_din0[16] *420:9 7.98549e-05
5 sram_din0[16] *469:8 6.88728e-05
*RES
1 *5150:LO sram_din0[16] 25.0571
*END
*D_NET *39 0.00141296
*CONN
*P sram_din0[17] O
*I *5151:LO O *D sky130_fd_sc_hd__conb_1
*CAP
1 sram_din0[17] 0.000541175
2 *5151:LO 0.000541175
3 sram_din0[17] *6381:A 0
4 sram_din0[17] *408:5 0.00033061
*RES
1 *5151:LO sram_din0[17] 22.4143
*END
*D_NET *40 0.00140335
*CONN
*P sram_din0[18] O
*I *5152:LO O *D sky130_fd_sc_hd__conb_1
*CAP
1 sram_din0[18] 0.000686983
2 *5152:LO 0.000686983
3 sram_din0[18] *6325:A 0
4 sram_din0[18] *6382:A 0
5 sram_din0[18] *420:9 2.93863e-05
6 sram_din0[18] *491:8 0
*RES
1 *5152:LO sram_din0[18] 23.0036
*END
*D_NET *41 0.00135206
*CONN
*P sram_din0[19] O
*I *5153:LO O *D sky130_fd_sc_hd__conb_1
*CAP
1 sram_din0[19] 0.000448613
2 *5153:LO 0.000448613
3 sram_din0[19] *6325:A 0
4 sram_din0[19] *6326:A 0
5 sram_din0[19] *398:8 4.3116e-06
6 sram_din0[19] *405:10 0.000173016
7 sram_din0[19] *408:5 0.000277502
*RES
1 *5153:LO sram_din0[19] 22.0036
*END
*D_NET *42 0.00139572
*CONN
*P sram_din0[1] O
*I *5135:LO O *D sky130_fd_sc_hd__conb_1
*CAP
1 sram_din0[1] 0.000528634
2 *5135:LO 0.000528634
3 sram_din0[1] *6390:A 0.000328363
4 sram_din0[1] *410:12 0
5 sram_din0[1] *411:16 0
6 sram_addr1[1] sram_din0[1] 1.00846e-05
*RES
1 *5135:LO sram_din0[1] 23.1286
*END
*D_NET *43 0.00136719
*CONN
*P sram_din0[20] O
*I *5154:LO O *D sky130_fd_sc_hd__conb_1
*CAP
1 sram_din0[20] 0.000668901
2 *5154:LO 0.000668901
3 sram_din0[20] *6326:A 0
4 sram_din0[20] *6328:A 0
5 sram_din0[20] *420:9 2.93863e-05
*RES
1 *5154:LO sram_din0[20] 23.0036
*END
*D_NET *44 0.00135051
*CONN
*P sram_din0[21] O
*I *5155:LO O *D sky130_fd_sc_hd__conb_1
*CAP
1 sram_din0[21] 0.000647261
2 *5155:LO 0.000647261
3 sram_din0[21] *6328:A 0
4 sram_din0[21] *6329:A 0
5 sram_din0[21] *407:8 2.66039e-05
6 sram_din0[21] *420:9 2.93863e-05
*RES
1 *5155:LO sram_din0[21] 23.0036
*END
*D_NET *45 0.00134495
*CONN
*P sram_din0[22] O
*I *5156:LO O *D sky130_fd_sc_hd__conb_1
*CAP
1 sram_din0[22] 0.000665867
2 *5156:LO 0.000665867
3 sram_din0[22] *6329:A 0
4 sram_din0[22] *420:5 9.06655e-06
5 sram_din0[22] *420:9 4.152e-06
*RES
1 *5156:LO sram_din0[22] 23.0036
*END
*D_NET *46 0.0018098
*CONN
*P sram_din0[23] O
*I *5157:LO O *D sky130_fd_sc_hd__conb_1
*CAP
1 sram_din0[23] 0.000851221
2 *5157:LO 0.000851221
3 sram_din0[23] *6330:A 0
4 sram_din0[23] *6331:A 0
5 sram_din0[23] *409:8 7.86847e-05
6 sram_din0[23] *420:5 2.86753e-05
*RES
1 *5157:LO sram_din0[23] 25.7179
*END
*D_NET *47 0.00132819
*CONN
*P sram_din0[24] O
*I *5158:LO O *D sky130_fd_sc_hd__conb_1
*CAP
1 sram_din0[24] 0.000506454
2 *5158:LO 0.000506454
3 sram_din0[24] *6331:A 0
4 sram_din0[24] *410:8 0.000119659
5 sram_din0[24] *417:5 0.000195621
*RES
1 *5158:LO sram_din0[24] 23.2357
*END
*D_NET *48 0.00131729
*CONN
*P sram_din0[25] O
*I *5159:LO O *D sky130_fd_sc_hd__conb_1
*CAP
1 sram_din0[25] 0.000653372
2 *5159:LO 0.000653372
3 sram_din0[25] *6332:A 0
4 sram_din0[25] *411:8 0
5 sram_din0[25] *420:5 1.05422e-05
*RES
1 *5159:LO sram_din0[25] 23.0036
*END
*D_NET *49 0.00176427
*CONN
*P sram_din0[26] O
*I *5160:LO O *D sky130_fd_sc_hd__conb_1
*CAP
1 sram_din0[26] 0.000856993
2 *5160:LO 0.000856993
3 sram_din0[26] *6333:A 0
4 sram_din0[26] *412:8 1.79807e-05
5 sram_din0[26] *420:5 3.23019e-05
*RES
1 *5160:LO sram_din0[26] 25.4679
*END
*D_NET *50 0.00128992
*CONN
*P sram_din0[27] O
*I *5161:LO O *D sky130_fd_sc_hd__conb_1
*CAP
1 sram_din0[27] 0.00063969
2 *5161:LO 0.00063969
3 sram_din0[27] *6334:A 0
4 sram_din0[27] *413:8 0
5 sram_din0[27] *420:5 1.05422e-05
*RES
1 *5161:LO sram_din0[27] 23.0036
*END
*D_NET *51 0.00139673
*CONN
*P sram_din0[28] O
*I *5115:LO O *D sky130_fd_sc_hd__conb_1
*CAP
1 sram_din0[28] 0.000566929
2 *5115:LO 0.000566929
3 sram_din0[28] *6336:A 0
4 sram_din0[28] *6337:A 0
5 sram_din0[28] *415:8 0.000252327
6 sram_din0[28] *420:5 1.05422e-05
*RES
1 *5115:LO sram_din0[28] 23.0036
*END
*D_NET *52 0.00138853
*CONN
*P sram_din0[29] O
*I *5116:LO O *D sky130_fd_sc_hd__conb_1
*CAP
1 sram_din0[29] 0.000573304
2 *5116:LO 0.000573304
3 sram_din0[29] *6337:A 0
4 sram_din0[29] *6338:A 0
5 sram_din0[29] *416:8 0.000231378
6 sram_din0[29] *420:5 1.05422e-05
*RES
1 *5116:LO sram_din0[29] 23.0036
*END
*D_NET *53 0.00156673
*CONN
*P sram_din0[2] O
*I *5136:LO O *D sky130_fd_sc_hd__conb_1
*CAP
1 sram_din0[2] 0.000540815
2 *5136:LO 0.000540815
3 sram_din0[2] *307:17 0.000164829
4 sram_din0[2] *407:12 0.000320272
5 sram_addr0[2] sram_din0[2] 0
6 sram_addr1[2] sram_din0[2] 0
*RES
1 *5136:LO sram_din0[2] 23.0036
*END
*D_NET *54 0.00120157
*CONN
*P sram_din0[30] O
*I *5117:LO O *D sky130_fd_sc_hd__conb_1
*CAP
1 sram_din0[30] 0.000600787
2 *5117:LO 0.000600787
3 sram_din0[30] *6338:A 0
4 sram_din0[30] *6340:A 0
*RES
1 *5117:LO sram_din0[30] 23.6464
*END
*D_NET *55 0.00148081
*CONN
*P sram_din0[31] O
*I *5118:LO O *D sky130_fd_sc_hd__conb_1
*CAP
1 sram_din0[31] 0.000699906
2 *5118:LO 0.000699906
3 sram_din0[31] *6340:A 0
4 sram_din0[31] *6341:A 8.09995e-05
*RES
1 *5118:LO sram_din0[31] 24.2357
*END
*D_NET *56 0.00227246
*CONN
*P sram_din0[3] O
*I *5137:LO O *D sky130_fd_sc_hd__conb_1
*CAP
1 sram_din0[3] 0.000773807
2 *5137:LO 0.000773807
3 sram_din0[3] *6132:B1 1.79672e-05
4 sram_din0[3] *6198:B1 0.000183168
5 sram_din0[3] *307:17 0.000523707
6 sram_din0[3] *405:16 0
7 sram_din0[3] *412:14 0
8 sram_addr1[3] sram_din0[3] 0
*RES
1 *5137:LO sram_din0[3] 25.8786
*END
*D_NET *57 0.0013426
*CONN
*P sram_din0[4] O
*I *5138:LO O *D sky130_fd_sc_hd__conb_1
*CAP
1 sram_din0[4] 0.000606738
2 *5138:LO 0.000606738
3 sram_din0[4] *6343:A 0
4 sram_din0[4] *307:17 1.92926e-05
5 sram_din0[4] *413:14 0.000109834
6 sram_addr1[4] sram_din0[4] 0
*RES
1 *5138:LO sram_din0[4] 22.5929
*END
*D_NET *58 0.00145109
*CONN
*P sram_din0[5] O
*I *5139:LO O *D sky130_fd_sc_hd__conb_1
*CAP
1 sram_din0[5] 0.000603806
2 *5139:LO 0.000603806
3 sram_din0[5] *6158:B1 0.000113374
4 sram_din0[5] *6204:B1 3.82228e-05
5 sram_din0[5] *469:18 0
6 sram_din0[5] *480:31 9.18855e-05
7 sram_addr1[5] sram_din0[5] 0
*RES
1 *5139:LO sram_din0[5] 22.5929
*END
*D_NET *59 0.00163234
*CONN
*P sram_din0[6] O
*I *5140:LO O *D sky130_fd_sc_hd__conb_1
*CAP
1 sram_din0[6] 0.000619638
2 *5140:LO 0.000619638
3 sram_din0[6] *6345:A 0
4 sram_din0[6] *420:13 0.000171288
5 sram_addr1[6] sram_din0[6] 0.000221776
*RES
1 *5140:LO sram_din0[6] 23.0036
*END
*D_NET *60 0.00164656
*CONN
*P sram_din0[7] O
*I *5141:LO O *D sky130_fd_sc_hd__conb_1
*CAP
1 sram_din0[7] 0.000492673
2 *5141:LO 0.000492673
3 sram_din0[7] *6396:A 0
4 sram_din0[7] *427:13 0.00033061
5 sram_din0[7] *447:19 0.00033061
6 sram_addr0[7] sram_din0[7] 0
7 sram_addr1[7] sram_din0[7] 0
*RES
1 *5141:LO sram_din0[7] 22.4143
*END
*D_NET *61 0.00155319
*CONN
*P sram_din0[8] O
*I *5142:LO O *D sky130_fd_sc_hd__conb_1
*CAP
1 sram_din0[8] 0.000690953
2 *5142:LO 0.000690953
3 sram_din0[8] *6348:A 0
4 sram_din0[8] *6397:A 0
5 sram_din0[8] *420:13 0.000171288
6 sram_din0[8] *480:18 0
7 sram_addr1[8] sram_din0[8] 0
*RES
1 *5142:LO sram_din0[8] 23.0036
*END
*D_NET *62 0.00107139
*CONN
*P sram_din0[9] O
*I *5143:LO O *D sky130_fd_sc_hd__conb_1
*CAP
1 sram_din0[9] 0.000470637
2 *5143:LO 0.000470637
3 sram_din0[9] *6348:A 0
4 sram_din0[9] *6349:A 0
5 sram_din0[9] *428:10 0
6 sram_din0[9] *447:19 6.50586e-05
7 sram_din0[9] *480:18 6.50586e-05
*RES
1 *5143:LO sram_din0[9] 20.3607
*END
*D_NET *95 0.00195933
*CONN
*P sram_dout1[0] I
*I *6324:A I *D sky130_fd_sc_hd__clkbuf_1
*CAP
1 sram_dout1[0] 0.000612952
2 *6324:A 0.000612952
3 *6324:A sram_wmask0[0] 0
4 *6324:A wb_error_o 0.000252584
5 *6324:A *6126:B1 0.000107496
6 *6324:A *6296:D 0.000147742
7 *6324:A *6375:A 0
8 *6324:A *6388:A 0.000113374
9 *6324:A *402:36 0.000107496
10 *6324:A *455:20 4.73113e-06
11 sram_din0[0] *6324:A 0
*RES
1 sram_dout1[0] *6324:A 26.2893
*END
*D_NET *96 0.00135196
*CONN
*P sram_dout1[10] I
*I *6335:A I *D sky130_fd_sc_hd__dlymetal6s2s_1
*CAP
1 sram_dout1[10] 0.000643445
2 *6335:A 0.000643445
3 *6335:A *420:13 6.50727e-05
4 sram_din0[10] *6335:A 0
5 sram_din0[11] *6335:A 0
*RES
1 sram_dout1[10] *6335:A 22.7893
*END
*D_NET *97 0.00110563
*CONN
*P sram_dout1[11] I
*I *6346:A I *D sky130_fd_sc_hd__dlymetal6s2s_1
*CAP
1 sram_dout1[11] 0.000471147
2 *6346:A 0.000471147
3 *6346:A *436:9 6.50727e-05
4 *6346:A *436:12 3.31882e-05
5 *6346:A *447:19 6.50727e-05
6 sram_din0[11] *6346:A 0
7 sram_din0[12] *6346:A 0
*RES
1 sram_dout1[11] *6346:A 20.3607
*END
*D_NET *98 0.0013097
*CONN
*P sram_dout1[12] I
*I *6357:A I *D sky130_fd_sc_hd__dlymetal6s2s_1
*CAP
1 sram_dout1[12] 0.000483563
2 *6357:A 0.000483563
3 *6357:A *436:9 0.000171288
4 *6357:A *447:17 0.000171288
5 sram_din0[13] *6357:A 0
*RES
1 sram_dout1[12] *6357:A 21.1821
*END
*D_NET *99 0.00110377
*CONN
*P sram_dout1[13] I
*I *6368:A I *D sky130_fd_sc_hd__clkbuf_2
*CAP
1 sram_dout1[13] 0.000491909
2 *6368:A 0.000491909
3 *6368:A *447:17 1.77537e-06
4 *6368:A *480:11 0.00011818
5 sram_din0[14] *6368:A 0
*RES
1 sram_dout1[13] *6368:A 20.4679
*END
*D_NET *100 0.00126307
*CONN
*P sram_dout1[14] I
*I *6379:A I *D sky130_fd_sc_hd__clkbuf_2
*CAP
1 sram_dout1[14] 0.0005233
2 *6379:A 0.0005233
3 *6379:A *480:11 0.000216467
4 sram_din0[15] *6379:A 0
*RES
1 sram_dout1[14] *6379:A 21.2893
*END
*D_NET *101 0.00108291
*CONN
*P sram_dout1[15] I
*I *6380:A I *D sky130_fd_sc_hd__clkbuf_2
*CAP
1 sram_dout1[15] 0.000462596
2 *6380:A 0.000462596
3 *6380:A *469:8 3.95388e-05
4 *6380:A *480:11 0.00011818
5 sram_din0[15] *6380:A 0
6 sram_din0[16] *6380:A 0
*RES
1 sram_dout1[15] *6380:A 20.4679
*END
*D_NET *102 0.00106844
*CONN
*P sram_dout1[16] I
*I *6381:A I *D sky130_fd_sc_hd__clkbuf_2
*CAP
1 sram_dout1[16] 0.000475132
2 *6381:A 0.000475132
3 *6381:A *480:11 0.00011818
4 sram_din0[17] *6381:A 0
*RES
1 sram_dout1[16] *6381:A 20.4679
*END
*D_NET *103 0.00149865
*CONN
*P sram_dout1[17] I
*I *6382:A I *D sky130_fd_sc_hd__buf_2
*CAP
1 sram_dout1[17] 0.000560689
2 *6382:A 0.000560689
3 *6382:A *408:5 0.000377273
4 sram_din0[18] *6382:A 0
*RES
1 sram_dout1[17] *6382:A 22.825
*END
*D_NET *104 0.00100474
*CONN
*P sram_dout1[18] I
*I *6325:A I *D sky130_fd_sc_hd__buf_2
*CAP
1 sram_dout1[18] 0.000452221
2 *6325:A 0.000452221
3 *6325:A *398:8 3.52271e-05
4 *6325:A *408:5 6.50727e-05
5 *6325:A *491:8 0
6 sram_din0[18] *6325:A 0
7 sram_din0[19] *6325:A 0
*RES
1 sram_dout1[18] *6325:A 20.3607
*END
*D_NET *105 0.00124145
*CONN
*P sram_dout1[19] I
*I *6326:A I *D sky130_fd_sc_hd__buf_2
*CAP
1 sram_dout1[19] 0.000459014
2 *6326:A 0.000459014
3 *6326:A *405:10 9.9028e-05
4 *6326:A *408:5 0.000224395
5 sram_din0[19] *6326:A 0
6 sram_din0[20] *6326:A 0
*RES
1 sram_dout1[19] *6326:A 21.5929
*END
*D_NET *106 0.00231465
*CONN
*P sram_dout1[1] I
*I *6327:A I *D sky130_fd_sc_hd__clkbuf_1
*CAP
1 sram_dout1[1] 0.000542124
2 *6327:A 0.000542124
3 *6327:A sram_wmask0[1] 0.000603952
4 *6327:A *6107:A 0.000379553
5 *6327:A *6126:B1 0.000213725
6 *6327:A *6128:B2 3.31733e-05
7 *6327:A *6264:D 0
8 *6327:A *411:16 0
*RES
1 sram_dout1[1] *6327:A 27.1107
*END
*D_NET *107 0.000974611
*CONN
*P sram_dout1[20] I
*I *6328:A I *D sky130_fd_sc_hd__clkbuf_4
*CAP
1 sram_dout1[20] 0.000448638
2 *6328:A 0.000448638
3 *6328:A *407:8 2.66039e-05
4 *6328:A *408:5 5.07314e-05
5 sram_din0[20] *6328:A 0
6 sram_din0[21] *6328:A 0
*RES
1 sram_dout1[20] *6328:A 20.4679
*END
*D_NET *108 0.00113505
*CONN
*P sram_dout1[21] I
*I *6329:A I *D sky130_fd_sc_hd__clkbuf_4
*CAP
1 sram_dout1[21] 0.000508401
2 *6329:A 0.000508401
3 *6329:A *408:5 0.000118245
4 sram_din0[21] *6329:A 0
5 sram_din0[22] *6329:A 0
*RES
1 sram_dout1[21] *6329:A 21.7
*END
*D_NET *109 0.000944357
*CONN
*P sram_dout1[22] I
*I *6330:A I *D sky130_fd_sc_hd__clkbuf_4
*CAP
1 sram_dout1[22] 0.000457915
2 *6330:A 0.000457915
3 *6330:A *417:5 2.85274e-05
4 sram_din0[23] *6330:A 0
*RES
1 sram_dout1[22] *6330:A 20.4679
*END
*D_NET *110 0.000951016
*CONN
*P sram_dout1[23] I
*I *6331:A I *D sky130_fd_sc_hd__clkbuf_4
*CAP
1 sram_dout1[23] 0.000423617
2 *6331:A 0.000423617
3 *6331:A *410:8 7.5255e-05
4 *6331:A *417:5 2.85274e-05
5 sram_din0[23] *6331:A 0
6 sram_din0[24] *6331:A 0
*RES
1 sram_dout1[23] *6331:A 20.4679
*END
*D_NET *111 0.00156635
*CONN
*P sram_dout1[24] I
*I *6332:A I *D sky130_fd_sc_hd__clkbuf_4
*CAP
1 sram_dout1[24] 0.00069525
2 *6332:A 0.00069525
3 *6332:A *417:5 0.000175851
4 sram_din0[25] *6332:A 0
*RES
1 sram_dout1[24] *6332:A 24.9857
*END
*D_NET *112 0.0012401
*CONN
*P sram_dout1[25] I
*I *6333:A I *D sky130_fd_sc_hd__clkbuf_4
*CAP
1 sram_dout1[25] 0.000518858
2 *6333:A 0.000518858
3 *6333:A *411:8 0.000109401
4 *6333:A *417:5 9.2985e-05
5 sram_din0[26] *6333:A 0
*RES
1 sram_dout1[25] *6333:A 22.5214
*END
*D_NET *113 0.00129786
*CONN
*P sram_dout1[26] I
*I *6334:A I *D sky130_fd_sc_hd__clkbuf_4
*CAP
1 sram_dout1[26] 0.000588306
2 *6334:A 0.000588306
3 *6334:A *412:8 0
4 *6334:A *417:5 0.000121243
5 sram_din0[27] *6334:A 0
*RES
1 sram_dout1[26] *6334:A 23.3429
*END
*D_NET *114 0.000948075
*CONN
*P sram_dout1[27] I
*I *6336:A I *D sky130_fd_sc_hd__clkbuf_4
*CAP
1 sram_dout1[27] 0.000452709
2 *6336:A 0.000452709
3 *6336:A *413:8 0
4 *6336:A *415:8 0
5 *6336:A *417:5 4.26566e-05
6 sram_din0[28] *6336:A 0
*RES
1 sram_dout1[27] *6336:A 20.8786
*END
*D_NET *115 0.00109512
*CONN
*P sram_dout1[28] I
*I *6337:A I *D sky130_fd_sc_hd__clkbuf_4
*CAP
1 sram_dout1[28] 0.000507062
2 *6337:A 0.000507062
3 *6337:A *417:5 8.09995e-05
4 sram_din0[28] *6337:A 0
5 sram_din0[29] *6337:A 0
*RES
1 sram_dout1[28] *6337:A 22.1107
*END
*D_NET *116 0.000869235
*CONN
*P sram_dout1[29] I
*I *6338:A I *D sky130_fd_sc_hd__clkbuf_4
*CAP
1 sram_dout1[29] 0.000420354
2 *6338:A 0.000420354
3 *6338:A *416:8 0
4 *6338:A *417:5 2.85274e-05
5 sram_din0[29] *6338:A 0
6 sram_din0[30] *6338:A 0
*RES
1 sram_dout1[29] *6338:A 20.4679
*END
*D_NET *117 0.000906102
*CONN
*P sram_dout1[2] I
*I *6339:A I *D sky130_fd_sc_hd__clkbuf_1
*CAP
1 sram_dout1[2] 0.000420521
2 *6339:A 0.000420521
3 *6339:A sram_wmask0[2] 0
4 *6339:A *407:12 0
5 *6339:A *408:19 6.50586e-05
6 sram_addr0[3] *6339:A 0
*RES
1 sram_dout1[2] *6339:A 20.3607
*END
*D_NET *118 0.00150822
*CONN
*P sram_dout1[30] I
*I *6340:A I *D sky130_fd_sc_hd__clkbuf_4
*CAP
1 sram_dout1[30] 0.00075411
2 *6340:A 0.00075411
3 sram_din0[30] *6340:A 0
4 sram_din0[31] *6340:A 0
*RES
1 sram_dout1[30] *6340:A 26.1464
*END
*D_NET *119 0.00237849
*CONN
*P sram_dout1[31] I
*I *6341:A I *D sky130_fd_sc_hd__clkbuf_4
*CAP
1 sram_dout1[31] 0.001133
2 *6341:A 0.001133
3 *6341:A *420:5 3.14978e-05
4 sram_din0[31] *6341:A 8.09995e-05
*RES
1 sram_dout1[31] *6341:A 34.5929
*END
*D_NET *120 0.00131244
*CONN
*P sram_dout1[3] I
*I *6342:A I *D sky130_fd_sc_hd__clkbuf_1
*CAP
1 sram_dout1[3] 0.000493107
2 *6342:A 0.000493107
3 *6342:A sram_wmask0[3] 5.04829e-06
4 *6342:A *405:16 5.01375e-05
5 *6342:A *408:19 0.000271044
6 sram_addr0[4] *6342:A 0
*RES
1 sram_dout1[3] *6342:A 22.0036
*END
*D_NET *121 0.00101149
*CONN
*P sram_dout1[4] I
*I *6343:A I *D sky130_fd_sc_hd__clkbuf_1
*CAP
1 sram_dout1[4] 0.000460094
2 *6343:A 0.000460094
3 *6343:A *408:13 1.00846e-05
4 *6343:A *408:19 1.61631e-05
5 *6343:A *417:24 6.50586e-05
6 sram_addr0[5] *6343:A 0
7 sram_din0[4] *6343:A 0
*RES
1 sram_dout1[4] *6343:A 20.3607
*END
*D_NET *122 0.00199164
*CONN
*P sram_dout1[5] I
*I *6344:A I *D sky130_fd_sc_hd__clkbuf_1
*CAP
1 sram_dout1[5] 0.000685063
2 *6344:A 0.000685063
3 *6344:A *6146:B 0.000124942
4 *6344:A *6204:B1 0.00017887
5 *6344:A *308:25 0.000317707
6 *6344:A *469:18 0
7 sram_addr0[6] *6344:A 0
*RES
1 sram_dout1[5] *6344:A 25.1464
*END
*D_NET *123 0.00154218
*CONN
*P sram_dout1[6] I
*I *6345:A I *D sky130_fd_sc_hd__clkbuf_1
*CAP
1 sram_dout1[6] 0.00065291
2 *6345:A 0.00065291
3 *6345:A *309:17 0.00011818
4 *6345:A *427:13 0.00011818
5 sram_addr0[7] *6345:A 0
6 sram_din0[6] *6345:A 0
*RES
1 sram_dout1[6] *6345:A 23.5036
*END
*D_NET *124 0.00147307
*CONN
*P sram_dout1[7] I
*I *6347:A I *D sky130_fd_sc_hd__clkbuf_1
*CAP
1 sram_dout1[7] 0.000685804
2 *6347:A 0.000685804
3 *6347:A *420:13 7.48797e-05
4 *6347:A *426:13 2.65831e-05
5 sram_addr0[8] *6347:A 0
*RES
1 sram_dout1[7] *6347:A 23.9143
*END
*D_NET *125 0.00122405
*CONN
*P sram_dout1[8] I
*I *6348:A I *D sky130_fd_sc_hd__clkbuf_1
*CAP
1 sram_dout1[8] 0.000451112
2 *6348:A 0.000451112
3 *6348:A *447:19 0.000118166
4 *6348:A *469:12 4.20662e-05
5 *6348:A *480:18 0.000161598
6 sram_din0[8] *6348:A 0
7 sram_din0[9] *6348:A 0
*RES
1 sram_dout1[8] *6348:A 20.7714
*END
*D_NET *126 0.00121824
*CONN
*P sram_dout1[9] I
*I *6349:A I *D sky130_fd_sc_hd__clkbuf_1
*CAP
1 sram_dout1[9] 0.00046387
2 *6349:A 0.00046387
3 *6349:A *428:10 5.41377e-05
4 *6349:A *447:19 0.00011818
5 *6349:A *480:18 0.00011818
6 sram_din0[10] *6349:A 0
7 sram_din0[9] *6349:A 0
*RES
1 sram_dout1[9] *6349:A 20.7714
*END
*D_NET *127 0.00307217
*CONN
*P sram_web0 O
*I *5162:HI O *D sky130_fd_sc_hd__conb_1
*CAP
1 sram_web0 0.00114741
2 *5162:HI 0.00114741
3 sram_web0 *6212:B1 0
4 sram_web0 *6399:A 9.17764e-05
5 sram_web0 *400:19 0.000253916
6 sram_web0 *402:42 0
7 sram_web0 *403:34 0.00043166
8 sram_web0 *453:28 0
9 sram_web0 *471:8 0
10 sram_web0 *473:40 0
11 sram_addr0[0] sram_web0 0
12 sram_csb1 sram_web0 0
*RES
1 *5162:HI sram_web0 34.5571
*END
*D_NET *128 0.00229127
*CONN
*P sram_wmask0[0] O
*I *5163:HI O *D sky130_fd_sc_hd__conb_1
*CAP
1 sram_wmask0[0] 0.000622343
2 *5163:HI 0.000622343
3 sram_wmask0[0] wb_error_o 0.000137921
4 sram_wmask0[0] *6126:B1 0.000161493
5 sram_wmask0[0] *6377:A 0.000280436
6 sram_wmask0[0] *6390:A 0.000264586
7 sram_wmask0[0] *402:36 0.000111722
8 sram_wmask0[0] *455:20 9.04224e-05
9 sram_wmask0[0] *501:31 0
10 sram_addr0[1] sram_wmask0[0] 0
11 *6324:A sram_wmask0[0] 0
*RES
1 *5163:HI sram_wmask0[0] 28.2
*END
*D_NET *129 0.00241984
*CONN
*P sram_wmask0[1] O
*I *5164:HI O *D sky130_fd_sc_hd__conb_1
*CAP
1 sram_wmask0[1] 0.000722721
2 *5164:HI 0.000722721
3 sram_wmask0[1] *6264:D 6.08697e-06
4 sram_wmask0[1] *501:31 0.000364356
5 sram_addr0[2] sram_wmask0[1] 0
6 *6327:A sram_wmask0[1] 0.000603952
*RES
1 *5164:HI sram_wmask0[1] 29.2179
*END
*D_NET *130 0.000847701
*CONN
*P sram_wmask0[2] O
*I *5165:HI O *D sky130_fd_sc_hd__conb_1
*CAP
1 sram_wmask0[2] 0.000416658
2 *5165:HI 0.000416658
3 sram_wmask0[2] *408:19 1.43848e-05
4 sram_addr0[3] sram_wmask0[2] 0
5 *6339:A sram_wmask0[2] 0
*RES
1 *5165:HI sram_wmask0[2] 19.7536
*END
*D_NET *131 0.00123606
*CONN
*P sram_wmask0[3] O
*I *5166:HI O *D sky130_fd_sc_hd__conb_1
*CAP
1 sram_wmask0[3] 0.000583278
2 *5166:HI 0.000583278
3 sram_wmask0[3] *408:19 6.44576e-05
4 sram_addr0[4] sram_wmask0[3] 0
5 *6342:A sram_wmask0[3] 5.04829e-06
*RES
1 *5166:HI sram_wmask0[3] 21.3964
*END
*D_NET *134 0.00305556
*CONN
*P wb_ack_o O
*I *6401:X O *D sky130_fd_sc_hd__buf_2
*CAP
1 wb_ack_o 0.00111298
2 *6401:X 0.00111298
3 wb_ack_o wb_stall_o 0
4 wb_ack_o *6374:A 0.000119388
5 wb_ack_o *6375:A 0
6 wb_ack_o *6389:A 0.000143047
7 sram_addr1[0] wb_ack_o 0.000178174
8 sram_csb0 wb_ack_o 0.000167076
9 sram_din0[0] wb_ack_o 0.000221923
*RES
1 *6401:X wb_ack_o 27.7691
*END
*D_NET *136 0.000948284
*CONN
*P wb_adr_i[10] I
*I *6350:A I *D sky130_fd_sc_hd__clkbuf_1
*CAP
1 wb_adr_i[10] 0.000263256
2 *6350:A 0.000263256
3 *6350:A wb_data_o[10] 0
4 *6350:A wb_data_o[9] 0.000154831
5 *6350:A *6351:A 0
6 *6350:A *488:54 8.08054e-05
7 *6350:A *492:39 0.000186134
*RES
1 wb_adr_i[10] *6350:A 15.632
*END
*D_NET *137 0.000757786
*CONN
*P wb_adr_i[11] I
*I *6351:A I *D sky130_fd_sc_hd__clkbuf_1
*CAP
1 wb_adr_i[11] 0.000232333
2 *6351:A 0.000232333
3 *6351:A wb_data_o[10] 0.000100829
4 *6351:A wb_data_o[11] 0
5 *6351:A *488:54 9.61451e-05
6 *6351:A *492:39 9.61451e-05
7 *6350:A *6351:A 0
*RES
1 wb_adr_i[11] *6351:A 14.4177
*END
*D_NET *138 0.000975141
*CONN
*P wb_adr_i[12] I
*I *6352:A I *D sky130_fd_sc_hd__clkbuf_1
*CAP
1 wb_adr_i[12] 0.000309547
2 *6352:A 0.000309547
3 *6352:A wb_data_o[11] 0.000142672
4 *6352:A wb_data_o[12] 0
5 *6352:A *6239:A1 0.000117396
6 *6352:A *488:54 9.55169e-05
7 *6352:A *492:39 4.60375e-07
*RES
1 wb_adr_i[12] *6352:A 16.4343
*END
*D_NET *139 0.000958888
*CONN
*P wb_adr_i[13] I
*I *6353:A I *D sky130_fd_sc_hd__clkbuf_1
*CAP
1 wb_adr_i[13] 0.000244492
2 *6353:A 0.000244492
3 *6353:A wb_data_o[12] 0.000139564
4 *6353:A wb_data_o[13] 0
5 *6353:A *490:36 0.000165169
6 *6353:A *492:41 0.000165169
*RES
1 wb_adr_i[13] *6353:A 15.3284
*END
*D_NET *140 0.000845868
*CONN
*P wb_adr_i[14] I
*I *6354:A I *D sky130_fd_sc_hd__clkbuf_1
*CAP
1 wb_adr_i[14] 0.000239462
2 *6354:A 0.000239462
3 *6354:A wb_data_o[13] 0.000150739
4 *6354:A wb_data_o[14] 0
5 *6354:A *490:36 0.000108103
6 *6354:A *492:41 0.000108103
*RES
1 wb_adr_i[14] *6354:A 14.7213
*END
*D_NET *141 0.000928399
*CONN
*P wb_adr_i[15] I
*I *6355:A I *D sky130_fd_sc_hd__clkbuf_1
*CAP
1 wb_adr_i[15] 0.000324197
2 *6355:A 0.000324197
3 *6355:A wb_data_o[14] 0.000129968
4 *6355:A wb_data_o[15] 0
5 *6355:A *492:41 0.000150037
*RES
1 wb_adr_i[15] *6355:A 16.1307
*END
*D_NET *142 0.00095754
*CONN
*P wb_adr_i[16] I
*I *6356:A I *D sky130_fd_sc_hd__clkbuf_1
*CAP
1 wb_adr_i[16] 0.000258004
2 *6356:A 0.000258004
3 *6356:A wb_data_o[15] 0.000154819
4 *6356:A wb_data_o[16] 0
5 *6356:A *488:61 6.28168e-05
6 *6356:A *490:36 9.12416e-06
7 *6356:A *490:44 2.86535e-05
8 *6356:A *492:41 0.000186119
*RES
1 wb_adr_i[16] *6356:A 15.632
*END
*D_NET *143 0.000899399
*CONN
*P wb_adr_i[17] I
*I *6358:A I *D sky130_fd_sc_hd__clkbuf_1
*CAP
1 wb_adr_i[17] 0.000240181
2 *6358:A 0.000240181
3 *6358:A wb_data_o[16] 0.000150739
4 *6358:A wb_data_o[17] 0
5 *6358:A *488:61 0.000134149
6 *6358:A *492:41 0.000134149
*RES
1 wb_adr_i[17] *6358:A 15.0248
*END
*D_NET *144 0.00079523
*CONN
*P wb_adr_i[18] I
*I *6359:A I *D sky130_fd_sc_hd__clkbuf_1
*CAP
1 wb_adr_i[18] 0.000229456
2 *6359:A 0.000229456
3 *6359:A wb_data_o[17] 0.000153746
4 *6359:A wb_data_o[18] 0
5 *6359:A *488:61 9.12863e-05
6 *6359:A *492:41 9.12863e-05
*RES
1 wb_adr_i[18] *6359:A 14.4177
*END
*D_NET *145 0.000952037
*CONN
*P wb_adr_i[19] I
*I *6360:A I *D sky130_fd_sc_hd__clkbuf_1
*CAP
1 wb_adr_i[19] 0.00024351
2 *6360:A 0.00024351
3 *6360:A wb_data_o[18] 0.000154819
4 *6360:A wb_data_o[19] 0
5 *6360:A *488:61 0.000155098
6 *6360:A *492:41 0.000155098
*RES
1 wb_adr_i[19] *6360:A 15.3284
*END
*D_NET *147 0.00157575
*CONN
*P wb_adr_i[20] I
*I *6361:A I *D sky130_fd_sc_hd__clkbuf_1
*CAP
1 wb_adr_i[20] 0.00045972
2 *6361:A 0.00045972
3 *6361:A wb_data_o[19] 0.000127641
4 *6361:A wb_data_o[20] 0
5 *6361:A *440:11 4.31703e-05
6 *6361:A *492:41 0.000485495
*RES
1 wb_adr_i[20] *6361:A 20.3807
*END
*D_NET *148 0.00176203
*CONN
*P wb_adr_i[21] I
*I *6362:A I *D sky130_fd_sc_hd__clkbuf_1
*CAP
1 wb_adr_i[21] 0.000787198
2 *6362:A 0.000787198
3 *6362:A wb_data_o[20] 0.00014916
4 *6362:A wb_data_o[21] 0
5 *6362:A *6258:A1 7.13655e-06
6 *6362:A *495:43 0
7 *6362:A *498:18 3.13394e-05
*RES
1 wb_adr_i[21] *6362:A 15.3393
*END
*D_NET *149 0.000979296
*CONN
*P wb_adr_i[22] I
*I *6363:A I *D sky130_fd_sc_hd__clkbuf_1
*CAP
1 wb_adr_i[22] 0.000246479
2 *6363:A 0.000246479
3 *6363:A wb_data_o[21] 0.000114071
4 *6363:A wb_data_o[22] 0
5 *6363:A *488:61 0.000186134
6 *6363:A *492:41 0.000186134
*RES
1 wb_adr_i[22] *6363:A 15.632
*END
*D_NET *150 0.000819106
*CONN
*P wb_adr_i[23] I
*I *6364:A I *D sky130_fd_sc_hd__clkbuf_1
*CAP
1 wb_adr_i[23] 0.000244042
2 *6364:A 0.000244042
3 *6364:A wb_data_o[22] 0.000150739
4 *6364:A wb_data_o[23] 0
5 *6364:A *490:44 4.10369e-05
6 *6364:A *492:41 0.000139245
*RES
1 wb_adr_i[23] *6364:A 15.0248
*END
*D_NET *151 0.000631629
*CONN
*P wb_adr_i[2] I
*I *6365:A I *D sky130_fd_sc_hd__clkbuf_1
*CAP
1 wb_adr_i[2] 0.000270709
2 *6365:A 0.000270709
3 *6365:A wb_data_o[1] 0
4 *6365:A wb_data_o[2] 0
5 *6365:A *400:31 4.51062e-05
6 *6365:A *402:42 4.51062e-05
*RES
1 wb_adr_i[2] *6365:A 13.8106
*END
*D_NET *152 0.000908963
*CONN
*P wb_adr_i[3] I
*I *6366:A I *D sky130_fd_sc_hd__clkbuf_1
*CAP
1 wb_adr_i[3] 0.000294409
2 *6366:A 0.000294409
3 *6366:A wb_data_o[2] 0
4 *6366:A wb_data_o[3] 0
5 *6366:A *400:31 0.000160073
6 *6366:A *402:42 0.000160073
*RES
1 wb_adr_i[3] *6366:A 15.3284
*END
*D_NET *153 0.000853204
*CONN
*P wb_adr_i[4] I
*I *6367:A I *D sky130_fd_sc_hd__clkbuf_1
*CAP
1 wb_adr_i[4] 0.000292438
2 *6367:A 0.000292438
3 *6367:A wb_data_o[3] 0
4 *6367:A wb_data_o[4] 0
5 *6367:A *400:31 0.000134164
6 *6367:A *402:42 0.000134164
*RES
1 wb_adr_i[4] *6367:A 15.0248
*END
*D_NET *154 0.00140631
*CONN
*P wb_adr_i[5] I
*I *6369:A I *D sky130_fd_sc_hd__clkbuf_1
*CAP
1 wb_adr_i[5] 0.000511183
2 *6369:A 0.000511183
3 *6369:A wb_data_o[4] 0.000153847
4 *6369:A wb_data_o[5] 0
5 *6369:A *401:21 8.62048e-05
6 *6369:A *475:29 5.76913e-05
7 *6369:A *495:29 8.62048e-05
*RES
1 wb_adr_i[5] *6369:A 14.9222
*END
*D_NET *155 0.00154486
*CONN
*P wb_adr_i[6] I
*I *6370:A I *D sky130_fd_sc_hd__clkbuf_1
*CAP
1 wb_adr_i[6] 0.000327361
2 *6370:A 0.000327361
3 *6370:A wb_data_o[5] 0.000124309
4 *6370:A wb_data_o[6] 0
5 *6370:A *6226:A1 4.58003e-05
6 *6370:A *400:31 0.000308691
7 *6370:A *402:42 6.08467e-05
8 *6370:A *496:40 0.000350488
*RES
1 wb_adr_i[6] *6370:A 18.5593
*END
*D_NET *156 0.00150548
*CONN
*P wb_adr_i[7] I
*I *6371:A I *D sky130_fd_sc_hd__clkbuf_1
*CAP
1 wb_adr_i[7] 0.00036031
2 *6371:A 0.00036031
3 *6371:A wb_data_o[6] 0.000124321
4 *6371:A wb_data_o[7] 0
5 *6371:A *6228:A1 1.82679e-05
6 *6371:A *488:34 0.000260628
7 *6371:A *496:40 0.000381642
*RES
1 wb_adr_i[7] *6371:A 18.8629
*END
*D_NET *157 0.000809094
*CONN
*P wb_adr_i[8] I
*I *6372:A I *D sky130_fd_sc_hd__clkbuf_1
*CAP
1 wb_adr_i[8] 0.000270386
2 *6372:A 0.000270386
3 *6372:A wb_data_o[7] 0.000137842
4 *6372:A wb_data_o[8] 0
5 *6372:A *6386:A 6.52404e-05
6 *6372:A *488:34 6.52404e-05
*RES
1 wb_adr_i[8] *6372:A 14.1141
*END
*D_NET *158 0.00101018
*CONN
*P wb_adr_i[9] I
*I *6373:A I *D sky130_fd_sc_hd__clkbuf_1
*CAP
1 wb_adr_i[9] 0.000292382
2 *6373:A 0.000292382
3 *6373:A wb_data_o[8] 0.000130623
4 *6373:A wb_data_o[9] 0
5 *6373:A *6232:A1 0.000134973
6 *6373:A *6386:A 1.27831e-06
7 *6373:A *488:54 0.000158538
*RES
1 wb_adr_i[9] *6373:A 16.1307
*END
*D_NET *159 0.00159449
*CONN
*P wb_clk_i I
*I *6374:A I *D sky130_fd_sc_hd__buf_12
*CAP
1 wb_clk_i 0.000376873
2 *6374:A 0.000376873
3 *6374:A *6375:A 0.000119388
4 *6374:A *6376:A 0.000206023
5 sram_csb0 *6374:A 0.000272732
6 sram_csb1 *6374:A 0.000123218
7 wb_ack_o *6374:A 0.000119388
*RES
1 wb_clk_i *6374:A 21.7194
*END
*D_NET *160 0.00547858
*CONN
*P wb_cyc_i I
*I *6375:A I *D sky130_fd_sc_hd__clkbuf_1
*CAP
1 wb_cyc_i 0.00177889
2 *6375:A 0.00177889
3 *6375:A wb_error_o 0.000329589
4 *6375:A wb_stall_o 0
5 *6375:A *6209:B 0.000183217
6 *6375:A *6212:A1 7.65208e-05
7 *6375:A *6212:A2 0.000263107
8 *6375:A *453:649 2.40299e-05
9 *6375:A *455:20 2.95308e-05
10 *6375:A *473:40 0.000648951
11 sram_csb0 *6375:A 0.000246464
12 sram_din0[0] *6375:A 0
13 wb_ack_o *6375:A 0
14 *6324:A *6375:A 0
15 *6374:A *6375:A 0.000119388
*RES
1 wb_cyc_i *6375:A 39.2557
*END
*D_NET *193 0.000714685
*CONN
*P wb_data_o[0] O
*I *6402:X O *D sky130_fd_sc_hd__buf_2
*CAP
1 wb_data_o[0] 0.000315774
2 *6402:X 0.000315774
3 wb_data_o[0] *6378:A 0
4 wb_data_o[0] *402:42 6.01588e-05
5 wb_data_o[0] *471:8 2.2979e-05
*RES
1 *6402:X wb_data_o[0] 14.1141
*END
*D_NET *194 0.000527086
*CONN
*P wb_data_o[10] O
*I *6403:X O *D sky130_fd_sc_hd__buf_2
*CAP
1 wb_data_o[10] 0.000199991
2 *6403:X 0.000199991
3 wb_data_o[10] *488:54 1.91391e-05
4 wb_data_o[10] *492:39 7.13655e-06
5 *6350:A wb_data_o[10] 0
6 *6351:A wb_data_o[10] 0.000100829
*RES
1 *6403:X wb_data_o[10] 13.4349
*END
*D_NET *195 0.000865468
*CONN
*P wb_data_o[11] O
*I *6404:X O *D sky130_fd_sc_hd__buf_2
*CAP
1 wb_data_o[11] 0.000267848
2 *6404:X 0.000267848
3 wb_data_o[11] *6239:A1 7.50872e-05
4 wb_data_o[11] *492:39 0.000112013
5 *6351:A wb_data_o[11] 0
6 *6352:A wb_data_o[11] 0.000142672
*RES
1 *6404:X wb_data_o[11] 14.7213
*END
*D_NET *196 0.000547179
*CONN
*P wb_data_o[12] O
*I *6405:X O *D sky130_fd_sc_hd__buf_2
*CAP
1 wb_data_o[12] 0.000190682
2 *6405:X 0.000190682
3 wb_data_o[12] *6241:A1 1.91246e-05
4 wb_data_o[12] *492:39 7.12632e-06
5 *6352:A wb_data_o[12] 0
6 *6353:A wb_data_o[12] 0.000139564
*RES
1 *6405:X wb_data_o[12] 13.4349
*END
*D_NET *197 0.000589904
*CONN
*P wb_data_o[13] O
*I *6406:X O *D sky130_fd_sc_hd__buf_2
*CAP
1 wb_data_o[13] 0.000200444
2 *6406:X 0.000200444
3 wb_data_o[13] *490:36 1.91391e-05
4 wb_data_o[13] *492:41 1.91391e-05
5 *6353:A wb_data_o[13] 0
6 *6354:A wb_data_o[13] 0.000150739
*RES
1 *6406:X wb_data_o[13] 13.507
*END
*D_NET *198 0.000817815
*CONN
*P wb_data_o[14] O
*I *6407:X O *D sky130_fd_sc_hd__buf_2
*CAP
1 wb_data_o[14] 0.000231911
2 *6407:X 0.000231911
3 wb_data_o[14] wb_data_o[15] 0
4 wb_data_o[14] *490:36 0.000112013
5 wb_data_o[14] *492:41 0.000112013
6 *6354:A wb_data_o[14] 0
7 *6355:A wb_data_o[14] 0.000129968
*RES
1 *6407:X wb_data_o[14] 14.7213
*END
*D_NET *199 0.000713764
*CONN
*P wb_data_o[15] O
*I *6408:X O *D sky130_fd_sc_hd__buf_2
*CAP
1 wb_data_o[15] 0.000218365
2 *6408:X 0.000218365
3 wb_data_o[15] *490:36 6.11074e-05
4 wb_data_o[15] *492:41 6.11074e-05
5 wb_data_o[14] wb_data_o[15] 0
6 *6355:A wb_data_o[15] 0
7 *6356:A wb_data_o[15] 0.000154819
*RES
1 *6408:X wb_data_o[15] 14.1141
*END
*D_NET *200 0.00058896
*CONN
*P wb_data_o[16] O
*I *6409:X O *D sky130_fd_sc_hd__buf_2
*CAP
1 wb_data_o[16] 0.000199972
2 *6409:X 0.000199972
3 wb_data_o[16] *488:61 1.91391e-05
4 wb_data_o[16] *492:41 1.91391e-05
5 *6356:A wb_data_o[16] 0
6 *6358:A wb_data_o[16] 0.000150739
*RES
1 *6409:X wb_data_o[16] 13.507
*END
*D_NET *201 0.000639463
*CONN
*P wb_data_o[17] O
*I *6410:X O *D sky130_fd_sc_hd__buf_2
*CAP
1 wb_data_o[17] 0.000202727
2 *6410:X 0.000202727
3 wb_data_o[17] *488:61 4.01315e-05
4 wb_data_o[17] *492:41 4.01315e-05
5 *6358:A wb_data_o[17] 0
6 *6359:A wb_data_o[17] 0.000153746
*RES
1 *6410:X wb_data_o[17] 13.8106
*END
*D_NET *202 0.000785232
*CONN
*P wb_data_o[18] O
*I *6411:X O *D sky130_fd_sc_hd__buf_2
*CAP
1 wb_data_o[18] 0.000228053
2 *6411:X 0.000228053
3 wb_data_o[18] *488:61 8.71534e-05
4 wb_data_o[18] *492:41 8.71534e-05
5 *6359:A wb_data_o[18] 0
6 *6360:A wb_data_o[18] 0.000154819
*RES
1 *6411:X wb_data_o[18] 14.4177
*END
*D_NET *203 0.00057371
*CONN
*P wb_data_o[19] O
*I *6412:X O *D sky130_fd_sc_hd__buf_2
*CAP
1 wb_data_o[19] 0.000203895
2 *6412:X 0.000203895
3 wb_data_o[19] wb_data_o[20] 0
4 wb_data_o[19] *488:61 1.91391e-05
5 wb_data_o[19] *492:41 1.91391e-05
6 *6360:A wb_data_o[19] 0
7 *6361:A wb_data_o[19] 0.000127641
*RES
1 *6412:X wb_data_o[19] 13.507
*END
*D_NET *204 0.000565488
*CONN
*P wb_data_o[1] O
*I *6413:X O *D sky130_fd_sc_hd__buf_2
*CAP
1 wb_data_o[1] 0.000263605
2 *6413:X 0.000263605
3 wb_data_o[1] *400:31 1.91391e-05
4 wb_data_o[1] *402:42 1.91391e-05
5 *6365:A wb_data_o[1] 0
*RES
1 *6413:X wb_data_o[1] 13.507
*END
*D_NET *205 0.000589291
*CONN
*P wb_data_o[20] O
*I *6414:X O *D sky130_fd_sc_hd__buf_2
*CAP
1 wb_data_o[20] 0.000199862
2 *6414:X 0.000199862
3 wb_data_o[20] *488:61 2.02035e-05
4 wb_data_o[20] *492:41 2.02035e-05
5 wb_data_o[19] wb_data_o[20] 0
6 *6361:A wb_data_o[20] 0
7 *6362:A wb_data_o[20] 0.00014916
*RES
1 *6414:X wb_data_o[20] 13.507
*END
*D_NET *206 0.000639877
*CONN
*P wb_data_o[21] O
*I *6415:X O *D sky130_fd_sc_hd__buf_2
*CAP
1 wb_data_o[21] 0.00022276
2 *6415:X 0.00022276
3 wb_data_o[21] *488:61 4.0143e-05
4 wb_data_o[21] *492:41 4.0143e-05
5 *6362:A wb_data_o[21] 0
6 *6363:A wb_data_o[21] 0.000114071
*RES
1 *6415:X wb_data_o[21] 13.8106
*END
*D_NET *207 0.000598615
*CONN
*P wb_data_o[22] O
*I *6416:X O *D sky130_fd_sc_hd__buf_2
*CAP
1 wb_data_o[22] 0.000204799
2 *6416:X 0.000204799
3 wb_data_o[22] *488:61 1.91391e-05
4 wb_data_o[22] *492:41 1.91391e-05
5 *6363:A wb_data_o[22] 0
6 *6364:A wb_data_o[22] 0.000150739
*RES
1 *6416:X wb_data_o[22] 13.507
*END
*D_NET *208 0.000586286
*CONN
*P wb_data_o[23] O
*I *6417:X O *D sky130_fd_sc_hd__buf_2
*CAP
1 wb_data_o[23] 0.000265115
2 *6417:X 0.000265115
3 wb_data_o[23] wb_data_o[24] 0
4 wb_data_o[23] *490:44 1.20291e-05
5 wb_data_o[23] *492:41 4.40272e-05
6 *6364:A wb_data_o[23] 0
*RES
1 *6417:X wb_data_o[23] 13.8106
*END
*D_NET *209 0.00064273
*CONN
*P wb_data_o[24] O
*I *6418:X O *D sky130_fd_sc_hd__buf_2
*CAP
1 wb_data_o[24] 0.000279894
2 *6418:X 0.000279894
3 wb_data_o[24] wb_data_o[25] 0
4 wb_data_o[24] *490:44 2.18339e-05
5 wb_data_o[24] *492:41 6.11074e-05
6 wb_data_o[23] wb_data_o[24] 0
*RES
1 *6418:X wb_data_o[24] 14.1141
*END
*D_NET *210 0.000522381
*CONN
*P wb_data_o[25] O
*I *6419:X O *D sky130_fd_sc_hd__buf_2
*CAP
1 wb_data_o[25] 0.000251089
2 *6419:X 0.000251089
3 wb_data_o[25] wb_data_o[26] 0
4 wb_data_o[25] *492:41 2.02035e-05
5 wb_data_o[24] wb_data_o[25] 0
*RES
1 *6419:X wb_data_o[25] 13.507
*END
*D_NET *211 0.000550001
*CONN
*P wb_data_o[26] O
*I *6420:X O *D sky130_fd_sc_hd__buf_2
*CAP
1 wb_data_o[26] 0.000275
2 *6420:X 0.000275
3 wb_data_o[26] wb_data_o[27] 0
4 wb_data_o[25] wb_data_o[26] 0
*RES
1 *6420:X wb_data_o[26] 13.507
*END
*D_NET *212 0.000688436
*CONN
*P wb_data_o[27] O
*I *6421:X O *D sky130_fd_sc_hd__buf_2
*CAP
1 wb_data_o[27] 0.000344218
2 *6421:X 0.000344218
3 wb_data_o[27] wb_data_o[28] 0
4 wb_data_o[27] *496:44 0
5 wb_data_o[26] wb_data_o[27] 0
*RES
1 *6421:X wb_data_o[27] 14.7213
*END
*D_NET *213 0.000543834
*CONN
*P wb_data_o[28] O
*I *6422:X O *D sky130_fd_sc_hd__buf_2
*CAP
1 wb_data_o[28] 0.000271917
2 *6422:X 0.000271917
3 wb_data_o[28] wb_data_o[29] 0
4 wb_data_o[27] wb_data_o[28] 0
*RES
1 *6422:X wb_data_o[28] 13.507
*END
*D_NET *214 0.00175578
*CONN
*P wb_data_o[29] O
*I *6423:X O *D sky130_fd_sc_hd__buf_2
*CAP
1 wb_data_o[29] 0.000787469
2 *6423:X 0.000787469
3 wb_data_o[29] wb_data_o[30] 0
4 wb_data_o[29] wb_data_o[31] 0.00016156
5 wb_data_o[29] *495:43 1.92793e-05
6 wb_data_o[28] wb_data_o[29] 0
*RES
1 *6423:X wb_data_o[29] 18.4439
*END
*D_NET *215 0.000678164
*CONN
*P wb_data_o[2] O
*I *6424:X O *D sky130_fd_sc_hd__buf_2
*CAP
1 wb_data_o[2] 0.000277986
2 *6424:X 0.000277986
3 wb_data_o[2] *400:31 6.1096e-05
4 wb_data_o[2] *402:42 6.1096e-05
5 *6365:A wb_data_o[2] 0
6 *6366:A wb_data_o[2] 0
*RES
1 *6424:X wb_data_o[2] 14.1141
*END
*D_NET *216 0.00179285
*CONN
*P wb_data_o[30] O
*I *6425:X O *D sky130_fd_sc_hd__buf_2
*CAP
1 wb_data_o[30] 0.000783763
2 *6425:X 0.000783763
3 wb_data_o[30] wb_data_o[31] 0.000225322
4 flash_csb wb_data_o[30] 0
5 wb_data_o[29] wb_data_o[30] 0
*RES
1 *6425:X wb_data_o[30] 20.1243
*END
*D_NET *217 0.00387378
*CONN
*P wb_data_o[31] O
*I *6426:X O *D sky130_fd_sc_hd__buf_2
*CAP
1 wb_data_o[31] 0.00164858
2 *6426:X 0.00164858
3 wb_data_o[31] *495:43 0
4 wb_data_o[31] *496:44 0
5 wb_data_o[31] *498:18 0
6 wb_data_o[31] *499:24 0.000189732
7 flash_csb wb_data_o[31] 0
8 wb_data_o[29] wb_data_o[31] 0.00016156
9 wb_data_o[30] wb_data_o[31] 0.000225322
*RES
1 *6426:X wb_data_o[31] 34.3552
*END
*D_NET *218 0.000538579
*CONN
*P wb_data_o[3] O
*I *6427:X O *D sky130_fd_sc_hd__buf_2
*CAP
1 wb_data_o[3] 0.000250151
2 *6427:X 0.000250151
3 wb_data_o[3] *400:31 1.91391e-05
4 wb_data_o[3] *402:42 1.91391e-05
5 *6366:A wb_data_o[3] 0
6 *6367:A wb_data_o[3] 0
*RES
1 *6427:X wb_data_o[3] 13.507
*END
*D_NET *219 0.000705423
*CONN
*P wb_data_o[4] O
*I *6428:X O *D sky130_fd_sc_hd__buf_2
*CAP
1 wb_data_o[4] 0.000205689
2 *6428:X 0.000205689
3 wb_data_o[4] *400:31 7.00991e-05
4 wb_data_o[4] *402:42 7.00991e-05
5 *6367:A wb_data_o[4] 0
6 *6369:A wb_data_o[4] 0.000153847
*RES
1 *6428:X wb_data_o[4] 14.1141
*END
*D_NET *220 0.000876955
*CONN
*P wb_data_o[5] O
*I *6383:X O *D sky130_fd_sc_hd__buf_2
*CAP
1 wb_data_o[5] 0.000268342
2 *6383:X 0.000268342
3 wb_data_o[5] wb_data_o[6] 0
4 wb_data_o[5] *6386:A 0.000107981
5 wb_data_o[5] *400:31 0.000107981
6 *6369:A wb_data_o[5] 0
7 *6370:A wb_data_o[5] 0.000124309
*RES
1 *6383:X wb_data_o[5] 14.7213
*END
*D_NET *221 0.000757904
*CONN
*P wb_data_o[6] O
*I *6384:X O *D sky130_fd_sc_hd__buf_2
*CAP
1 wb_data_o[6] 0.000255684
2 *6384:X 0.000255684
3 wb_data_o[6] *6386:A 6.11074e-05
4 wb_data_o[6] *400:31 6.11074e-05
5 wb_data_o[5] wb_data_o[6] 0
6 *6370:A wb_data_o[6] 0
7 *6371:A wb_data_o[6] 0.000124321
*RES
1 *6384:X wb_data_o[6] 14.1141
*END
*D_NET *222 0.000911532
*CONN
*P wb_data_o[7] O
*I *6385:X O *D sky130_fd_sc_hd__buf_2
*CAP
1 wb_data_o[7] 0.000278875
2 *6385:X 0.000278875
3 wb_data_o[7] *6386:A 0.00010797
4 wb_data_o[7] *488:34 0.00010797
5 *6371:A wb_data_o[7] 0
6 *6372:A wb_data_o[7] 0.000137842
*RES
1 *6385:X wb_data_o[7] 14.7213
*END
*D_NET *223 0.000900467
*CONN
*P wb_data_o[8] O
*I *6386:X O *D sky130_fd_sc_hd__buf_2
*CAP
1 wb_data_o[8] 0.000291372
2 *6386:X 0.000291372
3 wb_data_o[8] wb_data_o[9] 0
4 wb_data_o[8] *6232:A1 7.50872e-05
5 wb_data_o[8] *6386:A 0.000112013
6 *6372:A wb_data_o[8] 0
7 *6373:A wb_data_o[8] 0.000130623
*RES
1 *6386:X wb_data_o[8] 14.7213
*END
*D_NET *224 0.000703655
*CONN
*P wb_data_o[9] O
*I *6387:X O *D sky130_fd_sc_hd__buf_2
*CAP
1 wb_data_o[9] 0.000232023
2 *6387:X 0.000232023
3 wb_data_o[9] *488:54 2.36701e-05
4 wb_data_o[9] *492:39 6.11074e-05
5 wb_data_o[8] wb_data_o[9] 0
6 *6350:A wb_data_o[9] 0.000154831
7 *6373:A wb_data_o[9] 0
*RES
1 *6387:X wb_data_o[9] 14.1141
*END
*D_NET *225 0.00492602
*CONN
*P wb_error_o O
*I *5119:LO O *D sky130_fd_sc_hd__conb_1
*CAP
1 wb_error_o 0.00152558
2 *5119:LO 0.00152558
3 wb_error_o wb_stall_o 0
4 wb_error_o *6296:D 8.93334e-05
5 wb_error_o *6376:A 0.000117703
6 wb_error_o *6377:A 0.000149013
7 wb_error_o *6378:A 0.000305028
8 wb_error_o *6388:A 0.000244182
9 wb_error_o *266:8 0.000134323
10 wb_error_o *400:19 6.08467e-05
11 wb_error_o *455:20 3.49789e-05
12 wb_error_o *501:41 8.62625e-06
13 sram_addr0[0] wb_error_o 7.86825e-06
14 sram_addr1[0] wb_error_o 2.86353e-06
15 sram_wmask0[0] wb_error_o 0.000137921
16 *6324:A wb_error_o 0.000252584
17 *6375:A wb_error_o 0.000329589
*RES
1 *5119:LO wb_error_o 40.301
*END
*D_NET *226 0.00128868
*CONN
*P wb_rst_i I
*I *6376:A I *D sky130_fd_sc_hd__dlymetal6s2s_1
*CAP
1 wb_rst_i 0.000317886
2 *6376:A 0.000317886
3 *6376:A wb_stall_o 0.000117703
4 sram_clk1 *6376:A 0
5 sram_csb0 *6376:A 4.45485e-05
6 sram_csb1 *6376:A 0.000166931
7 wb_error_o *6376:A 0.000117703
8 *6374:A *6376:A 0.000206023
*RES
1 wb_rst_i *6376:A 18.22
*END
*D_NET *231 0.00169799
*CONN
*P wb_stall_o O
*I *6388:X O *D sky130_fd_sc_hd__buf_2
*CAP
1 wb_stall_o 0.000594981
2 *6388:X 0.000594981
3 wb_stall_o *6377:A 0.000316735
4 wb_stall_o *6378:A 0
5 wb_stall_o *6389:A 0
6 wb_stall_o *453:8 4.15912e-05
7 sram_addr0[0] wb_stall_o 2.48668e-05
8 sram_addr1[0] wb_stall_o 7.13655e-06
9 wb_ack_o wb_stall_o 0
10 wb_error_o wb_stall_o 0
11 *6375:A wb_stall_o 0
12 *6376:A wb_stall_o 0.000117703
*RES
1 *6388:X wb_stall_o 16.9445
*END
*D_NET *232 0.00519045
*CONN
*P wb_stb_i I
*I *6377:A I *D sky130_fd_sc_hd__clkbuf_1
*CAP
1 wb_stb_i 0.00166401
2 *6377:A 0.00166401
3 *6377:A *6209:A 8.65522e-05
4 *6377:A *6378:A 0.000463789
5 *6377:A *6389:A 1.41291e-05
6 *6377:A *402:24 0.000158371
7 *6377:A *403:25 5.73392e-05
8 *6377:A *453:8 1.91246e-05
9 *6377:A *453:649 0.00021369
10 *6377:A *455:20 9.7454e-05
11 sram_addr1[0] *6377:A 5.80703e-06
12 sram_wmask0[0] *6377:A 0.000280436
13 wb_error_o *6377:A 0.000149013
14 wb_stall_o *6377:A 0.000316735
*RES
1 wb_stb_i *6377:A 34.0689
*END
*D_NET *233 0.00430452
*CONN
*P wb_we_i I
*I *6378:A I *D sky130_fd_sc_hd__clkbuf_1
*CAP
1 wb_we_i 0.00153921
2 *6378:A 0.00153921
3 *6378:A *6126:B1 6.46887e-05
4 *6378:A *6389:A 0
5 *6378:A *402:36 5.19006e-05
6 *6378:A *455:20 4.27071e-05
7 sram_csb0 *6378:A 0.000219461
8 sram_din0[0] *6378:A 7.85354e-05
9 wb_data_o[0] *6378:A 0
10 wb_error_o *6378:A 0.000305028
11 wb_stall_o *6378:A 0
12 *6377:A *6378:A 0.000463789
*RES
1 wb_we_i *6378:A 27.3437
*END
*D_NET *234 0.000929018
*CONN
*I *6264:D I *D sky130_fd_sc_hd__dfxtp_1
*I *6127:Y O *D sky130_fd_sc_hd__nor2_1
*CAP
1 *6264:D 0.000324273
2 *6127:Y 0.000324273
3 *6264:D *6126:A1 0.000148129
4 *6264:D *6128:B2 0
5 *6264:D *307:10 0
6 *6264:D *403:25 0.000107496
7 *6264:D *501:31 1.87611e-05
8 sram_wmask0[1] *6264:D 6.08697e-06
9 *6327:A *6264:D 0
*RES
1 *6127:Y *6264:D 29.833
*END
*D_NET *235 0.000338115
*CONN
*I *6265:D I *D sky130_fd_sc_hd__dfxtp_1
*I *6129:Y O *D sky130_fd_sc_hd__nor2_1
*CAP
1 *6265:D 8.59195e-05
2 *6129:Y 8.59195e-05
3 *6265:D *6107:A 7.02539e-05
4 *6265:D *410:12 0
5 *6265:D *411:16 9.60216e-05
*RES
1 *6129:Y *6265:D 26.5652
*END
*D_NET *236 0.000448538
*CONN
*I *6266:D I *D sky130_fd_sc_hd__dfxtp_1
*I *6131:Y O *D sky130_fd_sc_hd__nor2_1
*CAP
1 *6266:D 0.000181552
2 *6131:Y 0.000181552
3 *6266:D *307:10 0
4 *6266:D *312:24 1.61631e-05
5 *6266:D *312:33 6.92705e-05
6 *6266:D *407:12 0
*RES
1 *6131:Y *6266:D 27.333
*END
*D_NET *237 0.000580428
*CONN
*I *6267:D I *D sky130_fd_sc_hd__dfxtp_1
*I *6133:Y O *D sky130_fd_sc_hd__nor2_1
*CAP
1 *6267:D 0.000243461
2 *6133:Y 0.000243461
3 *6267:D *312:18 4.58003e-05
4 *6267:D *312:24 2.16355e-05
5 *6267:D *314:44 2.60704e-05
*RES
1 *6133:Y *6267:D 28.083
*END
*D_NET *238 0.000421533
*CONN
*I *6268:D I *D sky130_fd_sc_hd__dfxtp_1
*I *6135:Y O *D sky130_fd_sc_hd__nor2_1
*CAP
1 *6268:D 4.50557e-05
2 *6135:Y 4.50557e-05
3 *6268:D *6135:B 0.00015324
4 *6268:D *6196:B1 0.000156823
5 *6268:D *6268:CLK 2.13584e-05
*RES
1 *6135:Y *6268:D 26.7616
*END
*D_NET *239 0.00018792
*CONN
*I *6269:D I *D sky130_fd_sc_hd__dfxtp_1
*I *6140:Y O *D sky130_fd_sc_hd__nor2_1
*CAP
1 *6269:D 9.39598e-05
2 *6140:Y 9.39598e-05
3 *6269:D *6204:B1 0
4 *6269:D *322:8 0
*RES
1 *6140:Y *6269:D 26.1545
*END
*D_NET *240 0.000540473
*CONN
*I *6270:D I *D sky130_fd_sc_hd__dfxtp_2
*I *6142:Y O *D sky130_fd_sc_hd__nor2_1
*CAP
1 *6270:D 0.000113608
2 *6142:Y 0.000113608
3 *6270:D *6142:A 0.000270541
4 *6270:D *309:17 4.27148e-05
*RES
1 *6142:Y *6270:D 27.3866
*END
*D_NET *241 0.000709173
*CONN
*I *6271:D I *D sky130_fd_sc_hd__dfxtp_2
*I *6144:Y O *D sky130_fd_sc_hd__nor2_1
*CAP
1 *6271:D 0.000237466
2 *6144:Y 0.000237466
3 *6271:D *6143:A2 0
4 *6271:D *6143:B2 4.27003e-05
5 *6271:D *6144:B 8.25214e-05
6 *6271:D *401:9 6.67095e-06
7 *6271:D *453:221 0.000102348
*RES
1 *6144:Y *6271:D 29.7259
*END
*D_NET *242 0.000964466
*CONN
*I *6272:D I *D sky130_fd_sc_hd__dfxtp_2
*I *6146:Y O *D sky130_fd_sc_hd__nor2_1
*CAP
1 *6272:D 8.61987e-05
2 *6146:Y 8.61987e-05
3 *6272:D *6272:CLK 0.000224381
4 *6272:D *324:15 0.000383703
5 *6272:D *428:27 0.000183985
*RES
1 *6146:Y *6272:D 28.4937
*END
*D_NET *243 0.00066642
*CONN
*I *6273:D I *D sky130_fd_sc_hd__dfxtp_2
*I *6148:Y O *D sky130_fd_sc_hd__nor2_1
*CAP
1 *6273:D 0.00025222
2 *6148:Y 0.00025222
3 *6273:D *6148:A 0
4 *6273:D *6148:B 0.00016198
*RES
1 *6148:Y *6273:D 29.0295
*END
*D_NET *244 0.000405567
*CONN
*I *6274:D I *D sky130_fd_sc_hd__dfxtp_2
*I *6153:Y O *D sky130_fd_sc_hd__nor2_1
*CAP
1 *6274:D 0.000121406
2 *6153:Y 0.000121406
3 *6274:D *6153:B 6.46135e-05
4 *6274:D *330:32 7.15593e-05
5 *6274:D *330:43 2.65831e-05
*RES
1 *6153:Y *6274:D 27.2973
*END
*D_NET *245 0.000732741
*CONN
*I *6275:D I *D sky130_fd_sc_hd__dfxtp_2
*I *6155:Y O *D sky130_fd_sc_hd__nor2_1
*CAP
1 *6275:D 6.65179e-05
2 *6155:Y 6.65179e-05
3 *6275:D *6160:B1 0.000469791
4 *6275:D *332:25 7.48633e-05
5 *6275:D *475:7 5.50517e-05
*RES
1 *6155:Y *6275:D 28.083
*END
*D_NET *246 0.00133535
*CONN
*I *6276:D I *D sky130_fd_sc_hd__dfxtp_2
*I *6157:Y O *D sky130_fd_sc_hd__nor2_1
*CAP
1 *6276:D 0.000613805
2 *6157:Y 0.000613805
3 *6276:D *6152:A2 3.20069e-06
4 *6276:D *6156:A2 3.6455e-05
5 *6276:D *6157:A 6.80864e-05
6 *6276:D *474:8 0
*RES
1 *6157:Y *6276:D 35.1545
*END
*D_NET *247 0.000544711
*CONN
*I *6277:D I *D sky130_fd_sc_hd__dfxtp_2
*I *6159:Y O *D sky130_fd_sc_hd__nor2_1
*CAP
1 *6277:D 0.000111953
2 *6159:Y 0.000111953
3 *6277:D *6159:B 0.000144531
4 *6277:D *6277:CLK 2.13584e-05
5 *6277:D *477:12 3.18826e-06
6 *6277:D *480:31 0.000151726
*RES
1 *6159:Y *6277:D 27.2973
*END
*D_NET *248 0.000394594
*CONN
*I *6278:D I *D sky130_fd_sc_hd__dfxtp_2
*I *6161:Y O *D sky130_fd_sc_hd__nor2_1
*CAP
1 *6278:D 0.000106752
2 *6161:Y 0.000106752
3 *6278:D *6275:CLK 3.18826e-06
4 *6278:D *330:22 5.22654e-06
5 *6278:D *331:16 0
6 *6278:D *469:18 0.000172676
*RES
1 *6161:Y *6278:D 27.0652
*END
*D_NET *249 0.00141261
*CONN
*I *6279:D I *D sky130_fd_sc_hd__dfxtp_2
*I *6167:Y O *D sky130_fd_sc_hd__nor2_1
*CAP
1 *6279:D 0.000520394
2 *6167:Y 0.000520394
3 *6279:D *6167:A 6.50727e-05
4 *6279:D *6168:A1 5.93547e-06
5 *6279:D *6168:B2 0
6 *6279:D *6170:A2 0.00022117
7 *6279:D *340:40 2.57465e-06
8 *6279:D *341:40 0
9 *6279:D *469:18 7.14746e-05
10 *6279:D *479:7 5.59587e-06
*RES
1 *6167:Y *6279:D 32.083
*END
*D_NET *250 0.000624797
*CONN
*I *6280:D I *D sky130_fd_sc_hd__dfxtp_2
*I *6169:Y O *D sky130_fd_sc_hd__nor2_1
*CAP
1 *6280:D 0.00016703
2 *6169:Y 0.00016703
3 *6280:D *453:303 0.000153393
4 *6280:D *453:319 0.000137345
*RES
1 *6169:Y *6280:D 28.083
*END
*D_NET *251 0.000619396
*CONN
*I *6281:D I *D sky130_fd_sc_hd__dfxtp_2
*I *6171:Y O *D sky130_fd_sc_hd__nor2_1
*CAP
1 *6281:D 0.00029697
2 *6171:Y 0.00029697
3 *6281:D *453:327 2.54559e-05
4 *6281:D *499:21 0
*RES
1 *6171:Y *6281:D 29.8821
*END
*D_NET *252 0.000360811
*CONN
*I *6282:D I *D sky130_fd_sc_hd__dfxtp_2
*I *6173:Y O *D sky130_fd_sc_hd__nor2_1
*CAP
1 *6282:D 0.00013639
2 *6173:Y 0.00013639
3 *6282:D *338:19 6.92705e-05
4 *6282:D *479:34 1.87611e-05
*RES
1 *6173:Y *6282:D 26.6902
*END
*D_NET *253 0.000633344
*CONN
*I *6283:D I *D sky130_fd_sc_hd__dfxtp_2
*I *6175:Y O *D sky130_fd_sc_hd__nor2_1
*CAP
1 *6283:D 0.00017533
2 *6175:Y 0.00017533
3 *6283:D *6174:A2 0
4 *6283:D *453:335 0.000282684
*RES
1 *6175:Y *6283:D 28.208
*END
*D_NET *254 0.000625059
*CONN
*I *6284:D I *D sky130_fd_sc_hd__dfxtp_2
*I *6180:Y O *D sky130_fd_sc_hd__nor2_1
*CAP
1 *6284:D 0.000140716
2 *6180:Y 0.000140716
3 *6284:D *6179:A2 0
4 *6284:D *6284:CLK 0.000130777
5 *6284:D *296:51 0
6 *6284:D *486:11 0.00021285
*RES
1 *6180:Y *6284:D 28.275
*END
*D_NET *255 0.00120009
*CONN
*I *6285:D I *D sky130_fd_sc_hd__dfxtp_1
*I *6182:Y O *D sky130_fd_sc_hd__nor2_1
*CAP
1 *6285:D 0.000455474
2 *6182:Y 0.000455474
3 *6285:D *6181:A2 0.000289145
4 *6285:D *489:17 0
*RES
1 *6182:Y *6285:D 33.1009
*END
*D_NET *256 0.0007377
*CONN
*I *6286:D I *D sky130_fd_sc_hd__dfxtp_2
*I *6184:Y O *D sky130_fd_sc_hd__nor2_1
*CAP
1 *6286:D 0.000175869
2 *6184:Y 0.000175869
3 *6286:D *6184:A 2.65831e-05
4 *6286:D *296:33 0.000359378
*RES
1 *6184:Y *6286:D 28.083
*END
*D_NET *257 0.000561274
*CONN
*I *6287:D I *D sky130_fd_sc_hd__dfxtp_2
*I *6186:Y O *D sky130_fd_sc_hd__nor2_1
*CAP
1 *6287:D 0.000120929
2 *6186:Y 0.000120929
3 *6287:D *6383:A 0.000148144
4 *6287:D *453:373 7.02539e-05
5 *6287:D *453:389 6.31809e-05
6 *6287:D *488:34 3.78372e-05
*RES
1 *6186:Y *6287:D 27.9937
*END
*D_NET *258 0.000836142
*CONN
*I *6288:D I *D sky130_fd_sc_hd__dfxtp_2
*I *6188:Y O *D sky130_fd_sc_hd__nor2_1
*CAP
1 *6288:D 0.000162622
2 *6188:Y 0.000162622
3 *6288:D *6117:A 4.61732e-05
4 *6288:D *410:12 0.000101148
5 *6288:D *481:25 0.000363576
*RES
1 *6188:Y *6288:D 28.8509
*END
*D_NET *259 0.000420676
*CONN
*I *6289:D I *D sky130_fd_sc_hd__dfxtp_2
*I *6193:Y O *D sky130_fd_sc_hd__nor2_1
*CAP
1 *6289:D 0.000146285
2 *6193:Y 0.000146285
3 *6289:D *6162:A 0
4 *6289:D *6289:CLK 3.18826e-06
5 *6289:D *339:41 3.68867e-05
6 *6289:D *481:17 1.87611e-05
7 *6289:D *492:24 6.92705e-05
*RES
1 *6193:Y *6289:D 27.2973
*END
*D_NET *260 0.000437894
*CONN
*I *6290:D I *D sky130_fd_sc_hd__dfxtp_2
*I *6195:Y O *D sky130_fd_sc_hd__nor2_1
*CAP
1 *6290:D 0.000132303
2 *6195:Y 0.000132303
3 *6290:D *398:12 6.66538e-05
4 *6290:D *481:17 0.000106635
*RES
1 *6195:Y *6290:D 27.4536
*END
*D_NET *261 0.00106691
*CONN
*I *6291:D I *D sky130_fd_sc_hd__dfxtp_2
*I *6197:Y O *D sky130_fd_sc_hd__nor2_1
*CAP
1 *6291:D 0.000431065
2 *6197:Y 0.000431065
3 *6291:D *308:11 0.000204783
*RES
1 *6197:Y *6291:D 32.3688
*END
*D_NET *262 0.000579253
*CONN
*I *6292:D I *D sky130_fd_sc_hd__dfxtp_2
*I *6199:Y O *D sky130_fd_sc_hd__nor2_1
*CAP
1 *6292:D 0.00017421
2 *6199:Y 0.00017421
3 *6292:D *6198:B2 0
4 *6292:D *6199:B 0.000144531
5 *6292:D *495:15 2.54559e-05
6 *6292:D *496:13 6.08467e-05
*RES
1 *6199:Y *6292:D 27.9893
*END
*D_NET *263 0.00101116
*CONN
*I *6293:D I *D sky130_fd_sc_hd__dfxtp_2
*I *6201:Y O *D sky130_fd_sc_hd__nor2_1
*CAP
1 *6293:D 0.000239839
2 *6201:Y 0.000239839
3 *6293:D *398:12 0.000149628
4 *6293:D *453:131 1.07248e-05
5 *6293:D *453:140 0.00015321
6 *6293:D *496:13 0.000217923
*RES
1 *6201:Y *6293:D 29.2214
*END
*D_NET *264 0.00050915
*CONN
*I *6294:D I *D sky130_fd_sc_hd__dfxtp_2
*I *6203:Y O *D sky130_fd_sc_hd__nor2_1
*CAP
1 *6294:D 9.9966e-05
2 *6203:Y 9.9966e-05
3 *6294:D *6198:B1 7.15593e-05
4 *6294:D *311:8 0.000149628
5 *6294:D *453:128 1.87611e-05
6 *6294:D *498:17 6.92705e-05
*RES
1 *6203:Y *6294:D 27.2973
*END
*D_NET *265 0.000545534
*CONN
*I *6295:D I *D sky130_fd_sc_hd__dfxtp_2
*I *6205:Y O *D sky130_fd_sc_hd__nor2_1
*CAP
1 *6295:D 0.000171619
2 *6205:Y 0.000171619
3 *6295:D *6205:B 0.000101148
4 *6295:D *315:27 0.000101148
*RES
1 *6205:Y *6295:D 27.7973
*END
*D_NET *266 0.00409436
*CONN
*I *6212:A2 I *D sky130_fd_sc_hd__a21o_1
*I *6296:D I *D sky130_fd_sc_hd__dfxtp_1
*I *6208:Y O *D sky130_fd_sc_hd__nor2_1
*CAP
1 *6212:A2 3.58475e-05
2 *6296:D 0.000458535
3 *6208:Y 0.000654621
4 *266:8 0.001149
5 *6212:A2 *6209:B 0.000267333
6 *6296:D *6212:A1 0
7 *6296:D *6264:CLK 2.27683e-05
8 *6296:D *6388:A 0.000121066
9 *6296:D *6401:A 0.000100177
10 *6296:D *455:20 4.0625e-05
11 *266:8 *6104:A 0.000143047
12 *266:8 *6208:B 2.65667e-05
13 *266:8 *293:12 0.000109859
14 *266:8 *293:16 0.000191541
15 *266:8 *498:17 2.20903e-05
16 *266:8 *501:41 0.000116772
17 sram_csb0 *266:8 0
18 wb_error_o *6296:D 8.93334e-05
19 wb_error_o *266:8 0.000134323
20 *6324:A *6296:D 0.000147742
21 *6375:A *6212:A2 0.000263107
*RES
1 *6208:Y *266:8 24.1464
2 *266:8 *6296:D 21.4857
3 *266:8 *6212:A2 14.5036
*END
*D_NET *267 0.00106363
*CONN
*I *6297:D I *D sky130_fd_sc_hd__dfxtp_1
*I *6212:X O *D sky130_fd_sc_hd__a21o_1
*CAP
1 *6297:D 9.92375e-05
2 *6212:X 9.92375e-05
3 *6297:D *6209:A 0.000382454
4 *6297:D *6389:A 0
5 sram_addr0[0] *6297:D 0.000386666
6 sram_csb0 *6297:D 9.60366e-05
*RES
1 *6212:X *6297:D 29.0295
*END
*D_NET *268 0.00310519
*CONN
*I *6298:D I *D sky130_fd_sc_hd__dfxtp_1
*I *6214:X O *D sky130_fd_sc_hd__o21a_1
*CAP
1 *6298:D 0.000556766
2 *6214:X 0.000556766
3 *6298:D *6214:A2 4.82966e-05
4 *6298:D *6215:A1 9.16621e-05
5 *6298:D *6215:A2 7.92757e-06
6 *6298:D *6215:B1_N 0.000464127
7 *6298:D *310:8 0.0012327
8 *6298:D *453:120 0.000146948
*RES
1 *6214:X *6298:D 39.9938
*END
*D_NET *269 0.000298636
*CONN
*I *6299:D I *D sky130_fd_sc_hd__dfxtp_1
*I *6215:Y O *D sky130_fd_sc_hd__a21boi_1
*CAP
1 *6299:D 0.000103403
2 *6215:Y 0.000103403
3 *6299:D *365:10 4.90264e-05
4 *6299:D *411:16 5.66868e-06
5 *6299:D *453:87 3.55296e-05
6 *6299:D *475:7 1.60502e-06
*RES
1 *6215:Y *6299:D 26.7616
*END
*D_NET *270 0.000268636
*CONN
*I *6300:D I *D sky130_fd_sc_hd__dfxtp_1
*I *6217:X O *D sky130_fd_sc_hd__clkbuf_1
*CAP
1 *6300:D 8.98812e-05
2 *6217:X 8.98812e-05
3 *6300:D *6217:A 4.27003e-05
4 *6300:D *403:34 4.61732e-05
*RES
1 *6217:X *6300:D 26.5652
*END
*D_NET *271 0.000351297
*CONN
*I *6301:D I *D sky130_fd_sc_hd__dfxtp_1
*I *6219:X O *D sky130_fd_sc_hd__clkbuf_1
*CAP
1 *6301:D 0.000100361
2 *6219:X 0.000100361
3 *6301:D *401:21 0.000101133
4 *6301:D *403:38 3.01634e-05
5 *6301:D *475:7 1.92793e-05
*RES
1 *6219:X *6301:D 26.9759
*END
*D_NET *272 0.000737472
*CONN
*I *6302:D I *D sky130_fd_sc_hd__dfxtp_1
*I *6221:X O *D sky130_fd_sc_hd__clkbuf_1
*CAP
1 *6302:D 0.000202771
2 *6221:X 0.000202771
3 *6302:D *6109:A 3.18826e-06
4 *6302:D *6220:A1 0.000162853
5 *6302:D *6221:A 0.000144531
6 *6302:D *453:85 2.13584e-05
*RES
1 *6221:X *6302:D 28.1187
*END
*D_NET *273 0.00105905
*CONN
*I *6303:D I *D sky130_fd_sc_hd__dfxtp_1
*I *6223:X O *D sky130_fd_sc_hd__clkbuf_1
*CAP
1 *6303:D 0.00014464
2 *6223:X 0.00014464
3 *6303:D *453:60 2.13584e-05
4 *6303:D *475:29 0.000349931
5 *6303:D *495:29 0.000337639
6 *6303:D *501:41 6.08467e-05
*RES
1 *6223:X *6303:D 29.7259
*END
*D_NET *274 0.00142678
*CONN
*I *6304:D I *D sky130_fd_sc_hd__dfxtp_1
*I *6227:X O *D sky130_fd_sc_hd__clkbuf_1
*CAP
1 *6304:D 0.0002184
2 *6227:X 0.0002184
3 *6304:D *6226:A1 0.000398089
4 *6304:D *403:38 9.60366e-05
5 *6304:D *471:8 9.96342e-05
6 *6304:D *481:25 0.000396223
*RES
1 *6227:X *6304:D 30.9045
*END
*D_NET *275 0.000689221
*CONN
*I *6305:D I *D sky130_fd_sc_hd__dfxtp_1
*I *6229:X O *D sky130_fd_sc_hd__clkbuf_1
*CAP
1 *6305:D 9.51707e-05
2 *6229:X 9.51707e-05
3 *6305:D *6305:CLK 0.000169407
4 *6305:D *401:21 0.000148144
5 *6305:D *477:44 0.000148144
6 *6305:D *488:34 3.3186e-05
*RES
1 *6229:X *6305:D 27.9937
*END
*D_NET *276 0.000476199
*CONN
*I *6306:D I *D sky130_fd_sc_hd__dfxtp_1
*I *6231:X O *D sky130_fd_sc_hd__clkbuf_1
*CAP
1 *6306:D 0.000110728
2 *6231:X 0.000110728
3 *6306:D *6228:A1 5.68237e-06
4 *6306:D *6231:A 0.000118485
5 *6306:D *6306:CLK 6.67095e-06
6 *6306:D *495:29 0.000123906
*RES
1 *6231:X *6306:D 26.9937
*END
*D_NET *277 0.00054176
*CONN
*I *6307:D I *D sky130_fd_sc_hd__dfxtp_1
*I *6233:X O *D sky130_fd_sc_hd__clkbuf_1
*CAP
1 *6307:D 0.000153986
2 *6233:X 0.000153986
3 *6307:D *453:401 0.000233788
4 *6307:D *498:18 0
*RES
1 *6233:X *6307:D 27.7973
*END
*D_NET *278 0.00103011
*CONN
*I *6308:D I *D sky130_fd_sc_hd__dfxtp_1
*I *6235:X O *D sky130_fd_sc_hd__clkbuf_1
*CAP
1 *6308:D 0.000336644
2 *6235:X 0.000336644
3 *6308:D *6234:A1 0.000281309
4 *6308:D *453:432 7.41058e-05
5 *6308:D *474:9 1.4091e-06
6 *6308:D *498:18 0
*RES
1 *6235:X *6308:D 30.3509
*END
*D_NET *279 0.000452485
*CONN
*I *6309:D I *D sky130_fd_sc_hd__dfxtp_1
*I *6238:X O *D sky130_fd_sc_hd__clkbuf_1
*CAP
1 *6309:D 7.53087e-05
2 *6238:X 7.53087e-05
3 *6309:D *6309:CLK 9.5989e-05
4 *6309:D *475:29 0.000101148
5 *6309:D *496:44 0.000104731
*RES
1 *6238:X *6309:D 26.7973
*END
*D_NET *280 0.000780158
*CONN
*I *6310:D I *D sky130_fd_sc_hd__dfxtp_1
*I *6240:X O *D sky130_fd_sc_hd__clkbuf_1
*CAP
1 *6310:D 0.000132047
2 *6240:X 0.000132047
3 *6310:D *368:18 0.00015324
4 *6310:D *375:12 0.00015324
5 *6310:D *476:25 0.000209584
*RES
1 *6240:X *6310:D 28.4045
*END
*D_NET *281 0.000491057
*CONN
*I *6311:D I *D sky130_fd_sc_hd__dfxtp_1
*I *6242:X O *D sky130_fd_sc_hd__clkbuf_1
*CAP
1 *6311:D 0.000102205
2 *6242:X 0.000102205
3 *6311:D *6242:A 0.000143718
4 *6311:D *6311:CLK 1.87611e-05
5 *6311:D *6406:A 0.000118485
6 *6311:D *490:36 5.68237e-06
*RES
1 *6242:X *6311:D 26.9937
*END
*D_NET *282 0.00101022
*CONN
*I *6312:D I *D sky130_fd_sc_hd__dfxtp_1
*I *6244:X O *D sky130_fd_sc_hd__clkbuf_1
*CAP
1 *6312:D 0.000365209
2 *6244:X 0.000365209
3 *6312:D *6244:A 0.000200947
4 *6312:D *506:8 7.88512e-05
*RES
1 *6244:X *6312:D 30.583
*END
*D_NET *283 0.000523375
*CONN
*I *6313:D I *D sky130_fd_sc_hd__dfxtp_1
*I *6246:X O *D sky130_fd_sc_hd__clkbuf_1
*CAP
1 *6313:D 0.000104119
2 *6246:X 0.000104119
3 *6313:D *6246:A 0.000123582
4 *6313:D *453:486 3.31733e-05
5 *6313:D *453:497 9.75356e-05
6 *6313:D *478:11 6.08467e-05
*RES
1 *6246:X *6313:D 26.9937
*END
*D_NET *284 0.000767183
*CONN
*I *6314:D I *D sky130_fd_sc_hd__dfxtp_1
*I *6249:X O *D sky130_fd_sc_hd__clkbuf_1
*CAP
1 *6314:D 0.000244848
2 *6249:X 0.000244848
3 *6314:D *6314:CLK 0.000177886
4 *6314:D *508:7 9.96009e-05
*RES
1 *6249:X *6314:D 29.333
*END
*D_NET *285 0.000452494
*CONN
*I *6315:D I *D sky130_fd_sc_hd__dfxtp_1
*I *6251:X O *D sky130_fd_sc_hd__clkbuf_1
*CAP
1 *6315:D 0.000100899
2 *6251:X 0.000100899
3 *6315:D *6250:A1 3.18826e-06
4 *6315:D *6251:A 0.000123582
5 *6315:D *6410:A 6.50586e-05
6 *6315:D *496:44 5.88662e-05
*RES
1 *6251:X *6315:D 26.9937
*END
*D_NET *286 0.000648432
*CONN
*I *6316:D I *D sky130_fd_sc_hd__dfxtp_1
*I *6253:X O *D sky130_fd_sc_hd__clkbuf_1
*CAP
1 *6316:D 0.000242151
2 *6253:X 0.000242151
3 *6316:D *6316:CLK 0.000158332
4 *6316:D *510:8 5.79771e-06
*RES
1 *6253:X *6316:D 28.9223
*END
*D_NET *287 0.00032489
*CONN
*I *6317:D I *D sky130_fd_sc_hd__dfxtp_1
*I *6255:X O *D sky130_fd_sc_hd__clkbuf_1
*CAP
1 *6317:D 9.05284e-05
2 *6255:X 9.05284e-05
3 *6317:D *6255:A 4.27003e-05
4 *6317:D *496:44 0.000101133
*RES
1 *6255:X *6317:D 26.5652
*END
*D_NET *288 0.000495681
*CONN
*I *6318:D I *D sky130_fd_sc_hd__dfxtp_1
*I *6257:X O *D sky130_fd_sc_hd__clkbuf_1
*CAP
1 *6318:D 9.88867e-05
2 *6257:X 9.88867e-05
3 *6318:D *6257:A 4.27148e-05
4 *6318:D *6320:CLK 0.000104731
5 *6318:D *484:20 0.000150462
*RES
1 *6257:X *6318:D 27.208
*END
*D_NET *289 0.000773574
*CONN
*I *6319:D I *D sky130_fd_sc_hd__dfxtp_1
*I *6259:X O *D sky130_fd_sc_hd__clkbuf_1
*CAP
1 *6319:D 0.000234119
2 *6259:X 0.000234119
3 *6319:D *6259:A 2.7973e-05
4 *6319:D *495:30 7.44474e-05
5 *6319:D *495:43 4.08154e-05
6 *6319:D *496:44 0.0001621
*RES
1 *6259:X *6319:D 30.3152
*END
*D_NET *290 0.000410415
*CONN
*I *6320:D I *D sky130_fd_sc_hd__dfxtp_1
*I *6261:X O *D sky130_fd_sc_hd__clkbuf_1
*CAP
1 *6320:D 8.86648e-05
2 *6261:X 8.86648e-05
3 *6320:D *6260:A1 3.37994e-05
4 *6320:D *6261:A 0
5 *6320:D *6262:S 0.000101036
6 *6320:D *6415:A 9.82494e-05
*RES
1 *6261:X *6320:D 26.7973
*END
*D_NET *291 0.000464994
*CONN
*I *6321:D I *D sky130_fd_sc_hd__dfxtp_1
*I *6263:X O *D sky130_fd_sc_hd__clkbuf_1
*CAP
1 *6321:D 0.000102457
2 *6263:X 0.000102457
3 *6321:D *6263:A 8.07939e-05
4 *6321:D *496:44 0.000179286
*RES
1 *6263:X *6321:D 27.4759
*END
*D_NET *292 0.00535095
*CONN
*I *6108:A I *D sky130_fd_sc_hd__clkbuf_1
*I *6092:A I *D sky130_fd_sc_hd__dlymetal6s2s_1
*I *6210:B I *D sky130_fd_sc_hd__nor3_2
*I *6091:X O *D sky130_fd_sc_hd__or2_1
*CAP
1 *6108:A 0
2 *6092:A 0.000160388
3 *6210:B 3.89408e-05
4 *6091:X 0.000386437
5 *292:17 0.000887531
6 *292:10 0.00107464
7 *6092:A *6104:A 2.7837e-05
8 *6092:A *6210:A 8.01741e-05
9 *6092:A *475:7 4.87301e-05
10 *6210:B *6210:A 0.000318331
11 *6210:B *6213:A2 0.000216458
12 *6210:B *367:9 0.000117376
13 *292:10 *6176:A 0
14 *292:10 *6292:CLK 0
15 *292:10 *525:15 0.000381471
16 *292:10 *525:24 0.000115934
17 *292:17 *6208:B 2.95757e-05
18 *292:17 *6210:A 0.000113968
19 *292:17 *6213:A2 0.000297065
20 *292:17 *6213:B1 6.50586e-05
21 *292:17 *366:5 4.66492e-05
22 *292:17 *495:15 0.000693272
23 *292:17 *501:41 8.56296e-05
24 *292:17 *502:29 0.000165481
*RES
1 *6091:X *292:10 17.9143
2 *292:10 *292:17 17.9464
3 *292:17 *6210:B 14.7893
4 *292:17 *6092:A 15.95
5 *292:10 *6108:A 12.2
*END
*D_NET *293 0.00495699
*CONN
*I *6214:A2 I *D sky130_fd_sc_hd__o21a_1
*I *6104:B I *D sky130_fd_sc_hd__and2_1
*I *6106:B I *D sky130_fd_sc_hd__and2_1
*I *6093:B I *D sky130_fd_sc_hd__and2_1
*I *6102:B I *D sky130_fd_sc_hd__and2_1
*I *6092:X O *D sky130_fd_sc_hd__dlymetal6s2s_1
*CAP
1 *6214:A2 0.000177457
2 *6104:B 4.44426e-05
3 *6106:B 0.000138152
4 *6093:B 7.90381e-05
5 *6102:B 0.000253259
6 *6092:X 7.15673e-05
7 *293:25 0.000403255
8 *293:16 0.000506626
9 *293:12 0.000275276
10 *293:6 0.000412556
11 *6093:B *6105:A 0.000201734
12 *6093:B *400:19 2.65667e-05
13 *6093:B *502:29 0.000205317
14 *6104:B *6104:A 0.000114584
15 *6104:B *6413:A 3.58044e-05
16 *6104:B *401:16 0.000207883
17 *6214:A2 *6119:A 6.50727e-05
18 *6214:A2 *6215:B1_N 0.000111708
19 *6214:A2 *310:8 3.76831e-05
20 *6214:A2 *365:13 6.08467e-05
21 *6214:A2 *455:21 9.55447e-05
22 *6214:A2 *457:16 0.000105636
23 *293:6 *6104:A 0.000137404
24 *293:6 *6210:A 0.00017419
25 *293:6 *501:41 7.77309e-06
26 *293:12 *6104:A 0.000225558
27 *293:12 *501:41 0.000240823
28 *293:16 *501:41 0.000191541
29 *6298:D *6214:A2 4.82966e-05
30 *266:8 *293:12 0.000109859
31 *266:8 *293:16 0.000191541
*RES
1 *6092:X *293:6 14.8786
2 *293:6 *293:12 5.33929
3 *293:12 *293:16 2.67857
4 *293:16 *6102:B 16.45
5 *293:16 *293:25 2.58929
6 *293:25 *6093:B 15.5393
7 *293:25 *6106:B 14.3964
8 *293:12 *6104:B 14.0929
9 *293:6 *6214:A2 16.9679
*END
*D_NET *294 0.0215108
*CONN
*I *6094:A I *D sky130_fd_sc_hd__clkbuf_1
*I *6093:X O *D sky130_fd_sc_hd__and2_1
*CAP
1 *6094:A 0.000115524
2 *6093:X 5.41189e-05
3 *294:9 0.00658318
4 *294:8 0.00652177
5 *6094:A *426:13 0
6 *294:8 *6105:A 3.34802e-05
7 *294:8 *410:12 0
8 *294:9 *400:9 0.000591935
9 *294:9 *400:11 0.00702107
10 *294:9 *473:40 0.000589689
*RES
1 *6093:X *294:8 13.4857
2 *294:8 *294:9 81.4464
3 *294:9 *6094:A 14.3964
*END
*D_NET *295 0.00243663
*CONN
*I *6097:C I *D sky130_fd_sc_hd__or4b_1
*I *6095:X O *D sky130_fd_sc_hd__or4_1
*CAP
1 *6097:C 0.000593179
2 *6095:X 0.000593179
3 *6097:C *6095:A 0.000205381
4 *6097:C *6097:A 0.000114584
5 *6097:C *296:51 4.44802e-05
6 *6097:C *297:11 0.000865348
7 *6097:C *368:18 2.04806e-05
*RES
1 *6095:X *6097:C 38.7214
*END
*D_NET *296 0.01952
*CONN
*I *6124:A I *D sky130_fd_sc_hd__clkbuf_2
*I *6165:A I *D sky130_fd_sc_hd__dlymetal6s2s_1
*I *6097:D_N I *D sky130_fd_sc_hd__or4b_1
*I *6178:A I *D sky130_fd_sc_hd__dlymetal6s2s_1
*I *6191:A I *D sky130_fd_sc_hd__clkbuf_2
*I *6096:X O *D sky130_fd_sc_hd__and2b_2
*CAP
1 *6124:A 0.00103341
2 *6165:A 0.000415077
3 *6097:D_N 0
4 *6178:A 0.000556044
5 *6191:A 0.000472459
6 *6096:X 0.000110586
7 *296:51 0.00254771
8 *296:33 0.00340449
9 *296:25 0.00128764
10 *296:12 0.00137411
11 *296:5 0.00147382
12 *6124:A *6163:A 0.000217951
13 *6124:A *311:5 0.000217937
14 *6124:A *311:28 3.0921e-05
15 *6124:A *339:11 2.82583e-05
16 *6124:A *339:32 0.000166436
17 *6165:A *6183:B1 0.00170075
18 *6178:A *349:10 3.21548e-05
19 *6178:A *349:39 0.00069333
20 *6191:A *357:7 1.41976e-05
21 *6191:A *496:13 0.000256077
22 *6191:A *500:19 0.000167076
23 *296:5 *6163:A 4.66492e-05
24 *296:5 *524:16 0.000111708
25 *296:12 *407:12 0.000602804
26 *296:12 *500:19 0.00018755
27 *296:12 *524:10 2.95757e-05
28 *296:25 *6196:A2 0
29 *296:25 *407:12 0.00111881
30 *296:25 *494:15 0
31 *296:33 *6183:B1 0.000111708
32 *296:33 *348:16 0.000115406
33 *296:33 *453:344 0
34 *296:51 *6097:A 0.000114584
35 *296:51 *6180:B 0
36 *296:51 *6185:A1 0.000118485
37 *296:51 *6237:A0 6.78549e-05
38 *296:51 *6284:CLK 0
39 *296:51 *297:11 1.00937e-05
40 *296:51 *348:16 4.18989e-05
41 *296:51 *348:25 0.000238552
42 *296:51 *453:344 0
43 *296:51 *487:15 0
44 *296:51 *522:20 0
45 *6097:C *296:51 4.44802e-05
46 *6284:D *296:51 0
47 *6286:D *296:33 0.000359378
*RES
1 *6096:X *296:5 13.5571
2 *296:5 *296:12 9.41071
3 *296:12 *6191:A 21.9143
4 *296:12 *296:25 14.5179
5 *296:25 *296:33 7.07143
6 *296:33 *6178:A 19.7536
7 *296:33 *296:51 45.3393
8 *296:51 *6097:D_N 12.2
9 *296:25 *6165:A 25.4679
10 *296:5 *6124:A 25.075
*END
*D_NET *297 0.0063634
*CONN
*I *6100:A I *D sky130_fd_sc_hd__or3_1
*I *6097:X O *D sky130_fd_sc_hd__or4b_1
*CAP
1 *6100:A 0
2 *6097:X 0.00109639
3 *297:11 0.00109639
4 *297:11 *6095:A 3.31736e-05
5 *297:11 *6099:A 0
6 *297:11 *6100:C 0.000117376
7 *297:11 *6101:A 0.00015428
8 *297:11 *6244:A 0.000342721
9 *297:11 *6247:A 0.000214058
10 *297:11 *298:17 0.000401014
11 *297:11 *368:18 0.00187164
12 *297:11 *375:30 0.000160919
13 *6097:C *297:11 0.000865348
14 *296:51 *297:11 1.00937e-05
*RES
1 *6097:X *297:11 47.5929
2 *297:11 *6100:A 12.2
*END
*D_NET *298 0.00493219
*CONN
*I *6100:B I *D sky130_fd_sc_hd__or3_1
*I *6098:X O *D sky130_fd_sc_hd__or4_1
*CAP
1 *6100:B 0
2 *6098:X 0.00121394
3 *298:17 0.00121394
4 *298:17 *6098:A 0.000175045
5 *298:17 *6099:A 0
6 *298:17 *6099:C 0.00016412
7 *298:17 *6101:A 0.000162853
8 *298:17 *6253:A 0
9 *298:17 *6256:A0 5.75263e-05
10 *298:17 *6258:S 0.000312353
11 *298:17 *375:30 0.000585816
12 *298:17 *440:11 0.000561875
13 *298:17 *510:8 6.46135e-05
14 *298:17 *512:10 1.9101e-05
15 *297:11 *298:17 0.000401014
*RES
1 *6098:X *298:17 43.2357
2 *298:17 *6100:B 12.2
*END
*D_NET *299 0.000432806
*CONN
*I *6100:C I *D sky130_fd_sc_hd__or3_1
*I *6099:X O *D sky130_fd_sc_hd__or4_1
*CAP
1 *6100:C 0.000157715
2 *6099:X 0.000157715
3 *6100:C *6099:A 0
4 *297:11 *6100:C 0.000117376
*RES
1 *6099:X *6100:C 27.65
*END
*D_NET *300 0.00319621
*CONN
*I *6101:A I *D sky130_fd_sc_hd__clkbuf_2
*I *6100:X O *D sky130_fd_sc_hd__or3_1
*CAP
1 *6101:A 0.00090624
2 *6100:X 0.00090624
3 *6101:A *6099:A 0
4 *6101:A *388:7 0.000513843
5 *6101:A *388:16 0.000487686
6 *6101:A *471:7 6.50727e-05
7 *297:11 *6101:A 0.00015428
8 *298:17 *6101:A 0.000162853
*RES
1 *6100:X *6101:A 41.8107
*END
*D_NET *301 0.000662087
*CONN
*I *6103:A I *D sky130_fd_sc_hd__clkbuf_1
*I *6102:X O *D sky130_fd_sc_hd__and2_1
*CAP
1 *6103:A 0.000235541
2 *6102:X 0.000235541
3 *6103:A *400:19 0.000191004
*RES
1 *6102:X *6103:A 29.7036
*END
*D_NET *302 0.00290368
*CONN
*I *6105:A I *D sky130_fd_sc_hd__clkbuf_1
*I *6104:X O *D sky130_fd_sc_hd__and2_1
*CAP
1 *6105:A 0.000801192
2 *6104:X 0.000801192
3 *6105:A *6106:A 4.01437e-05
4 *6105:A *6413:A 0.000451196
5 *6105:A *410:12 0
6 *6105:A *457:16 1.71442e-05
7 *6105:A *501:31 0.000508878
8 *6105:A *502:29 4.87198e-05
9 *6093:B *6105:A 0.000201734
10 *294:8 *6105:A 3.34802e-05
*RES
1 *6104:X *6105:A 40.1321
*END
*D_NET *303 0.00407099
*CONN
*I *6107:A I *D sky130_fd_sc_hd__clkbuf_1
*I *6106:X O *D sky130_fd_sc_hd__and2_1
*CAP
1 *6107:A 0.00120267
2 *6106:X 0.00120267
3 *6107:A *6127:A 0.000358589
4 *6107:A *6128:B2 0.00023584
5 *6107:A *6265:CLK 1.84293e-05
6 *6107:A *6391:A 0.00011818
7 *6107:A *312:33 5.05252e-05
8 *6107:A *408:19 0.0002646
9 *6107:A *408:20 0.000169675
10 *6107:A *411:16 0
11 *6265:D *6107:A 7.02539e-05
12 *6327:A *6107:A 0.000379553
*RES
1 *6106:X *6107:A 47.2036
*END
*D_NET *304 0.00241476
*CONN
*I *6115:B I *D sky130_fd_sc_hd__and2_1
*I *6111:B I *D sky130_fd_sc_hd__and2_1
*I *6117:B I *D sky130_fd_sc_hd__and2_1
*I *6109:B I *D sky130_fd_sc_hd__and2_1
*I *6113:B I *D sky130_fd_sc_hd__and2_1
*I *6108:X O *D sky130_fd_sc_hd__clkbuf_1
*CAP
1 *6115:B 0.00013599
2 *6111:B 0
3 *6117:B 9.03164e-05
4 *6109:B 4.99756e-05
5 *6113:B 0
6 *6108:X 0.000103483
7 *304:21 9.03164e-05
8 *304:19 0.000258433
9 *304:8 4.99756e-05
10 *304:6 0.000225926
11 *6109:B *6427:A 0.000483488
12 *6109:B *496:13 0.000219769
13 *6115:B *6109:A 0
14 *6115:B *6176:A 5.68696e-05
15 *6115:B *522:20 5.22654e-06
16 *6117:B *6109:A 2.42138e-05
17 *6117:B *6111:A 0.000163928
18 *6117:B *309:7 0.000377259
19 *304:6 *6109:A 0
20 *304:6 *6176:A 3.88002e-05
21 *304:6 *307:10 0
22 *304:19 *6109:A 0
23 *304:19 *6176:A 4.07936e-05
*RES
1 *6108:X *304:6 14.2714
2 *304:6 *304:8 0.125
3 *304:8 *6113:B 12.2
4 *304:8 *6109:B 16.0214
5 *304:6 *304:19 2.25
6 *304:19 *304:21 0.125
7 *304:21 *6117:B 16.0214
8 *304:21 *6111:B 12.2
9 *304:19 *6115:B 15.1821
*END
*D_NET *305 0.00586893
*CONN
*I *6110:A I *D sky130_fd_sc_hd__clkbuf_1
*I *6109:X O *D sky130_fd_sc_hd__and2_1
*CAP
1 *6110:A 0
2 *6109:X 0.00218031
3 *305:10 0.00218031
4 *305:10 *6130:A2 0
5 *305:10 *6130:B1 0
6 *305:10 *6199:B 0.000341222
7 *305:10 *496:13 0.00105475
8 *305:10 *497:26 0.000112328
9 *305:10 *500:19 0
*RES
1 *6109:X *305:10 49.1464
2 *305:10 *6110:A 12.2
*END
*D_NET *306 0.0094728
*CONN
*I *6112:A I *D sky130_fd_sc_hd__clkbuf_1
*I *6111:X O *D sky130_fd_sc_hd__and2_1
*CAP
1 *6112:A 0.00137503
2 *6111:X 0.000676948
3 *306:7 0.00205198
4 *6112:A *6134:B1 0.000330596
5 *6112:A *6174:B1 0.0001165
6 *6112:A *6201:A 0
7 *6112:A *307:17 9.39797e-05
8 *6112:A *405:16 0.0022396
9 *6112:A *498:9 0.00015324
10 *6112:A *500:9 0
11 *306:7 *6109:A 0.00012316
12 *306:7 *6196:A1 0.000179398
13 *306:7 *6196:A2 0.00100455
14 *306:7 *6197:B 0.000212208
15 *306:7 *309:7 0.000443517
16 *306:7 *309:11 0.000118413
17 *306:7 *477:12 0.000353682
*RES
1 *6111:X *306:7 35.45
2 *306:7 *6112:A 48.2536
*END
*D_NET *307 0.0112818
*CONN
*I *6114:A I *D sky130_fd_sc_hd__clkbuf_1
*I *6113:X O *D sky130_fd_sc_hd__and2_1
*CAP
1 *6114:A 0
2 *6113:X 0.00181977
3 *307:17 0.00148391
4 *307:10 0.00330368
5 *307:10 *6266:CLK 0.000176827
6 *307:10 *6292:CLK 0.000232645
7 *307:10 *407:12 0
8 *307:10 *453:111 7.09395e-05
9 *307:10 *473:11 5.04734e-05
10 *307:10 *496:13 0.000436811
11 *307:10 *524:16 2.22198e-05
12 *307:10 *525:24 0
13 *307:17 *6134:B1 0.00078923
14 *307:17 *6134:B2 1.19856e-05
15 *307:17 *6135:B 0.000118166
16 *307:17 *6148:A 0.000277488
17 *307:17 *6204:B1 0.00021242
18 *307:17 *6392:A 0.000436811
19 *307:17 *6393:A 0.000460879
20 *307:17 *501:31 0.000351336
21 sram_addr0[3] *307:17 0.000224395
22 sram_din0[2] *307:17 0.000164829
23 sram_din0[3] *307:17 0.000523707
24 sram_din0[4] *307:17 1.92926e-05
25 *6112:A *307:17 9.39797e-05
26 *6264:D *307:10 0
27 *6266:D *307:10 0
28 *304:6 *307:10 0
*RES
1 *6113:X *307:10 44.825
2 *307:10 *307:17 37.8571
3 *307:17 *6114:A 12.2
*END
*D_NET *308 0.0141315
*CONN
*I *6116:A I *D sky130_fd_sc_hd__clkbuf_1
*I *6115:X O *D sky130_fd_sc_hd__and2_1
*CAP
1 *6116:A 0
2 *6115:X 0.00134172
3 *308:25 0.00174771
4 *308:15 0.00347497
5 *308:11 0.00306898
6 *308:11 *355:7 0.000683001
7 *308:11 *355:28 3.43791e-05
8 *308:11 *453:349 1.84293e-05
9 *308:11 *453:362 9.08435e-05
10 *308:15 *6194:A2 0.000111804
11 *308:15 *6195:B 0.000162583
12 *308:15 *355:28 9.97968e-06
13 *308:15 *356:31 4.31703e-05
14 *308:15 *356:39 0.00048619
15 *308:15 *357:29 2.52287e-06
16 *308:15 *357:37 7.97098e-06
17 *308:25 *6136:A 0.000173933
18 *308:25 *6139:A2 0.000252327
19 *308:25 *6139:B2 6.98888e-05
20 *308:25 *6146:B 2.15348e-05
21 *308:25 *6148:A 0
22 *308:25 *6204:B1 0.000650904
23 *308:25 *311:37 6.28598e-05
24 *308:25 *322:8 0
25 *308:25 *322:10 0
26 *308:25 *324:9 0.000101757
27 *308:25 *399:16 0.000470751
28 *308:25 *428:27 7.08723e-06
29 *308:25 *491:36 0.000513754
30 *6291:D *308:11 0.000204783
31 *6344:A *308:25 0.000317707
*RES
1 *6115:X *308:11 32.8161
2 *308:11 *308:15 20.5804
3 *308:15 *308:25 42.6607
4 *308:25 *6116:A 12.2
*END
*D_NET *309 0.0186493
*CONN
*I *6118:A I *D sky130_fd_sc_hd__clkbuf_1
*I *6117:X O *D sky130_fd_sc_hd__and2_1
*CAP
1 *6118:A 0
2 *6117:X 0.000550332
3 *309:17 0.00171131
4 *309:11 0.00559565
5 *309:7 0.00443467
6 *309:7 *6109:A 1.5006e-05
7 *309:11 *6196:A1 0.000483474
8 *309:11 *6196:A2 0.000404458
9 *309:11 *6274:CLK 0.000502689
10 *309:11 *6277:CLK 0.000470571
11 *309:11 *453:280 0.000728369
12 *309:11 *477:12 0.000134155
13 *309:17 *6141:B1 0
14 *309:17 *6142:A 1.8906e-05
15 *309:17 *6142:B 0.00035709
16 *309:17 *6143:A2 0
17 *309:17 *6145:A1 0.000550213
18 *309:17 *6155:A 0
19 *309:17 *6155:B 9.20254e-05
20 *309:17 *6160:A1 0
21 *309:17 *6160:A2 0
22 *309:17 *323:43 3.68035e-05
23 *309:17 *330:32 0
24 *309:17 *331:12 0
25 *309:17 *420:13 0.00106542
26 *309:17 *427:13 0.000398045
27 *309:17 *478:8 0
28 *6117:B *309:7 0.000377259
29 *6270:D *309:17 4.27148e-05
30 *6345:A *309:17 0.00011818
31 *306:7 *309:7 0.000443517
32 *306:7 *309:11 0.000118413
*RES
1 *6117:X *309:7 24.2536
2 *309:7 *309:11 46.6607
3 *309:11 *309:17 39.9821
4 *309:17 *6118:A 12.2
*END
*D_NET *310 0.0150806
*CONN
*I *6176:A I *D sky130_fd_sc_hd__clkbuf_2
*I *6207:B1 I *D sky130_fd_sc_hd__a21o_1
*I *6162:A I *D sky130_fd_sc_hd__clkbuf_2
*I *6189:A I *D sky130_fd_sc_hd__clkbuf_2
*I *6120:A I *D sky130_fd_sc_hd__clkbuf_2
*I *6119:X O *D sky130_fd_sc_hd__clkbuf_2
*CAP
1 *6176:A 0.00130713
2 *6207:B1 0
3 *6162:A 0.000449208
4 *6189:A 1.67835e-05
5 *6120:A 9.07206e-05
6 *6119:X 0.0012272
7 *310:43 0.00133715
8 *310:25 0.000848407
9 *310:13 0.00213055
10 *310:8 0.00291464
11 *6120:A *6196:B1 0.000143017
12 *6162:A *6177:A 9.9028e-05
13 *6162:A *339:41 0
14 *6162:A *477:12 0.000111343
15 *6176:A *6184:A 1.00846e-05
16 *6176:A *6188:A 1.97124e-05
17 *6176:A *347:5 6.08467e-05
18 *6176:A *347:7 1.64789e-05
19 *6176:A *347:36 2.95757e-05
20 *6176:A *408:20 6.80573e-05
21 *6176:A *522:20 0.000625601
22 *6176:A *525:9 3.67708e-05
23 *6189:A *355:7 0.00011818
24 *6189:A *357:29 5.07314e-05
25 *310:8 *6091:B 0
26 *310:8 *6119:A 2.16355e-05
27 *310:8 *408:20 0
28 *310:8 *455:21 3.82228e-05
29 *310:8 *525:9 9.60216e-05
30 *310:13 *6199:B 0.000243901
31 *310:13 *525:9 9.19886e-06
32 *310:13 *525:15 8.26551e-05
33 *310:13 *525:24 6.05863e-05
34 *310:25 *6196:B1 0.000597223
35 *310:25 *6198:B2 0.000410696
36 *310:25 *6199:B 0
37 *310:25 *339:41 0
38 *310:25 *357:17 9.60366e-05
39 *310:25 *357:29 7.80277e-05
40 *310:25 *477:12 0.000143047
41 *310:43 *408:20 0
42 *310:43 *525:9 8.52802e-05
43 *6115:B *6176:A 5.68696e-05
44 *6214:A2 *310:8 3.76831e-05
45 *6289:D *6162:A 0
46 *6298:D *310:8 0.0012327
47 *292:10 *6176:A 0
48 *304:6 *6176:A 3.88002e-05
49 *304:19 *6176:A 4.07936e-05
*RES
1 *6119:X *310:8 28.6464
2 *310:8 *310:13 18.8571
3 *310:13 *6120:A 14.2714
4 *310:13 *310:25 11.4107
5 *310:25 *6189:A 13.2714
6 *310:25 *6162:A 20.8964
7 *310:8 *310:43 1.03571
8 *310:43 *6207:B1 12.325
9 *310:43 *6176:A 37.0036
*END
*D_NET *311 0.00637584
*CONN
*I *6149:A I *D sky130_fd_sc_hd__clkbuf_2
*I *6136:A I *D sky130_fd_sc_hd__clkbuf_2
*I *6205:A I *D sky130_fd_sc_hd__nor2_1
*I *6203:A I *D sky130_fd_sc_hd__nor2_1
*I *6121:A I *D sky130_fd_sc_hd__clkbuf_2
*I *6120:X O *D sky130_fd_sc_hd__clkbuf_2
*CAP
1 *6149:A 0
2 *6136:A 0.000136036
3 *6205:A 0
4 *6203:A 0
5 *6121:A 0.000362611
6 *6120:X 4.47297e-05
7 *311:37 0.000415589
8 *311:28 0.000643303
9 *311:8 0.000593321
10 *311:5 0.000639189
11 *6121:A *6125:A 0
12 *6121:A *6198:B1 0.000106371
13 *6121:A *312:8 2.65667e-05
14 *6121:A *315:6 0
15 *6136:A *491:36 0.000391845
16 *311:5 *339:32 4.81714e-05
17 *311:8 *6198:B1 5.03556e-05
18 *311:8 *315:6 0
19 *311:28 *315:24 0.000659128
20 *311:28 *339:32 0.000122378
21 *311:28 *475:7 0.0007199
22 *311:37 *6151:A 0.000344522
23 *311:37 *315:24 1.61631e-05
24 *311:37 *332:7 4.58003e-05
25 *311:37 *475:7 0.000221362
26 *311:37 *491:36 0.000153225
27 *6124:A *311:5 0.000217937
28 *6124:A *311:28 3.0921e-05
29 *6294:D *311:8 0.000149628
30 *308:25 *6136:A 0.000173933
31 *308:25 *311:37 6.28598e-05
*RES
1 *6120:X *311:5 13.9679
2 *311:5 *311:8 4.80357
3 *311:8 *6121:A 19.075
4 *311:8 *6203:A 12.325
5 *311:5 *311:28 15.25
6 *311:28 *6205:A 12.2
7 *311:28 *311:37 10
8 *311:37 *6136:A 17.3071
9 *311:37 *6149:A 12.325
*END
*D_NET *312 0.00706109
*CONN
*I *6133:A I *D sky130_fd_sc_hd__nor2_1
*I *6131:A I *D sky130_fd_sc_hd__nor2_1
*I *6129:A I *D sky130_fd_sc_hd__nor2_1
*I *6127:A I *D sky130_fd_sc_hd__nor2_1
*I *6135:A I *D sky130_fd_sc_hd__nor2_1
*I *6121:X O *D sky130_fd_sc_hd__clkbuf_2
*CAP
1 *6133:A 2.06324e-05
2 *6131:A 1.60516e-05
3 *6129:A 5.10488e-05
4 *6127:A 0.000326662
5 *6135:A 0.000165997
6 *6121:X 0.000105939
7 *312:33 0.00110113
8 *312:24 0.00130781
9 *312:18 0.000616309
10 *312:8 0.000299272
11 *6127:A *402:24 0.000146193
12 *6127:A *408:20 0.000157738
13 *6129:A *6128:B1 0.000160617
14 *6129:A *6129:B 0.000207266
15 *6131:A *6131:B 4.80635e-06
16 *6135:A *6135:B 8.62625e-06
17 *6135:A *6196:B1 7.41024e-05
18 *6135:A *6198:B1 0.000351978
19 *6135:A *314:10 2.36813e-05
20 *312:8 *6198:B1 0.000144546
21 *312:8 *314:10 5.99947e-05
22 *312:18 *314:44 4.0752e-05
23 *312:24 *6130:A1 1.03434e-05
24 *312:24 *6130:A2 1.67329e-05
25 *312:24 *6131:B 0.000129784
26 *312:24 *314:13 0.000460048
27 *312:24 *314:15 1.80122e-05
28 *312:24 *314:44 5.04829e-06
29 *312:33 *6128:A1 1.41291e-05
30 *312:33 *6128:A2 6.50586e-05
31 *312:33 *6128:B1 0.000164829
32 *312:33 *6131:B 5.56461e-05
33 *312:33 *314:15 0.000119947
34 *312:33 *400:19 2.77625e-06
35 *312:33 *408:20 1.90335e-05
36 *6107:A *6127:A 0.000358589
37 *6107:A *312:33 5.05252e-05
38 *6121:A *312:8 2.65667e-05
39 *6266:D *312:24 1.61631e-05
40 *6266:D *312:33 6.92705e-05
41 *6267:D *312:18 4.58003e-05
42 *6267:D *312:24 2.16355e-05
*RES
1 *6121:X *312:8 14.8071
2 *312:8 *6135:A 17.0036
3 *312:8 *312:18 1.07143
4 *312:18 *312:24 13.3036
5 *312:24 *312:33 10.1607
6 *312:33 *6127:A 20.4321
7 *312:33 *6129:A 14.0929
8 *312:24 *6131:A 12.6286
9 *312:18 *6133:A 12.6286
*END
*D_NET *313 0.00845599
*CONN
*I *6150:A I *D sky130_fd_sc_hd__clkbuf_2
*I *6137:A I *D sky130_fd_sc_hd__clkbuf_2
*I *6204:A1 I *D sky130_fd_sc_hd__a22oi_1
*I *6202:A1 I *D sky130_fd_sc_hd__a22oi_1
*I *6123:A I *D sky130_fd_sc_hd__clkbuf_2
*I *6122:X O *D sky130_fd_sc_hd__clkbuf_2
*CAP
1 *6150:A 0.000259865
2 *6137:A 0.00023423
3 *6204:A1 0
4 *6202:A1 2.06324e-05
5 *6123:A 0.000138533
6 *6122:X 0.000265858
7 *313:38 0.00095664
8 *313:30 0.00157643
9 *313:20 0.00165929
10 *313:8 0.000929164
11 *6123:A *6196:B1 0.000118485
12 *6123:A *314:10 1.03403e-05
13 *6123:A *401:16 0.000160617
14 *6137:A *6158:B1 0.000175366
15 *6137:A *323:8 6.50586e-05
16 *6137:A *453:218 4.01437e-05
17 *6137:A *469:18 0
18 *6150:A *6158:B1 0.000162048
19 *6150:A *331:12 1.92336e-05
20 *6150:A *332:7 4.31539e-05
21 *6150:A *332:11 9.04224e-05
22 *6150:A *453:218 1.50262e-05
23 *6150:A *453:245 9.70133e-05
24 *6150:A *475:7 7.92757e-06
25 *313:8 *6196:B1 0.000222699
26 *313:8 *453:120 0.000195605
27 *313:20 *6202:B2 6.50727e-05
28 *313:20 *6203:B 7.64297e-05
29 *313:20 *498:17 5.96885e-05
30 *313:30 *453:171 4.62338e-05
31 *313:30 *453:214 5.42385e-05
32 *313:30 *498:9 3.16314e-05
33 *313:30 *498:17 3.30573e-05
34 *313:38 *6204:A2 0.000207812
35 *313:38 *453:214 0.000418035
*RES
1 *6122:X *313:8 18.5929
2 *313:8 *6123:A 15.325
3 *313:8 *313:20 6
4 *313:20 *6202:A1 12.6286
5 *313:20 *313:30 12.4643
6 *313:30 *6204:A1 12.2
7 *313:30 *313:38 9.69643
8 *313:38 *6137:A 17.8429
9 *313:38 *6150:A 18.9679
*END
*D_NET *314 0.00865941
*CONN
*I *6132:A1 I *D sky130_fd_sc_hd__a22oi_1
*I *6134:A1 I *D sky130_fd_sc_hd__a22oi_1
*I *6130:A1 I *D sky130_fd_sc_hd__a22oi_1
*I *6128:A1 I *D sky130_fd_sc_hd__a22oi_1
*I *6126:A1 I *D sky130_fd_sc_hd__a22oi_1
*I *6123:X O *D sky130_fd_sc_hd__clkbuf_2
*CAP
1 *6132:A1 0.000104377
2 *6134:A1 0.000193447
3 *6130:A1 3.10085e-05
4 *6128:A1 5.99042e-05
5 *6126:A1 0.000329213
6 *6123:X 0.000234962
7 *314:44 0.0006728
8 *314:15 0.000872019
9 *314:13 0.00125918
10 *314:10 0.0013552
11 *6126:A1 *6127:B 0.000137936
12 *6126:A1 *6128:B2 7.57755e-05
13 *6126:A1 *400:19 4.70005e-05
14 *6128:A1 *6128:A2 5.28404e-05
15 *6128:A1 *6128:B2 3.75603e-05
16 *6128:A1 *400:19 1.41976e-05
17 *6132:A1 *500:19 4.31703e-05
18 *6134:A1 *6132:B1 0.000477142
19 *6134:A1 *6134:B2 7.72528e-05
20 *6134:A1 *316:10 0.000388262
21 *314:10 *6196:B1 0.000393359
22 *314:10 *6198:B1 0.000170865
23 *314:10 *401:16 7.98425e-06
24 *314:13 *6130:A2 5.06806e-06
25 *314:15 *6131:B 0.00042812
26 *314:44 *6132:B1 3.31882e-05
27 *314:44 *316:8 0.00015887
28 *314:44 *316:10 2.6046e-05
29 *314:44 *412:14 3.61659e-05
30 *6123:A *314:10 1.03403e-05
31 *6135:A *314:10 2.36813e-05
32 *6264:D *6126:A1 0.000148129
33 *6267:D *314:44 2.60704e-05
34 *312:8 *314:10 5.99947e-05
35 *312:18 *314:44 4.0752e-05
36 *312:24 *6130:A1 1.03434e-05
37 *312:24 *314:13 0.000460048
38 *312:24 *314:15 1.80122e-05
39 *312:24 *314:44 5.04829e-06
40 *312:33 *6128:A1 1.41291e-05
41 *312:33 *314:15 0.000119947
*RES
1 *6123:X *314:10 18.3786
2 *314:10 *314:13 9.58929
3 *314:13 *314:15 9.57143
4 *314:15 *6126:A1 19.5571
5 *314:15 *6128:A1 14.0036
6 *314:13 *6130:A1 12.9321
7 *314:10 *314:44 5.67857
8 *314:44 *6134:A1 19.0571
9 *314:44 *6132:A1 13.7
*END
*D_NET *315 0.0072902
*CONN
*I *6151:A I *D sky130_fd_sc_hd__clkbuf_2
*I *6204:B2 I *D sky130_fd_sc_hd__a22oi_1
*I *6138:A I *D sky130_fd_sc_hd__clkbuf_2
*I *6202:B2 I *D sky130_fd_sc_hd__a22oi_1
*I *6125:A I *D sky130_fd_sc_hd__clkbuf_2
*I *6124:X O *D sky130_fd_sc_hd__clkbuf_2
*CAP
1 *6151:A 0.000509333
2 *6204:B2 0
3 *6138:A 0.000519547
4 *6202:B2 1.26312e-05
5 *6125:A 0.00021459
6 *6124:X 0
7 *315:27 0.000731196
8 *315:24 0.00135489
9 *315:6 0.000467089
10 *315:4 0.000873778
11 *6125:A *412:14 0.000162644
12 *6138:A *6204:B1 0.000331365
13 *6138:A *400:11 0.00028467
14 *6138:A *453:174 0.000162673
15 *6138:A *453:179 0.000175351
16 *6202:B2 *6203:B 2.65831e-05
17 *315:6 *412:14 0.000167002
18 *315:27 *6204:A2 0
19 *315:27 *6204:B1 5.22654e-06
20 *315:27 *6205:B 7.41058e-05
21 *315:27 *413:14 9.57557e-06
22 *315:27 *453:174 2.19131e-05
23 *315:27 *499:19 0
24 *6121:A *6125:A 0
25 *6121:A *315:6 0
26 *6295:D *315:27 0.000101148
27 *311:8 *315:6 0
28 *311:28 *315:24 0.000659128
29 *311:37 *6151:A 0.000344522
30 *311:37 *315:24 1.61631e-05
31 *313:20 *6202:B2 6.50727e-05
*RES
1 *6124:X *315:4 12.2
2 *315:4 *315:6 5.10714
3 *315:6 *6125:A 17.0036
4 *315:6 *6202:B2 12.8607
5 *315:4 *315:24 12.875
6 *315:24 *315:27 4.80357
7 *315:27 *6138:A 26.9143
8 *315:27 *6204:B2 12.325
9 *315:24 *6151:A 18.9143
*END
*D_NET *316 0.0102486
*CONN
*I *6132:B2 I *D sky130_fd_sc_hd__a22oi_1
*I *6130:B2 I *D sky130_fd_sc_hd__a22oi_1
*I *6126:B2 I *D sky130_fd_sc_hd__a22oi_1
*I *6128:B2 I *D sky130_fd_sc_hd__a22oi_1
*I *6134:B2 I *D sky130_fd_sc_hd__a22oi_1
*I *6125:X O *D sky130_fd_sc_hd__clkbuf_2
*CAP
1 *6132:B2 0
2 *6130:B2 0.000235828
3 *6126:B2 0
4 *6128:B2 0.000362374
5 *6134:B2 0.000152974
6 *6125:X 0.000316479
7 *316:30 0.00118472
8 *316:26 0.00219947
9 *316:10 0.00142072
10 *316:8 0.00044293
11 *6128:B2 *6127:B 5.8261e-05
12 *6128:B2 *6128:B1 0.00011818
13 *6128:B2 *6129:B 6.50727e-05
14 *6128:B2 *400:19 0.0001413
15 *6130:B2 *6130:B1 0.000285513
16 *6130:B2 *407:12 0.0006493
17 *6134:B2 *6135:B 5.04829e-06
18 *6134:B2 *6198:B1 5.08295e-05
19 *6134:B2 *501:31 6.08467e-05
20 *316:8 *6198:B1 3.9739e-05
21 *316:8 *6267:CLK 0.000198753
22 *316:8 *412:14 0
23 *316:10 *6198:B1 8.21849e-06
24 *316:10 *6267:CLK 0.000367298
25 *316:26 *6268:CLK 0.00042169
26 *316:26 *453:181 1.92172e-05
27 *316:30 *6126:B1 0.000104374
28 *316:30 *473:11 0.000279739
29 *316:30 *473:40 1.49927e-05
30 *6107:A *6128:B2 0.00023584
31 *6126:A1 *6128:B2 7.57755e-05
32 *6128:A1 *6128:B2 3.75603e-05
33 *6134:A1 *6134:B2 7.72528e-05
34 *6134:A1 *316:10 0.000388262
35 *6264:D *6128:B2 0
36 *6327:A *6128:B2 3.31733e-05
37 *307:17 *6134:B2 1.19856e-05
38 *314:44 *316:8 0.00015887
39 *314:44 *316:10 2.6046e-05
*RES
1 *6125:X *316:8 18.3607
2 *316:8 *316:10 5.28571
3 *316:10 *6134:B2 15.2714
4 *316:10 *316:26 12.9821
5 *316:26 *316:30 10
6 *316:30 *6128:B2 21.5393
7 *316:30 *6126:B2 12.2
8 *316:26 *6130:B2 20.7714
9 *316:8 *6132:B2 12.325
*END
*D_NET *317 0.000605676
*CONN
*I *6127:B I *D sky130_fd_sc_hd__nor2_1
*I *6126:Y O *D sky130_fd_sc_hd__a22oi_1
*CAP
1 *6127:B 0.00014565
2 *6126:Y 0.00014565
3 *6127:B *473:40 0.00011818
4 *6126:A1 *6127:B 0.000137936
5 *6128:B2 *6127:B 5.8261e-05
*RES
1 *6126:Y *6127:B 27.5429
*END
*D_NET *318 0.000910352
*CONN
*I *6129:B I *D sky130_fd_sc_hd__nor2_1
*I *6128:Y O *D sky130_fd_sc_hd__a22oi_1
*CAP
1 *6129:B 0.000108857
2 *6128:Y 0.000108857
3 *6129:B *6128:B1 1.15389e-05
4 *6129:B *400:19 0.000408759
5 *6128:B2 *6129:B 6.50727e-05
6 *6129:A *6129:B 0.000207266
*RES
1 *6128:Y *6129:B 28.2393
*END
*D_NET *319 0.000769794
*CONN
*I *6131:B I *D sky130_fd_sc_hd__nor2_1
*I *6130:Y O *D sky130_fd_sc_hd__a22oi_1
*CAP
1 *6131:B 7.57189e-05
2 *6130:Y 7.57189e-05
3 *6131:A *6131:B 4.80635e-06
4 *312:24 *6131:B 0.000129784
5 *312:33 *6131:B 5.56461e-05
6 *314:15 *6131:B 0.00042812
*RES
1 *6130:Y *6131:B 28.2393
*END
*D_NET *320 0.00138116
*CONN
*I *6133:B I *D sky130_fd_sc_hd__nor2_1
*I *6132:Y O *D sky130_fd_sc_hd__a22oi_1
*CAP
1 *6133:B 0.000189206
2 *6132:Y 0.000189206
3 *6133:B *6196:B1 0.000184946
4 *6133:B *400:11 0.000406794
5 *6133:B *500:19 0.000411006
*RES
1 *6132:Y *6133:B 30.6143
*END
*D_NET *321 0.00112193
*CONN
*I *6135:B I *D sky130_fd_sc_hd__nor2_1
*I *6134:Y O *D sky130_fd_sc_hd__a22oi_1
*CAP
1 *6135:B 0.00023894
2 *6134:Y 0.00023894
3 *6135:B *6196:B1 0.000105213
4 *6135:B *6198:B1 0.000166625
5 *6135:B *402:24 6.50586e-05
6 *6135:B *501:31 2.20702e-05
7 *6134:B2 *6135:B 5.04829e-06
8 *6135:A *6135:B 8.62625e-06
9 *6268:D *6135:B 0.00015324
10 *307:17 *6135:B 0.000118166
*RES
1 *6134:Y *6135:B 31.4179
*END
*D_NET *322 0.00903138
*CONN
*I *6140:A I *D sky130_fd_sc_hd__nor2_1
*I *6142:A I *D sky130_fd_sc_hd__nor2_1
*I *6144:A I *D sky130_fd_sc_hd__nor2_1
*I *6146:A I *D sky130_fd_sc_hd__nor2_1
*I *6148:A I *D sky130_fd_sc_hd__nor2_1
*I *6136:X O *D sky130_fd_sc_hd__clkbuf_2
*CAP
1 *6140:A 0.000117616
2 *6142:A 0.000320669
3 *6144:A 1.71889e-05
4 *6146:A 4.75587e-05
5 *6148:A 0.000195197
6 *6136:X 0.000492422
7 *322:45 0.000834741
8 *322:24 0.00133174
9 *322:10 0.00126045
10 *322:8 0.000887997
11 *6140:A *6140:B 0.000127966
12 *6142:A *6144:B 1.61631e-05
13 *6142:A *6270:CLK 8.95863e-05
14 *6144:A *6144:B 6.50727e-05
15 *6144:A *6270:CLK 2.16355e-05
16 *6146:A *6146:B 5.22654e-06
17 *6146:A *6272:CLK 3.49272e-05
18 *6146:A *469:18 0
19 *6148:A *6148:B 0
20 *6148:A *6204:B1 0.0002817
21 *6148:A *324:9 0.000101133
22 *6148:A *403:24 1.07248e-05
23 *322:8 *399:16 7.50722e-05
24 *322:8 *401:9 1.91723e-05
25 *322:10 *6139:B2 0.000235007
26 *322:10 *324:9 0.000289145
27 *322:10 *403:24 8.52968e-05
28 *322:24 *6147:A2 0.000460309
29 *322:24 *324:15 5.14878e-06
30 *322:24 *428:27 0.000248046
31 *322:45 *6143:B2 0.000190057
32 *322:45 *6144:B 3.01683e-06
33 *322:45 *6145:A1 0
34 *322:45 *6270:CLK 0
35 *322:45 *6272:CLK 5.47736e-05
36 *322:45 *323:43 0
37 *322:45 *324:27 0.000149643
38 *322:45 *402:24 0.000390046
39 *322:45 *469:18 0
40 *6269:D *322:8 0
41 *6270:D *6142:A 0.000270541
42 *6273:D *6148:A 0
43 *307:17 *6148:A 0.000277488
44 *308:25 *6148:A 0
45 *308:25 *322:8 0
46 *308:25 *322:10 0
47 *309:17 *6142:A 1.8906e-05
*RES
1 *6136:X *322:8 19.0929
2 *322:8 *322:10 7.10714
3 *322:10 *6148:A 17.6643
4 *322:10 *322:24 9.82143
5 *322:24 *6146:A 13.3607
6 *322:24 *322:45 11.0893
7 *322:45 *6144:A 12.7357
8 *322:45 *6142:A 19.3429
9 *322:8 *6140:A 14.9321
*END
*D_NET *323 0.00644753
*CONN
*I *6141:A1 I *D sky130_fd_sc_hd__a22oi_1
*I *6145:A1 I *D sky130_fd_sc_hd__a22oi_1
*I *6143:A1 I *D sky130_fd_sc_hd__a22oi_1
*I *6139:A1 I *D sky130_fd_sc_hd__a22oi_1
*I *6147:A1 I *D sky130_fd_sc_hd__a22oi_1
*I *6137:X O *D sky130_fd_sc_hd__clkbuf_2
*CAP
1 *6141:A1 0
2 *6145:A1 0.000389838
3 *6143:A1 0
4 *6139:A1 0.000109262
5 *6147:A1 0.000236016
6 *6137:X 0
7 *323:43 0.000743654
8 *323:30 0.00085359
9 *323:8 0.000579389
10 *323:4 0.000733885
11 *6139:A1 *6139:A2 1.90494e-05
12 *6139:A1 *6139:B1 7.78739e-05
13 *6145:A1 *6145:A2 1.82708e-05
14 *6145:A1 *6204:B1 1.37669e-05
15 *6145:A1 *6395:A 0.000165521
16 *6145:A1 *402:23 0
17 *6145:A1 *420:13 0.000118796
18 *6145:A1 *427:13 0.000132281
19 *6147:A1 *6147:A2 5.64867e-05
20 *6147:A1 *480:31 0.000228895
21 *6147:A1 *491:36 0.000585584
22 *323:8 *480:31 8.51085e-05
23 *323:8 *491:36 0.000191624
24 *323:30 *426:13 4.08838e-05
25 *323:43 *6142:B 0.000311023
26 *323:43 *6143:A2 1.82708e-05
27 *323:43 *6143:B2 0
28 *323:43 *6144:B 0
29 *323:43 *426:13 8.63904e-05
30 *6137:A *323:8 6.50586e-05
31 *309:17 *6145:A1 0.000550213
32 *309:17 *323:43 3.68035e-05
33 *322:45 *6145:A1 0
34 *322:45 *323:43 0
*RES
1 *6137:X *323:4 12.2
2 *323:4 *323:8 5.26786
3 *323:8 *6147:A1 20.0393
4 *323:8 *6139:A1 14.5214
5 *323:4 *323:30 8.48214
6 *323:30 *6143:A1 12.2
7 *323:30 *323:43 7.53571
8 *323:43 *6145:A1 22.45
9 *323:43 *6141:A1 12.325
*END
*D_NET *324 0.00613478
*CONN
*I *6139:B2 I *D sky130_fd_sc_hd__a22oi_1
*I *6147:B2 I *D sky130_fd_sc_hd__a22oi_1
*I *6143:B2 I *D sky130_fd_sc_hd__a22oi_1
*I *6141:B2 I *D sky130_fd_sc_hd__a22oi_1
*I *6145:B2 I *D sky130_fd_sc_hd__a22oi_1
*I *6138:X O *D sky130_fd_sc_hd__clkbuf_2
*CAP
1 *6139:B2 9.82904e-05
2 *6147:B2 0
3 *6143:B2 0.00020439
4 *6141:B2 0.000101711
5 *6145:B2 7.02743e-05
6 *6138:X 0
7 *324:27 0.000623938
8 *324:15 0.00124399
9 *324:9 0.00110318
10 *324:5 0.000345594
11 *6141:B2 *400:11 0.000111222
12 *6143:B2 *6144:B 0.000127194
13 *6143:B2 *6272:CLK 0
14 *6143:B2 *453:221 0
15 *6145:B2 *6145:A2 4.26859e-05
16 *6145:B2 *6146:B 0
17 *324:9 *6148:B 0.000217951
18 *324:15 *6147:A2 3.11625e-05
19 *324:15 *428:27 0.000149294
20 *324:27 *6145:A2 5.04734e-05
21 *324:27 *6146:B 0
22 *324:27 *6272:CLK 0
23 *324:27 *402:23 4.52469e-05
24 *6148:A *324:9 0.000101133
25 *6271:D *6143:B2 4.27003e-05
26 *6272:D *324:15 0.000383703
27 *308:25 *6139:B2 6.98888e-05
28 *308:25 *324:9 0.000101757
29 *322:10 *6139:B2 0.000235007
30 *322:10 *324:9 0.000289145
31 *322:24 *324:15 5.14878e-06
32 *322:45 *6143:B2 0.000190057
33 *322:45 *324:27 0.000149643
34 *323:43 *6143:B2 0
*RES
1 *6138:X *324:5 12.325
2 *324:5 *324:9 6.875
3 *324:9 *324:15 10.5357
4 *324:15 *6145:B2 13.6643
5 *324:15 *324:27 6.25
6 *324:27 *6141:B2 14.5036
7 *324:27 *6143:B2 17.0036
8 *324:9 *6147:B2 12.2
9 *324:5 *6139:B2 15.4857
*END
*D_NET *325 0.0005444
*CONN
*I *6140:B I *D sky130_fd_sc_hd__nor2_1
*I *6139:Y O *D sky130_fd_sc_hd__a22oi_1
*CAP
1 *6140:B 0.000208217
2 *6139:Y 0.000208217
3 *6140:A *6140:B 0.000127966
*RES
1 *6139:Y *6140:B 28.65
*END
*D_NET *326 0.00113909
*CONN
*I *6142:B I *D sky130_fd_sc_hd__nor2_1
*I *6141:Y O *D sky130_fd_sc_hd__a22oi_1
*CAP
1 *6142:B 0.000179625
2 *6141:Y 0.000179625
3 *6142:B *426:13 0.000111722
4 *309:17 *6142:B 0.00035709
5 *323:43 *6142:B 0.000311023
*RES
1 *6141:Y *6142:B 30.275
*END
*D_NET *327 0.000721812
*CONN
*I *6144:B I *D sky130_fd_sc_hd__nor2_1
*I *6143:Y O *D sky130_fd_sc_hd__a22oi_1
*CAP
1 *6144:B 0.000196193
2 *6143:Y 0.000196193
3 *6144:B *6143:A2 9.35753e-06
4 *6144:B *6270:CLK 2.61012e-05
5 *6142:A *6144:B 1.61631e-05
6 *6143:B2 *6144:B 0.000127194
7 *6144:A *6144:B 6.50727e-05
8 *6271:D *6144:B 8.25214e-05
9 *322:45 *6144:B 3.01683e-06
10 *323:43 *6144:B 0
*RES
1 *6143:Y *6144:B 28.6857
*END
*D_NET *328 0.00114254
*CONN
*I *6146:B I *D sky130_fd_sc_hd__nor2_1
*I *6145:Y O *D sky130_fd_sc_hd__a22oi_1
*CAP
1 *6146:B 0.000241666
2 *6145:Y 0.000241666
3 *6146:B *6204:B1 2.41483e-05
4 *6146:B *6395:A 0.000434578
5 *6146:B *469:18 4.87805e-05
6 *6145:B2 *6146:B 0
7 *6146:A *6146:B 5.22654e-06
8 *6344:A *6146:B 0.000124942
9 *308:25 *6146:B 2.15348e-05
10 *324:27 *6146:B 0
*RES
1 *6145:Y *6146:B 31.025
*END
*D_NET *329 0.00120383
*CONN
*I *6148:B I *D sky130_fd_sc_hd__nor2_1
*I *6147:Y O *D sky130_fd_sc_hd__a22oi_1
*CAP
1 *6148:B 0.000347154
2 *6147:Y 0.000347154
3 *6148:B *6147:A2 0.000129589
4 *6148:B *6204:B1 0
5 *6148:A *6148:B 0
6 *6273:D *6148:B 0.00016198
7 *324:9 *6148:B 0.000217951
*RES
1 *6147:Y *6148:B 32.3821
*END
*D_NET *330 0.00798368
*CONN
*I *6157:A I *D sky130_fd_sc_hd__nor2_1
*I *6153:A I *D sky130_fd_sc_hd__nor2_1
*I *6159:A I *D sky130_fd_sc_hd__nor2_1
*I *6155:A I *D sky130_fd_sc_hd__nor2_1
*I *6161:A I *D sky130_fd_sc_hd__nor2_1
*I *6149:X O *D sky130_fd_sc_hd__clkbuf_2
*CAP
1 *6157:A 0.000557932
2 *6153:A 0
3 *6159:A 0.000783098
4 *6155:A 3.72134e-05
5 *6161:A 0
6 *6149:X 0.0004849
7 *330:43 0.000688299
8 *330:32 0.00146022
9 *330:22 0.000813059
10 *330:8 0.00071399
11 *6155:A *6154:B2 1.44467e-05
12 *6155:A *6155:B 5.22654e-06
13 *6155:A *332:35 7.77309e-06
14 *6157:A *6152:A2 0
15 *6157:A *6157:B 5.22654e-06
16 *330:8 *331:12 0
17 *330:8 *331:16 0
18 *330:8 *469:18 3.55432e-05
19 *330:22 *6161:B 0.000197447
20 *330:22 *331:16 0
21 *330:22 *332:25 0.000635219
22 *330:22 *469:18 1.41761e-05
23 *330:22 *475:7 0.00104392
24 *330:32 *6153:B 0
25 *330:32 *6156:B2 6.14273e-05
26 *330:32 *332:35 0.000188044
27 *330:43 *6153:B 6.50586e-05
28 *6274:D *330:32 7.15593e-05
29 *6274:D *330:43 2.65831e-05
30 *6276:D *6157:A 6.80864e-05
31 *6278:D *330:22 5.22654e-06
32 *309:17 *6155:A 0
33 *309:17 *330:32 0
*RES
1 *6149:X *330:8 19.7357
2 *330:8 *6161:A 12.325
3 *330:8 *330:22 10.2679
4 *330:22 *6155:A 13.0571
5 *330:22 *330:32 11.7857
6 *330:32 *6159:A 25.4857
7 *330:32 *330:43 2.60714
8 *330:43 *6153:A 12.2
9 *330:43 *6157:A 22.4143
*END
*D_NET *331 0.00812177
*CONN
*I *6160:A1 I *D sky130_fd_sc_hd__a22oi_1
*I *6154:A1 I *D sky130_fd_sc_hd__a22oi_1
*I *6152:A1 I *D sky130_fd_sc_hd__a22oi_1
*I *6156:A1 I *D sky130_fd_sc_hd__a22oi_1
*I *6158:A1 I *D sky130_fd_sc_hd__a22oi_1
*I *6150:X O *D sky130_fd_sc_hd__clkbuf_2
*CAP
1 *6160:A1 0.000113015
2 *6154:A1 0.000567759
3 *6152:A1 0
4 *6156:A1 2.06324e-05
5 *6158:A1 0.00041776
6 *6150:X 0.000635535
7 *331:39 0.000701787
8 *331:27 0.000682142
9 *331:16 0.00130455
10 *331:12 0.00110786
11 *6154:A1 *6152:A2 4.63086e-05
12 *6154:A1 *6152:B1 2.98546e-05
13 *6154:A1 *6154:A2 0.000224574
14 *6154:A1 *6157:B 0.000191556
15 *6154:A1 *425:11 4.3047e-05
16 *6154:A1 *436:25 5.12246e-05
17 *6158:A1 *6158:A2 5.94977e-06
18 *331:12 *332:11 0.000835893
19 *331:16 *6160:A2 0
20 *331:16 *478:8 0.000299323
21 *331:27 *6153:B 0.00011818
22 *331:27 *6156:B2 2.65831e-05
23 *331:27 *436:25 1.00937e-05
24 *331:39 *6152:B1 6.41434e-05
25 *331:39 *6153:B 0.000188255
26 *331:39 *6156:A2 0.000314026
27 *331:39 *436:25 0.000102487
28 *6150:A *331:12 1.92336e-05
29 *6278:D *331:16 0
30 *309:17 *6160:A1 0
31 *309:17 *331:12 0
32 *330:8 *331:12 0
33 *330:8 *331:16 0
34 *330:22 *331:16 0
*RES
1 *6150:X *331:12 20.9768
2 *331:12 *331:16 7.38393
3 *331:16 *6158:A1 18.8071
4 *331:16 *331:27 8.76786
5 *331:27 *6156:A1 12.6286
6 *331:27 *331:39 5.5
7 *331:39 *6152:A1 12.2
8 *331:39 *6154:A1 24.3071
9 *331:12 *6160:A1 14.0839
*END
*D_NET *332 0.0087642
*CONN
*I *6158:B2 I *D sky130_fd_sc_hd__a22oi_1
*I *6156:B2 I *D sky130_fd_sc_hd__a22oi_1
*I *6152:B2 I *D sky130_fd_sc_hd__a22oi_1
*I *6154:B2 I *D sky130_fd_sc_hd__a22oi_1
*I *6160:B2 I *D sky130_fd_sc_hd__a22oi_1
*I *6151:X O *D sky130_fd_sc_hd__clkbuf_2
*CAP
1 *6158:B2 0.000261777
2 *6156:B2 9.19571e-05
3 *6152:B2 0.000505033
4 *6154:B2 0.000348898
5 *6160:B2 0
6 *6151:X 0.000113364
7 *332:35 0.000830094
8 *332:25 0.000788842
9 *332:11 0.000392578
10 *332:7 0.000560878
11 *6152:B2 *6153:B 0
12 *6152:B2 *6156:A2 3.31882e-05
13 *6152:B2 *6157:B 0.000596147
14 *6152:B2 *436:25 3.20536e-05
15 *6154:B2 *6155:B 0.000297129
16 *6154:B2 *6275:CLK 1.77537e-06
17 *6156:B2 *6153:B 2.97007e-05
18 *6156:B2 *6157:B 7.50872e-05
19 *6158:B2 *6158:B1 0.000556846
20 *6158:B2 *453:245 0
21 *6158:B2 *453:258 0
22 *6158:B2 *453:269 1.61274e-05
23 *332:7 *475:7 0.000413252
24 *332:11 *6158:B1 8.01837e-05
25 *332:11 *6161:B 0.000382085
26 *332:11 *453:245 0
27 *332:11 *475:7 4.32016e-05
28 *332:25 *6160:A2 1.67329e-05
29 *332:25 *6160:B1 0.000147572
30 *332:25 *6161:B 2.41898e-05
31 *332:25 *475:7 4.0752e-05
32 *332:35 *6157:B 3.31733e-05
33 *332:35 *6275:CLK 2.7961e-05
34 *6150:A *332:7 4.31539e-05
35 *6150:A *332:11 9.04224e-05
36 *6155:A *6154:B2 1.44467e-05
37 *6155:A *332:35 7.77309e-06
38 *6275:D *332:25 7.48633e-05
39 *311:37 *332:7 4.58003e-05
40 *330:22 *332:25 0.000635219
41 *330:32 *6156:B2 6.14273e-05
42 *330:32 *332:35 0.000188044
43 *331:12 *332:11 0.000835893
44 *331:27 *6156:B2 2.65831e-05
*RES
1 *6151:X *332:7 15.7357
2 *332:7 *332:11 8.26786
3 *332:11 *6160:B2 12.2
4 *332:11 *332:25 7.80357
5 *332:25 *6154:B2 19.6286
6 *332:25 *332:35 5.58929
7 *332:35 *6152:B2 19.8786
8 *332:35 *6156:B2 14.8071
9 *332:7 *6158:B2 19.4321
*END
*D_NET *333 0.0017734
*CONN
*I *6153:B I *D sky130_fd_sc_hd__nor2_1
*I *6152:Y O *D sky130_fd_sc_hd__a22oi_1
*CAP
1 *6153:B 0.000648435
2 *6152:Y 0.000648435
3 *6153:B *6156:A2 0
4 *6153:B *6157:B 1.07248e-05
5 *6152:B2 *6153:B 0
6 *6156:B2 *6153:B 2.97007e-05
7 *6274:D *6153:B 6.46135e-05
8 *330:32 *6153:B 0
9 *330:43 *6153:B 6.50586e-05
10 *331:27 *6153:B 0.00011818
11 *331:39 *6153:B 0.000188255
*RES
1 *6152:Y *6153:B 35.3107
*END
*D_NET *334 0.00121656
*CONN
*I *6155:B I *D sky130_fd_sc_hd__nor2_1
*I *6154:Y O *D sky130_fd_sc_hd__a22oi_1
*CAP
1 *6155:B 0.000411088
2 *6154:Y 0.000411088
3 *6154:B2 *6155:B 0.000297129
4 *6155:A *6155:B 5.22654e-06
5 *309:17 *6155:B 9.20254e-05
*RES
1 *6154:Y *6155:B 34.3107
*END
*D_NET *335 0.00206835
*CONN
*I *6157:B I *D sky130_fd_sc_hd__nor2_1
*I *6156:Y O *D sky130_fd_sc_hd__a22oi_1
*CAP
1 *6157:B 0.000545769
2 *6156:Y 0.000545769
3 *6157:B *6152:A2 6.49002e-05
4 *6152:B2 *6157:B 0.000596147
5 *6153:B *6157:B 1.07248e-05
6 *6154:A1 *6157:B 0.000191556
7 *6156:B2 *6157:B 7.50872e-05
8 *6157:A *6157:B 5.22654e-06
9 *332:35 *6157:B 3.31733e-05
*RES
1 *6156:Y *6157:B 37.7214
*END
*D_NET *336 0.000984163
*CONN
*I *6159:B I *D sky130_fd_sc_hd__nor2_1
*I *6158:Y O *D sky130_fd_sc_hd__a22oi_1
*CAP
1 *6159:B 0.00030568
2 *6158:Y 0.00030568
3 *6159:B *6158:B1 9.56205e-05
4 *6159:B *453:269 0
5 *6159:B *453:303 0
6 *6159:B *480:31 0.000132651
7 *6277:D *6159:B 0.000144531
*RES
1 *6158:Y *6159:B 31.1857
*END
*D_NET *337 0.000905254
*CONN
*I *6161:B I *D sky130_fd_sc_hd__nor2_1
*I *6160:Y O *D sky130_fd_sc_hd__a22oi_1
*CAP
1 *6161:B 0.000106242
2 *6160:Y 0.000106242
3 *6161:B *475:7 8.90486e-05
4 *330:22 *6161:B 0.000197447
5 *332:11 *6161:B 0.000382085
6 *332:25 *6161:B 2.41898e-05
*RES
1 *6160:Y *6161:B 30.1679
*END
*D_NET *338 0.00653032
*CONN
*I *6173:A I *D sky130_fd_sc_hd__nor2_1
*I *6171:A I *D sky130_fd_sc_hd__nor2_1
*I *6167:A I *D sky130_fd_sc_hd__nor2_1
*I *6169:A I *D sky130_fd_sc_hd__nor2_1
*I *6175:A I *D sky130_fd_sc_hd__nor2_1
*I *6162:X O *D sky130_fd_sc_hd__clkbuf_2
*CAP
1 *6173:A 0
2 *6171:A 4.21219e-05
3 *6167:A 0.000304365
4 *6169:A 0.000111657
5 *6175:A 0
6 *6162:X 0.000463456
7 *338:26 0.0005799
8 *338:23 0.000603088
9 *338:19 0.000842501
10 *338:10 0.000908871
11 *6167:A *341:30 6.08467e-05
12 *6169:A *341:30 2.95757e-05
13 *6169:A *399:16 0.000159865
14 *6169:A *491:36 4.16698e-05
15 *6171:A *453:319 2.65831e-05
16 *338:10 *6164:A 2.65831e-05
17 *338:10 *6174:A2 0
18 *338:10 *6174:B1 0
19 *338:10 *6174:B2 4.90829e-05
20 *338:10 *6175:B 0.000144546
21 *338:10 *6177:A 5.0715e-05
22 *338:19 *399:23 0.000266176
23 *338:23 *399:23 0.00126072
24 *338:23 *453:319 6.23875e-05
25 *338:26 *6167:B 0
26 *338:26 *6171:B 0.000123582
27 *338:26 *341:30 0.000146609
28 *338:26 *341:40 0
29 *338:26 *399:16 9.10705e-05
30 *6279:D *6167:A 6.50727e-05
31 *6282:D *338:19 6.92705e-05
*RES
1 *6162:X *338:10 20.6107
2 *338:10 *6175:A 12.2
3 *338:10 *338:19 9.19643
4 *338:19 *338:23 11.2321
5 *338:23 *338:26 4.5
6 *338:26 *6169:A 15.4857
7 *338:26 *6167:A 17.3964
8 *338:23 *6171:A 13.1643
9 *338:19 *6173:A 12.2
*END
*D_NET *339 0.00937809
*CONN
*I *6164:A I *D sky130_fd_sc_hd__clkbuf_2
*I *6177:A I *D sky130_fd_sc_hd__dlymetal6s2s_1
*I *6190:A I *D sky130_fd_sc_hd__clkbuf_2
*I *6206:B I *D sky130_fd_sc_hd__nor2_1
*I *6207:A2 I *D sky130_fd_sc_hd__a21o_1
*I *6163:X O *D sky130_fd_sc_hd__clkbuf_2
*CAP
1 *6164:A 0.000132752
2 *6177:A 0.000841803
3 *6190:A 0
4 *6206:B 3.5247e-05
5 *6207:A2 0.000138791
6 *6163:X 0
7 *339:41 0.00165993
8 *339:32 0.00121703
9 *339:11 0.000419059
10 *339:4 0.000776678
11 *6177:A *348:7 7.48797e-05
12 *6207:A2 *6091:B 0
13 *6207:A2 *365:10 3.33532e-05
14 *6207:A2 *475:7 0.000171288
15 *6207:A2 *525:9 7.44124e-05
16 *339:11 *6091:B 0.000260388
17 *339:11 *6163:A 0.000108071
18 *339:11 *6206:A 0.000233755
19 *339:11 *365:10 1.00937e-05
20 *339:11 *475:7 0.00080429
21 *339:11 *524:16 5.99527e-05
22 *339:32 *6196:B1 0
23 *339:32 *6198:A1 0.000129139
24 *339:32 *6198:A2 7.7217e-05
25 *339:32 *6198:B1 0
26 *339:32 *412:14 0
27 *339:32 *475:7 0.00126074
28 *339:41 *6174:B1 0
29 *339:41 *6193:B 3.31736e-05
30 *339:41 *6196:B1 0
31 *339:41 *6198:A1 1.2601e-05
32 *339:41 *355:28 0.000165495
33 *339:41 *356:19 2.75292e-05
34 *339:41 *356:31 4.19727e-05
35 *6124:A *339:11 2.82583e-05
36 *6124:A *339:32 0.000166436
37 *6162:A *6177:A 9.9028e-05
38 *6162:A *339:41 0
39 *6289:D *339:41 3.68867e-05
40 *310:25 *339:41 0
41 *311:5 *339:32 4.81714e-05
42 *311:28 *339:32 0.000122378
43 *338:10 *6164:A 2.65831e-05
44 *338:10 *6177:A 5.0715e-05
*RES
1 *6163:X *339:4 12.2
2 *339:4 *339:11 10.5536
3 *339:11 *6207:A2 16.0571
4 *339:11 *6206:B 12.9321
5 *339:4 *339:32 17.2143
6 *339:32 *6190:A 12.325
7 *339:32 *339:41 12.3929
8 *339:41 *6177:A 27.9321
9 *339:41 *6164:A 14.8071
*END
*D_NET *340 0.0059291
*CONN
*I *6172:A1 I *D sky130_fd_sc_hd__a22oi_1
*I *6166:A1 I *D sky130_fd_sc_hd__a22oi_1
*I *6168:A1 I *D sky130_fd_sc_hd__a22oi_1
*I *6170:A1 I *D sky130_fd_sc_hd__a22oi_1
*I *6174:A1 I *D sky130_fd_sc_hd__a22oi_1
*I *6164:X O *D sky130_fd_sc_hd__clkbuf_2
*CAP
1 *6172:A1 0
2 *6166:A1 6.25389e-05
3 *6168:A1 0.000327662
4 *6170:A1 2.51312e-05
5 *6174:A1 0
6 *6164:X 0.000164702
7 *340:40 0.000857122
8 *340:25 0.00127829
9 *340:19 0.00123628
10 *340:8 0.000614741
11 *6166:A1 *6166:A2 1.84765e-05
12 *6166:A1 *6166:B1 0.000111708
13 *6166:A1 *6170:A2 1.61631e-05
14 *6168:A1 *469:18 0.000493915
15 *6168:A1 *481:9 0.000195961
16 *6168:A1 *481:17 6.50727e-05
17 *6170:A1 *341:30 4.75721e-06
18 *6170:A1 *341:40 5.22859e-06
19 *340:8 *6174:B1 0.000318788
20 *340:19 *6172:B2 1.45089e-05
21 *340:19 *6173:B 4.15915e-05
22 *340:19 *6174:A2 6.79589e-05
23 *6279:D *6168:A1 5.93547e-06
24 *6279:D *340:40 2.57465e-06
*RES
1 *6164:X *340:8 16.5214
2 *340:8 *6174:A1 12.2
3 *340:8 *340:19 8.39286
4 *340:19 *340:25 2.51959
5 *340:25 *6170:A1 12.8562
6 *340:25 *340:40 1.38565
7 *340:40 *6168:A1 20.5929
8 *340:40 *6166:A1 13.6821
9 *340:19 *6172:A1 12.2
*END
*D_NET *341 0.00622197
*CONN
*I *6166:B2 I *D sky130_fd_sc_hd__a22oi_1
*I *6168:B2 I *D sky130_fd_sc_hd__a22oi_1
*I *6170:B2 I *D sky130_fd_sc_hd__a22oi_1
*I *6172:B2 I *D sky130_fd_sc_hd__a22oi_1
*I *6174:B2 I *D sky130_fd_sc_hd__a22oi_1
*I *6165:X O *D sky130_fd_sc_hd__dlymetal6s2s_1
*CAP
1 *6166:B2 2.59228e-05
2 *6168:B2 0.000347716
3 *6170:B2 0
4 *6172:B2 0.000124611
5 *6174:B2 9.06297e-05
6 *6165:X 0
7 *341:40 0.000679527
8 *341:30 0.00086335
9 *341:13 0.000919251
10 *341:4 0.000327808
11 *6166:B2 *6170:A2 6.50727e-05
12 *6168:B2 *6167:B 0.000148997
13 *6168:B2 *453:303 4.01437e-05
14 *6168:B2 *469:18 0
15 *6168:B2 *480:31 0
16 *6168:B2 *491:36 0
17 *6172:B2 *398:12 5.9708e-05
18 *6174:B2 *6174:B1 6.31809e-05
19 *341:13 *6183:B1 0.000897677
20 *341:30 *6183:B1 0.000997433
21 *341:30 *491:36 6.03127e-05
22 *341:40 *6167:B 9.93473e-05
23 *341:40 *6170:A2 8.45139e-05
24 *341:40 *6171:B 1.61631e-05
25 *6167:A *341:30 6.08467e-05
26 *6169:A *341:30 2.95757e-05
27 *6170:A1 *341:30 4.75721e-06
28 *6170:A1 *341:40 5.22859e-06
29 *6279:D *6168:B2 0
30 *6279:D *341:40 0
31 *338:10 *6174:B2 4.90829e-05
32 *338:26 *341:30 0.000146609
33 *338:26 *341:40 0
34 *340:19 *6172:B2 1.45089e-05
*RES
1 *6165:X *341:4 12.2
2 *341:4 *6174:B2 14.7
3 *341:4 *341:13 7.10714
4 *341:13 *6172:B2 14.7268
5 *341:13 *341:30 13.7143
6 *341:30 *6170:B2 12.2
7 *341:30 *341:40 5.71429
8 *341:40 *6168:B2 18.825
9 *341:40 *6166:B2 12.8607
*END
*D_NET *342 0.000653478
*CONN
*I *6167:B I *D sky130_fd_sc_hd__nor2_1
*I *6166:Y O *D sky130_fd_sc_hd__a22oi_1
*CAP
1 *6167:B 0.000146706
2 *6166:Y 0.000146706
3 *6167:B *6170:A2 0.000111722
4 *6167:B *491:36 0
5 *6168:B2 *6167:B 0.000148997
6 *338:26 *6167:B 0
7 *341:40 *6167:B 9.93473e-05
*RES
1 *6166:Y *6167:B 28.15
*END
*D_NET *343 0.00180677
*CONN
*I *6169:B I *D sky130_fd_sc_hd__nor2_1
*I *6168:Y O *D sky130_fd_sc_hd__a22oi_1
*CAP
1 *6169:B 0.000171267
2 *6168:Y 0.000171267
3 *6169:B *399:16 0.000200236
4 *6169:B *409:22 0.000634105
5 *6169:B *481:17 0.000629893
*RES
1 *6168:Y *6169:B 32.2571
*END
*D_NET *344 0.00056651
*CONN
*I *6171:B I *D sky130_fd_sc_hd__nor2_1
*I *6170:Y O *D sky130_fd_sc_hd__a22oi_1
*CAP
1 *6171:B 0.000153299
2 *6170:Y 0.000153299
3 *6171:B *399:16 0.000101133
4 *6171:B *453:319 1.90335e-05
5 *338:26 *6171:B 0.000123582
6 *341:40 *6171:B 1.61631e-05
*RES
1 *6170:Y *6171:B 27.5429
*END
*D_NET *345 0.000428437
*CONN
*I *6173:B I *D sky130_fd_sc_hd__nor2_1
*I *6172:Y O *D sky130_fd_sc_hd__a22oi_1
*CAP
1 *6173:B 0.000193423
2 *6172:Y 0.000193423
3 *340:19 *6173:B 4.15915e-05
*RES
1 *6172:Y *6173:B 27.5429
*END
*D_NET *346 0.00074997
*CONN
*I *6175:B I *D sky130_fd_sc_hd__nor2_1
*I *6174:Y O *D sky130_fd_sc_hd__a22oi_1
*CAP
1 *6175:B 0.000289428
2 *6174:Y 0.000289428
3 *6175:B *399:23 2.65667e-05
4 *338:10 *6175:B 0.000144546
*RES
1 *6174:Y *6175:B 28.9
*END
*D_NET *347 0.00702301
*CONN
*I *6180:A I *D sky130_fd_sc_hd__nor2_1
*I *6182:A I *D sky130_fd_sc_hd__nor2_1
*I *6186:A I *D sky130_fd_sc_hd__nor2_1
*I *6184:A I *D sky130_fd_sc_hd__nor2_1
*I *6188:A I *D sky130_fd_sc_hd__nor2_1
*I *6176:X O *D sky130_fd_sc_hd__clkbuf_2
*CAP
1 *6180:A 0.000226162
2 *6182:A 0
3 *6186:A 0.00056656
4 *6184:A 0.000379703
5 *6188:A 0.000307721
6 *6176:X 1.04985e-05
7 *347:50 0.000444978
8 *347:36 0.00103428
9 *347:7 0.000717281
10 *347:5 0.000289261
11 *6180:A *6185:A1 0.000207277
12 *6180:A *453:339 2.65831e-05
13 *6180:A *453:344 6.23875e-05
14 *6180:A *479:38 0.00100587
15 *6186:A *6181:A1 1.41976e-05
16 *6186:A *6181:B2 6.99486e-05
17 *6186:A *6182:B 1.98996e-05
18 *6186:A *399:23 2.89947e-05
19 *6188:A *6187:A1 2.49545e-05
20 *6188:A *6187:A2 2.09985e-06
21 *6188:A *6187:B2 0
22 *6188:A *6188:B 0.00027329
23 *6188:A *408:20 0.000144531
24 *6188:A *490:24 0.000129154
25 *347:36 *6182:B 1.93378e-05
26 *347:36 *408:20 0.000346912
27 *347:36 *489:8 1.32509e-05
28 *347:36 *522:20 0
29 *347:50 *6181:A1 0.000250402
30 *347:50 *6181:A2 0.000122098
31 *347:50 *522:20 0.000122098
32 *6176:A *6184:A 1.00846e-05
33 *6176:A *6188:A 1.97124e-05
34 *6176:A *347:5 6.08467e-05
35 *6176:A *347:7 1.64789e-05
36 *6176:A *347:36 2.95757e-05
37 *6286:D *6184:A 2.65831e-05
*RES
1 *6176:X *347:5 12.7357
2 *347:5 *347:7 0.732143
3 *347:7 *6188:A 20.0214
4 *347:7 *6184:A 18.9143
5 *347:5 *347:36 5.53571
6 *347:36 *6186:A 18.8071
7 *347:36 *347:50 4.07143
8 *347:50 *6182:A 12.2
9 *347:50 *6180:A 20.9857
*END
*D_NET *348 0.00818862
*CONN
*I *6185:A1 I *D sky130_fd_sc_hd__a22oi_1
*I *6179:A1 I *D sky130_fd_sc_hd__a22oi_1
*I *6181:A1 I *D sky130_fd_sc_hd__a22oi_1
*I *6183:A1 I *D sky130_fd_sc_hd__a22oi_1
*I *6187:A1 I *D sky130_fd_sc_hd__a22oi_1
*I *6177:X O *D sky130_fd_sc_hd__dlymetal6s2s_1
*CAP
1 *6185:A1 0.000477917
2 *6179:A1 0.000494553
3 *6181:A1 0.000254318
4 *6183:A1 0.00011366
5 *6187:A1 0.000383126
6 *6177:X 0.000235404
7 *348:27 0.000748871
8 *348:25 0.000609138
9 *348:16 0.00048785
10 *348:7 0.000861499
11 *6179:A1 *5169:DIODE 0.000286211
12 *6179:A1 *399:23 6.12663e-05
13 *6181:A1 *6181:A2 0.000144034
14 *6181:A1 *6182:B 2.86829e-05
15 *6181:A1 *399:23 0.000131059
16 *6183:A1 *6184:B 0.000472818
17 *6183:A1 *349:39 0.000472818
18 *6183:A1 *488:34 6.49003e-05
19 *6185:A1 *6185:A2 1.67329e-05
20 *6185:A1 *479:38 0.00010357
21 *6185:A1 *522:20 0
22 *6187:A1 *6187:A2 5.35135e-05
23 *6187:A1 *490:9 0.000405499
24 *348:16 *6184:B 0.000172676
25 *348:16 *453:344 0
26 *348:16 *453:349 0
27 *348:16 *522:20 0
28 *348:25 *6184:B 2.24484e-05
29 *348:25 *522:20 0
30 *6177:A *348:7 7.48797e-05
31 *6180:A *6185:A1 0.000207277
32 *6186:A *6181:A1 1.41976e-05
33 *6188:A *6187:A1 2.49545e-05
34 *296:33 *348:16 0.000115406
35 *296:51 *6185:A1 0.000118485
36 *296:51 *348:16 4.18989e-05
37 *296:51 *348:25 0.000238552
38 *347:50 *6181:A1 0.000250402
*RES
1 *6177:X *348:7 16.45
2 *348:7 *6187:A1 19.3071
3 *348:7 *348:16 5.41071
4 *348:16 *6183:A1 17.3786
5 *348:16 *348:25 3.16071
6 *348:25 *348:27 0.125
7 *348:27 *6181:A1 18.5036
8 *348:27 *6179:A1 18.5214
9 *348:25 *6185:A1 21.4857
*END
*D_NET *349 0.00462119
*CONN
*I *6183:B2 I *D sky130_fd_sc_hd__a22oi_1
*I *6179:B2 I *D sky130_fd_sc_hd__a22oi_1
*I *6185:B2 I *D sky130_fd_sc_hd__a22oi_1
*I *6181:B2 I *D sky130_fd_sc_hd__a22oi_1
*I *6187:B2 I *D sky130_fd_sc_hd__a22oi_1
*I *6178:X O *D sky130_fd_sc_hd__dlymetal6s2s_1
*CAP
1 *6183:B2 0
2 *6179:B2 0.000162993
3 *6185:B2 0.000126188
4 *6181:B2 4.38414e-05
5 *6187:B2 0.000293222
6 *6178:X 0
7 *349:39 0.000452944
8 *349:19 0.000329238
9 *349:10 0.000620242
10 *349:4 0.000457762
11 *6179:B2 *5169:DIODE 6.50727e-05
12 *6179:B2 *6180:B 9.82609e-05
13 *6179:B2 *6183:B1 3.20069e-06
14 *6179:B2 *407:12 5.23713e-05
15 *6179:B2 *453:344 4.94304e-05
16 *6181:B2 *399:23 3.86121e-05
17 *6185:B2 *6185:B1 6.50586e-05
18 *6185:B2 *410:12 0
19 *6185:B2 *489:8 3.69003e-05
20 *6187:B2 *408:20 0
21 *6187:B2 *410:12 0
22 *6187:B2 *411:16 3.67528e-06
23 *349:10 *408:20 4.61962e-05
24 *349:10 *488:34 0.000211478
25 *349:19 *408:20 0
26 *349:19 *410:12 0
27 *349:19 *489:8 3.68867e-05
28 *349:39 *6184:B 1.65872e-05
29 *349:39 *488:34 0.000142775
30 *6178:A *349:10 3.21548e-05
31 *6178:A *349:39 0.00069333
32 *6183:A1 *349:39 0.000472818
33 *6186:A *6181:B2 6.99486e-05
34 *6188:A *6187:B2 0
*RES
1 *6178:X *349:4 12.2
2 *349:4 *349:10 4.24107
3 *349:10 *6187:B2 17.4589
4 *349:10 *349:19 3.00893
5 *349:19 *6181:B2 13.6821
6 *349:19 *6185:B2 14.8071
7 *349:4 *349:39 9.48214
8 *349:39 *6179:B2 16.1464
9 *349:39 *6183:B2 12.2
*END
*D_NET *350 0.000695013
*CONN
*I *6180:B I *D sky130_fd_sc_hd__nor2_1
*I *6179:Y O *D sky130_fd_sc_hd__a22oi_1
*CAP
1 *6180:B 0.000138964
2 *6179:Y 0.000138964
3 *6180:B *5169:DIODE 4.07355e-05
4 *6180:B *6179:A2 0
5 *6180:B *6284:CLK 3.31882e-05
6 *6180:B *399:23 0.000169041
7 *6180:B *453:344 7.58595e-05
8 *6179:B2 *6180:B 9.82609e-05
9 *296:51 *6180:B 0
*RES
1 *6179:Y *6180:B 28.5607
*END
*D_NET *351 0.000945417
*CONN
*I *6182:B I *D sky130_fd_sc_hd__nor2_1
*I *6181:Y O *D sky130_fd_sc_hd__a22oi_1
*CAP
1 *6182:B 0.000171503
2 *6181:Y 0.000171503
3 *6182:B *6181:A2 0.000261381
4 *6182:B *399:23 0.000268798
5 *6182:B *489:8 0
6 *6182:B *522:20 4.3116e-06
7 *6181:A1 *6182:B 2.86829e-05
8 *6186:A *6182:B 1.98996e-05
9 *347:36 *6182:B 1.93378e-05
*RES
1 *6181:Y *6182:B 29.3821
*END
*D_NET *352 0.00155801
*CONN
*I *6184:B I *D sky130_fd_sc_hd__nor2_1
*I *6183:Y O *D sky130_fd_sc_hd__a22oi_1
*CAP
1 *6184:B 0.000177008
2 *6183:Y 0.000177008
3 *6184:B *488:34 0.000519467
4 *6184:B *522:20 0
5 *6183:A1 *6184:B 0.000472818
6 *348:16 *6184:B 0.000172676
7 *348:25 *6184:B 2.24484e-05
8 *349:39 *6184:B 1.65872e-05
*RES
1 *6183:Y *6184:B 31.4357
*END
*D_NET *353 0.00123445
*CONN
*I *6186:B I *D sky130_fd_sc_hd__nor2_1
*I *6185:Y O *D sky130_fd_sc_hd__a22oi_1
*CAP
1 *6186:B 0.000168076
2 *6185:Y 0.000168076
3 *6186:B *6117:A 9.34724e-05
4 *6186:B *6185:B1 0.000224395
5 *6186:B *453:389 9.69453e-05
6 *6186:B *479:38 0.000483488
*RES
1 *6185:Y *6186:B 31.025
*END
*D_NET *354 0.000623232
*CONN
*I *6188:B I *D sky130_fd_sc_hd__nor2_1
*I *6187:Y O *D sky130_fd_sc_hd__a22oi_1
*CAP
1 *6188:B 9.30149e-05
2 *6187:Y 9.30149e-05
3 *6188:B *490:24 0.000163912
4 *6188:A *6188:B 0.00027329
*RES
1 *6187:Y *6188:B 27.8286
*END
*D_NET *355 0.00577501
*CONN
*I *6195:A I *D sky130_fd_sc_hd__nor2_1
*I *6201:A I *D sky130_fd_sc_hd__nor2_1
*I *6193:A I *D sky130_fd_sc_hd__nor2_1
*I *6197:A I *D sky130_fd_sc_hd__nor2_1
*I *6199:A I *D sky130_fd_sc_hd__nor2_1
*I *6189:X O *D sky130_fd_sc_hd__clkbuf_2
*CAP
1 *6195:A 0.000324205
2 *6201:A 0.000569955
3 *6193:A 3.89552e-05
4 *6197:A 0
5 *6199:A 0.000302299
6 *6189:X 0
7 *355:37 0.00113506
8 *355:28 0.000432673
9 *355:7 0.000479108
10 *355:4 0.000329624
11 *6193:A *6193:B 2.64419e-05
12 *6193:A *492:24 6.08467e-05
13 *6195:A *6195:B 6.04524e-05
14 *6199:A *6196:A2 0
15 *6199:A *6197:B 6.31809e-05
16 *6199:A *6198:B2 0
17 *6199:A *6199:B 5.22654e-06
18 *6199:A *357:17 0
19 *6199:A *357:29 0
20 *6201:A *6174:B1 0
21 *6201:A *6192:A2 0
22 *6201:A *6201:B 6.04524e-05
23 *6201:A *453:140 0
24 *6201:A *496:13 5.65165e-05
25 *355:7 *357:29 3.98132e-05
26 *355:28 *6174:B1 0
27 *355:28 *6193:B 0.000118485
28 *355:28 *357:29 0.000217923
29 *355:37 *6193:B 1.65872e-05
30 *355:37 *492:24 0.000426168
31 *6112:A *6201:A 0
32 *6189:A *355:7 0.00011818
33 *308:11 *355:7 0.000683001
34 *308:11 *355:28 3.43791e-05
35 *308:15 *355:28 9.97968e-06
36 *339:41 *355:28 0.000165495
*RES
1 *6189:X *355:4 12.2
2 *355:4 *355:7 5.89286
3 *355:7 *6199:A 17.4321
4 *355:7 *6197:A 12.2
5 *355:4 *355:28 5
6 *355:28 *6193:A 13.1643
7 *355:28 *355:37 5.46429
8 *355:37 *6201:A 22.575
9 *355:37 *6195:A 18.0929
*END
*D_NET *356 0.00577465
*CONN
*I *6194:A1 I *D sky130_fd_sc_hd__a22oi_1
*I *6200:A1 I *D sky130_fd_sc_hd__a22oi_1
*I *6192:A1 I *D sky130_fd_sc_hd__a22oi_1
*I *6196:A1 I *D sky130_fd_sc_hd__a22oi_1
*I *6198:A1 I *D sky130_fd_sc_hd__a22oi_1
*I *6190:X O *D sky130_fd_sc_hd__clkbuf_2
*CAP
1 *6194:A1 6.81208e-05
2 *6200:A1 0.000185275
3 *6192:A1 2.06324e-05
4 *6196:A1 5.54473e-05
5 *6198:A1 0.000347731
6 *6190:X 3.25609e-05
7 *356:39 0.000448923
8 *356:31 0.000349123
9 *356:19 0.000250972
10 *356:7 0.000442852
11 *6194:A1 *6195:B 9.32983e-05
12 *6194:A1 *357:37 0
13 *6196:A1 *6196:A2 7.21568e-05
14 *6198:A1 *6198:A2 1.90494e-05
15 *6198:A1 *412:14 0.000446935
16 *6200:A1 *6200:A2 5.59685e-06
17 *6200:A1 *6200:B2 8.41807e-05
18 *6200:A1 *413:14 0.000304968
19 *356:7 *496:13 1.43848e-05
20 *356:19 *412:14 0.000118485
21 *356:31 *6193:B 3.67708e-05
22 *356:31 *357:37 4.31703e-05
23 *356:31 *412:14 0.000212506
24 *356:39 *6192:A2 0.000153033
25 *356:39 *6194:B2 0.00011818
26 *356:39 *6195:B 6.50586e-05
27 *356:39 *357:37 0.000381769
28 *306:7 *6196:A1 0.000179398
29 *308:15 *356:31 4.31703e-05
30 *308:15 *356:39 0.00048619
31 *309:11 *6196:A1 0.000483474
32 *339:32 *6198:A1 0.000129139
33 *339:41 *6198:A1 1.2601e-05
34 *339:41 *356:19 2.75292e-05
35 *339:41 *356:31 4.19727e-05
*RES
1 *6190:X *356:7 12.8607
2 *356:7 *6198:A1 21.3429
3 *356:7 *356:19 1.64286
4 *356:19 *6196:A1 16.1464
5 *356:19 *356:31 3.92857
6 *356:31 *6192:A1 12.6286
7 *356:31 *356:39 9.57143
8 *356:39 *6200:A1 17.2536
9 *356:39 *6194:A1 13.575
*END
*D_NET *357 0.00547062
*CONN
*I *6194:B2 I *D sky130_fd_sc_hd__a22oi_1
*I *6200:B2 I *D sky130_fd_sc_hd__a22oi_1
*I *6192:B2 I *D sky130_fd_sc_hd__a22oi_1
*I *6196:B2 I *D sky130_fd_sc_hd__a22oi_1
*I *6198:B2 I *D sky130_fd_sc_hd__a22oi_1
*I *6191:X O *D sky130_fd_sc_hd__clkbuf_2
*CAP
1 *6194:B2 3.2671e-05
2 *6200:B2 0.000196601
3 *6192:B2 0
4 *6196:B2 0
5 *6198:B2 0.000291829
6 *6191:X 5.32276e-05
7 *357:37 0.000714428
8 *357:29 0.00105615
9 *357:17 0.000631311
10 *357:7 0.000405377
11 *6198:B2 *6199:B 4.31539e-05
12 *6200:B2 *398:12 0.000156306
13 *6200:B2 *413:14 0
14 *357:7 *496:13 3.99086e-06
15 *357:29 *477:12 0.000191541
16 *357:37 *6192:A2 0.000148822
17 *6189:A *357:29 5.07314e-05
18 *6191:A *357:7 1.41976e-05
19 *6194:A1 *357:37 0
20 *6199:A *6198:B2 0
21 *6199:A *357:17 0
22 *6199:A *357:29 0
23 *6200:A1 *6200:B2 8.41807e-05
24 *6292:D *6198:B2 0
25 *308:15 *357:29 2.52287e-06
26 *308:15 *357:37 7.97098e-06
27 *310:25 *6198:B2 0.000410696
28 *310:25 *357:17 9.60366e-05
29 *310:25 *357:29 7.80277e-05
30 *355:7 *357:29 3.98132e-05
31 *355:28 *357:29 0.000217923
32 *356:31 *357:37 4.31703e-05
33 *356:39 *6194:B2 0.00011818
34 *356:39 *357:37 0.000381769
*RES
1 *6191:X *357:7 12.8607
2 *357:7 *6198:B2 18.5571
3 *357:7 *357:17 1.33929
4 *357:17 *6196:B2 12.325
5 *357:17 *357:29 8.96429
6 *357:29 *6192:B2 12.2
7 *357:29 *357:37 10
8 *357:37 *6200:B2 17.1286
9 *357:37 *6194:B2 13.1464
*END
*D_NET *358 0.000756927
*CONN
*I *6193:B I *D sky130_fd_sc_hd__nor2_1
*I *6192:Y O *D sky130_fd_sc_hd__a22oi_1
*CAP
1 *6193:B 0.000243337
2 *6192:Y 0.000243337
3 *6193:B *6174:B1 0
4 *6193:B *412:14 1.2693e-05
5 *6193:B *492:24 2.61012e-05
6 *6193:A *6193:B 2.64419e-05
7 *339:41 *6193:B 3.31736e-05
8 *355:28 *6193:B 0.000118485
9 *355:37 *6193:B 1.65872e-05
10 *356:31 *6193:B 3.67708e-05
*RES
1 *6192:Y *6193:B 29.4
*END
*D_NET *359 0.00108088
*CONN
*I *6195:B I *D sky130_fd_sc_hd__nor2_1
*I *6194:Y O *D sky130_fd_sc_hd__a22oi_1
*CAP
1 *6195:B 0.000322673
2 *6194:Y 0.000322673
3 *6195:B *6194:A2 0
4 *6195:B *398:12 0
5 *6195:B *413:14 5.41377e-05
6 *6195:B *499:19 0
7 *6194:A1 *6195:B 9.32983e-05
8 *6195:A *6195:B 6.04524e-05
9 *308:15 *6195:B 0.000162583
10 *356:39 *6195:B 6.50586e-05
*RES
1 *6194:Y *6195:B 31.1679
*END
*D_NET *360 0.0010626
*CONN
*I *6197:B I *D sky130_fd_sc_hd__nor2_1
*I *6196:Y O *D sky130_fd_sc_hd__a22oi_1
*CAP
1 *6197:B 0.000393606
2 *6196:Y 0.000393606
3 *6197:B *6196:A2 0
4 *6199:A *6197:B 6.31809e-05
5 *306:7 *6197:B 0.000212208
*RES
1 *6196:Y *6197:B 30.4179
*END
*D_NET *361 0.00155602
*CONN
*I *6199:B I *D sky130_fd_sc_hd__nor2_1
*I *6198:Y O *D sky130_fd_sc_hd__a22oi_1
*CAP
1 *6199:B 0.000388994
2 *6198:Y 0.000388994
3 *6199:B *500:19 0
4 *6198:B2 *6199:B 4.31539e-05
5 *6199:A *6199:B 5.22654e-06
6 *6292:D *6199:B 0.000144531
7 *305:10 *6199:B 0.000341222
8 *310:13 *6199:B 0.000243901
9 *310:25 *6199:B 0
*RES
1 *6198:Y *6199:B 34.9893
*END
*D_NET *362 0.000730037
*CONN
*I *6201:B I *D sky130_fd_sc_hd__nor2_1
*I *6200:Y O *D sky130_fd_sc_hd__a22oi_1
*CAP
1 *6201:B 0.000120725
2 *6200:Y 0.000120725
3 *6201:B *496:13 0.000428134
4 *6201:A *6201:B 6.04524e-05
*RES
1 *6200:Y *6201:B 28.2393
*END
*D_NET *363 0.000653814
*CONN
*I *6203:B I *D sky130_fd_sc_hd__nor2_1
*I *6202:Y O *D sky130_fd_sc_hd__a22oi_1
*CAP
1 *6203:B 8.78871e-05
2 *6202:Y 8.78871e-05
3 *6203:B *498:17 0.000375027
4 *6202:B2 *6203:B 2.65831e-05
5 *313:20 *6203:B 7.64297e-05
*RES
1 *6202:Y *6203:B 27.8286
*END
*D_NET *364 0.000937617
*CONN
*I *6205:B I *D sky130_fd_sc_hd__nor2_1
*I *6204:Y O *D sky130_fd_sc_hd__a22oi_1
*CAP
1 *6205:B 0.000193322
2 *6204:Y 0.000193322
3 *6205:B *413:14 0.000318803
4 *6205:B *453:171 1.61631e-05
5 *6205:B *453:214 4.0752e-05
6 *6205:B *499:19 0
7 *6295:D *6205:B 0.000101148
8 *315:27 *6205:B 7.41058e-05
*RES
1 *6204:Y *6205:B 29.6679
*END
*D_NET *365 0.00534334
*CONN
*I *6215:A2 I *D sky130_fd_sc_hd__a21boi_1
*I *6213:A1 I *D sky130_fd_sc_hd__a21oi_1
*I *6208:A I *D sky130_fd_sc_hd__nor2_1
*I *6206:Y O *D sky130_fd_sc_hd__nor2_1
*CAP
1 *6215:A2 5.7702e-05
2 *6213:A1 0.000352834
3 *6208:A 0.000160102
4 *6206:Y 0.000710259
5 *365:13 0.00072275
6 *365:10 0.000977775
7 *6208:A *6106:A 0.000160384
8 *6208:A *498:17 7.48633e-05
9 *6208:A *502:29 0
10 *6213:A1 *6106:A 0.000473514
11 *6213:A1 *366:5 0.000266832
12 *6213:A1 *370:10 0.000332528
13 *6213:A1 *502:29 0
14 *6215:A2 *6215:A1 5.17579e-05
15 *365:10 *411:16 0.000160384
16 *365:10 *475:7 3.54351e-05
17 *365:13 *6215:A1 0.000525939
18 *365:13 *6215:B1_N 1.15389e-05
19 *365:13 *457:16 0.000107496
20 *6207:A2 *365:10 3.33532e-05
21 *6214:A2 *365:13 6.08467e-05
22 *6298:D *6215:A2 7.92757e-06
23 *6299:D *365:10 4.90264e-05
24 *339:11 *365:10 1.00937e-05
*RES
1 *6206:Y *365:10 21.3964
2 *365:10 *365:13 5.17857
3 *365:13 *6208:A 15.9321
4 *365:13 *6213:A1 20.7
5 *365:10 *6215:A2 13.4679
*END
*D_NET *366 0.00306556
*CONN
*I *6208:B I *D sky130_fd_sc_hd__nor2_1
*I *6213:B1 I *D sky130_fd_sc_hd__a21oi_1
*I *6207:X O *D sky130_fd_sc_hd__a21o_1
*CAP
1 *6208:B 0.000284969
2 *6213:B1 2.59387e-05
3 *6207:X 0.000603799
4 *366:5 0.000914707
5 *6208:B *455:20 0.000216073
6 *6208:B *457:16 0.000143047
7 *6208:B *498:17 2.16355e-05
8 *6208:B *501:41 0.000175033
9 *6208:B *502:29 0.000190258
10 *366:5 *6213:A2 1.92172e-05
11 *366:5 *370:10 3.61993e-05
12 *6213:A1 *366:5 0.000266832
13 *266:8 *6208:B 2.65667e-05
14 *292:17 *6208:B 2.95757e-05
15 *292:17 *6213:B1 6.50586e-05
16 *292:17 *366:5 4.66492e-05
*RES
1 *6207:X *366:5 22.5929
2 *366:5 *6213:B1 12.7357
3 *366:5 *6208:B 21.0036
*END
*D_NET *367 0.00390793
*CONN
*I *6213:A2 I *D sky130_fd_sc_hd__a21oi_1
*I *6210:C I *D sky130_fd_sc_hd__nor3_2
*I *6209:Y O *D sky130_fd_sc_hd__nand2_1
*CAP
1 *6213:A2 0.000183966
2 *6210:C 0
3 *6209:Y 0.00110181
4 *367:9 0.00128577
5 *6213:A2 *370:10 0.000164829
6 *367:9 *6210:A 4.78782e-05
7 *367:9 *6212:B1 0.000150999
8 *367:9 *6216:A0 4.01573e-05
9 *367:9 *6216:A1 1.79672e-05
10 *367:9 *6218:A0 0.000227907
11 *367:9 *6389:A 1.75625e-05
12 *367:9 *369:18 8.24277e-06
13 *367:9 *473:40 1.07248e-05
14 *367:9 *498:18 0
15 sram_csb0 *367:9 0
16 *6210:B *6213:A2 0.000216458
17 *6210:B *367:9 0.000117376
18 *292:17 *6213:A2 0.000297065
19 *366:5 *6213:A2 1.92172e-05
*RES
1 *6209:Y *367:9 34.5393
2 *367:9 *6210:C 12.2
3 *367:9 *6213:A2 17.2714
*END
*D_NET *368 0.013052
*CONN
*I *6247:A I *D sky130_fd_sc_hd__clkbuf_2
*I *6224:A I *D sky130_fd_sc_hd__buf_2
*I *6211:A I *D sky130_fd_sc_hd__clkbuf_2
*I *6210:Y O *D sky130_fd_sc_hd__nor3_2
*CAP
1 *6247:A 0.000219991
2 *6224:A 0.000107459
3 *6211:A 1.99144e-05
4 *6210:Y 0.000104086
5 *368:18 0.00289505
6 *368:17 0.00265724
7 *368:8 0.000213643
8 *6211:A *6220:S 5.04829e-06
9 *6211:A *369:7 2.57847e-05
10 *6211:A *495:15 2.57847e-05
11 *6224:A *375:7 0.00010518
12 *6224:A *478:11 0.000523548
13 *6247:A *375:12 8.16827e-05
14 *6247:A *375:30 0.000141001
15 *6247:A *388:7 0.000106706
16 *368:8 *6220:S 1.27331e-05
17 *368:17 *6220:S 6.2497e-05
18 *368:17 *495:15 0.000132446
19 *368:18 *6097:A 0.000125566
20 *368:18 *6113:A 0.00128125
21 *368:18 *6220:A1 0.000306497
22 *368:18 *6220:S 3.94365e-05
23 *368:18 *6221:A 4.87343e-05
24 *368:18 *6225:A 0.000238226
25 *368:18 *375:12 0.00117529
26 *368:18 *501:41 7.8435e-05
27 *368:18 *502:8 5.93521e-05
28 *368:18 *502:29 0
29 *6097:C *368:18 2.04806e-05
30 *6310:D *368:18 0.00015324
31 *297:11 *6247:A 0.000214058
32 *297:11 *368:18 0.00187164
*RES
1 *6210:Y *368:8 14.0929
2 *368:8 *6211:A 12.7357
3 *368:8 *368:17 2.71429
4 *368:17 *368:18 68.125
5 *368:18 *6224:A 16.5571
6 *368:18 *6247:A 18.4679
*END
*D_NET *369 0.00725028
*CONN
*I *6220:S I *D sky130_fd_sc_hd__mux2_1
*I *6222:S I *D sky130_fd_sc_hd__mux2_1
*I *6218:S I *D sky130_fd_sc_hd__mux2_1
*I *6216:S I *D sky130_fd_sc_hd__mux2_1
*I *6212:B1 I *D sky130_fd_sc_hd__a21o_1
*I *6211:X O *D sky130_fd_sc_hd__clkbuf_2
*CAP
1 *6220:S 0.000272232
2 *6222:S 0.000444131
3 *6218:S 2.31637e-05
4 *6216:S 9.34923e-06
5 *6212:B1 0.000527637
6 *6211:X 0
7 *369:18 0.000988772
8 *369:12 0.000600841
9 *369:7 0.00120634
10 *369:4 0.000908551
11 *6212:B1 *6209:B 0.000149084
12 *6212:B1 *6217:A 9.80093e-05
13 *6212:B1 *403:34 0
14 *6212:B1 *453:28 5.04829e-06
15 *6212:B1 *473:40 0.000530151
16 *6216:S *6216:A0 6.50727e-05
17 *6216:S *6413:A 6.50727e-05
18 *6218:S *6218:A0 6.50727e-05
19 *6220:S *6221:A 3.31882e-05
20 *6220:S *501:41 1.77537e-06
21 *6222:S *6304:CLK 0.0002212
22 *6222:S *401:21 0
23 *6222:S *498:18 0
24 *369:7 *495:15 0.000163915
25 *369:12 *6218:A1 7.50722e-05
26 *369:12 *401:21 1.33885e-05
27 *369:12 *498:18 0
28 *369:18 *6216:A1 7.50722e-05
29 *369:18 *6219:A 0.000142178
30 *369:18 *401:16 0.000199469
31 *369:18 *401:21 6.17462e-05
32 *369:18 *403:34 0
33 sram_web0 *6212:B1 0
34 *6211:A *6220:S 5.04829e-06
35 *6211:A *369:7 2.57847e-05
36 *367:9 *6212:B1 0.000150999
37 *367:9 *369:18 8.24277e-06
38 *368:8 *6220:S 1.27331e-05
39 *368:17 *6220:S 6.2497e-05
40 *368:18 *6220:S 3.94365e-05
*RES
1 *6211:X *369:4 12.2
2 *369:4 *369:7 7.64286
3 *369:7 *369:12 2.60714
4 *369:12 *369:18 10.5
5 *369:18 *6212:B1 25.7357
6 *369:18 *6216:S 12.8607
7 *369:12 *6218:S 12.8607
8 *369:7 *6222:S 20.3429
9 *369:4 *6220:S 16.9679
*END
*D_NET *370 0.00223168
*CONN
*I *6215:B1_N I *D sky130_fd_sc_hd__a21boi_1
*I *6214:B1 I *D sky130_fd_sc_hd__o21a_1
*I *6213:Y O *D sky130_fd_sc_hd__a21oi_1
*CAP
1 *6215:B1_N 7.09419e-05
2 *6214:B1 0
3 *6213:Y 0.000276713
4 *370:10 0.000347655
5 *6215:B1_N *6215:A1 0.000317721
6 *370:10 *502:29 9.77204e-05
7 *6213:A1 *370:10 0.000332528
8 *6213:A2 *370:10 0.000164829
9 *6214:A2 *6215:B1_N 0.000111708
10 *6298:D *6215:B1_N 0.000464127
11 *365:13 *6215:B1_N 1.15389e-05
12 *366:5 *370:10 3.61993e-05
*RES
1 *6213:Y *370:10 19.4143
2 *370:10 *6214:B1 12.2
3 *370:10 *6215:B1_N 16.0214
*END
*D_NET *371 0.000745358
*CONN
*I *6217:A I *D sky130_fd_sc_hd__clkbuf_1
*I *6216:X O *D sky130_fd_sc_hd__mux2_1
*CAP
1 *6217:A 0.000243241
2 *6216:X 0.000243241
3 *6217:A *6413:A 0.000118166
4 *6217:A *403:34 0
5 *6212:B1 *6217:A 9.80093e-05
6 *6300:D *6217:A 4.27003e-05
*RES
1 *6216:X *6217:A 29.6679
*END
*D_NET *372 0.000957496
*CONN
*I *6219:A I *D sky130_fd_sc_hd__clkbuf_1
*I *6218:X O *D sky130_fd_sc_hd__mux2_1
*CAP
1 *6219:A 0.000247531
2 *6218:X 0.000247531
3 *6219:A *401:21 0.000320257
4 *369:18 *6219:A 0.000142178
*RES
1 *6218:X *6219:A 30.0786
*END
*D_NET *373 0.00172402
*CONN
*I *6221:A I *D sky130_fd_sc_hd__clkbuf_1
*I *6220:X O *D sky130_fd_sc_hd__mux2_1
*CAP
1 *6221:A 0.000328224
2 *6220:X 0.000328224
3 *6221:A *6220:A0 6.53173e-05
4 *6221:A *6220:A1 0.00035643
5 *6221:A *6427:A 5.48756e-05
6 *6221:A *496:40 0.000364497
7 *6220:S *6221:A 3.31882e-05
8 *6302:D *6221:A 0.000144531
9 *368:18 *6221:A 4.87343e-05
*RES
1 *6220:X *6221:A 34.1857
*END
*D_NET *374 0.000597803
*CONN
*I *6223:A I *D sky130_fd_sc_hd__clkbuf_1
*I *6222:X O *D sky130_fd_sc_hd__mux2_1
*CAP
1 *6223:A 0.000188004
2 *6222:X 0.000188004
3 *6223:A *6220:A1 1.71806e-05
4 *6223:A *6222:A1 2.47808e-05
5 *6223:A *401:21 0.000122098
6 *6223:A *477:44 5.77352e-05
*RES
1 *6222:X *6223:A 27.65
*END
*D_NET *375 0.0158101
*CONN
*I *6262:S I *D sky130_fd_sc_hd__mux2_1
*I *6260:S I *D sky130_fd_sc_hd__mux2_1
*I *6258:S I *D sky130_fd_sc_hd__mux2_1
*I *6236:A I *D sky130_fd_sc_hd__clkbuf_2
*I *6225:A I *D sky130_fd_sc_hd__clkbuf_2
*I *6224:X O *D sky130_fd_sc_hd__buf_2
*CAP
1 *6262:S 0.0004258
2 *6260:S 8.6231e-05
3 *6258:S 0.000379488
4 *6236:A 0.000240749
5 *6225:A 0.000602307
6 *6224:X 0.000334611
7 *375:41 0.000626946
8 *375:30 0.00176634
9 *375:12 0.0015691
10 *375:7 0.0023326
11 *6225:A *474:9 0.000990886
12 *6236:A *382:10 1.78942e-05
13 *6258:S *6098:A 8.76374e-05
14 *6258:S *6098:B 0.000317707
15 *6258:S *6098:C 0.000169728
16 *6258:S *6258:A0 5.0715e-05
17 *6260:S *6260:A1 0.000110297
18 *6260:S *440:11 0.000210067
19 *6262:S *6260:A1 4.40506e-05
20 *6262:S *6262:A0 0.000483474
21 *6262:S *6320:CLK 0.000244176
22 *6262:S *6415:A 0.000334528
23 *6262:S *440:11 2.09495e-05
24 *6262:S *514:11 4.65396e-05
25 *375:7 *478:11 3.99086e-06
26 *375:12 *6240:A 0.000425391
27 *375:30 *440:11 0.000587936
28 *375:41 *440:11 0.0002452
29 *6224:A *375:7 0.00010518
30 *6247:A *375:12 8.16827e-05
31 *6247:A *375:30 0.000141001
32 *6310:D *375:12 0.00015324
33 *6320:D *6262:S 0.000101036
34 *297:11 *375:30 0.000160919
35 *298:17 *6258:S 0.000312353
36 *298:17 *375:30 0.000585816
37 *368:18 *6225:A 0.000238226
38 *368:18 *375:12 0.00117529
*RES
1 *6224:X *375:7 16.1464
2 *375:7 *375:12 21.125
3 *375:12 *6225:A 27.0571
4 *375:12 *6236:A 16.1464
5 *375:7 *375:30 30.4821
6 *375:30 *6258:S 21.5036
7 *375:30 *375:41 3.16071
8 *375:41 *6260:S 14.5036
9 *375:41 *6262:S 24.5929
*END
*D_NET *376 0.00423248
*CONN
*I *6234:S I *D sky130_fd_sc_hd__mux2_1
*I *6232:S I *D sky130_fd_sc_hd__mux2_1
*I *6230:S I *D sky130_fd_sc_hd__mux2_1
*I *6228:S I *D sky130_fd_sc_hd__mux2_1
*I *6226:S I *D sky130_fd_sc_hd__mux2_1
*I *6225:X O *D sky130_fd_sc_hd__clkbuf_2
*CAP
1 *6234:S 9.25974e-05
2 *6232:S 0
3 *6230:S 1.24136e-05
4 *6228:S 0
5 *6226:S 0.000105691
6 *6225:X 6.53066e-05
7 *376:18 0.000407267
8 *376:16 0.00073597
9 *376:11 0.000675652
10 *376:6 0.000411576
11 *6226:S *477:44 0
12 *6226:S *490:24 0
13 *6226:S *498:18 5.23264e-05
14 *6230:S *6230:A0 0.000118166
15 *6230:S *479:38 0.000118166
16 *6234:S *6234:A0 5.05707e-05
17 *376:6 *498:18 0.000118568
18 *376:11 *6233:A 6.49003e-05
19 *376:11 *498:18 0.000394828
20 *376:16 *6228:A1 2.75427e-05
21 *376:16 *6232:A0 8.85814e-05
22 *376:16 *6233:A 2.65831e-05
23 *376:16 *6306:CLK 7.64963e-05
24 *376:16 *453:419 1.89195e-05
25 *376:16 *490:24 0
26 *376:16 *498:18 0.000222909
27 *376:18 *6228:A0 5.04879e-05
28 *376:18 *6228:A1 0.000155324
29 *376:18 *490:24 0
30 *376:18 *498:18 0.000141642
*RES
1 *6225:X *376:6 13.9679
2 *376:6 *376:11 6.46429
3 *376:11 *376:16 10.5
4 *376:16 *376:18 7.71429
5 *376:18 *6226:S 14.575
6 *376:18 *6228:S 12.325
7 *376:16 *6230:S 13.2714
8 *376:11 *6232:S 12.2
9 *376:6 *6234:S 14.0929
*END
*D_NET *377 0.000397978
*CONN
*I *6227:A I *D sky130_fd_sc_hd__clkbuf_1
*I *6226:X O *D sky130_fd_sc_hd__mux2_1
*CAP
1 *6227:A 0.00017882
2 *6226:X 0.00017882
3 *6227:A *492:36 4.03381e-05
*RES
1 *6226:X *6227:A 27.3107
*END
*D_NET *378 0.00113856
*CONN
*I *6229:A I *D sky130_fd_sc_hd__clkbuf_1
*I *6228:X O *D sky130_fd_sc_hd__mux2_1
*CAP
1 *6229:A 0.000170078
2 *6228:X 0.000170078
3 *6229:A *6383:A 0.000115934
4 *6229:A *477:44 0.000341237
5 *6229:A *490:24 0.000341237
*RES
1 *6228:X *6229:A 29.9714
*END
*D_NET *379 0.00100825
*CONN
*I *6231:A I *D sky130_fd_sc_hd__clkbuf_1
*I *6230:X O *D sky130_fd_sc_hd__mux2_1
*CAP
1 *6231:A 0.000187259
2 *6230:X 0.000187259
3 *6231:A *477:44 0.000315176
4 *6231:A *479:38 0.00011818
5 *6231:A *495:29 8.18934e-05
6 *6306:D *6231:A 0.000118485
*RES
1 *6230:X *6231:A 29.6679
*END
*D_NET *380 0.00106593
*CONN
*I *6233:A I *D sky130_fd_sc_hd__clkbuf_1
*I *6232:X O *D sky130_fd_sc_hd__mux2_1
*CAP
1 *6233:A 0.000436977
2 *6232:X 0.000436977
3 *6233:A *6232:A1 0.000100493
4 *376:11 *6233:A 6.49003e-05
5 *376:16 *6233:A 2.65831e-05
*RES
1 *6232:X *6233:A 31.9536
*END
*D_NET *381 0.00069897
*CONN
*I *6235:A I *D sky130_fd_sc_hd__clkbuf_1
*I *6234:X O *D sky130_fd_sc_hd__mux2_1
*CAP
1 *6235:A 0.000128145
2 *6234:X 0.000128145
3 *6235:A *6234:A1 7.13972e-05
4 *6235:A *474:9 7.13972e-05
5 *6235:A *477:44 0.000151741
6 *6235:A *495:30 0.000148144
*RES
1 *6234:X *6235:A 28.3643
*END
*D_NET *382 0.00739774
*CONN
*I *6239:S I *D sky130_fd_sc_hd__mux2_1
*I *6245:S I *D sky130_fd_sc_hd__mux2_1
*I *6243:S I *D sky130_fd_sc_hd__mux2_1
*I *6241:S I *D sky130_fd_sc_hd__mux2_1
*I *6237:S I *D sky130_fd_sc_hd__mux2_1
*I *6236:X O *D sky130_fd_sc_hd__clkbuf_2
*CAP
1 *6239:S 8.94525e-05
2 *6245:S 0.000152218
3 *6243:S 0.000321828
4 *6241:S 7.04636e-05
5 *6237:S 0.000216218
6 *6236:X 0.000249837
7 *382:38 0.00065265
8 *382:25 0.000345114
9 *382:13 0.000556306
10 *382:10 0.00058333
11 *6237:S *6237:A0 1.65872e-05
12 *6237:S *6237:A1 6.50586e-05
13 *6237:S *486:12 0.000569085
14 *6237:S *490:36 0.00056189
15 *6239:S *6239:A1 0.000151333
16 *6239:S *6240:A 2.29454e-05
17 *6241:S *6241:A0 1.65872e-05
18 *6241:S *6241:A1 1.41976e-05
19 *6243:S *6243:A1 0.00038196
20 *6243:S *6244:A 1.37189e-05
21 *6245:S *6245:A0 6.50727e-05
22 *6245:S *6245:A1 5.04829e-06
23 *6245:S *6312:CLK 1.19856e-05
24 *6245:S *486:12 0.000161966
25 *6245:S *498:18 0
26 *382:10 *498:18 0
27 *382:13 *6239:A1 0.000785004
28 *382:25 *486:12 0.000235007
29 *382:25 *490:36 0.000237053
30 *382:38 *453:454 8.46227e-05
31 *382:38 *453:471 0.000167062
32 *382:38 *486:12 0.000501172
33 *382:38 *490:36 7.50722e-05
34 *382:38 *498:18 0
35 *6236:A *382:10 1.78942e-05
*RES
1 *6236:X *382:10 15.7536
2 *382:10 *382:13 6.41071
3 *382:13 *6237:S 20.8071
4 *382:13 *382:25 3.16071
5 *382:25 *6241:S 13.7179
6 *382:25 *382:38 6.5
7 *382:38 *6243:S 19.8429
8 *382:38 *6245:S 15.8429
9 *382:10 *6239:S 14.3964
*END
*D_NET *383 0.000938696
*CONN
*I *6238:A I *D sky130_fd_sc_hd__clkbuf_1
*I *6237:X O *D sky130_fd_sc_hd__mux2_1
*CAP
1 *6238:A 0.000143339
2 *6237:X 0.000143339
3 *6238:A *6237:A1 2.16355e-05
4 *6238:A *475:29 0.000315191
5 *6238:A *495:30 0.000315191
*RES
1 *6237:X *6238:A 29.2571
*END
*D_NET *384 0.00188156
*CONN
*I *6240:A I *D sky130_fd_sc_hd__clkbuf_1
*I *6239:X O *D sky130_fd_sc_hd__mux2_1
*CAP
1 *6240:A 0.000581863
2 *6239:X 0.000581863
3 *6240:A *6239:A1 0.000269499
4 *6239:S *6240:A 2.29454e-05
5 *375:12 *6240:A 0.000425391
*RES
1 *6239:X *6240:A 36.1143
*END
*D_NET *385 0.000924264
*CONN
*I *6242:A I *D sky130_fd_sc_hd__clkbuf_1
*I *6241:X O *D sky130_fd_sc_hd__mux2_1
*CAP
1 *6242:A 0.000157403
2 *6241:X 0.000157403
3 *6242:A *6406:A 8.18934e-05
4 *6242:A *453:471 6.50586e-05
5 *6242:A *496:44 0.000318788
6 *6311:D *6242:A 0.000143718
*RES
1 *6241:X *6242:A 29.6679
*END
*D_NET *386 0.00205531
*CONN
*I *6244:A I *D sky130_fd_sc_hd__clkbuf_1
*I *6243:X O *D sky130_fd_sc_hd__mux2_1
*CAP
1 *6244:A 0.000613125
2 *6243:X 0.000613125
3 *6244:A *6243:A1 0.000271674
4 *6243:S *6244:A 1.37189e-05
5 *6312:D *6244:A 0.000200947
6 *297:11 *6244:A 0.000342721
*RES
1 *6243:X *6244:A 35.4357
*END
*D_NET *387 0.000987133
*CONN
*I *6246:A I *D sky130_fd_sc_hd__clkbuf_1
*I *6245:X O *D sky130_fd_sc_hd__mux2_1
*CAP
1 *6246:A 0.000181383
2 *6245:X 0.000181383
3 *6246:A *6245:A1 2.15184e-05
4 *6246:A *6312:CLK 6.50727e-05
5 *6246:A *453:486 7.48736e-05
6 *6246:A *453:497 1.90335e-05
7 *6246:A *495:30 0.000320287
8 *6313:D *6246:A 0.000123582
*RES
1 *6245:X *6246:A 29.6679
*END
*D_NET *388 0.0061379
*CONN
*I *6248:S I *D sky130_fd_sc_hd__mux2_1
*I *6256:S I *D sky130_fd_sc_hd__mux2_1
*I *6254:S I *D sky130_fd_sc_hd__mux2_1
*I *6252:S I *D sky130_fd_sc_hd__mux2_1
*I *6250:S I *D sky130_fd_sc_hd__mux2_1
*I *6247:X O *D sky130_fd_sc_hd__clkbuf_2
*CAP
1 *6248:S 0
2 *6256:S 0.000465745
3 *6254:S 0
4 *6252:S 0.000244801
5 *6250:S 0
6 *6247:X 0.000126635
7 *388:32 0.000755764
8 *388:23 0.000759243
9 *388:16 0.000721218
10 *388:7 0.000623431
11 *6252:S *6252:A0 0.000114594
12 *6252:S *6253:A 5.07314e-05
13 *6252:S *6410:A 0.000290094
14 *6256:S *6254:A0 9.34396e-06
15 *6256:S *6256:A0 0.000117376
16 *6256:S *6257:A 5.56461e-05
17 *6256:S *6414:A 5.72743e-05
18 *6256:S *498:18 0
19 *388:7 *6248:A0 3.25584e-05
20 *388:16 *6248:A0 3.25584e-05
21 *388:16 *6249:A 1.84293e-05
22 *388:16 *6414:A 2.33449e-05
23 *388:16 *486:12 0.000160294
24 *388:16 *498:18 0
25 *388:23 *6414:A 0.000118438
26 *388:23 *498:18 0
27 *388:32 *6254:A0 8.37175e-05
28 *388:32 *6414:A 0.000168432
29 *388:32 *498:18 0
30 *6101:A *388:7 0.000513843
31 *6101:A *388:16 0.000487686
32 *6247:A *388:7 0.000106706
*RES
1 *6247:X *388:7 16.8607
2 *388:7 *388:16 12.3214
3 *388:16 *6250:S 12.325
4 *388:16 *388:23 4.98214
5 *388:23 *6252:S 18.6107
6 *388:23 *388:32 7.10714
7 *388:32 *6254:S 12.325
8 *388:32 *6256:S 21.4679
9 *388:7 *6248:S 12.2
*END
*D_NET *389 0.000380357
*CONN
*I *6249:A I *D sky130_fd_sc_hd__clkbuf_1
*I *6248:X O *D sky130_fd_sc_hd__mux2_1
*CAP
1 *6249:A 0.000119915
2 *6248:X 0.000119915
3 *6249:A *498:18 0.000122098
4 *388:16 *6249:A 1.84293e-05
*RES
1 *6248:X *6249:A 26.8286
*END
*D_NET *390 0.000879614
*CONN
*I *6251:A I *D sky130_fd_sc_hd__clkbuf_1
*I *6250:X O *D sky130_fd_sc_hd__mux2_1
*CAP
1 *6251:A 0.000151339
2 *6250:X 0.000151339
3 *6251:A *6250:A1 9.80577e-05
4 *6251:A *495:30 0.000320287
5 *6251:A *496:44 3.50091e-05
6 *6315:D *6251:A 0.000123582
*RES
1 *6250:X *6251:A 29.2571
*END
*D_NET *391 0.000506455
*CONN
*I *6253:A I *D sky130_fd_sc_hd__clkbuf_1
*I *6252:X O *D sky130_fd_sc_hd__mux2_1
*CAP
1 *6253:A 0.000162994
2 *6252:X 0.000162994
3 *6253:A *6099:D 5.8261e-05
4 *6253:A *6252:A1 7.14746e-05
5 *6252:S *6253:A 5.07314e-05
6 *298:17 *6253:A 0
*RES
1 *6252:X *6253:A 27.5429
*END
*D_NET *392 0.0007664
*CONN
*I *6255:A I *D sky130_fd_sc_hd__clkbuf_1
*I *6254:X O *D sky130_fd_sc_hd__mux2_1
*CAP
1 *6255:A 0.000159693
2 *6254:X 0.000159693
3 *6255:A *483:18 9.18559e-06
4 *6255:A *495:30 0.000325354
5 *6255:A *496:44 6.9773e-05
6 *6317:D *6255:A 4.27003e-05
*RES
1 *6254:X *6255:A 29.2571
*END
*D_NET *393 0.000698577
*CONN
*I *6257:A I *D sky130_fd_sc_hd__clkbuf_1
*I *6256:X O *D sky130_fd_sc_hd__mux2_1
*CAP
1 *6257:A 0.000286185
2 *6256:X 0.000286185
3 *6257:A *6098:A 0
4 *6257:A *6256:A0 0
5 *6257:A *6320:CLK 2.78452e-05
6 *6257:A *498:18 0
7 *6256:S *6257:A 5.56461e-05
8 *6318:D *6257:A 4.27148e-05
*RES
1 *6256:X *6257:A 29.6679
*END
*D_NET *394 0.000391737
*CONN
*I *6259:A I *D sky130_fd_sc_hd__clkbuf_1
*I *6258:X O *D sky130_fd_sc_hd__mux2_1
*CAP
1 *6259:A 0.000106364
2 *6258:X 0.000106364
3 *6259:A *6414:A 3.14978e-05
4 *6259:A *495:43 0.000119538
5 *6259:A *496:44 0
6 *6319:D *6259:A 2.7973e-05
*RES
1 *6258:X *6259:A 26.8286
*END
*D_NET *395 0.00114976
*CONN
*I *6261:A I *D sky130_fd_sc_hd__clkbuf_1
*I *6260:X O *D sky130_fd_sc_hd__mux2_1
*CAP
1 *6261:A 0.000444122
2 *6260:X 0.000444122
3 *6261:A *6098:D 0.000143032
4 *6261:A *6260:A1 0
5 *6261:A *498:18 0
6 *6261:A *514:11 0
7 *6261:A *515:8 0.000118485
8 *6320:D *6261:A 0
*RES
1 *6260:X *6261:A 32.5429
*END
*D_NET *396 0.000866889
*CONN
*I *6263:A I *D sky130_fd_sc_hd__clkbuf_1
*I *6262:X O *D sky130_fd_sc_hd__mux2_1
*CAP
1 *6263:A 0.000161592
2 *6262:X 0.000161592
3 *6263:A *6262:A1 0.000346333
4 *6263:A *6415:A 6.92705e-05
5 *6263:A *496:44 4.73076e-05
6 *6321:D *6263:A 8.07939e-05
*RES
1 *6262:X *6263:A 29.5607
*END
*D_NET *397 0.00256756
*CONN
*I *6126:B1 I *D sky130_fd_sc_hd__a22oi_1
*I *6324:X O *D sky130_fd_sc_hd__clkbuf_1
*CAP
1 *6126:B1 0.000605098
2 *6324:X 0.000605098
3 *6126:B1 *402:36 0.000196321
4 *6126:B1 *473:11 3.01683e-06
5 *6126:B1 *473:40 0.000506249
6 sram_wmask0[0] *6126:B1 0.000161493
7 *6324:A *6126:B1 0.000107496
8 *6327:A *6126:B1 0.000213725
9 *6378:A *6126:B1 6.46887e-05
10 *316:30 *6126:B1 0.000104374
*RES
1 *6324:X *6126:B1 39.6143
*END
*D_NET *398 0.0371022
*CONN
*I *6172:B1 I *D sky130_fd_sc_hd__a22oi_1
*I *6325:X O *D sky130_fd_sc_hd__buf_2
*CAP
1 *6172:B1 0
2 *6325:X 0.000102291
3 *398:12 0.00261141
4 *398:11 0.00261141
5 *398:9 0.00137179
6 *398:8 0.00147408
7 *398:8 *405:10 0.000137936
8 *398:9 *405:11 0.0149065
9 *398:9 *416:9 0.00183135
10 *398:9 *469:12 0.00612466
11 *398:9 *480:21 0.00463764
12 *398:12 *6134:B1 0
13 *398:12 *6393:A 0
14 *398:12 *413:14 0
15 *398:12 *417:24 0
16 *398:12 *453:131 0.000231581
17 *398:12 *453:140 0.000335347
18 *398:12 *453:171 0.000156823
19 *398:12 *500:9 0
20 sram_addr0[4] *398:12 5.77061e-05
21 sram_addr1[4] *398:12 3.98548e-05
22 sram_din0[19] *398:8 4.3116e-06
23 *6172:B2 *398:12 5.9708e-05
24 *6195:B *398:12 0
25 *6200:B2 *398:12 0.000156306
26 *6290:D *398:12 6.66538e-05
27 *6293:D *398:12 0.000149628
28 *6325:A *398:8 3.52271e-05
*RES
1 *6325:X *398:8 14.7
2 *398:8 *398:9 117.589
3 *398:9 *398:11 0.125
4 *398:11 *398:12 51.125
5 *398:12 *6172:B1 12.325
*END
*D_NET *399 0.0174258
*CONN
*I *6139:A2 I *D sky130_fd_sc_hd__a22oi_1
*I *6383:A I *D sky130_fd_sc_hd__buf_2
*I *6269:Q O *D sky130_fd_sc_hd__dfxtp_1
*CAP
1 *6139:A2 8.60218e-05
2 *6383:A 0.00139979
3 *6269:Q 4.90823e-05
4 *399:23 0.0041888
5 *399:16 0.00455722
6 *399:5 0.00190331
7 *6139:A2 *6139:B1 1.67329e-05
8 *6139:A2 *491:36 0.00011048
9 *6383:A *6117:A 0.000290629
10 *6383:A *6228:A0 0.000271044
11 *6383:A *6228:A1 6.92705e-05
12 *6383:A *453:370 3.25887e-05
13 *6383:A *453:389 4.3116e-06
14 *399:16 *6204:A2 0
15 *399:16 *409:22 0
16 *399:16 *453:319 0.000504632
17 *399:16 *491:36 0
18 *399:16 *499:19 0
19 *399:23 *5169:DIODE 2.42138e-05
20 *399:23 *6179:A2 8.66189e-06
21 *399:23 *6181:A2 2.41483e-05
22 *6139:A1 *6139:A2 1.90494e-05
23 *6169:A *399:16 0.000159865
24 *6169:B *399:16 0.000200236
25 *6171:B *399:16 0.000101133
26 *6175:B *399:23 2.65667e-05
27 *6179:A1 *399:23 6.12663e-05
28 *6180:B *399:23 0.000169041
29 *6181:A1 *399:23 0.000131059
30 *6181:B2 *399:23 3.86121e-05
31 *6182:B *399:23 0.000268798
32 *6186:A *399:23 2.89947e-05
33 *6229:A *6383:A 0.000115934
34 *6287:D *6383:A 0.000148144
35 *308:25 *6139:A2 0.000252327
36 *308:25 *399:16 0.000470751
37 *322:8 *399:16 7.50722e-05
38 *338:19 *399:23 0.000266176
39 *338:23 *399:23 0.00126072
40 *338:26 *399:16 9.10705e-05
*RES
1 *6269:Q *399:5 12.7357
2 *399:5 *399:16 38.0179
3 *399:16 *399:23 49.2679
4 *399:23 *6383:A 35.95
5 *399:5 *6139:A2 15.758
*END
*D_NET *400 0.0245029
*CONN
*I *6141:A2 I *D sky130_fd_sc_hd__a22oi_1
*I *6384:A I *D sky130_fd_sc_hd__buf_2
*I *6270:Q O *D sky130_fd_sc_hd__dfxtp_2
*CAP
1 *6141:A2 3.83986e-05
2 *6384:A 0
3 *6270:Q 0.000189591
4 *400:31 0.00114601
5 *400:19 0.00271294
6 *400:11 0.00309024
7 *400:9 0.00175129
8 *6141:A2 *6141:B1 5.21248e-06
9 *400:9 *6141:B1 9.46307e-05
10 *400:11 *6141:B1 1.17394e-05
11 *400:11 *500:9 0.000209829
12 *400:11 *500:19 0.000558485
13 *400:19 *501:31 0.000260388
14 *400:31 *6386:A 0.000314284
15 *400:31 *402:42 0.000869979
16 *400:31 *471:8 0.00228742
17 *400:31 *488:34 3.31733e-05
18 *400:31 *496:40 0.000380656
19 sram_web0 *400:19 0.000253916
20 wb_data_o[1] *400:31 1.91391e-05
21 wb_data_o[2] *400:31 6.1096e-05
22 wb_data_o[3] *400:31 1.91391e-05
23 wb_data_o[4] *400:31 7.00991e-05
24 wb_data_o[5] *400:31 0.000107981
25 wb_data_o[6] *400:31 6.11074e-05
26 wb_error_o *400:19 6.08467e-05
27 *6093:B *400:19 2.65667e-05
28 *6103:A *400:19 0.000191004
29 *6126:A1 *400:19 4.70005e-05
30 *6128:A1 *400:19 1.41976e-05
31 *6128:B2 *400:19 0.0001413
32 *6129:B *400:19 0.000408759
33 *6133:B *400:11 0.000406794
34 *6138:A *400:11 0.00028467
35 *6141:B2 *400:11 0.000111222
36 *6365:A *400:31 4.51062e-05
37 *6366:A *400:31 0.000160073
38 *6367:A *400:31 0.000134164
39 *6370:A *400:31 0.000308691
40 *294:9 *400:9 0.000591935
41 *294:9 *400:11 0.00702107
42 *312:33 *400:19 2.77625e-06
*RES
1 *6270:Q *400:9 18.4321
2 *400:9 *400:11 56.3929
3 *400:11 *400:19 28.4286
4 *400:19 *400:31 41.5714
5 *400:31 *6384:A 12.2
6 *400:9 *6141:A2 13.0348
*END
*D_NET *401 0.0203145
*CONN
*I *6143:A2 I *D sky130_fd_sc_hd__a22oi_1
*I *6385:A I *D sky130_fd_sc_hd__buf_2
*I *6271:Q O *D sky130_fd_sc_hd__dfxtp_2
*CAP
1 *6143:A2 0.000203607
2 *6385:A 0
3 *6271:Q 0.000247245
4 *401:21 0.00141447
5 *401:16 0.00410847
6 *401:9 0.0058131
7 *401:5 0.00356995
8 *6143:A2 *426:13 1.67329e-05
9 *401:9 *453:218 0.000207294
10 *401:16 *6104:A 4.58907e-05
11 *401:16 *6216:A0 0.000370815
12 *401:16 *6413:A 0.000552354
13 *401:16 *403:34 0
14 *401:21 *6218:A1 1.79672e-05
15 *401:21 *6222:A0 6.66538e-05
16 *401:21 *6230:A1 0.000110297
17 *401:21 *6304:CLK 3.69221e-05
18 *401:21 *403:34 3.67528e-06
19 *401:21 *403:38 0
20 *401:21 *475:29 9.86592e-05
21 *401:21 *477:44 0.000273897
22 *401:21 *479:38 5.69208e-05
23 *401:21 *492:36 0.000365539
24 *401:21 *495:29 0.00125168
25 *6104:B *401:16 0.000207883
26 *6123:A *401:16 0.000160617
27 *6144:B *6143:A2 9.35753e-06
28 *6219:A *401:21 0.000320257
29 *6222:S *401:21 0
30 *6223:A *401:21 0.000122098
31 *6271:D *6143:A2 0
32 *6271:D *401:9 6.67095e-06
33 *6301:D *401:21 0.000101133
34 *6305:D *401:21 0.000148144
35 *6369:A *401:21 8.62048e-05
36 *309:17 *6143:A2 0
37 *314:10 *401:16 7.98425e-06
38 *322:8 *401:9 1.91723e-05
39 *323:43 *6143:A2 1.82708e-05
40 *369:12 *401:21 1.33885e-05
41 *369:18 *401:16 0.000199469
42 *369:18 *401:21 6.17462e-05
*RES
1 *6271:Q *401:5 14.7893
2 *401:5 *401:9 33.2054
3 *401:9 *401:16 47.8929
4 *401:16 *401:21 37.8839
5 *401:21 *6385:A 12.2
6 *401:5 *6143:A2 16.0616
*END
*D_NET *402 0.0279739
*CONN
*I *6386:A I *D sky130_fd_sc_hd__buf_2
*I *6145:A2 I *D sky130_fd_sc_hd__a22oi_1
*I *6272:Q O *D sky130_fd_sc_hd__dfxtp_2
*CAP
1 *6386:A 0.000626016
2 *6145:A2 0.000283099
3 *6272:Q 0.000465229
4 *402:42 0.00211968
5 *402:36 0.00238521
6 *402:24 0.00310794
7 *402:23 0.00230394
8 *402:7 0.000835872
9 *6145:A2 *427:13 1.67329e-05
10 *6386:A *6232:A1 0.000135881
11 *6386:A *471:8 0.00174654
12 *6386:A *475:29 0.000216437
13 *6386:A *488:34 0.000389097
14 *6386:A *488:54 0
15 *6386:A *492:36 1.65872e-05
16 *402:7 *428:27 0.000169098
17 *402:24 *403:25 0.00628046
18 *402:24 *419:9 0.0006746
19 *402:36 *6400:A 6.92705e-05
20 *402:36 *455:20 0.000558213
21 *402:36 *501:31 0.000191541
22 *402:42 *6226:A1 7.92757e-06
23 *402:42 *471:8 0.000141999
24 *402:42 *473:40 0.000120868
25 *402:42 *496:40 0.000781087
26 *402:42 *497:26 0.000153225
27 sram_clk1 *402:36 0.000141157
28 sram_clk1 *402:42 0
29 sram_csb1 *402:36 0.000171288
30 sram_din0[0] *402:36 0.000211492
31 sram_web0 *402:42 0
32 sram_wmask0[0] *402:36 0.000111722
33 wb_data_o[0] *402:42 6.01588e-05
34 wb_data_o[1] *402:42 1.91391e-05
35 wb_data_o[2] *402:42 6.1096e-05
36 wb_data_o[3] *402:42 1.91391e-05
37 wb_data_o[4] *402:42 7.00991e-05
38 wb_data_o[5] *6386:A 0.000107981
39 wb_data_o[6] *6386:A 6.11074e-05
40 wb_data_o[7] *6386:A 0.00010797
41 wb_data_o[8] *6386:A 0.000112013
42 *6126:B1 *402:36 0.000196321
43 *6127:A *402:24 0.000146193
44 *6135:B *402:24 6.50586e-05
45 *6145:A1 *6145:A2 1.82708e-05
46 *6145:A1 *402:23 0
47 *6145:B2 *6145:A2 4.26859e-05
48 *6324:A *402:36 0.000107496
49 *6365:A *402:42 4.51062e-05
50 *6366:A *402:42 0.000160073
51 *6367:A *402:42 0.000134164
52 *6370:A *402:42 6.08467e-05
53 *6372:A *6386:A 6.52404e-05
54 *6373:A *6386:A 1.27831e-06
55 *6377:A *402:24 0.000158371
56 *6378:A *402:36 5.19006e-05
57 *322:45 *402:24 0.000390046
58 *324:27 *6145:A2 5.04734e-05
59 *324:27 *402:23 4.52469e-05
60 *400:31 *6386:A 0.000314284
61 *400:31 *402:42 0.000869979
*RES
1 *6272:Q *402:7 17.7893
2 *402:7 *6145:A2 16.7045
3 *402:7 *402:23 1.76786
4 *402:23 *402:24 63.375
5 *402:24 *402:36 20.4464
6 *402:36 *402:42 38.0536
7 *402:42 *6386:A 35.6643
*END
*D_NET *403 0.0274735
*CONN
*I *6387:A I *D sky130_fd_sc_hd__buf_2
*I *6147:A2 I *D sky130_fd_sc_hd__a22oi_1
*I *6273:Q O *D sky130_fd_sc_hd__dfxtp_2
*CAP
1 *6387:A 0.000187671
2 *6147:A2 0.000173239
3 *6273:Q 0
4 *403:38 0.00137696
5 *403:34 0.00255475
6 *403:25 0.00327691
7 *403:24 0.00198184
8 *403:4 0.000243637
9 *6147:A2 *428:27 2.16355e-05
10 *6147:A2 *480:31 5.30254e-05
11 *403:24 *6204:B1 0
12 *403:25 *6209:A 2.77625e-06
13 *403:25 *6388:A 0.000257237
14 *403:25 *419:9 0.00203379
15 *403:34 *6297:CLK 4.56831e-05
16 *403:34 *6322:A 6.23875e-05
17 *403:34 *6398:A 2.23259e-05
18 *403:34 *453:33 0.00017538
19 *403:34 *453:40 0.000198625
20 *403:34 *471:8 7.08276e-05
21 *403:34 *473:40 5.37817e-06
22 *403:38 *453:40 0.000347084
23 *403:38 *453:51 0.000781029
24 *403:38 *471:8 0.000628899
25 *403:38 *475:29 0.00142219
26 *403:38 *479:41 0.00112024
27 *403:38 *481:28 0.00212615
28 *403:38 *488:34 9.75356e-05
29 *403:38 *495:29 3.63893e-05
30 sram_addr0[0] *403:25 0.000168566
31 sram_addr0[0] *403:34 0.000174801
32 sram_web0 *403:34 0.00043166
33 *6147:A1 *6147:A2 5.64867e-05
34 *6148:A *403:24 1.07248e-05
35 *6148:B *6147:A2 0.000129589
36 *6212:B1 *403:34 0
37 *6217:A *403:34 0
38 *6264:D *403:25 0.000107496
39 *6300:D *403:34 4.61732e-05
40 *6301:D *403:38 3.01634e-05
41 *6304:D *403:38 9.60366e-05
42 *6377:A *403:25 5.73392e-05
43 *322:10 *403:24 8.52968e-05
44 *322:24 *6147:A2 0.000460309
45 *324:15 *6147:A2 3.11625e-05
46 *369:18 *403:34 0
47 *401:16 *403:34 0
48 *401:21 *403:34 3.67528e-06
49 *401:21 *403:38 0
50 *402:24 *403:25 0.00628046
*RES
1 *6273:Q *403:4 12.2
2 *403:4 *6147:A2 18.142
3 *403:4 *403:24 1.58929
4 *403:24 *403:25 55.1607
5 *403:25 *403:34 24.1339
6 *403:34 *403:38 48.2232
7 *403:38 *6387:A 14.7893
*END
*D_NET *404 0.00422635
*CONN
*I *6388:A I *D sky130_fd_sc_hd__buf_2
*I *6212:A1 I *D sky130_fd_sc_hd__a21o_1
*I *6297:Q O *D sky130_fd_sc_hd__dfxtp_1
*CAP
1 *6388:A 0.0010722
2 *6212:A1 0.000197546
3 *6297:Q 0
4 *404:4 0.00126974
5 *6212:A1 *6209:B 6.36477e-05
6 *6388:A *6401:A 0.000708027
7 *6388:A *455:20 0.000102814
8 wb_error_o *6388:A 0.000244182
9 *6296:D *6212:A1 0
10 *6296:D *6388:A 0.000121066
11 *6324:A *6388:A 0.000113374
12 *6375:A *6212:A1 7.65208e-05
13 *403:25 *6388:A 0.000257237
*RES
1 *6297:Q *404:4 12.2
2 *404:4 *6212:A1 15.5393
3 *404:4 *6388:A 27.9857
*END
*D_NET *405 0.0434266
*CONN
*I *6174:B1 I *D sky130_fd_sc_hd__a22oi_1
*I *6326:X O *D sky130_fd_sc_hd__buf_2
*CAP
1 *6174:B1 0.000811995
2 *6326:X 0.000691161
3 *405:16 0.00189436
4 *405:11 0.00249036
5 *405:10 0.00209916
6 *6174:B1 *6192:A2 6.23202e-05
7 *6174:B1 *412:14 0.000197267
8 *6174:B1 *492:6 2.18741e-05
9 *405:10 *408:5 0.000110472
10 *405:11 *407:9 0.0157771
11 *405:11 *416:9 0.000221631
12 *405:16 *6134:B1 0
13 *405:16 *6202:B1 0.00043863
14 *405:16 *412:14 0.000430577
15 *405:16 *501:9 7.50872e-05
16 sram_din0[19] *405:10 0.000173016
17 sram_din0[3] *405:16 0
18 *6112:A *6174:B1 0.0001165
19 *6112:A *405:16 0.0022396
20 *6174:B2 *6174:B1 6.31809e-05
21 *6193:B *6174:B1 0
22 *6201:A *6174:B1 0
23 *6326:A *405:10 9.9028e-05
24 *6342:A *405:16 5.01375e-05
25 *338:10 *6174:B1 0
26 *339:41 *6174:B1 0
27 *340:8 *6174:B1 0.000318788
28 *355:28 *6174:B1 0
29 *398:8 *405:10 0.000137936
30 *398:9 *405:11 0.0149065
*RES
1 *6326:X *405:10 21.9143
2 *405:10 *405:11 124.571
3 *405:11 *405:16 34.1786
4 *405:16 *6174:B1 29.7536
*END
*D_NET *406 0.00221619
*CONN
*I *6128:B1 I *D sky130_fd_sc_hd__a22oi_1
*I *6327:X O *D sky130_fd_sc_hd__clkbuf_1
*CAP
1 *6128:B1 0.000304682
2 *6327:X 0.000304682
3 *6128:B1 *408:20 0.000541489
4 *6128:B1 *411:16 0.000545102
5 *6128:B1 *473:40 6.50727e-05
6 *6128:B2 *6128:B1 0.00011818
7 *6129:A *6128:B1 0.000160617
8 *6129:B *6128:B1 1.15389e-05
9 *312:33 *6128:B1 0.000164829
*RES
1 *6327:X *6128:B1 34.8821
*END
*D_NET *407 0.0467888
*CONN
*I *5169:DIODE I *D sky130_fd_sc_hd__diode_2
*I *6179:B1 I *D sky130_fd_sc_hd__a22oi_1
*I *6328:X O *D sky130_fd_sc_hd__clkbuf_4
*CAP
1 *5169:DIODE 6.20897e-05
2 *6179:B1 0
3 *6328:X 8.83792e-05
4 *407:14 6.20897e-05
5 *407:12 0.00242241
6 *407:11 0.00242241
7 *407:9 0.00252309
8 *407:8 0.00261147
9 *407:9 *411:9 0
10 *407:9 *412:9 0.00055844
11 *407:9 *413:9 0.0162592
12 *407:9 *415:9 1.08476e-05
13 *407:9 *416:9 0.000379428
14 *407:12 *6130:B1 6.45404e-05
15 *407:12 *6179:A2 5.22654e-06
16 *407:12 *6183:B1 0.000127194
17 *407:12 *6206:A 2.22342e-05
18 *407:12 *453:344 0
19 *407:12 *453:349 0
20 *407:12 *473:11 0
21 *407:12 *494:15 0
22 *407:12 *500:19 0
23 *407:12 *524:10 9.60216e-05
24 *407:12 *525:24 8.36326e-05
25 sram_din0[21] *407:8 2.66039e-05
26 sram_din0[2] *407:12 0.000320272
27 *6130:B2 *407:12 0.0006493
28 *6179:A1 *5169:DIODE 0.000286211
29 *6179:B2 *5169:DIODE 6.50727e-05
30 *6179:B2 *407:12 5.23713e-05
31 *6180:B *5169:DIODE 4.07355e-05
32 *6266:D *407:12 0
33 *6328:A *407:8 2.66039e-05
34 *6339:A *407:12 0
35 *296:12 *407:12 0.000602804
36 *296:25 *407:12 0.00111881
37 *307:10 *407:12 0
38 *399:23 *5169:DIODE 2.42138e-05
39 *405:11 *407:9 0.0157771
*RES
1 *6328:X *407:8 14.0929
2 *407:8 *407:9 153.321
3 *407:9 *407:11 0.125
4 *407:11 *407:12 53.5536
5 *407:12 *407:14 0.125
6 *407:14 *6179:B1 12.2
7 *407:14 *5169:DIODE 14.8071
*END
*D_NET *408 0.0477405
*CONN
*I *6181:B1 I *D sky130_fd_sc_hd__a22oi_1
*I *6329:X O *D sky130_fd_sc_hd__clkbuf_4
*CAP
1 *6181:B1 0
2 *6329:X 0
3 *408:20 0.00226155
4 *408:19 0.00398918
5 *408:13 0.00746743
6 *408:12 0.00584431
7 *408:5 0.00107982
8 *408:4 0.000975294
9 *408:5 *417:5 0.00681533
10 *408:5 *417:11 3.58208e-05
11 *408:5 *480:11 0.00253297
12 *408:12 *447:17 8.62625e-06
13 *408:13 *417:13 0.00966825
14 *408:13 *417:24 3.01465e-05
15 *408:19 *6130:B1 0.000103943
16 *408:19 *6132:B1 3.14978e-05
17 *408:19 *6134:B1 0.000549153
18 *408:19 *6391:A 0.000303965
19 *408:19 *6392:A 0.000423922
20 *408:19 *417:24 0.000269759
21 *408:20 *6128:A2 0
22 *408:20 *6413:A 0
23 *408:20 *411:16 0.00122058
24 *408:20 *489:8 5.96936e-05
25 sram_addr0[2] *408:19 6.50586e-05
26 sram_addr0[4] *408:19 0.00011818
27 sram_addr1[2] *408:19 2.82583e-05
28 sram_addr1[3] *408:19 2.42138e-05
29 sram_addr1[4] *408:19 2.42273e-05
30 sram_din0[14] *408:12 5.56367e-05
31 sram_din0[17] *408:5 0.00033061
32 sram_din0[19] *408:5 0.000277502
33 sram_wmask0[2] *408:19 1.43848e-05
34 sram_wmask0[3] *408:19 6.44576e-05
35 *6107:A *408:19 0.0002646
36 *6107:A *408:20 0.000169675
37 *6127:A *408:20 0.000157738
38 *6128:B1 *408:20 0.000541489
39 *6176:A *408:20 6.80573e-05
40 *6187:B2 *408:20 0
41 *6188:A *408:20 0.000144531
42 *6325:A *408:5 6.50727e-05
43 *6326:A *408:5 0.000224395
44 *6328:A *408:5 5.07314e-05
45 *6329:A *408:5 0.000118245
46 *6339:A *408:19 6.50586e-05
47 *6342:A *408:19 0.000271044
48 *6343:A *408:13 1.00846e-05
49 *6343:A *408:19 1.61631e-05
50 *6382:A *408:5 0.000377273
51 *310:8 *408:20 0
52 *310:43 *408:20 0
53 *312:33 *408:20 1.90335e-05
54 *347:36 *408:20 0.000346912
55 *349:10 *408:20 4.61962e-05
56 *349:19 *408:20 0
57 *405:10 *408:5 0.000110472
*RES
1 *6329:X *408:4 12.2
2 *408:4 *408:5 53.9286
3 *408:5 *408:12 1.92857
4 *408:12 *408:13 77.75
5 *408:13 *408:19 41.6429
6 *408:19 *408:20 51.125
7 *408:20 *6181:B1 12.325
*END
*D_NET *409 0.0293883
*CONN
*I *6183:B1 I *D sky130_fd_sc_hd__a22oi_1
*I *6330:X O *D sky130_fd_sc_hd__clkbuf_4
*CAP
1 *6183:B1 0.00136784
2 *6330:X 0
3 *409:22 0.00312986
4 *409:9 0.00850939
5 *409:8 0.00895667
6 *409:5 0.0022093
7 *6183:B1 *453:344 0
8 *409:22 *6168:A2 9.43033e-06
9 *409:22 *453:319 2.28392e-05
10 *409:22 *481:9 0.000136033
11 *409:22 *481:17 0.000154223
12 *409:22 *499:19 6.28168e-05
13 *409:22 *499:21 0.000279184
14 sram_din0[23] *409:8 7.86847e-05
15 *6165:A *6183:B1 0.00170075
16 *6169:B *409:22 0.000634105
17 *6179:B2 *6183:B1 3.20069e-06
18 *296:33 *6183:B1 0.000111708
19 *341:13 *6183:B1 0.000897677
20 *341:30 *6183:B1 0.000997433
21 *399:16 *409:22 0
22 *407:12 *6183:B1 0.000127194
*RES
1 *6330:X *409:5 12.325
2 *409:5 *409:8 41.2321
3 *409:8 *409:9 111.223
4 *409:9 *409:22 34.0446
5 *409:22 *6183:B1 44.2
*END
*D_NET *410 0.0520528
*CONN
*I *6185:B1 I *D sky130_fd_sc_hd__a22oi_1
*I *6331:X O *D sky130_fd_sc_hd__clkbuf_4
*CAP
1 *6185:B1 8.37695e-05
2 *6331:X 0.000159779
3 *410:12 0.0029602
4 *410:11 0.00287643
5 *410:9 0.003944
6 *410:8 0.00410377
7 *6185:B1 *479:38 4.82966e-05
8 *410:9 *411:9 0.023472
9 *410:9 *469:17 0.00427877
10 *410:9 *491:9 0.00806161
11 *410:9 *491:29 1.67988e-05
12 *410:12 *6106:A 0
13 *410:12 *6117:A 0.000412742
14 *410:12 *6265:CLK 0.000178853
15 *410:12 *6390:A 0
16 *410:12 *411:16 0
17 *410:12 *453:85 0.000303649
18 *410:12 *453:87 0.000148998
19 *410:12 *453:362 9.7734e-05
20 *410:12 *457:16 1.03986e-05
21 *410:12 *473:40 0
22 *410:12 *489:8 0
23 *410:12 *501:31 0
24 *410:12 *502:29 0
25 *410:12 *518:6 0
26 sram_addr0[1] *410:12 0
27 sram_addr1[1] *410:12 0.000309486
28 sram_din0[1] *410:12 0
29 sram_din0[24] *410:8 0.000119659
30 *6105:A *410:12 0
31 *6185:B2 *6185:B1 6.50586e-05
32 *6185:B2 *410:12 0
33 *6186:B *6185:B1 0.000224395
34 *6187:B2 *410:12 0
35 *6265:D *410:12 0
36 *6288:D *410:12 0.000101148
37 *6331:A *410:8 7.5255e-05
38 *294:8 *410:12 0
39 *349:19 *410:12 0
*RES
1 *6331:X *410:8 16.2179
2 *410:8 *410:9 188.232
3 *410:9 *410:11 0.125
4 *410:11 *410:12 57.8036
5 *410:12 *6185:B1 14.9143
*END
*D_NET *411 0.0580818
*CONN
*I *6187:B1 I *D sky130_fd_sc_hd__a22oi_1
*I *6332:X O *D sky130_fd_sc_hd__clkbuf_4
*CAP
1 *6187:B1 0
2 *6332:X 0.000175576
3 *411:16 0.0021887
4 *411:9 0.00493453
5 *411:8 0.00292141
6 *411:9 *415:9 0.0220768
7 *411:16 *6265:CLK 0
8 *411:16 *453:85 0
9 *411:16 *453:87 0
10 *411:16 *453:362 0
11 *411:16 *473:40 0.000171907
12 sram_din0[1] *411:16 0
13 sram_din0[25] *411:8 0
14 *6107:A *411:16 0
15 *6128:B1 *411:16 0.000545102
16 *6187:B2 *411:16 3.67528e-06
17 *6265:D *411:16 9.60216e-05
18 *6299:D *411:16 5.66868e-06
19 *6327:A *411:16 0
20 *6333:A *411:8 0.000109401
21 *365:10 *411:16 0.000160384
22 *407:9 *411:9 0
23 *408:20 *411:16 0.00122058
24 *410:9 *411:9 0.023472
25 *410:12 *411:16 0
*RES
1 *6332:X *411:8 15.9143
2 *411:8 *411:9 196.036
3 *411:9 *411:16 48.2679
4 *411:16 *6187:B1 12.2
*END
*D_NET *412 0.0530382
*CONN
*I *6192:B1 I *D sky130_fd_sc_hd__a22oi_1
*I *6333:X O *D sky130_fd_sc_hd__clkbuf_4
*CAP
1 *6192:B1 0
2 *6333:X 6.0622e-05
3 *412:14 0.00138399
4 *412:9 0.0142887
5 *412:8 0.0129654
6 *412:9 *413:9 0.0206832
7 *412:14 *6132:B1 0.000273606
8 *412:14 *6198:B1 0
9 *412:14 *6202:B1 0.000992074
10 *412:14 *501:9 3.00073e-05
11 sram_din0[26] *412:8 1.79807e-05
12 sram_din0[3] *412:14 0
13 *6125:A *412:14 0.000162644
14 *6174:B1 *412:14 0.000197267
15 *6193:B *412:14 1.2693e-05
16 *6198:A1 *412:14 0.000446935
17 *6334:A *412:8 0
18 *314:44 *412:14 3.61659e-05
19 *315:6 *412:14 0.000167002
20 *316:8 *412:14 0
21 *339:32 *412:14 0
22 *356:19 *412:14 0.000118485
23 *356:31 *412:14 0.000212506
24 *405:16 *412:14 0.000430577
25 *407:9 *412:9 0.00055844
*RES
1 *6333:X *412:8 13.4857
2 *412:8 *412:9 173.446
3 *412:9 *412:14 38.625
4 *412:14 *6192:B1 12.2
*END
*D_NET *413 0.0500001
*CONN
*I *6194:B1 I *D sky130_fd_sc_hd__a22oi_1
*I *6334:X O *D sky130_fd_sc_hd__clkbuf_4
*CAP
1 *6194:B1 0
2 *6334:X 8.28784e-05
3 *413:14 0.00121654
4 *413:9 0.00399807
5 *413:8 0.00286441
6 *413:9 *416:9 0.000340351
7 *413:14 *6204:B1 0
8 *413:14 *417:24 0.00254918
9 *413:14 *453:174 0.000412233
10 *413:14 *453:179 0.000774419
11 *413:14 *496:9 0
12 sram_addr1[4] *413:14 2.22788e-05
13 sram_din0[27] *413:8 0
14 sram_din0[4] *413:14 0.000109834
15 *6195:B *413:14 5.41377e-05
16 *6200:A1 *413:14 0.000304968
17 *6200:B2 *413:14 0
18 *6205:B *413:14 0.000318803
19 *6336:A *413:8 0
20 *315:27 *413:14 9.57557e-06
21 *398:12 *413:14 0
22 *407:9 *413:9 0.0162592
23 *412:9 *413:9 0.0206832
*RES
1 *6334:X *413:8 13.7893
2 *413:8 *413:9 170.571
3 *413:9 *413:14 38.9286
4 *413:14 *6194:B1 12.2
*END
*D_NET *414 0.00737161
*CONN
*I *6152:B1 I *D sky130_fd_sc_hd__a22oi_1
*I *6335:X O *D sky130_fd_sc_hd__dlymetal6s2s_1
*CAP
1 *6152:B1 0.00112023
2 *6335:X 0.00158932
3 *414:8 0.00270954
4 *6152:B1 *6152:A2 1.67329e-05
5 *6152:B1 *436:25 0.0018418
6 *6154:A1 *6152:B1 2.98546e-05
7 *331:39 *6152:B1 6.41434e-05
*RES
1 *6335:X *414:8 41.4143
2 *414:8 *6152:B1 45.2
*END
*D_NET *415 0.0568086
*CONN
*I *6196:B1 I *D sky130_fd_sc_hd__a22oi_1
*I *6336:X O *D sky130_fd_sc_hd__clkbuf_4
*CAP
1 *6196:B1 0.00155235
2 *6336:X 0.000119207
3 *415:9 0.00388549
4 *415:8 0.00245235
5 *6196:B1 *6198:A2 0
6 *6196:B1 *6198:B1 0.000360733
7 *415:9 *416:9 0.0238503
8 sram_addr0[3] *6196:B1 0
9 sram_addr1[3] *6196:B1 0.000252342
10 sram_din0[28] *415:8 0.000252327
11 *6120:A *6196:B1 0.000143017
12 *6123:A *6196:B1 0.000118485
13 *6133:B *6196:B1 0.000184946
14 *6135:A *6196:B1 7.41024e-05
15 *6135:B *6196:B1 0.000105213
16 *6268:D *6196:B1 0.000156823
17 *6336:A *415:8 0
18 *310:25 *6196:B1 0.000597223
19 *313:8 *6196:B1 0.000222699
20 *314:10 *6196:B1 0.000393359
21 *339:32 *6196:B1 0
22 *339:41 *6196:B1 0
23 *407:9 *415:9 1.08476e-05
24 *411:9 *415:9 0.0220768
*RES
1 *6336:X *415:8 15.6107
2 *415:8 *415:9 189.464
3 *415:9 *6196:B1 49.9143
*END
*D_NET *416 0.0494825
*CONN
*I *6198:B1 I *D sky130_fd_sc_hd__a22oi_1
*I *6337:X O *D sky130_fd_sc_hd__clkbuf_4
*CAP
1 *6198:B1 0.00124873
2 *6337:X 0.00010316
3 *416:9 0.00481188
4 *416:8 0.00366631
5 *6198:B1 *6132:B1 0
6 *6198:B1 *6198:A2 5.22654e-06
7 *6198:B1 *6267:CLK 0.000245958
8 *416:9 *469:12 0.00612887
9 *416:9 *480:21 0.00464605
10 sram_addr1[3] *6198:B1 6.69207e-05
11 sram_din0[29] *416:8 0.000231378
12 sram_din0[3] *6198:B1 0.000183168
13 *6121:A *6198:B1 0.000106371
14 *6134:B2 *6198:B1 5.08295e-05
15 *6135:A *6198:B1 0.000351978
16 *6135:B *6198:B1 0.000166625
17 *6196:B1 *6198:B1 0.000360733
18 *6294:D *6198:B1 7.15593e-05
19 *6338:A *416:8 0
20 *311:8 *6198:B1 5.03556e-05
21 *312:8 *6198:B1 0.000144546
22 *314:10 *6198:B1 0.000170865
23 *316:8 *6198:B1 3.9739e-05
24 *316:10 *6198:B1 8.21849e-06
25 *339:32 *6198:B1 0
26 *398:9 *416:9 0.00183135
27 *405:11 *416:9 0.000221631
28 *407:9 *416:9 0.000379428
29 *412:14 *6198:B1 0
30 *413:9 *416:9 0.000340351
31 *415:9 *416:9 0.0238503
*RES
1 *6337:X *416:8 15.3071
2 *416:8 *416:9 195.214
3 *416:9 *6198:B1 44.0036
*END
*D_NET *417 0.0453713
*CONN
*I *6200:B1 I *D sky130_fd_sc_hd__a22oi_1
*I *6338:X O *D sky130_fd_sc_hd__clkbuf_4
*CAP
1 *6200:B1 0
2 *6338:X 0
3 *417:24 0.00163443
4 *417:13 0.0024635
5 *417:11 0.000977285
6 *417:5 0.00502026
7 *417:4 0.00487204
8 *417:5 *458:14 0.000747398
9 *417:11 *480:11 7.68538e-06
10 *417:13 *447:17 0.001657
11 *417:13 *447:19 0.00739903
12 *417:13 *491:29 0.000129492
13 *417:24 *6393:A 0.000143032
14 *417:24 *453:171 0
15 sram_addr0[5] *417:13 2.65831e-05
16 sram_addr1[4] *417:24 6.50586e-05
17 sram_din0[24] *417:5 0.000195621
18 *6330:A *417:5 2.85274e-05
19 *6331:A *417:5 2.85274e-05
20 *6332:A *417:5 0.000175851
21 *6333:A *417:5 9.2985e-05
22 *6334:A *417:5 0.000121243
23 *6336:A *417:5 4.26566e-05
24 *6337:A *417:5 8.09995e-05
25 *6338:A *417:5 2.85274e-05
26 *6343:A *417:24 6.50586e-05
27 *398:12 *417:24 0
28 *408:5 *417:5 0.00681533
29 *408:5 *417:11 3.58208e-05
30 *408:13 *417:13 0.00966825
31 *408:13 *417:24 3.01465e-05
32 *408:19 *417:24 0.000269759
33 *413:14 *417:24 0.00254918
*RES
1 *6338:X *417:4 12.2
2 *417:4 *417:5 103.625
3 *417:5 *417:11 2.21429
4 *417:11 *417:13 76.3125
5 *417:13 *417:24 41.0268
6 *417:24 *6200:B1 12.2
*END
*D_NET *418 0.00199733
*CONN
*I *6130:B1 I *D sky130_fd_sc_hd__a22oi_1
*I *6339:X O *D sky130_fd_sc_hd__clkbuf_1
*CAP
1 *6130:B1 0.000661822
2 *6339:X 0.000661822
3 *6130:B1 *500:19 0.000219686
4 *6130:B2 *6130:B1 0.000285513
5 *305:10 *6130:B1 0
6 *407:12 *6130:B1 6.45404e-05
7 *408:19 *6130:B1 0.000103943
*RES
1 *6339:X *6130:B1 38.0786
*END
*D_NET *419 0.0414607
*CONN
*I *6202:B1 I *D sky130_fd_sc_hd__a22oi_1
*I *6340:X O *D sky130_fd_sc_hd__clkbuf_4
*CAP
1 *6202:B1 0.000346421
2 *6340:X 6.83134e-05
3 *419:9 0.0185925
4 *419:8 0.0183144
5 *402:24 *419:9 0.0006746
6 *403:25 *419:9 0.00203379
7 *405:16 *6202:B1 0.00043863
8 *412:14 *6202:B1 0.000992074
*RES
1 *6340:X *419:8 13.4857
2 *419:8 *419:9 200.964
3 *419:9 *6202:B1 25.0214
*END
*D_NET *420 0.0314915
*CONN
*I *6204:B1 I *D sky130_fd_sc_hd__a22oi_1
*I *6341:X O *D sky130_fd_sc_hd__clkbuf_4
*CAP
1 *6204:B1 0.00184334
2 *6341:X 0
3 *420:13 0.0046882
4 *420:11 0.00289472
5 *420:9 0.00373299
6 *420:7 0.00370376
7 *420:5 0.00477862
8 *420:4 0.00475799
9 *6204:B1 *6394:A 0.000163895
10 *6204:B1 *6395:A 0.000689396
11 *6204:B1 *453:179 0
12 *420:13 *6396:A 0.000217937
13 *420:13 *426:13 1.80647e-05
14 *420:13 *427:13 9.82896e-06
15 sram_din0[12] *420:13 0.000171288
16 sram_din0[13] *420:9 2.93863e-05
17 sram_din0[14] *420:9 2.32834e-05
18 sram_din0[15] *420:9 4.95737e-05
19 sram_din0[16] *420:9 7.98549e-05
20 sram_din0[18] *420:9 2.93863e-05
21 sram_din0[20] *420:9 2.93863e-05
22 sram_din0[21] *420:9 2.93863e-05
23 sram_din0[22] *420:5 9.06655e-06
24 sram_din0[22] *420:9 4.152e-06
25 sram_din0[23] *420:5 2.86753e-05
26 sram_din0[25] *420:5 1.05422e-05
27 sram_din0[26] *420:5 3.23019e-05
28 sram_din0[27] *420:5 1.05422e-05
29 sram_din0[28] *420:5 1.05422e-05
30 sram_din0[29] *420:5 1.05422e-05
31 sram_din0[5] *6204:B1 3.82228e-05
32 sram_din0[6] *420:13 0.000171288
33 sram_din0[8] *420:13 0.000171288
34 *6138:A *6204:B1 0.000331365
35 *6145:A1 *6204:B1 1.37669e-05
36 *6145:A1 *420:13 0.000118796
37 *6146:B *6204:B1 2.41483e-05
38 *6148:A *6204:B1 0.0002817
39 *6148:B *6204:B1 0
40 *6269:D *6204:B1 0
41 *6335:A *420:13 6.50727e-05
42 *6341:A *420:5 3.14978e-05
43 *6344:A *6204:B1 0.00017887
44 *6347:A *420:13 7.48797e-05
45 *307:17 *6204:B1 0.00021242
46 *308:25 *6204:B1 0.000650904
47 *309:17 *420:13 0.00106542
48 *315:27 *6204:B1 5.22654e-06
49 *403:24 *6204:B1 0
50 *413:14 *6204:B1 0
*RES
1 *6341:X *420:4 12.2
2 *420:4 *420:5 51.6696
3 *420:5 *420:7 0.428571
4 *420:7 *420:9 61.7321
5 *420:9 *420:11 1.03571
6 *420:11 *420:13 52.9018
7 *420:13 *6204:B1 49.9857
*END
*D_NET *421 0.00158321
*CONN
*I *6132:B1 I *D sky130_fd_sc_hd__a22oi_1
*I *6342:X O *D sky130_fd_sc_hd__clkbuf_1
*CAP
1 *6132:B1 0.000374906
2 *6342:X 0.000374906
3 sram_din0[3] *6132:B1 1.79672e-05
4 *6134:A1 *6132:B1 0.000477142
5 *6198:B1 *6132:B1 0
6 *314:44 *6132:B1 3.31882e-05
7 *408:19 *6132:B1 3.14978e-05
8 *412:14 *6132:B1 0.000273606
*RES
1 *6342:X *6132:B1 33.8107
*END
*D_NET *422 0.00387503
*CONN
*I *6134:B1 I *D sky130_fd_sc_hd__a22oi_1
*I *6343:X O *D sky130_fd_sc_hd__clkbuf_1
*CAP
1 *6134:B1 0.00103501
2 *6343:X 0.00103501
3 *6134:B1 *6134:A2 5.65304e-05
4 *6134:B1 *501:9 4.81849e-05
5 *6134:B1 *501:31 3.13298e-05
6 *6112:A *6134:B1 0.000330596
7 *307:17 *6134:B1 0.00078923
8 *398:12 *6134:B1 0
9 *405:16 *6134:B1 0
10 *408:19 *6134:B1 0.000549153
*RES
1 *6343:X *6134:B1 42.9893
*END
*D_NET *423 0.0019268
*CONN
*I *6139:B1 I *D sky130_fd_sc_hd__a22oi_1
*I *6344:X O *D sky130_fd_sc_hd__clkbuf_1
*CAP
1 *6139:B1 0.00080743
2 *6344:X 0.00080743
3 *6139:B1 *6158:B1 0
4 *6139:B1 *428:27 9.34618e-06
5 *6139:B1 *469:18 0.000207985
6 *6139:A1 *6139:B1 7.78739e-05
7 *6139:A2 *6139:B1 1.67329e-05
*RES
1 *6344:X *6139:B1 39.2393
*END
*D_NET *424 0.00172523
*CONN
*I *6141:B1 I *D sky130_fd_sc_hd__a22oi_1
*I *6345:X O *D sky130_fd_sc_hd__clkbuf_1
*CAP
1 *6141:B1 0.000806823
2 *6345:X 0.000806823
3 *6141:A2 *6141:B1 5.21248e-06
4 *309:17 *6141:B1 0
5 *400:9 *6141:B1 9.46307e-05
6 *400:11 *6141:B1 1.17394e-05
*RES
1 *6345:X *6141:B1 36.025
*END
*D_NET *425 0.00695462
*CONN
*I *6154:B1 I *D sky130_fd_sc_hd__a22oi_1
*I *6346:X O *D sky130_fd_sc_hd__dlymetal6s2s_1
*CAP
1 *6154:B1 0
2 *6346:X 0.00117285
3 *425:11 0.0022088
4 *425:8 0.00338164
5 *425:8 *436:12 0
6 *425:11 *6154:A2 2.77082e-05
7 sram_din0[12] *425:8 0.000120584
8 *6154:A1 *425:11 4.3047e-05
*RES
1 *6346:X *425:8 34.1286
2 *425:8 *425:11 39.5714
3 *425:11 *6154:B1 12.2
*END
*D_NET *426 0.00378733
*CONN
*I *6143:B1 I *D sky130_fd_sc_hd__a22oi_1
*I *6347:X O *D sky130_fd_sc_hd__clkbuf_1
*CAP
1 *6143:B1 0
2 *6347:X 0.00174348
3 *426:13 0.00174348
4 *6094:A *426:13 0
5 *6142:B *426:13 0.000111722
6 *6143:A2 *426:13 1.67329e-05
7 *6347:A *426:13 2.65831e-05
8 *323:30 *426:13 4.08838e-05
9 *323:43 *426:13 8.63904e-05
10 *420:13 *426:13 1.80647e-05
*RES
1 *6347:X *426:13 43.2714
2 *426:13 *6143:B1 12.2
*END
*D_NET *427 0.00508906
*CONN
*I *6145:B1 I *D sky130_fd_sc_hd__a22oi_1
*I *6348:X O *D sky130_fd_sc_hd__clkbuf_1
*CAP
1 *6145:B1 0
2 *6348:X 0.0013816
3 *427:13 0.0013816
4 *427:13 *6395:A 1.39864e-05
5 *427:13 *6396:A 3.0902e-05
6 *427:13 *447:19 0.000740149
7 *427:13 *491:29 6.50586e-05
8 sram_addr0[7] *427:13 9.34396e-06
9 sram_addr0[8] *427:13 0.000118166
10 sram_addr1[7] *427:13 0.000171288
11 sram_addr1[8] *427:13 0.000171288
12 sram_din0[7] *427:13 0.00033061
13 *6145:A1 *427:13 0.000132281
14 *6145:A2 *427:13 1.67329e-05
15 *6345:A *427:13 0.00011818
16 *309:17 *427:13 0.000398045
17 *420:13 *427:13 9.82896e-06
*RES
1 *6348:X *427:13 44.1107
2 *427:13 *6145:B1 12.2
*END
*D_NET *428 0.00695794
*CONN
*I *6147:B1 I *D sky130_fd_sc_hd__a22oi_1
*I *6349:X O *D sky130_fd_sc_hd__clkbuf_1
*CAP
1 *6147:B1 0
2 *6349:X 0.000371341
3 *428:27 0.00251491
4 *428:10 0.00288625
5 *428:10 *480:18 6.08467e-05
6 *428:27 *6158:B1 4.51176e-05
7 *428:27 *6272:CLK 0.000220183
8 *428:27 *491:36 1.66626e-05
9 sram_din0[9] *428:10 0
10 *6139:B1 *428:27 9.34618e-06
11 *6147:A2 *428:27 2.16355e-05
12 *6272:D *428:27 0.000183985
13 *6349:A *428:10 5.41377e-05
14 *308:25 *428:27 7.08723e-06
15 *322:24 *428:27 0.000248046
16 *324:15 *428:27 0.000149294
17 *402:7 *428:27 0.000169098
*RES
1 *6349:X *428:10 18.575
2 *428:10 *428:27 46.1758
3 *428:27 *6147:B1 12.2
*END
*D_NET *429 0.00153373
*CONN
*I *6234:A1 I *D sky130_fd_sc_hd__mux2_1
*I *6350:X O *D sky130_fd_sc_hd__clkbuf_1
*CAP
1 *6234:A1 0.00050648
2 *6350:X 0.00050648
3 *6234:A1 *453:432 0.000148114
4 *6234:A1 *474:9 1.99543e-05
5 *6235:A *6234:A1 7.13972e-05
6 *6308:D *6234:A1 0.000281309
*RES
1 *6350:X *6234:A1 33.2929
*END
*D_NET *430 0.00138919
*CONN
*I *6237:A1 I *D sky130_fd_sc_hd__mux2_1
*I *6351:X O *D sky130_fd_sc_hd__clkbuf_1
*CAP
1 *6237:A1 0.000457971
2 *6351:X 0.000457971
3 *6237:A1 *6237:A0 6.08467e-05
4 *6237:A1 *6403:A 0.00020502
5 *6237:A1 *488:54 0.000120685
6 *6237:S *6237:A1 6.50586e-05
7 *6238:A *6237:A1 2.16355e-05
*RES
1 *6351:X *6237:A1 32.5786
*END
*D_NET *431 0.00316894
*CONN
*I *6239:A1 I *D sky130_fd_sc_hd__mux2_1
*I *6352:X O *D sky130_fd_sc_hd__clkbuf_1
*CAP
1 *6239:A1 0.000651574
2 *6352:X 0.000651574
3 *6239:A1 *475:29 0.000440512
4 *6239:A1 *488:54 5.04829e-06
5 *6239:A1 *492:39 2.19131e-05
6 wb_data_o[11] *6239:A1 7.50872e-05
7 *6239:S *6239:A1 0.000151333
8 *6240:A *6239:A1 0.000269499
9 *6352:A *6239:A1 0.000117396
10 *382:13 *6239:A1 0.000785004
*RES
1 *6352:X *6239:A1 39.6857
*END
*D_NET *432 0.00164518
*CONN
*I *6241:A1 I *D sky130_fd_sc_hd__mux2_1
*I *6353:X O *D sky130_fd_sc_hd__clkbuf_1
*CAP
1 *6241:A1 0.000504236
2 *6353:X 0.000504236
3 *6241:A1 *6241:A0 6.08467e-05
4 *6241:A1 *6405:A 0.000200794
5 *6241:A1 *476:25 0.000109614
6 *6241:A1 *492:39 1.89902e-05
7 *6241:A1 *492:41 0.00021314
8 wb_data_o[12] *6241:A1 1.91246e-05
9 *6241:S *6241:A1 1.41976e-05
*RES
1 *6353:X *6241:A1 34.5964
*END
*D_NET *433 0.00269615
*CONN
*I *6243:A1 I *D sky130_fd_sc_hd__mux2_1
*I *6354:X O *D sky130_fd_sc_hd__clkbuf_1
*CAP
1 *6243:A1 0.000863913
2 *6354:X 0.000863913
3 *6243:A1 *6245:A1 9.98756e-05
4 *6243:A1 *6406:A 1.61631e-05
5 *6243:A1 *453:471 0.000101118
6 *6243:A1 *495:30 9.75356e-05
7 *6243:S *6243:A1 0.00038196
8 *6244:A *6243:A1 0.000271674
*RES
1 *6354:X *6243:A1 38.9714
*END
*D_NET *434 0.00127302
*CONN
*I *6245:A1 I *D sky130_fd_sc_hd__mux2_1
*I *6355:X O *D sky130_fd_sc_hd__clkbuf_1
*CAP
1 *6245:A1 0.000399983
2 *6355:X 0.000399983
3 *6245:A1 *6245:A0 7.92757e-06
4 *6245:A1 *6312:CLK 0.000211492
5 *6245:A1 *492:41 0.000127194
6 *6243:A1 *6245:A1 9.98756e-05
7 *6245:S *6245:A1 5.04829e-06
8 *6246:A *6245:A1 2.15184e-05
*RES
1 *6355:X *6245:A1 32.1679
*END
*D_NET *435 0.00264526
*CONN
*I *6248:A1 I *D sky130_fd_sc_hd__mux2_1
*I *6356:X O *D sky130_fd_sc_hd__clkbuf_1
*CAP
1 *6248:A1 0.00113864
2 *6356:X 0.00113864
3 *6248:A1 *6099:A 4.97193e-05
4 *6248:A1 *6248:A0 1.79807e-05
5 *6248:A1 *488:61 0.000211464
6 *6248:A1 *498:18 8.88083e-05
*RES
1 *6356:X *6248:A1 38.7036
*END
*D_NET *436 0.0147001
*CONN
*I *6156:B1 I *D sky130_fd_sc_hd__a22oi_1
*I *6357:X O *D sky130_fd_sc_hd__dlymetal6s2s_1
*CAP
1 *6156:B1 0
2 *6357:X 0.00015197
3 *436:25 0.00335157
4 *436:12 0.00509438
5 *436:9 0.00189478
6 *436:9 *447:17 0.000489212
7 *436:9 *447:19 3.33861e-05
8 *436:9 *480:11 0.00121186
9 *436:25 *6152:A2 2.22198e-05
10 *436:25 *6156:A2 0.000143528
11 sram_din0[12] *436:12 0
12 *6152:B1 *436:25 0.0018418
13 *6152:B2 *436:25 3.20536e-05
14 *6154:A1 *436:25 5.12246e-05
15 *6346:A *436:9 6.50727e-05
16 *6346:A *436:12 3.31882e-05
17 *6357:A *436:9 0.000171288
18 *331:27 *436:25 1.00937e-05
19 *331:39 *436:25 0.000102487
20 *425:8 *436:12 0
*RES
1 *6357:X *436:9 22.325
2 *436:9 *436:12 31.5179
3 *436:12 *436:25 44.3036
4 *436:25 *6156:B1 12.2
*END
*D_NET *437 0.0014897
*CONN
*I *6250:A1 I *D sky130_fd_sc_hd__mux2_1
*I *6358:X O *D sky130_fd_sc_hd__clkbuf_1
*CAP
1 *6250:A1 0.000608482
2 *6358:X 0.000608482
3 *6250:A1 *6250:A0 6.50727e-05
4 *6250:A1 *6315:CLK 1.43983e-05
5 *6250:A1 *481:41 1.61631e-05
6 *6250:A1 *495:30 2.04806e-05
7 *6250:A1 *496:44 5.53789e-05
8 *6251:A *6250:A1 9.80577e-05
9 *6315:D *6250:A1 3.18826e-06
*RES
1 *6358:X *6250:A1 32.7036
*END
*D_NET *438 0.00316381
*CONN
*I *6252:A1 I *D sky130_fd_sc_hd__mux2_1
*I *6359:X O *D sky130_fd_sc_hd__clkbuf_1
*CAP
1 *6252:A1 0.00125875
2 *6359:X 0.00125875
3 *6252:A1 *6099:D 1.1095e-05
4 *6252:A1 *6316:CLK 0.000500086
5 *6252:A1 *6410:A 6.3657e-05
6 *6253:A *6252:A1 7.14746e-05
*RES
1 *6359:X *6252:A1 40.4357
*END
*D_NET *439 0.0019234
*CONN
*I *6254:A1 I *D sky130_fd_sc_hd__mux2_1
*I *6360:X O *D sky130_fd_sc_hd__clkbuf_1
*CAP
1 *6254:A1 0.000543567
2 *6360:X 0.000543567
3 *6254:A1 *483:18 0.000761178
4 *6254:A1 *492:41 7.50872e-05
*RES
1 *6360:X *6254:A1 31.9714
*END
*D_NET *440 0.00488436
*CONN
*I *6256:A1 I *D sky130_fd_sc_hd__mux2_1
*I *6361:X O *D sky130_fd_sc_hd__clkbuf_1
*CAP
1 *6256:A1 0
2 *6361:X 0.00144901
3 *440:11 0.00144901
4 *440:11 *6098:A 1.75625e-05
5 *440:11 *6256:A0 0.00022067
6 *440:11 *6260:A1 6.46376e-05
7 *440:11 *514:11 1.4267e-05
8 *6260:S *440:11 0.000210067
9 *6262:S *440:11 2.09495e-05
10 *6361:A *440:11 4.31703e-05
11 *298:17 *440:11 0.000561875
12 *375:30 *440:11 0.000587936
13 *375:41 *440:11 0.0002452
*RES
1 *6361:X *440:11 41.9321
2 *440:11 *6256:A1 12.2
*END
*D_NET *441 0.000264614
*CONN
*I *6258:A1 I *D sky130_fd_sc_hd__mux2_1
*I *6362:X O *D sky130_fd_sc_hd__clkbuf_1
*CAP
1 *6258:A1 0.00011299
2 *6362:X 0.00011299
3 *6258:A1 *6258:A0 3.14978e-05
4 *6258:A1 *495:43 0
5 *6258:A1 *498:18 0
6 *6362:A *6258:A1 7.13655e-06
*RES
1 *6362:X *6258:A1 26.2214
*END
*D_NET *442 0.0034021
*CONN
*I *6260:A1 I *D sky130_fd_sc_hd__mux2_1
*I *6363:X O *D sky130_fd_sc_hd__clkbuf_1
*CAP
1 *6260:A1 0.00142218
2 *6363:X 0.00142218
3 *6260:A1 *514:11 0.000304956
4 *6260:S *6260:A1 0.000110297
5 *6261:A *6260:A1 0
6 *6262:S *6260:A1 4.40506e-05
7 *6320:D *6260:A1 3.37994e-05
8 *440:11 *6260:A1 6.46376e-05
*RES
1 *6363:X *6260:A1 48.4357
*END
*D_NET *443 0.00307323
*CONN
*I *6262:A1 I *D sky130_fd_sc_hd__mux2_1
*I *6364:X O *D sky130_fd_sc_hd__clkbuf_1
*CAP
1 *6262:A1 0.000883325
2 *6364:X 0.000883325
3 *6262:A1 *6415:A 0.000169041
4 *6262:A1 *495:43 0.000791207
5 *6262:A1 *496:44 0
6 *6263:A *6262:A1 0.000346333
*RES
1 *6364:X *6262:A1 40.9
*END
*D_NET *444 0.00136732
*CONN
*I *6216:A1 I *D sky130_fd_sc_hd__mux2_1
*I *6365:X O *D sky130_fd_sc_hd__clkbuf_1
*CAP
1 *6216:A1 0.000619677
2 *6365:X 0.000619677
3 *6216:A1 *497:26 3.4922e-05
4 *367:9 *6216:A1 1.79672e-05
5 *369:18 *6216:A1 7.50722e-05
*RES
1 *6365:X *6216:A1 31.5607
*END
*D_NET *445 0.00131608
*CONN
*I *6218:A1 I *D sky130_fd_sc_hd__mux2_1
*I *6366:X O *D sky130_fd_sc_hd__clkbuf_1
*CAP
1 *6218:A1 0.00058623
2 *6366:X 0.00058623
3 *6218:A1 *495:15 5.05841e-05
4 *369:12 *6218:A1 7.50722e-05
5 *401:21 *6218:A1 1.79672e-05
*RES
1 *6366:X *6218:A1 31.15
*END
*D_NET *446 0.00344894
*CONN
*I *6220:A1 I *D sky130_fd_sc_hd__mux2_1
*I *6367:X O *D sky130_fd_sc_hd__clkbuf_1
*CAP
1 *6220:A1 0.00113747
2 *6367:X 0.00113747
3 *6220:A1 *6220:A0 0.00016763
4 *6220:A1 *6427:A 0.000163404
5 *6221:A *6220:A1 0.00035643
6 *6223:A *6220:A1 1.71806e-05
7 *6302:D *6220:A1 0.000162853
8 *368:18 *6220:A1 0.000306497
*RES
1 *6367:X *6220:A1 45.4
*END
*D_NET *447 0.0217198
*CONN
*I *6158:B1 I *D sky130_fd_sc_hd__a22oi_1
*I *6368:X O *D sky130_fd_sc_hd__clkbuf_2
*CAP
1 *6158:B1 0.00115072
2 *6368:X 0.000276961
3 *447:19 0.00194448
4 *447:17 0.00107072
5 *6158:B1 *453:269 0
6 *6158:B1 *469:18 0
7 *6158:B1 *480:31 0.00189294
8 *447:17 *480:11 0.000412316
9 *447:19 *480:11 9.82896e-06
10 *447:19 *480:18 0.0008551
11 *447:19 *491:29 0.000706661
12 sram_addr0[6] *447:19 0.00027329
13 sram_addr0[7] *447:19 0.000224381
14 sram_addr0[8] *447:19 0.000118166
15 sram_din0[10] *447:19 0.000171288
16 sram_din0[11] *447:19 0.000171288
17 sram_din0[14] *447:17 1.55462e-05
18 sram_din0[5] *6158:B1 0.000113374
19 sram_din0[7] *447:19 0.00033061
20 sram_din0[9] *447:19 6.50586e-05
21 *6137:A *6158:B1 0.000175366
22 *6139:B1 *6158:B1 0
23 *6150:A *6158:B1 0.000162048
24 *6158:B2 *6158:B1 0.000556846
25 *6159:B *6158:B1 9.56205e-05
26 *6346:A *447:19 6.50727e-05
27 *6348:A *447:19 0.000118166
28 *6349:A *447:19 0.00011818
29 *6357:A *447:17 0.000171288
30 *6368:A *447:17 1.77537e-06
31 *332:11 *6158:B1 8.01837e-05
32 *408:12 *447:17 8.62625e-06
33 *417:13 *447:17 0.001657
34 *417:13 *447:19 0.00739903
35 *427:13 *447:19 0.000740149
36 *428:27 *6158:B1 4.51176e-05
37 *436:9 *447:17 0.000489212
38 *436:9 *447:19 3.33861e-05
*RES
1 *6368:X *447:17 28.3786
2 *447:17 *447:19 58.0357
3 *447:19 *6158:B1 45.8071
*END
*D_NET *448 0.00125727
*CONN
*I *6222:A1 I *D sky130_fd_sc_hd__mux2_1
*I *6369:X O *D sky130_fd_sc_hd__clkbuf_1
*CAP
1 *6222:A1 0.000350123
2 *6369:X 0.000350123
3 *6222:A1 *6304:CLK 0.000257972
4 *6222:A1 *477:44 0.000191526
5 *6222:A1 *481:25 8.27419e-05
6 *6223:A *6222:A1 2.47808e-05
*RES
1 *6369:X *6222:A1 30.7036
*END
*D_NET *449 0.00186537
*CONN
*I *6226:A1 I *D sky130_fd_sc_hd__mux2_1
*I *6370:X O *D sky130_fd_sc_hd__clkbuf_1
*CAP
1 *6226:A1 0.000630541
2 *6370:X 0.000630541
3 *6226:A1 *6226:A0 1.79807e-05
4 *6226:A1 *477:44 0.000101133
5 *6226:A1 *490:24 3.33532e-05
6 *6226:A1 *498:18 0
7 *6304:D *6226:A1 0.000398089
8 *6370:A *6226:A1 4.58003e-05
9 *402:42 *6226:A1 7.92757e-06
*RES
1 *6370:X *6226:A1 33.2214
*END
*D_NET *450 0.00284948
*CONN
*I *6228:A1 I *D sky130_fd_sc_hd__mux2_1
*I *6371:X O *D sky130_fd_sc_hd__clkbuf_1
*CAP
1 *6228:A1 0.000948765
2 *6371:X 0.000948765
3 *6228:A1 *6306:CLK 1.84969e-05
4 *6228:A1 *486:11 1.31764e-05
5 *6228:A1 *490:24 0.000644189
6 *6306:D *6228:A1 5.68237e-06
7 *6371:A *6228:A1 1.82679e-05
8 *6383:A *6228:A1 6.92705e-05
9 *376:16 *6228:A1 2.75427e-05
10 *376:18 *6228:A1 0.000155324
*RES
1 *6371:X *6228:A1 39.7929
*END
*D_NET *451 0.00226787
*CONN
*I *6230:A1 I *D sky130_fd_sc_hd__mux2_1
*I *6372:X O *D sky130_fd_sc_hd__clkbuf_1
*CAP
1 *6230:A1 0.000550844
2 *6372:X 0.000550844
3 *6230:A1 *6230:A0 6.50586e-05
4 *6230:A1 *479:38 0.000313495
5 *6230:A1 *481:28 0.000310094
6 *6230:A1 *495:29 0.0003014
7 *6230:A1 *496:43 6.58333e-05
8 *401:21 *6230:A1 0.000110297
*RES
1 *6372:X *6230:A1 34.7214
*END
*D_NET *452 0.00160546
*CONN
*I *6232:A1 I *D sky130_fd_sc_hd__mux2_1
*I *6373:X O *D sky130_fd_sc_hd__clkbuf_1
*CAP
1 *6232:A1 0.000510353
2 *6373:X 0.000510353
3 *6232:A1 *471:8 4.3116e-06
4 *6232:A1 *488:54 1.58247e-05
5 *6232:A1 *492:36 0.00011818
6 wb_data_o[8] *6232:A1 7.50872e-05
7 *6233:A *6232:A1 0.000100493
8 *6373:A *6232:A1 0.000134973
9 *6386:A *6232:A1 0.000135881
*RES
1 *6373:X *6232:A1 33.8286
*END
*D_NET *453 0.104643
*CONN
*I *6264:CLK I *D sky130_fd_sc_hd__dfxtp_1
*I *6296:CLK I *D sky130_fd_sc_hd__dfxtp_1
*I *6323:A I *D sky130_fd_sc_hd__clkbuf_1
*I *6302:CLK I *D sky130_fd_sc_hd__dfxtp_1
*I *6299:CLK I *D sky130_fd_sc_hd__dfxtp_1
*I *6292:CLK I *D sky130_fd_sc_hd__dfxtp_2
*I *6295:CLK I *D sky130_fd_sc_hd__dfxtp_2
*I *6279:CLK I *D sky130_fd_sc_hd__dfxtp_2
*I *6281:CLK I *D sky130_fd_sc_hd__dfxtp_2
*I *6282:CLK I *D sky130_fd_sc_hd__dfxtp_2
*I *6283:CLK I *D sky130_fd_sc_hd__dfxtp_2
*I *6284:CLK I *D sky130_fd_sc_hd__dfxtp_2
*I *6286:CLK I *D sky130_fd_sc_hd__dfxtp_2
*I *6288:CLK I *D sky130_fd_sc_hd__dfxtp_2
*I *6307:CLK I *D sky130_fd_sc_hd__dfxtp_1
*I *6321:CLK I *D sky130_fd_sc_hd__dfxtp_1
*I *6319:CLK I *D sky130_fd_sc_hd__dfxtp_1
*I *6318:CLK I *D sky130_fd_sc_hd__dfxtp_1
*I *6320:CLK I *D sky130_fd_sc_hd__dfxtp_1
*I *6317:CLK I *D sky130_fd_sc_hd__dfxtp_1
*I *6316:CLK I *D sky130_fd_sc_hd__dfxtp_1
*I *6315:CLK I *D sky130_fd_sc_hd__dfxtp_1
*I *6314:CLK I *D sky130_fd_sc_hd__dfxtp_1
*I *6313:CLK I *D sky130_fd_sc_hd__dfxtp_1
*I *6312:CLK I *D sky130_fd_sc_hd__dfxtp_1
*I *6311:CLK I *D sky130_fd_sc_hd__dfxtp_1
*I *6310:CLK I *D sky130_fd_sc_hd__dfxtp_1
*I *6309:CLK I *D sky130_fd_sc_hd__dfxtp_1
*I *6308:CLK I *D sky130_fd_sc_hd__dfxtp_1
*I *6306:CLK I *D sky130_fd_sc_hd__dfxtp_1
*I *6285:CLK I *D sky130_fd_sc_hd__dfxtp_1
*I *6287:CLK I *D sky130_fd_sc_hd__dfxtp_2
*I *6305:CLK I *D sky130_fd_sc_hd__dfxtp_1
*I *6291:CLK I *D sky130_fd_sc_hd__dfxtp_2
*I *6280:CLK I *D sky130_fd_sc_hd__dfxtp_2
*I *6274:CLK I *D sky130_fd_sc_hd__dfxtp_2
*I *6276:CLK I *D sky130_fd_sc_hd__dfxtp_2
*I *6277:CLK I *D sky130_fd_sc_hd__dfxtp_2
*I *6278:CLK I *D sky130_fd_sc_hd__dfxtp_2
*I *6275:CLK I *D sky130_fd_sc_hd__dfxtp_2
*I *6271:CLK I *D sky130_fd_sc_hd__dfxtp_2
*I *6270:CLK I *D sky130_fd_sc_hd__dfxtp_2
*I *6272:CLK I *D sky130_fd_sc_hd__dfxtp_2
*I *6269:CLK I *D sky130_fd_sc_hd__dfxtp_1
*I *6273:CLK I *D sky130_fd_sc_hd__dfxtp_2
*I *6267:CLK I *D sky130_fd_sc_hd__dfxtp_1
*I *6268:CLK I *D sky130_fd_sc_hd__dfxtp_1
*I *6290:CLK I *D sky130_fd_sc_hd__dfxtp_2
*I *6289:CLK I *D sky130_fd_sc_hd__dfxtp_2
*I *6293:CLK I *D sky130_fd_sc_hd__dfxtp_2
*I *6294:CLK I *D sky130_fd_sc_hd__dfxtp_2
*I *6298:CLK I *D sky130_fd_sc_hd__dfxtp_1
*I *6266:CLK I *D sky130_fd_sc_hd__dfxtp_1
*I *6265:CLK I *D sky130_fd_sc_hd__dfxtp_1
*I *6304:CLK I *D sky130_fd_sc_hd__dfxtp_1
*I *6303:CLK I *D sky130_fd_sc_hd__dfxtp_1
*I *6301:CLK I *D sky130_fd_sc_hd__dfxtp_1
*I *6300:CLK I *D sky130_fd_sc_hd__dfxtp_1
*I *6297:CLK I *D sky130_fd_sc_hd__dfxtp_1
*I *6322:A I *D sky130_fd_sc_hd__clkbuf_1
*I *6374:X O *D sky130_fd_sc_hd__buf_12
*CAP
1 *6264:CLK 0.00126133
2 *6296:CLK 3.72591e-05
3 *6323:A 0
4 *6302:CLK 0
5 *6299:CLK 0
6 *6292:CLK 0.000821296
7 *6295:CLK 0
8 *6279:CLK 0
9 *6281:CLK 0
10 *6282:CLK 0
11 *6283:CLK 0
12 *6284:CLK 0.00013153
13 *6286:CLK 0
14 *6288:CLK 0
15 *6307:CLK 0
16 *6321:CLK 0.00030896
17 *6319:CLK 4.17154e-05
18 *6318:CLK 1.67539e-05
19 *6320:CLK 0.000635591
20 *6317:CLK 4.17154e-05
21 *6316:CLK 0.000464365
22 *6315:CLK 2.97789e-05
23 *6314:CLK 0.000396249
24 *6313:CLK 9.78248e-05
25 *6312:CLK 0.000454874
26 *6311:CLK 0.000274979
27 *6310:CLK 0.000257255
28 *6309:CLK 0.000235638
29 *6308:CLK 4.17153e-05
30 *6306:CLK 0.000467102
31 *6285:CLK 0.000182304
32 *6287:CLK 2.06324e-05
33 *6305:CLK 0.000609876
34 *6291:CLK 0
35 *6280:CLK 0
36 *6274:CLK 0.000243744
37 *6276:CLK 0.000571494
38 *6277:CLK 0.000130885
39 *6278:CLK 0
40 *6275:CLK 0.00137613
41 *6271:CLK 0
42 *6270:CLK 0.000256725
43 *6272:CLK 0.000424618
44 *6269:CLK 0.000168441
45 *6273:CLK 0
46 *6267:CLK 0.000231585
47 *6268:CLK 0.000160559
48 *6290:CLK 9.76302e-05
49 *6289:CLK 0.000818556
50 *6293:CLK 0
51 *6294:CLK 0
52 *6298:CLK 0
53 *6266:CLK 0.000358847
54 *6265:CLK 0.000248988
55 *6304:CLK 0.000311122
56 *6303:CLK 0
57 *6301:CLK 4.17154e-05
58 *6300:CLK 0
59 *6297:CLK 1.38635e-05
60 *6322:A 6.46655e-05
61 *6374:X 3.85324e-05
62 *453:649 0.00179405
63 *453:562 0.000424099
64 *453:542 0.000956339
65 *453:539 0.000566516
66 *453:530 0.00029577
67 *453:521 0.00065827
68 *453:512 0.000499306
69 *453:497 0.000894356
70 *453:486 0.000354346
71 *453:471 0.000953108
72 *453:454 0.000814885
73 *453:441 0.000691999
74 *453:432 0.000929179
75 *453:419 0.000598978
76 *453:405 0.000730635
77 *453:401 0.000671381
78 *453:389 0.00114376
79 *453:373 0.000724099
80 *453:370 0.00094371
81 *453:362 0.00136435
82 *453:349 0.00149378
83 *453:344 0.00105952
84 *453:339 0.00118314
85 *453:335 0.000932768
86 *453:334 0.00118779
87 *453:327 0.00154718
88 *453:319 0.00158449
89 *453:303 0.00151871
90 *453:280 0.000988014
91 *453:271 0.00030366
92 *453:269 0.00099574
93 *453:258 0.000370543
94 *453:245 0.00168015
95 *453:221 0.000948985
96 *453:218 0.000522269
97 *453:214 0.00112211
98 *453:181 0.00116093
99 *453:179 0.00113129
100 *453:174 0.000673919
101 *453:171 0.000982141
102 *453:163 0.000378823
103 *453:140 0.00147853
104 *453:131 0.000873363
105 *453:128 0.00119527
106 *453:120 0.00144261
107 *453:113 0.000686822
108 *453:111 0.000941246
109 *453:101 0.000821139
110 *453:87 0.000837437
111 *453:85 0.00121222
112 *453:78 0.0013459
113 *453:60 0.000954236
114 *453:51 0.000455134
115 *453:40 0.000456672
116 *453:33 0.000502567
117 *453:28 0.000482849
118 *453:10 7.8529e-05
119 *453:8 0.000528223
120 *453:5 0.000858789
121 *6264:CLK *6401:A 7.00856e-05
122 *6264:CLK *501:31 0.000288084
123 *6266:CLK *6128:A2 0
124 *6266:CLK *6413:A 0
125 *6272:CLK *469:18 0
126 *6275:CLK *6160:A2 5.62792e-06
127 *6275:CLK *478:7 4.73037e-06
128 *6276:CLK *478:8 0.000420889
129 *6277:CLK *477:12 6.75939e-05
130 *6284:CLK *6179:A2 0
131 *6289:CLK *481:17 0.000309408
132 *6290:CLK *481:17 3.64685e-05
133 *6292:CLK *6091:B 0.000190028
134 *6292:CLK *495:15 0.000355261
135 *6292:CLK *525:9 0
136 *6304:CLK *6222:A0 0.000148144
137 *6304:CLK *6226:A0 3.06126e-05
138 *6304:CLK *477:44 2.39535e-05
139 *6304:CLK *498:18 0
140 *6305:CLK *6113:A 0.000990858
141 *6305:CLK *488:34 0.00026356
142 *6306:CLK *6232:A0 8.52652e-05
143 *6306:CLK *486:11 0.000111722
144 *6306:CLK *490:24 0.000351415
145 *6310:CLK *6241:A0 0.00031994
146 *6310:CLK *476:25 0.00023709
147 *6311:CLK *490:36 3.51982e-05
148 *6312:CLK *6245:A0 6.0573e-05
149 *6318:CLK *484:20 6.50727e-05
150 *6320:CLK *6098:A 0
151 *6320:CLK *6098:C 0
152 *6320:CLK *6098:D 0.000320287
153 *6320:CLK *498:18 0
154 *6321:CLK *490:44 0.000764792
155 *6321:CLK *496:44 0.000343764
156 *6322:A *6398:A 1.64789e-05
157 *6322:A *473:40 2.20702e-05
158 *453:8 *6389:A 0
159 *453:28 *6389:A 0
160 *453:28 *6399:A 2.75292e-05
161 *453:28 *473:40 3.25751e-05
162 *453:33 *6399:A 5.0715e-05
163 *453:33 *471:8 0.000396926
164 *453:40 *471:8 0.000773887
165 *453:51 *471:8 0.000776001
166 *453:60 *6222:A0 9.18559e-06
167 *453:78 *6109:A 0.000161863
168 *453:78 *6222:A0 8.70665e-05
169 *453:85 *6109:A 8.66935e-05
170 *453:85 *6111:A 4.23874e-05
171 *453:85 *6117:A 0.000115615
172 *453:101 *498:17 0.00132255
173 *453:120 *6122:A 0.00020979
174 *453:120 *524:10 9.63981e-05
175 *453:214 *6204:A2 0.0002036
176 *453:218 *469:18 8.50941e-05
177 *453:221 *469:18 0
178 *453:245 *469:18 0.000215693
179 *453:258 *469:18 2.71542e-05
180 *453:269 *6158:A2 0.000148114
181 *453:269 *469:18 0.000187125
182 *453:280 *477:5 4.22695e-05
183 *453:280 *477:12 5.20546e-06
184 *453:303 *6158:A2 0.000107729
185 *453:303 *469:18 0.000198957
186 *453:303 *480:31 0
187 *453:319 *499:21 0
188 *453:327 *6170:A2 3.97254e-05
189 *453:327 *479:34 7.58739e-05
190 *453:335 *479:34 0.000875282
191 *453:335 *479:38 7.34948e-06
192 *453:339 *479:38 0.000350681
193 *453:370 *6111:A 5.04829e-06
194 *453:370 *6117:A 0.000115585
195 *453:370 *481:25 0.000472832
196 *453:370 *502:29 0.000191041
197 *453:373 *488:34 2.32041e-05
198 *453:389 *6117:A 0
199 *453:389 *502:29 0.000358485
200 *453:401 *6117:A 0.00012864
201 *453:401 *6232:A0 0.00019862
202 *453:401 *502:29 9.6019e-05
203 *453:405 *6232:A0 3.64685e-05
204 *453:419 *6232:A0 0.000127194
205 *453:419 *490:24 0.000382619
206 *453:419 *498:18 0
207 *453:432 *490:24 0.00044883
208 *453:432 *490:36 0.000229111
209 *453:432 *498:18 0
210 *453:441 *490:36 0.000572729
211 *453:441 *498:18 0
212 *453:454 *490:36 0.000111358
213 *453:454 *498:18 0
214 *453:471 *6406:A 0.000179286
215 *453:471 *495:30 5.62007e-05
216 *453:471 *496:44 0.000186626
217 *453:471 *498:18 0
218 *453:486 *495:30 7.77309e-06
219 *453:486 *496:44 0.000180061
220 *453:497 *481:41 0.000394828
221 *453:497 *495:30 3.89332e-06
222 *453:497 *496:44 0.00055178
223 *453:512 *481:41 5.56367e-05
224 *453:512 *488:54 9.24241e-05
225 *453:512 *490:44 0.000532831
226 *453:512 *496:44 0.000242587
227 *453:521 *490:44 0.000360156
228 *453:521 *496:44 0.000159214
229 *453:530 *490:44 0.000194592
230 *453:530 *496:44 9.0014e-05
231 *453:539 *490:44 0.000582892
232 *453:539 *496:44 0.000263612
233 *453:542 *484:20 0.000991017
234 *453:562 *490:44 0.000189511
235 *453:562 *496:44 8.50941e-05
236 *453:649 *6389:A 0.0002646
237 *453:649 *6401:A 8.49565e-06
238 *453:649 *455:20 0.000107496
239 sram_addr0[0] *6297:CLK 0.00011818
240 sram_addr0[0] *6322:A 6.08467e-05
241 sram_addr0[0] *453:8 0.000531226
242 sram_addr0[0] *453:28 2.09495e-05
243 sram_addr1[0] *453:649 2.42273e-05
244 sram_csb0 *453:649 0.00011818
245 sram_din0[0] *453:649 0.000118485
246 sram_web0 *453:28 0
247 wb_stall_o *453:8 4.15912e-05
248 *6107:A *6265:CLK 1.84293e-05
249 *6137:A *453:218 4.01437e-05
250 *6138:A *453:174 0.000162673
251 *6138:A *453:179 0.000175351
252 *6142:A *6270:CLK 8.95863e-05
253 *6143:B2 *6272:CLK 0
254 *6143:B2 *453:221 0
255 *6144:A *6270:CLK 2.16355e-05
256 *6144:B *6270:CLK 2.61012e-05
257 *6146:A *6272:CLK 3.49272e-05
258 *6150:A *453:218 1.50262e-05
259 *6150:A *453:245 9.70133e-05
260 *6154:B2 *6275:CLK 1.77537e-06
261 *6158:B1 *453:269 0
262 *6158:B2 *453:245 0
263 *6158:B2 *453:258 0
264 *6158:B2 *453:269 1.61274e-05
265 *6159:B *453:269 0
266 *6159:B *453:303 0
267 *6168:B2 *453:303 4.01437e-05
268 *6171:A *453:319 2.65831e-05
269 *6171:B *453:319 1.90335e-05
270 *6179:B2 *453:344 4.94304e-05
271 *6180:A *453:339 2.65831e-05
272 *6180:A *453:344 6.23875e-05
273 *6180:B *6284:CLK 3.31882e-05
274 *6180:B *453:344 7.58595e-05
275 *6183:B1 *453:344 0
276 *6186:B *453:389 9.69453e-05
277 *6198:B1 *6267:CLK 0.000245958
278 *6201:A *453:140 0
279 *6204:B1 *453:179 0
280 *6205:B *453:171 1.61631e-05
281 *6205:B *453:214 4.0752e-05
282 *6212:B1 *453:28 5.04829e-06
283 *6222:A1 *6304:CLK 0.000257972
284 *6222:S *6304:CLK 0.0002212
285 *6228:A1 *6306:CLK 1.84969e-05
286 *6234:A1 *453:432 0.000148114
287 *6242:A *453:471 6.50586e-05
288 *6243:A1 *453:471 0.000101118
289 *6245:A1 *6312:CLK 0.000211492
290 *6245:S *6312:CLK 1.19856e-05
291 *6246:A *6312:CLK 6.50727e-05
292 *6246:A *453:486 7.48736e-05
293 *6246:A *453:497 1.90335e-05
294 *6250:A1 *6315:CLK 1.43983e-05
295 *6252:A1 *6316:CLK 0.000500086
296 *6257:A *6320:CLK 2.78452e-05
297 *6262:S *6320:CLK 0.000244176
298 *6268:D *6268:CLK 2.13584e-05
299 *6271:D *453:221 0.000102348
300 *6272:D *6272:CLK 0.000224381
301 *6277:D *6277:CLK 2.13584e-05
302 *6278:D *6275:CLK 3.18826e-06
303 *6280:D *453:303 0.000153393
304 *6280:D *453:319 0.000137345
305 *6281:D *453:327 2.54559e-05
306 *6283:D *453:335 0.000282684
307 *6284:D *6284:CLK 0.000130777
308 *6287:D *453:373 7.02539e-05
309 *6287:D *453:389 6.31809e-05
310 *6289:D *6289:CLK 3.18826e-06
311 *6293:D *453:131 1.07248e-05
312 *6293:D *453:140 0.00015321
313 *6294:D *453:128 1.87611e-05
314 *6296:D *6264:CLK 2.27683e-05
315 *6298:D *453:120 0.000146948
316 *6299:D *453:87 3.55296e-05
317 *6302:D *453:85 2.13584e-05
318 *6303:D *453:60 2.13584e-05
319 *6305:D *6305:CLK 0.000169407
320 *6306:D *6306:CLK 6.67095e-06
321 *6307:D *453:401 0.000233788
322 *6308:D *453:432 7.41058e-05
323 *6309:D *6309:CLK 9.5989e-05
324 *6311:D *6311:CLK 1.87611e-05
325 *6313:D *453:486 3.31733e-05
326 *6313:D *453:497 9.75356e-05
327 *6314:D *6314:CLK 0.000177886
328 *6316:D *6316:CLK 0.000158332
329 *6318:D *6320:CLK 0.000104731
330 *6375:A *453:649 2.40299e-05
331 *6377:A *453:8 1.91246e-05
332 *6377:A *453:649 0.00021369
333 *6383:A *453:370 3.25887e-05
334 *6383:A *453:389 4.3116e-06
335 *292:10 *6292:CLK 0
336 *296:33 *453:344 0
337 *296:51 *6284:CLK 0
338 *296:51 *453:344 0
339 *307:10 *6266:CLK 0.000176827
340 *307:10 *6292:CLK 0.000232645
341 *307:10 *453:111 7.09395e-05
342 *308:11 *453:349 1.84293e-05
343 *308:11 *453:362 9.08435e-05
344 *309:11 *6274:CLK 0.000502689
345 *309:11 *6277:CLK 0.000470571
346 *309:11 *453:280 0.000728369
347 *313:8 *453:120 0.000195605
348 *313:30 *453:171 4.62338e-05
349 *313:30 *453:214 5.42385e-05
350 *313:38 *453:214 0.000418035
351 *315:27 *453:174 2.19131e-05
352 *316:8 *6267:CLK 0.000198753
353 *316:10 *6267:CLK 0.000367298
354 *316:26 *6268:CLK 0.00042169
355 *316:26 *453:181 1.92172e-05
356 *322:45 *6270:CLK 0
357 *322:45 *6272:CLK 5.47736e-05
358 *324:27 *6272:CLK 0
359 *332:11 *453:245 0
360 *332:35 *6275:CLK 2.7961e-05
361 *338:23 *453:319 6.23875e-05
362 *348:16 *453:344 0
363 *348:16 *453:349 0
364 *376:16 *6306:CLK 7.64963e-05
365 *376:16 *453:419 1.89195e-05
366 *382:38 *453:454 8.46227e-05
367 *382:38 *453:471 0.000167062
368 *398:12 *453:131 0.000231581
369 *398:12 *453:140 0.000335347
370 *398:12 *453:171 0.000156823
371 *399:16 *453:319 0.000504632
372 *401:9 *453:218 0.000207294
373 *401:21 *6304:CLK 3.69221e-05
374 *403:34 *6297:CLK 4.56831e-05
375 *403:34 *6322:A 6.23875e-05
376 *403:34 *453:33 0.00017538
377 *403:34 *453:40 0.000198625
378 *403:38 *453:40 0.000347084
379 *403:38 *453:51 0.000781029
380 *407:12 *453:344 0
381 *407:12 *453:349 0
382 *409:22 *453:319 2.28392e-05
383 *410:12 *6265:CLK 0.000178853
384 *410:12 *453:85 0.000303649
385 *410:12 *453:87 0.000148998
386 *410:12 *453:362 9.7734e-05
387 *411:16 *6265:CLK 0
388 *411:16 *453:85 0
389 *411:16 *453:87 0
390 *411:16 *453:362 0
391 *413:14 *453:174 0.000412233
392 *413:14 *453:179 0.000774419
393 *417:24 *453:171 0
394 *428:27 *6272:CLK 0.000220183
*RES
1 *6374:X *453:5 12.7357
2 *453:5 *453:8 7.23214
3 *453:8 *453:10 0.125
4 *453:10 *6322:A 13.9857
5 *453:10 *6297:CLK 13.1464
6 *453:8 *453:28 4.03571
7 *453:28 *453:33 7.69643
8 *453:33 *6300:CLK 12.325
9 *453:33 *453:40 9.83929
10 *453:40 *6301:CLK 12.8607
11 *453:40 *453:51 9.96429
12 *453:51 *6303:CLK 12.2
13 *453:51 *453:60 3.96429
14 *453:60 *6304:CLK 20.825
15 *453:60 *453:78 8.48214
16 *453:78 *453:85 18.5357
17 *453:85 *453:87 4.98214
18 *453:87 *6265:CLK 17.8429
19 *453:87 *453:101 10.6429
20 *453:101 *6266:CLK 19.4857
21 *453:101 *453:111 2.25
22 *453:111 *453:113 0.125
23 *453:113 *6298:CLK 12.2
24 *453:113 *453:120 15.3393
25 *453:120 *6294:CLK 12.2
26 *453:120 *453:128 11.6429
27 *453:128 *453:131 6.92857
28 *453:131 *6293:CLK 12.325
29 *453:131 *453:140 12.0893
30 *453:140 *6289:CLK 24.7
31 *453:140 *6290:CLK 13.9857
32 *453:128 *453:163 2.08929
33 *453:163 *453:171 5.21429
34 *453:171 *453:174 5.41071
35 *453:174 *453:179 10.5
36 *453:179 *453:181 12.4464
37 *453:181 *6268:CLK 16.4679
38 *453:181 *6267:CLK 19.8607
39 *453:179 *6273:CLK 12.2
40 *453:174 *6269:CLK 14.9143
41 *453:171 *453:214 12.9821
42 *453:214 *453:218 6.5
43 *453:218 *453:221 5.71429
44 *453:221 *6272:CLK 21.2
45 *453:221 *6270:CLK 16.9857
46 *453:218 *6271:CLK 12.2
47 *453:214 *453:245 6.5
48 *453:245 *6275:CLK 27.4857
49 *453:245 *453:258 0.732143
50 *453:258 *6278:CLK 12.325
51 *453:258 *453:269 7.76786
52 *453:269 *453:271 0.125
53 *453:271 *6277:CLK 16.45
54 *453:271 *453:280 5.875
55 *453:280 *6276:CLK 24.0214
56 *453:280 *6274:CLK 18.0929
57 *453:269 *453:303 13.6786
58 *453:303 *6280:CLK 12.2
59 *453:303 *453:319 18.1071
60 *453:319 *453:327 10.1071
61 *453:327 *453:334 14.4286
62 *453:334 *453:335 10.8036
63 *453:335 *453:339 11.6429
64 *453:339 *453:344 8.58929
65 *453:344 *453:349 10.1964
66 *453:349 *6291:CLK 12.2
67 *453:349 *453:362 16.2679
68 *453:362 *453:370 11.7143
69 *453:370 *453:373 1.89286
70 *453:373 *6305:CLK 27.1286
71 *453:373 *6287:CLK 12.6286
72 *453:370 *453:389 10.1429
73 *453:389 *6285:CLK 15.325
74 *453:389 *453:401 13.375
75 *453:401 *453:405 1.89286
76 *453:405 *6306:CLK 20.9679
77 *453:405 *453:419 4.98214
78 *453:419 *6308:CLK 12.8607
79 *453:419 *453:432 10.5
80 *453:432 *6309:CLK 15.7357
81 *453:432 *453:441 7.41071
82 *453:441 *6310:CLK 19.0214
83 *453:441 *453:454 3.51786
84 *453:454 *6311:CLK 16.1643
85 *453:454 *453:471 9.96429
86 *453:471 *6312:CLK 20.6821
87 *453:471 *453:486 3.21429
88 *453:486 *6313:CLK 13.7
89 *453:486 *453:497 7.10714
90 *453:497 *6314:CLK 19.4321
91 *453:497 *453:512 9.14286
92 *453:512 *6315:CLK 12.8607
93 *453:512 *453:521 4.67857
94 *453:521 *6316:CLK 23.5393
95 *453:521 *453:530 2.55357
96 *453:530 *6317:CLK 12.8607
97 *453:530 *453:539 7.41071
98 *453:539 *453:542 8.05357
99 *453:542 *6320:CLK 25.825
100 *453:542 *6318:CLK 12.7357
101 *453:539 *453:562 2.55357
102 *453:562 *6319:CLK 12.8607
103 *453:562 *6321:CLK 22.7
104 *453:401 *6307:CLK 12.2
105 *453:362 *6288:CLK 12.2
106 *453:344 *6286:CLK 12.325
107 *453:339 *6284:CLK 15.3071
108 *453:335 *6283:CLK 12.2
109 *453:334 *6282:CLK 12.2
110 *453:327 *6281:CLK 12.2
111 *453:319 *6279:CLK 12.2
112 *453:163 *6295:CLK 12.2
113 *453:111 *6292:CLK 29.7179
114 *453:85 *6299:CLK 12.325
115 *453:78 *6302:CLK 12.2
116 *453:28 *6323:A 12.2
117 *453:5 *453:649 9.94643
118 *453:649 *6296:CLK 12.9321
119 *453:649 *6264:CLK 27.0214
*END
*D_NET *454 0.00193537
*CONN
*I *6209:B I *D sky130_fd_sc_hd__nand2_1
*I *6375:X O *D sky130_fd_sc_hd__clkbuf_1
*CAP
1 *6209:B 0.000633593
2 *6375:X 0.000633593
3 *6209:B *473:40 4.89898e-06
4 *6212:A1 *6209:B 6.36477e-05
5 *6212:A2 *6209:B 0.000267333
6 *6212:B1 *6209:B 0.000149084
7 *6375:A *6209:B 0.000183217
*RES
1 *6375:X *6209:B 36.4714
*END
*D_NET *455 0.00914394
*CONN
*I *6210:A I *D sky130_fd_sc_hd__nor3_2
*I *6119:A I *D sky130_fd_sc_hd__clkbuf_2
*I *6376:X O *D sky130_fd_sc_hd__dlymetal6s2s_1
*CAP
1 *6210:A 0.000364214
2 *6119:A 4.91707e-05
3 *6376:X 0.00143462
4 *455:21 0.000445372
5 *455:20 0.00146661
6 *6210:A *501:41 0.000405584
7 *455:20 *6401:A 0.000218864
8 *455:20 *457:16 0.000290913
9 *455:20 *501:31 0.000105641
10 *455:20 *501:41 0.000828603
11 sram_csb0 *455:20 0.00125429
12 sram_wmask0[0] *455:20 9.04224e-05
13 wb_error_o *455:20 3.49789e-05
14 *6092:A *6210:A 8.01741e-05
15 *6208:B *455:20 0.000216073
16 *6210:B *6210:A 0.000318331
17 *6214:A2 *6119:A 6.50727e-05
18 *6214:A2 *455:21 9.55447e-05
19 *6296:D *455:20 4.0625e-05
20 *6324:A *455:20 4.73113e-06
21 *6375:A *455:20 2.95308e-05
22 *6377:A *455:20 9.7454e-05
23 *6378:A *455:20 4.27071e-05
24 *6388:A *455:20 0.000102814
25 *292:17 *6210:A 0.000113968
26 *293:6 *6210:A 0.00017419
27 *310:8 *6119:A 2.16355e-05
28 *310:8 *455:21 3.82228e-05
29 *367:9 *6210:A 4.78782e-05
30 *402:36 *455:20 0.000558213
31 *453:649 *455:20 0.000107496
*RES
1 *6376:X *455:20 49.6107
2 *455:20 *455:21 0.946429
3 *455:21 *6119:A 13.1464
4 *455:21 *6210:A 22.3964
*END
*D_NET *456 0.00272514
*CONN
*I *6209:A I *D sky130_fd_sc_hd__nand2_1
*I *6377:X O *D sky130_fd_sc_hd__clkbuf_1
*CAP
1 *6209:A 0.000908344
2 *6377:X 0.000908344
3 *6209:A *6389:A 5.04879e-05
4 *6209:A *473:40 0.000122098
5 sram_addr0[0] *6209:A 0.000264088
6 *6297:D *6209:A 0.000382454
7 *6377:A *6209:A 8.65522e-05
8 *403:25 *6209:A 2.77625e-06
*RES
1 *6377:X *6209:A 36.6857
*END
*D_NET *457 0.004438
*CONN
*I *6215:A1 I *D sky130_fd_sc_hd__a21boi_1
*I *6214:A1 I *D sky130_fd_sc_hd__o21a_1
*I *6378:X O *D sky130_fd_sc_hd__clkbuf_1
*CAP
1 *6215:A1 7.89207e-05
2 *6214:A1 0
3 *6378:X 0.000800004
4 *457:16 0.000878924
5 *457:16 *6106:A 5.05252e-05
6 *457:16 *473:40 0.000260388
7 *457:16 *501:31 2.652e-05
8 *457:16 *502:29 0.000681006
9 *6105:A *457:16 1.71442e-05
10 *6208:B *457:16 0.000143047
11 *6214:A2 *457:16 0.000105636
12 *6215:A2 *6215:A1 5.17579e-05
13 *6215:B1_N *6215:A1 0.000317721
14 *6298:D *6215:A1 9.16621e-05
15 *365:13 *6215:A1 0.000525939
16 *365:13 *457:16 0.000107496
17 *410:12 *457:16 1.03986e-05
18 *455:20 *457:16 0.000290913
*RES
1 *6378:X *457:16 29.3607
2 *457:16 *6214:A1 12.2
3 *457:16 *6215:A1 16.8607
*END
*D_NET *458 0.014099
*CONN
*I *6160:B1 I *D sky130_fd_sc_hd__a22oi_1
*I *6379:X O *D sky130_fd_sc_hd__clkbuf_2
*CAP
1 *6160:B1 0.00100205
2 *6379:X 0.00173879
3 *458:21 0.00364778
4 *458:17 0.00357184
5 *458:14 0.0026649
6 *6160:B1 *6160:A2 7.77969e-06
7 *6160:B1 *475:7 3.09445e-05
8 *458:21 *475:5 1.19751e-05
9 *458:21 *475:7 1.42325e-05
10 sram_din0[14] *458:14 4.39593e-05
11 *6275:D *6160:B1 0.000469791
12 *332:25 *6160:B1 0.000147572
13 *417:5 *458:14 0.000747398
*RES
1 *6379:X *458:14 41.8786
2 *458:14 *458:17 10.2054
3 *458:17 *458:21 43.6786
4 *458:21 *6160:B1 24.4589
*END
*D_NET *459 0.00208649
*CONN
*I *6389:A I *D sky130_fd_sc_hd__buf_2
*I *6103:X O *D sky130_fd_sc_hd__clkbuf_1
*CAP
1 *6389:A 0.000716962
2 *6103:X 0.000716962
3 *6389:A *473:40 2.55865e-05
4 sram_addr1[0] *6389:A 0.00013715
5 sram_csb0 *6389:A 0
6 sram_din0[0] *6389:A 0
7 wb_ack_o *6389:A 0.000143047
8 wb_stall_o *6389:A 0
9 *6209:A *6389:A 5.04879e-05
10 *6297:D *6389:A 0
11 *6377:A *6389:A 1.41291e-05
12 *6378:A *6389:A 0
13 *367:9 *6389:A 1.75625e-05
14 *453:8 *6389:A 0
15 *453:28 *6389:A 0
16 *453:649 *6389:A 0.0002646
*RES
1 *6103:X *6389:A 38.9
*END
*D_NET *460 0.00175787
*CONN
*I *6390:A I *D sky130_fd_sc_hd__buf_2
*I *6105:X O *D sky130_fd_sc_hd__clkbuf_1
*CAP
1 *6390:A 0.000521144
2 *6105:X 0.000521144
3 *6390:A *501:31 2.7961e-05
4 sram_addr0[1] *6390:A 3.20069e-06
5 sram_addr1[1] *6390:A 9.14669e-05
6 sram_din0[1] *6390:A 0.000328363
7 sram_wmask0[0] *6390:A 0.000264586
8 *410:12 *6390:A 0
*RES
1 *6105:X *6390:A 34.2036
*END
*D_NET *461 0.0011913
*CONN
*I *6391:A I *D sky130_fd_sc_hd__buf_2
*I *6107:X O *D sky130_fd_sc_hd__clkbuf_1
*CAP
1 *6391:A 0.00031951
2 *6107:X 0.00031951
3 sram_addr0[2] *6391:A 6.50586e-05
4 sram_addr1[2] *6391:A 6.50727e-05
5 *6107:A *6391:A 0.00011818
6 *408:19 *6391:A 0.000303965
*RES
1 *6107:X *6391:A 31.9536
*END
*D_NET *462 0.00195919
*CONN
*I *6392:A I *D sky130_fd_sc_hd__buf_2
*I *6110:X O *D sky130_fd_sc_hd__clkbuf_1
*CAP
1 *6392:A 0.000463674
2 *6110:X 0.000463674
3 sram_addr0[3] *6392:A 0.000144531
4 sram_addr1[3] *6392:A 2.65831e-05
5 *307:17 *6392:A 0.000436811
6 *408:19 *6392:A 0.000423922
*RES
1 *6110:X *6392:A 33.4179
*END
*D_NET *463 0.00150167
*CONN
*I *6393:A I *D sky130_fd_sc_hd__buf_2
*I *6112:X O *D sky130_fd_sc_hd__clkbuf_1
*CAP
1 *6393:A 0.000448882
2 *6112:X 0.000448882
3 *307:17 *6393:A 0.000460879
4 *398:12 *6393:A 0
5 *417:24 *6393:A 0.000143032
*RES
1 *6112:X *6393:A 31.2393
*END
*D_NET *464 0.000975928
*CONN
*I *6394:A I *D sky130_fd_sc_hd__buf_2
*I *6114:X O *D sky130_fd_sc_hd__clkbuf_1
*CAP
1 *6394:A 0.000322674
2 *6114:X 0.000322674
3 *6394:A *480:31 5.33121e-05
4 *6394:A *491:36 0.000113374
5 *6204:B1 *6394:A 0.000163895
*RES
1 *6114:X *6394:A 29.5964
*END
*D_NET *465 0.00179268
*CONN
*I *6395:A I *D sky130_fd_sc_hd__buf_2
*I *6116:X O *D sky130_fd_sc_hd__clkbuf_1
*CAP
1 *6395:A 0.000244599
2 *6116:X 0.000244599
3 *6145:A1 *6395:A 0.000165521
4 *6146:B *6395:A 0.000434578
5 *6204:B1 *6395:A 0.000689396
6 *427:13 *6395:A 1.39864e-05
*RES
1 *6116:X *6395:A 32.3643
*END
*D_NET *466 0.000759039
*CONN
*I *6396:A I *D sky130_fd_sc_hd__buf_2
*I *6118:X O *D sky130_fd_sc_hd__clkbuf_1
*CAP
1 *6396:A 0.0002551
2 *6118:X 0.0002551
3 sram_din0[7] *6396:A 0
4 *420:13 *6396:A 0.000217937
5 *427:13 *6396:A 3.0902e-05
*RES
1 *6118:X *6396:A 28.3643
*END
*D_NET *467 0.00223789
*CONN
*I *6397:A I *D sky130_fd_sc_hd__buf_2
*I *6094:X O *D sky130_fd_sc_hd__clkbuf_1
*CAP
1 *6397:A 0.00111895
2 *6094:X 0.00111895
3 sram_din0[8] *6397:A 0
*RES
1 *6094:X *6397:A 40.9536
*END
*D_NET *468 0.000620289
*CONN
*I *6398:A I *D sky130_fd_sc_hd__buf_2
*I *6322:X O *D sky130_fd_sc_hd__clkbuf_1
*CAP
1 *6398:A 0.000180313
2 *6322:X 0.000180313
3 *6398:A *473:40 0.000194489
4 sram_clk0 *6398:A 2.63704e-05
5 *6322:A *6398:A 1.64789e-05
6 *403:34 *6398:A 2.23259e-05
*RES
1 *6322:X *6398:A 28.9536
*END
*D_NET *469 0.029098
*CONN
*I *6166:B1 I *D sky130_fd_sc_hd__a22oi_1
*I *6380:X O *D sky130_fd_sc_hd__clkbuf_2
*CAP
1 *6166:B1 0.000129077
2 *6380:X 0.000133236
3 *469:18 0.00268711
4 *469:17 0.00327826
5 *469:12 0.00130759
6 *469:8 0.000720602
7 *6166:B1 *6170:A2 2.41483e-05
8 *469:12 *480:18 8.62625e-06
9 *469:17 *491:29 0.00215069
10 *469:18 *6158:A2 0.00010556
11 sram_din0[16] *469:8 6.88728e-05
12 sram_din0[5] *469:18 0
13 *6137:A *469:18 0
14 *6139:B1 *469:18 0.000207985
15 *6146:A *469:18 0
16 *6146:B *469:18 4.87805e-05
17 *6158:B1 *469:18 0
18 *6166:A1 *6166:B1 0.000111708
19 *6168:A1 *469:18 0.000493915
20 *6168:B2 *469:18 0
21 *6272:CLK *469:18 0
22 *6278:D *469:18 0.000172676
23 *6279:D *469:18 7.14746e-05
24 *6344:A *469:18 0
25 *6348:A *469:12 4.20662e-05
26 *6380:A *469:8 3.95388e-05
27 *322:45 *469:18 0
28 *330:8 *469:18 3.55432e-05
29 *330:22 *469:18 1.41761e-05
30 *398:9 *469:12 0.00612466
31 *410:9 *469:17 0.00427877
32 *416:9 *469:12 0.00612887
33 *453:218 *469:18 8.50941e-05
34 *453:221 *469:18 0
35 *453:245 *469:18 0.000215693
36 *453:258 *469:18 2.71542e-05
37 *453:269 *469:18 0.000187125
38 *453:303 *469:18 0.000198957
*RES
1 *6380:X *469:8 15.0036
2 *469:8 *469:12 49.9464
3 *469:12 *469:17 34.0536
4 *469:17 *469:18 52.6429
5 *469:18 *6166:B1 14.1107
*END
*D_NET *470 0.000800227
*CONN
*I *6399:A I *D sky130_fd_sc_hd__buf_2
*I *6323:X O *D sky130_fd_sc_hd__clkbuf_1
*CAP
1 *6399:A 0.00026765
2 *6323:X 0.00026765
3 sram_addr0[0] *6399:A 9.49075e-05
4 sram_web0 *6399:A 9.17764e-05
5 *453:28 *6399:A 2.75292e-05
6 *453:33 *6399:A 5.0715e-05
*RES
1 *6323:X *6399:A 29.9714
*END
*D_NET *471 0.0184708
*CONN
*I *6400:A I *D sky130_fd_sc_hd__buf_2
*I *6101:X O *D sky130_fd_sc_hd__clkbuf_2
*CAP
1 *6400:A 9.95854e-06
2 *6101:X 0.000302894
3 *471:8 0.00214331
4 *471:7 0.00243625
5 *471:8 *479:41 0.00357696
6 *471:8 *488:34 0.000101133
7 *471:8 *488:54 0
8 *471:8 *490:36 0.00115348
9 *471:8 *492:39 0.0016268
10 *471:8 *492:41 9.71323e-06
11 sram_clk1 *6400:A 2.65667e-05
12 sram_web0 *471:8 0
13 wb_data_o[0] *471:8 2.2979e-05
14 *6101:A *471:7 6.50727e-05
15 *6232:A1 *471:8 4.3116e-06
16 *6304:D *471:8 9.96342e-05
17 *6386:A *471:8 0.00174654
18 *400:31 *471:8 0.00228742
19 *402:36 *6400:A 6.92705e-05
20 *402:42 *471:8 0.000141999
21 *403:34 *471:8 7.08276e-05
22 *403:38 *471:8 0.000628899
23 *453:33 *471:8 0.000396926
24 *453:40 *471:8 0.000773887
25 *453:51 *471:8 0.000776001
*RES
1 *6101:X *471:7 15.325
2 *471:7 *471:8 94.2321
3 *471:8 *6400:A 12.8607
*END
*D_NET *472 0.00222792
*CONN
*I *6401:A I *D sky130_fd_sc_hd__buf_2
*I *6296:Q O *D sky130_fd_sc_hd__dfxtp_1
*CAP
1 *6401:A 0.000452161
2 *6296:Q 0.000452161
3 *6401:A *501:31 0.000217951
4 *6264:CLK *6401:A 7.00856e-05
5 *6296:D *6401:A 0.000100177
6 *6388:A *6401:A 0.000708027
7 *453:649 *6401:A 8.49565e-06
8 *455:20 *6401:A 0.000218864
*RES
1 *6296:Q *6401:A 36.0607
*END
*D_NET *473 0.00877506
*CONN
*I *6126:A2 I *D sky130_fd_sc_hd__a22oi_1
*I *6402:A I *D sky130_fd_sc_hd__buf_2
*I *6264:Q O *D sky130_fd_sc_hd__dfxtp_1
*CAP
1 *6126:A2 2.22865e-06
2 *6402:A 0
3 *6264:Q 0.000283663
4 *473:40 0.00216716
5 *473:11 0.00245305
6 sram_addr0[0] *473:40 9.14669e-05
7 sram_web0 *473:40 0
8 *6126:B1 *473:11 3.01683e-06
9 *6126:B1 *473:40 0.000506249
10 *6127:B *473:40 0.00011818
11 *6128:B1 *473:40 6.50727e-05
12 *6209:A *473:40 0.000122098
13 *6209:B *473:40 4.89898e-06
14 *6212:B1 *473:40 0.000530151
15 *6322:A *473:40 2.20702e-05
16 *6375:A *473:40 0.000648951
17 *6389:A *473:40 2.55865e-05
18 *6398:A *473:40 0.000194489
19 *294:9 *473:40 0.000589689
20 *307:10 *473:11 5.04734e-05
21 *316:30 *473:11 0.000279739
22 *316:30 *473:40 1.49927e-05
23 *367:9 *473:40 1.07248e-05
24 *402:42 *473:40 0.000120868
25 *403:34 *473:40 5.37817e-06
26 *407:12 *473:11 0
27 *410:12 *473:40 0
28 *411:16 *473:40 0.000171907
29 *453:28 *473:40 3.25751e-05
30 *457:16 *473:40 0.000260388
*RES
1 *6264:Q *473:11 19.3161
2 *473:11 *473:40 40.5446
3 *473:40 *6402:A 12.2
4 *473:11 *6126:A2 12.3473
*END
*D_NET *474 0.0233976
*CONN
*I *6152:A2 I *D sky130_fd_sc_hd__a22oi_1
*I *6403:A I *D sky130_fd_sc_hd__buf_2
*I *5170:DIODE I *D sky130_fd_sc_hd__diode_2
*I *6274:Q O *D sky130_fd_sc_hd__dfxtp_2
*CAP
1 *6152:A2 0.000313304
2 *6403:A 0.000126991
3 *5170:DIODE 0
4 *6274:Q 0
5 *474:14 0.000157778
6 *474:9 0.00817619
7 *474:8 0.00964693
8 *474:4 0.00181483
9 *6152:A2 *6156:A2 0
10 *474:8 *6156:A2 0.000367298
11 *474:8 *476:20 0.00119843
12 *474:14 *481:41 7.86847e-05
13 *474:14 *496:44 7.50872e-05
14 *6152:B1 *6152:A2 1.67329e-05
15 *6154:A1 *6152:A2 4.63086e-05
16 *6157:A *6152:A2 0
17 *6157:B *6152:A2 6.49002e-05
18 *6225:A *474:9 0.000990886
19 *6234:A1 *474:9 1.99543e-05
20 *6235:A *474:9 7.13972e-05
21 *6237:A1 *6403:A 0.00020502
22 *6276:D *6152:A2 3.20069e-06
23 *6276:D *474:8 0
24 *6308:D *474:9 1.4091e-06
25 *436:25 *6152:A2 2.22198e-05
*RES
1 *6274:Q *474:4 12.2
2 *474:4 *474:8 36.8036
3 *474:8 *474:9 88.4286
4 *474:9 *474:14 1.28571
5 *474:14 *5170:DIODE 12.2
6 *474:14 *6403:A 14.7
7 *474:4 *6152:A2 17.5259
*END
*D_NET *475 0.0330113
*CONN
*I *6154:A2 I *D sky130_fd_sc_hd__a22oi_1
*I *6404:A I *D sky130_fd_sc_hd__buf_2
*I *6275:Q O *D sky130_fd_sc_hd__dfxtp_2
*CAP
1 *6154:A2 0.000153541
2 *6404:A 0
3 *6275:Q 8.84177e-05
4 *475:29 0.00304594
5 *475:7 0.0106359
6 *475:5 0.0078319
7 *475:7 *6104:A 4.63382e-05
8 *475:29 *477:44 0.0011474
9 *475:29 *481:28 0.000166925
10 *475:29 *495:29 0.00037981
11 *475:29 *495:30 0.00010517
12 *475:29 *496:44 0.00112302
13 *6092:A *475:7 4.87301e-05
14 *6150:A *475:7 7.92757e-06
15 *6154:A1 *6154:A2 0.000224574
16 *6160:B1 *475:7 3.09445e-05
17 *6161:B *475:7 8.90486e-05
18 *6207:A2 *475:7 0.000171288
19 *6238:A *475:29 0.000315191
20 *6239:A1 *475:29 0.000440512
21 *6275:D *475:7 5.50517e-05
22 *6299:D *475:7 1.60502e-06
23 *6301:D *475:7 1.92793e-05
24 *6303:D *475:29 0.000349931
25 *6309:D *475:29 0.000101148
26 *6369:A *475:29 5.76913e-05
27 *6386:A *475:29 0.000216437
28 *311:28 *475:7 0.0007199
29 *311:37 *475:7 0.000221362
30 *330:22 *475:7 0.00104392
31 *332:7 *475:7 0.000413252
32 *332:11 *475:7 4.32016e-05
33 *332:25 *475:7 4.0752e-05
34 *339:11 *475:7 0.00080429
35 *339:32 *475:7 0.00126074
36 *365:10 *475:7 3.54351e-05
37 *401:21 *475:29 9.86592e-05
38 *403:38 *475:29 0.00142219
39 *425:11 *6154:A2 2.77082e-05
40 *458:21 *475:5 1.19751e-05
41 *458:21 *475:7 1.42325e-05
*RES
1 *6275:Q *475:5 13.1464
2 *475:5 *475:7 90.0714
3 *475:7 *475:29 44.7519
4 *475:29 *6404:A 12.2
5 *475:5 *6154:A2 15.825
*END
*D_NET *476 0.0190222
*CONN
*I *6405:A I *D sky130_fd_sc_hd__buf_2
*I *5171:DIODE I *D sky130_fd_sc_hd__diode_2
*I *6156:A2 I *D sky130_fd_sc_hd__a22oi_1
*I *6276:Q O *D sky130_fd_sc_hd__dfxtp_2
*CAP
1 *6405:A 0.000110987
2 *5171:DIODE 0
3 *6156:A2 0.000457465
4 *6276:Q 0
5 *476:25 0.00113622
6 *476:21 0.00490006
7 *476:20 0.00584506
8 *476:4 0.0024277
9 *476:25 *6239:A0 0.000213676
10 *476:25 *6241:A0 0.000464113
11 *476:25 *504:5 0.000616977
12 *6152:A2 *6156:A2 0
13 *6152:B2 *6156:A2 3.31882e-05
14 *6153:B *6156:A2 0
15 *6241:A1 *6405:A 0.000200794
16 *6241:A1 *476:25 0.000109614
17 *6276:D *6156:A2 3.6455e-05
18 *6310:CLK *476:25 0.00023709
19 *6310:D *476:25 0.000209584
20 *331:39 *6156:A2 0.000314026
21 *436:25 *6156:A2 0.000143528
22 *474:8 *6156:A2 0.000367298
23 *474:8 *476:20 0.00119843
*RES
1 *6276:Q *476:4 12.2
2 *476:4 *6156:A2 23.95
3 *476:4 *476:20 43.4821
4 *476:20 *476:21 67.6875
5 *476:21 *476:25 22.1161
6 *476:25 *5171:DIODE 12.2
7 *476:25 *6405:A 14.3964
*END
*D_NET *477 0.0270157
*CONN
*I *6158:A2 I *D sky130_fd_sc_hd__a22oi_1
*I *6406:A I *D sky130_fd_sc_hd__buf_2
*I *6277:Q O *D sky130_fd_sc_hd__dfxtp_2
*CAP
1 *6158:A2 0.000103411
2 *6406:A 0.000594192
3 *6277:Q 0.000189987
4 *477:44 0.00211271
5 *477:15 0.00495674
6 *477:12 0.00611608
7 *477:5 0.00297126
8 *6406:A *495:30 0.000681804
9 *6406:A *496:44 5.10521e-05
10 *477:15 *492:24 0.000641467
11 *477:15 *501:41 0.00144946
12 *477:44 *6226:A0 0
13 *477:44 *481:41 0.000340955
14 *477:44 *486:12 0.000203161
15 *477:44 *488:54 5.04829e-06
16 *477:44 *490:24 0.00026151
17 *477:44 *492:36 0.000374442
18 *477:44 *495:29 4.16659e-05
19 *477:44 *495:30 0.000723152
20 *477:44 *496:44 0.00063048
21 *477:44 *498:18 0
22 *6158:A1 *6158:A2 5.94977e-06
23 *6162:A *477:12 0.000111343
24 *6222:A1 *477:44 0.000191526
25 *6223:A *477:44 5.77352e-05
26 *6226:A1 *477:44 0.000101133
27 *6226:S *477:44 0
28 *6229:A *477:44 0.000341237
29 *6231:A *477:44 0.000315176
30 *6235:A *477:44 0.000151741
31 *6242:A *6406:A 8.18934e-05
32 *6243:A1 *6406:A 1.61631e-05
33 *6277:CLK *477:12 6.75939e-05
34 *6277:D *477:12 3.18826e-06
35 *6304:CLK *477:44 2.39535e-05
36 *6305:D *477:44 0.000148144
37 *6311:D *6406:A 0.000118485
38 *306:7 *477:12 0.000353682
39 *309:11 *477:12 0.000134155
40 *310:25 *477:12 0.000143047
41 *357:29 *477:12 0.000191541
42 *401:21 *477:44 0.000273897
43 *453:269 *6158:A2 0.000148114
44 *453:280 *477:5 4.22695e-05
45 *453:280 *477:12 5.20546e-06
46 *453:303 *6158:A2 0.000107729
47 *453:471 *6406:A 0.000179286
48 *469:18 *6158:A2 0.00010556
49 *475:29 *477:44 0.0011474
*RES
1 *6277:Q *477:5 14.3786
2 *477:5 *477:12 32.1786
3 *477:12 *477:15 41.3214
4 *477:15 *477:44 48.1656
5 *477:44 *6406:A 26.1732
6 *477:5 *6158:A2 15.758
*END
*D_NET *478 0.0193151
*CONN
*I *6160:A2 I *D sky130_fd_sc_hd__a22oi_1
*I *6407:A I *D sky130_fd_sc_hd__buf_2
*I *6278:Q O *D sky130_fd_sc_hd__dfxtp_2
*CAP
1 *6160:A2 0.000316609
2 *6407:A 2.3034e-05
3 *6278:Q 0
4 *478:11 0.0049562
5 *478:10 0.00493317
6 *478:8 0.00362684
7 *478:7 0.00367484
8 *478:4 0.000364616
9 *478:8 *481:9 0
10 *478:11 *481:41 7.63448e-05
11 *6160:B1 *6160:A2 7.77969e-06
12 *6224:A *478:11 0.000523548
13 *6275:CLK *6160:A2 5.62792e-06
14 *6275:CLK *478:7 4.73037e-06
15 *6276:CLK *478:8 0.000420889
16 *6313:D *478:11 6.08467e-05
17 *309:17 *6160:A2 0
18 *309:17 *478:8 0
19 *331:16 *6160:A2 0
20 *331:16 *478:8 0.000299323
21 *332:25 *6160:A2 1.67329e-05
22 *375:7 *478:11 3.99086e-06
*RES
1 *6278:Q *478:4 12.2
2 *478:4 *478:7 0.660714
3 *478:7 *478:8 69.3393
4 *478:8 *478:10 0.125
5 *478:10 *478:11 80.2143
6 *478:11 *6407:A 12.6286
7 *478:4 *6160:A2 17.008
*END
*D_NET *479 0.0231567
*CONN
*I *6408:A I *D sky130_fd_sc_hd__buf_2
*I *6166:A2 I *D sky130_fd_sc_hd__a22oi_1
*I *6279:Q O *D sky130_fd_sc_hd__dfxtp_2
*CAP
1 *6408:A 0.000149763
2 *6166:A2 0.000183129
3 *6279:Q 0.000519993
4 *479:41 0.00116698
5 *479:38 0.00326839
6 *479:34 0.00406657
7 *479:7 0.00251852
8 *6166:A2 *6170:A2 0.000122207
9 *479:34 *6170:A2 0.000253826
10 *479:38 *6185:A2 5.94977e-06
11 *479:38 *6230:A0 0.00011226
12 *479:41 *481:28 9.91937e-05
13 *479:41 *481:41 0.00211674
14 *479:41 *488:54 0.000162352
15 *479:41 *490:36 0.000113632
16 *6166:A1 *6166:A2 1.84765e-05
17 *6180:A *479:38 0.00100587
18 *6185:A1 *479:38 0.00010357
19 *6185:B1 *479:38 4.82966e-05
20 *6186:B *479:38 0.000483488
21 *6230:A1 *479:38 0.000313495
22 *6230:S *479:38 0.000118166
23 *6231:A *479:38 0.00011818
24 *6279:D *479:7 5.59587e-06
25 *6282:D *479:34 1.87611e-05
26 *401:21 *479:38 5.69208e-05
27 *403:38 *479:41 0.00112024
28 *453:327 *479:34 7.58739e-05
29 *453:335 *479:34 0.000875282
30 *453:335 *479:38 7.34948e-06
31 *453:339 *479:38 0.000350681
32 *471:8 *479:41 0.00357696
*RES
1 *6279:Q *479:7 17.7893
2 *479:7 *6166:A2 15.4455
3 *479:7 *479:34 33.1786
4 *479:34 *479:38 44.1964
5 *479:38 *479:41 48.2143
6 *479:41 *6408:A 14.3786
*END
*D_NET *480 0.030296
*CONN
*I *6168:B1 I *D sky130_fd_sc_hd__a22oi_1
*I *6381:X O *D sky130_fd_sc_hd__clkbuf_2
*CAP
1 *6168:B1 0
2 *6381:X 0.0017521
3 *480:31 0.00173463
4 *480:21 0.00213587
5 *480:18 0.00147348
6 *480:11 0.00282433
7 *480:31 *481:17 0.000147325
8 *480:31 *491:36 0.00185783
9 sram_addr0[5] *480:31 0
10 sram_addr1[5] *480:31 3.95252e-05
11 sram_din0[10] *480:18 0.000171288
12 sram_din0[11] *480:18 0.000171288
13 sram_din0[5] *480:31 9.18855e-05
14 sram_din0[8] *480:18 0
15 sram_din0[9] *480:18 6.50586e-05
16 *6147:A1 *480:31 0.000228895
17 *6147:A2 *480:31 5.30254e-05
18 *6158:B1 *480:31 0.00189294
19 *6159:B *480:31 0.000132651
20 *6168:B2 *480:31 0
21 *6277:D *480:31 0.000151726
22 *6348:A *480:18 0.000161598
23 *6349:A *480:18 0.00011818
24 *6368:A *480:11 0.00011818
25 *6379:A *480:11 0.000216467
26 *6380:A *480:11 0.00011818
27 *6381:A *480:11 0.00011818
28 *6394:A *480:31 5.33121e-05
29 *323:8 *480:31 8.51085e-05
30 *398:9 *480:21 0.00463764
31 *408:5 *480:11 0.00253297
32 *416:9 *480:21 0.00464605
33 *417:11 *480:11 7.68538e-06
34 *428:10 *480:18 6.08467e-05
35 *436:9 *480:11 0.00121186
36 *447:17 *480:11 0.000412316
37 *447:19 *480:11 9.82896e-06
38 *447:19 *480:18 0.0008551
39 *453:303 *480:31 0
40 *469:12 *480:18 8.62625e-06
*RES
1 *6381:X *480:11 48.9321
2 *480:11 *480:18 23.3571
3 *480:18 *480:21 36.8036
4 *480:21 *480:31 49.5714
5 *480:31 *6168:B1 12.2
*END
*D_NET *481 0.0250274
*CONN
*I *6168:A2 I *D sky130_fd_sc_hd__a22oi_1
*I *6409:A I *D sky130_fd_sc_hd__buf_2
*I *6280:Q O *D sky130_fd_sc_hd__dfxtp_2
*CAP
1 *6168:A2 9.0153e-06
2 *6409:A 0
3 *6280:Q 0.000160217
4 *481:41 0.00128973
5 *481:28 0.00195228
6 *481:25 0.00178558
7 *481:17 0.00373236
8 *481:9 0.00277855
9 *481:25 *6111:A 0.000198204
10 *481:25 *6226:A0 0.000177492
11 *481:28 *495:29 0.000988282
12 *481:28 *496:44 0.00099331
13 *481:41 *488:54 0.00154327
14 *481:41 *495:30 0.000323592
15 *481:41 *496:44 0.000189196
16 *6168:A1 *481:9 0.000195961
17 *6168:A1 *481:17 6.50727e-05
18 *6169:B *481:17 0.000629893
19 *6222:A1 *481:25 8.27419e-05
20 *6230:A1 *481:28 0.000310094
21 *6250:A1 *481:41 1.61631e-05
22 *6288:D *481:25 0.000363576
23 *6289:CLK *481:17 0.000309408
24 *6289:D *481:17 1.87611e-05
25 *6290:CLK *481:17 3.64685e-05
26 *6290:D *481:17 0.000106635
27 *6304:D *481:25 0.000396223
28 *403:38 *481:28 0.00212615
29 *409:22 *6168:A2 9.43033e-06
30 *409:22 *481:9 0.000136033
31 *409:22 *481:17 0.000154223
32 *453:370 *481:25 0.000472832
33 *453:497 *481:41 0.000394828
34 *453:512 *481:41 5.56367e-05
35 *474:14 *481:41 7.86847e-05
36 *475:29 *481:28 0.000166925
37 *477:44 *481:41 0.000340955
38 *478:8 *481:9 0
39 *478:11 *481:41 7.63448e-05
40 *479:41 *481:28 9.91937e-05
41 *479:41 *481:41 0.00211674
42 *480:31 *481:17 0.000147325
*RES
1 *6280:Q *481:9 16.6821
2 *481:9 *481:17 47.9286
3 *481:17 *481:25 25.4464
4 *481:25 *481:28 30.2589
5 *481:28 *481:41 42.7232
6 *481:41 *6409:A 12.2
7 *481:9 *6168:A2 12.517
*END
*D_NET *482 0.0172431
*CONN
*I *6410:A I *D sky130_fd_sc_hd__buf_2
*I *6170:A2 I *D sky130_fd_sc_hd__a22oi_1
*I *6281:Q O *D sky130_fd_sc_hd__dfxtp_2
*CAP
1 *6410:A 0.00150409
2 *6170:A2 0.00046936
3 *6281:Q 0
4 *482:20 0.00435261
5 *482:19 0.00284851
6 *482:17 0.00298859
7 *482:5 0.00345795
8 *6410:A *6252:A0 0.000264612
9 *6166:A1 *6170:A2 1.61631e-05
10 *6166:A2 *6170:A2 0.000122207
11 *6166:B1 *6170:A2 2.41483e-05
12 *6166:B2 *6170:A2 6.50727e-05
13 *6167:B *6170:A2 0.000111722
14 *6252:A1 *6410:A 6.3657e-05
15 *6252:S *6410:A 0.000290094
16 *6279:D *6170:A2 0.00022117
17 *6315:D *6410:A 6.50586e-05
18 *341:40 *6170:A2 8.45139e-05
19 *453:327 *6170:A2 3.97254e-05
20 *479:34 *6170:A2 0.000253826
*RES
1 *6281:Q *482:5 12.325
2 *482:5 *6170:A2 24.4321
3 *482:5 *482:17 55.0714
4 *482:17 *482:19 0.125
5 *482:19 *482:20 50.0268
6 *482:20 *6410:A 37.6196
*END
*D_NET *483 0.01675
*CONN
*I *6411:A I *D sky130_fd_sc_hd__buf_2
*I *6172:A2 I *D sky130_fd_sc_hd__a22oi_1
*I *6282:Q O *D sky130_fd_sc_hd__dfxtp_2
*CAP
1 *6411:A 2.3034e-05
2 *6172:A2 0.000522947
3 *6282:Q 0
4 *483:18 0.00375353
5 *483:17 0.0037305
6 *483:15 0.00355336
7 *483:5 0.0040763
8 *6172:A2 *493:19 0
9 *483:15 *493:19 0
10 *483:18 *6254:A0 0.000319954
11 *6254:A1 *483:18 0.000761178
12 *6255:A *483:18 9.18559e-06
*RES
1 *6282:Q *483:5 12.325
2 *483:5 *6172:A2 21.4679
3 *483:5 *483:15 65.3929
4 *483:15 *483:17 0.125
5 *483:17 *483:18 62.9643
6 *483:18 *6411:A 12.6286
*END
*D_NET *484 0.0153558
*CONN
*I *6412:A I *D sky130_fd_sc_hd__buf_2
*I *6174:A2 I *D sky130_fd_sc_hd__a22oi_1
*I *6283:Q O *D sky130_fd_sc_hd__dfxtp_2
*CAP
1 *6412:A 0
2 *6174:A2 0.000374029
3 *6283:Q 0
4 *484:20 0.00284072
5 *484:19 0.00284072
6 *484:17 0.00379972
7 *484:5 0.00417375
8 *484:20 *512:10 5.23101e-05
9 *6283:D *6174:A2 0
10 *6318:CLK *484:20 6.50727e-05
11 *6318:D *484:20 0.000150462
12 *338:10 *6174:A2 0
13 *340:19 *6174:A2 6.79589e-05
14 *453:542 *484:20 0.000991017
*RES
1 *6283:Q *484:5 12.325
2 *484:5 *6174:A2 18.9009
3 *484:5 *484:17 69.9464
4 *484:17 *484:19 0.125
5 *484:19 *484:20 52.2857
6 *484:20 *6412:A 12.2
*END
*D_NET *485 0.00524112
*CONN
*I *6413:A I *D sky130_fd_sc_hd__buf_2
*I *6128:A2 I *D sky130_fd_sc_hd__a22oi_1
*I *6265:Q O *D sky130_fd_sc_hd__dfxtp_1
*CAP
1 *6413:A 0.00157934
2 *6128:A2 0.000195744
3 *6265:Q 0
4 *485:5 0.00177509
5 *6413:A *6104:A 0.00022067
6 *6413:A *6216:A0 0.000129784
7 *6104:B *6413:A 3.58044e-05
8 *6105:A *6413:A 0.000451196
9 *6128:A1 *6128:A2 5.28404e-05
10 *6216:S *6413:A 6.50727e-05
11 *6217:A *6413:A 0.000118166
12 *6266:CLK *6128:A2 0
13 *6266:CLK *6413:A 0
14 *312:33 *6128:A2 6.50586e-05
15 *401:16 *6413:A 0.000552354
16 *408:20 *6128:A2 0
17 *408:20 *6413:A 0
*RES
1 *6265:Q *485:5 12.325
2 *485:5 *6128:A2 16.2938
3 *485:5 *6413:A 42.8607
*END
*D_NET *486 0.0187315
*CONN
*I *6179:A2 I *D sky130_fd_sc_hd__a22oi_1
*I *6414:A I *D sky130_fd_sc_hd__buf_2
*I *6284:Q O *D sky130_fd_sc_hd__dfxtp_2
*CAP
1 *6179:A2 0.000329261
2 *6414:A 0.00126422
3 *6284:Q 0.000285707
4 *486:12 0.0024942
5 *486:11 0.00303063
6 *486:7 0.00241562
7 *6179:A2 *494:15 0
8 *6414:A *6258:A0 0
9 *6414:A *495:30 0.000774473
10 *6414:A *495:43 9.72539e-05
11 *6414:A *498:18 0
12 *6414:A *513:6 0
13 *486:11 *522:20 0.000409833
14 *486:12 *490:24 0.00129371
15 *486:12 *490:36 2.16006e-05
16 *486:12 *495:30 0.00373364
17 *486:12 *498:18 0
18 *6180:B *6179:A2 0
19 *6228:A1 *486:11 1.31764e-05
20 *6237:S *486:12 0.000569085
21 *6245:S *486:12 0.000161966
22 *6256:S *6414:A 5.72743e-05
23 *6259:A *6414:A 3.14978e-05
24 *6284:CLK *6179:A2 0
25 *6284:D *6179:A2 0
26 *6284:D *486:11 0.00021285
27 *6306:CLK *486:11 0.000111722
28 *382:25 *486:12 0.000235007
29 *382:38 *486:12 0.000501172
30 *388:16 *6414:A 2.33449e-05
31 *388:16 *486:12 0.000160294
32 *388:23 *6414:A 0.000118438
33 *388:32 *6414:A 0.000168432
34 *399:23 *6179:A2 8.66189e-06
35 *407:12 *6179:A2 5.22654e-06
36 *477:44 *486:12 0.000203161
*RES
1 *6284:Q *486:7 16.8607
2 *486:7 *486:11 31.875
3 *486:11 *486:12 49.9375
4 *486:12 *6414:A 41.6018
5 *486:7 *6179:A2 17.883
*END
*D_NET *487 0.0151234
*CONN
*I *6415:A I *D sky130_fd_sc_hd__buf_2
*I *6181:A2 I *D sky130_fd_sc_hd__a22oi_1
*I *6285:Q O *D sky130_fd_sc_hd__dfxtp_1
*CAP
1 *6415:A 0.00199982
2 *6181:A2 0.000331363
3 *6285:Q 0
4 *487:15 0.00610033
5 *487:5 0.00443187
6 *6181:A2 *489:17 0
7 *6181:A2 *522:20 7.34861e-05
8 *6415:A *6262:A0 0.000642796
9 *6415:A *514:11 3.18731e-05
10 *487:15 *489:17 0
11 *6181:A1 *6181:A2 0.000144034
12 *6182:B *6181:A2 0.000261381
13 *6262:A1 *6415:A 0.000169041
14 *6262:S *6415:A 0.000334528
15 *6263:A *6415:A 6.92705e-05
16 *6285:D *6181:A2 0.000289145
17 *6320:D *6415:A 9.82494e-05
18 *296:51 *487:15 0
19 *347:50 *6181:A2 0.000122098
20 *399:23 *6181:A2 2.41483e-05
*RES
1 *6285:Q *487:5 12.325
2 *487:5 *6181:A2 21.5393
3 *487:5 *487:15 75.4107
4 *487:15 *6415:A 40.5393
*END
*D_NET *488 0.0236993
*CONN
*I *6183:A2 I *D sky130_fd_sc_hd__a22oi_1
*I *6416:A I *D sky130_fd_sc_hd__buf_2
*I *6286:Q O *D sky130_fd_sc_hd__dfxtp_2
*CAP
1 *6183:A2 1.3159e-06
2 *6416:A 0
3 *6286:Q 0.000277058
4 *488:61 0.00133061
5 *488:54 0.00295044
6 *488:34 0.00464378
7 *488:11 0.00330232
8 *488:11 *494:15 0.000127179
9 *488:34 *489:8 0.000222149
10 *488:34 *492:36 4.5127e-05
11 *488:34 *496:40 0.000154668
12 *488:54 *490:36 0
13 *488:54 *490:44 1.90395e-05
14 *488:54 *492:36 0.000248317
15 *488:54 *492:39 0.000266721
16 *488:54 *496:40 0
17 *488:54 *496:44 0.0020501
18 *488:61 *490:44 0.00132606
19 *488:61 *492:41 0.000878787
20 wb_data_o[10] *488:54 1.91391e-05
21 wb_data_o[16] *488:61 1.91391e-05
22 wb_data_o[17] *488:61 4.01315e-05
23 wb_data_o[18] *488:61 8.71534e-05
24 wb_data_o[19] *488:61 1.91391e-05
25 wb_data_o[20] *488:61 2.02035e-05
26 wb_data_o[21] *488:61 4.0143e-05
27 wb_data_o[22] *488:61 1.91391e-05
28 wb_data_o[7] *488:34 0.00010797
29 wb_data_o[9] *488:54 2.36701e-05
30 *6183:A1 *488:34 6.49003e-05
31 *6184:B *488:34 0.000519467
32 *6232:A1 *488:54 1.58247e-05
33 *6237:A1 *488:54 0.000120685
34 *6239:A1 *488:54 5.04829e-06
35 *6248:A1 *488:61 0.000211464
36 *6287:D *488:34 3.78372e-05
37 *6305:CLK *488:34 0.00026356
38 *6305:D *488:34 3.3186e-05
39 *6350:A *488:54 8.08054e-05
40 *6351:A *488:54 9.61451e-05
41 *6352:A *488:54 9.55169e-05
42 *6356:A *488:61 6.28168e-05
43 *6358:A *488:61 0.000134149
44 *6359:A *488:61 9.12863e-05
45 *6360:A *488:61 0.000155098
46 *6363:A *488:61 0.000186134
47 *6371:A *488:34 0.000260628
48 *6372:A *488:34 6.52404e-05
49 *6373:A *488:54 0.000158538
50 *6386:A *488:34 0.000389097
51 *6386:A *488:54 0
52 *349:10 *488:34 0.000211478
53 *349:39 *488:34 0.000142775
54 *400:31 *488:34 3.31733e-05
55 *403:38 *488:34 9.75356e-05
56 *453:373 *488:34 2.32041e-05
57 *453:512 *488:54 9.24241e-05
58 *471:8 *488:34 0.000101133
59 *471:8 *488:54 0
60 *477:44 *488:54 5.04829e-06
61 *479:41 *488:54 0.000162352
62 *481:41 *488:54 0.00154327
*RES
1 *6286:Q *488:11 17.6732
2 *488:11 *488:34 49.7143
3 *488:34 *488:54 49.0446
4 *488:54 *488:61 40.2321
5 *488:61 *6416:A 12.2
6 *488:11 *6183:A2 12.3473
*END
*D_NET *489 0.0141028
*CONN
*I *6417:A I *D sky130_fd_sc_hd__buf_2
*I *6185:A2 I *D sky130_fd_sc_hd__a22oi_1
*I *6287:Q O *D sky130_fd_sc_hd__dfxtp_2
*CAP
1 *6417:A 0.0014179
2 *6185:A2 5.47103e-06
3 *6287:Q 0.000352546
4 *489:17 0.00649759
5 *489:8 0.00543771
6 *6181:A2 *489:17 0
7 *6182:B *489:8 0
8 *6185:A1 *6185:A2 1.67329e-05
9 *6185:B2 *489:8 3.69003e-05
10 *6285:D *489:17 0
11 *347:36 *489:8 1.32509e-05
12 *349:19 *489:8 3.68867e-05
13 *408:20 *489:8 5.96936e-05
14 *410:12 *489:8 0
15 *479:38 *6185:A2 5.94977e-06
16 *487:15 *489:17 0
17 *488:34 *489:8 0.000222149
*RES
1 *6287:Q *489:8 18.1643
2 *489:8 *6185:A2 12.4723
3 *489:8 *489:17 92.4107
4 *489:17 *6417:A 36.6821
*END
*D_NET *490 0.0245865
*CONN
*I *6418:A I *D sky130_fd_sc_hd__buf_2
*I *6187:A2 I *D sky130_fd_sc_hd__a22oi_1
*I *6288:Q O *D sky130_fd_sc_hd__dfxtp_2
*CAP
1 *6418:A 0.000117792
2 *6187:A2 1.0343e-05
3 *6288:Q 0.000202355
4 *490:44 0.00163827
5 *490:36 0.00287705
6 *490:24 0.0039159
7 *490:9 0.00277202
8 *490:9 *522:20 0.000122083
9 *490:36 *492:41 0.000411339
10 *490:44 *492:41 1.45944e-05
11 *490:44 *496:44 0.000328875
12 wb_data_o[13] *490:36 1.91391e-05
13 wb_data_o[14] *490:36 0.000112013
14 wb_data_o[15] *490:36 6.11074e-05
15 wb_data_o[23] *490:44 1.20291e-05
16 wb_data_o[24] *490:44 2.18339e-05
17 *6187:A1 *6187:A2 5.35135e-05
18 *6187:A1 *490:9 0.000405499
19 *6188:A *6187:A2 2.09985e-06
20 *6188:A *490:24 0.000129154
21 *6188:B *490:24 0.000163912
22 *6226:A1 *490:24 3.33532e-05
23 *6226:S *490:24 0
24 *6228:A1 *490:24 0.000644189
25 *6229:A *490:24 0.000341237
26 *6237:S *490:36 0.00056189
27 *6306:CLK *490:24 0.000351415
28 *6311:CLK *490:36 3.51982e-05
29 *6311:D *490:36 5.68237e-06
30 *6321:CLK *490:44 0.000764792
31 *6353:A *490:36 0.000165169
32 *6354:A *490:36 0.000108103
33 *6356:A *490:36 9.12416e-06
34 *6356:A *490:44 2.86535e-05
35 *6364:A *490:44 4.10369e-05
36 *376:16 *490:24 0
37 *376:18 *490:24 0
38 *382:25 *490:36 0.000237053
39 *382:38 *490:36 7.50722e-05
40 *453:419 *490:24 0.000382619
41 *453:432 *490:24 0.00044883
42 *453:432 *490:36 0.000229111
43 *453:441 *490:36 0.000572729
44 *453:454 *490:36 0.000111358
45 *453:512 *490:44 0.000532831
46 *453:521 *490:44 0.000360156
47 *453:530 *490:44 0.000194592
48 *453:539 *490:44 0.000582892
49 *453:562 *490:44 0.000189511
50 *471:8 *490:36 0.00115348
51 *477:44 *490:24 0.00026151
52 *479:41 *490:36 0.000113632
53 *486:12 *490:24 0.00129371
54 *486:12 *490:36 2.16006e-05
55 *488:54 *490:36 0
56 *488:54 *490:44 1.90395e-05
57 *488:61 *490:44 0.00132606
*RES
1 *6288:Q *490:9 17.5036
2 *490:9 *6187:A2 12.6464
3 *490:9 *490:24 49.3304
4 *490:24 *490:36 36.375
5 *490:36 *490:44 49.0268
6 *490:44 *6418:A 13.9679
*END
*D_NET *491 0.0283242
*CONN
*I *6170:B1 I *D sky130_fd_sc_hd__a22oi_1
*I *6382:X O *D sky130_fd_sc_hd__buf_2
*CAP
1 *6170:B1 0
2 *6382:X 0.000275804
3 *491:36 0.00180758
4 *491:29 0.00396012
5 *491:9 0.00411365
6 *491:8 0.00223691
7 sram_addr0[6] *491:29 0.00027329
8 sram_addr0[7] *491:29 9.90116e-05
9 sram_addr1[5] *491:29 8.92859e-05
10 sram_addr1[7] *491:29 0.000301919
11 sram_din0[18] *491:8 0
12 *6136:A *491:36 0.000391845
13 *6139:A2 *491:36 0.00011048
14 *6147:A1 *491:36 0.000585584
15 *6167:B *491:36 0
16 *6168:B2 *491:36 0
17 *6169:A *491:36 4.16698e-05
18 *6325:A *491:8 0
19 *6394:A *491:36 0.000113374
20 *308:25 *491:36 0.000513754
21 *311:37 *491:36 0.000153225
22 *323:8 *491:36 0.000191624
23 *341:30 *491:36 6.03127e-05
24 *399:16 *491:36 0
25 *410:9 *491:9 0.00806161
26 *410:9 *491:29 1.67988e-05
27 *417:13 *491:29 0.000129492
28 *427:13 *491:29 6.50586e-05
29 *428:27 *491:36 1.66626e-05
30 *447:19 *491:29 0.000706661
31 *469:17 *491:29 0.00215069
32 *480:31 *491:36 0.00185783
*RES
1 *6382:X *491:8 16.5214
2 *491:8 *491:9 63.375
3 *491:9 *491:29 45.4464
4 *491:29 *491:36 49.2857
5 *491:36 *6170:B1 12.2
*END
*D_NET *492 0.0271099
*CONN
*I *6419:A I *D sky130_fd_sc_hd__buf_2
*I *6192:A2 I *D sky130_fd_sc_hd__a22oi_1
*I *6289:Q O *D sky130_fd_sc_hd__dfxtp_2
*CAP
1 *6419:A 3.58004e-05
2 *6192:A2 0.000159384
3 *6289:Q 7.15714e-05
4 *492:41 0.00303603
5 *492:39 0.00367202
6 *492:36 0.00232292
7 *492:24 0.00435491
8 *492:6 0.00293473
9 *492:24 *501:41 0.000352535
10 *492:36 *496:40 0.000853641
11 *492:41 *496:44 0
12 wb_data_o[10] *492:39 7.13655e-06
13 wb_data_o[11] *492:39 0.000112013
14 wb_data_o[12] *492:39 7.12632e-06
15 wb_data_o[13] *492:41 1.91391e-05
16 wb_data_o[14] *492:41 0.000112013
17 wb_data_o[15] *492:41 6.11074e-05
18 wb_data_o[16] *492:41 1.91391e-05
19 wb_data_o[17] *492:41 4.01315e-05
20 wb_data_o[18] *492:41 8.71534e-05
21 wb_data_o[19] *492:41 1.91391e-05
22 wb_data_o[20] *492:41 2.02035e-05
23 wb_data_o[21] *492:41 4.0143e-05
24 wb_data_o[22] *492:41 1.91391e-05
25 wb_data_o[23] *492:41 4.40272e-05
26 wb_data_o[24] *492:41 6.11074e-05
27 wb_data_o[25] *492:41 2.02035e-05
28 wb_data_o[9] *492:39 6.11074e-05
29 *6174:B1 *6192:A2 6.23202e-05
30 *6174:B1 *492:6 2.18741e-05
31 *6193:A *492:24 6.08467e-05
32 *6193:B *492:24 2.61012e-05
33 *6201:A *6192:A2 0
34 *6227:A *492:36 4.03381e-05
35 *6232:A1 *492:36 0.00011818
36 *6239:A1 *492:39 2.19131e-05
37 *6241:A1 *492:39 1.89902e-05
38 *6241:A1 *492:41 0.00021314
39 *6245:A1 *492:41 0.000127194
40 *6254:A1 *492:41 7.50872e-05
41 *6289:D *492:24 6.92705e-05
42 *6350:A *492:39 0.000186134
43 *6351:A *492:39 9.61451e-05
44 *6352:A *492:39 4.60375e-07
45 *6353:A *492:41 0.000165169
46 *6354:A *492:41 0.000108103
47 *6355:A *492:41 0.000150037
48 *6356:A *492:41 0.000186119
49 *6358:A *492:41 0.000134149
50 *6359:A *492:41 9.12863e-05
51 *6360:A *492:41 0.000155098
52 *6361:A *492:41 0.000485495
53 *6363:A *492:41 0.000186134
54 *6364:A *492:41 0.000139245
55 *6386:A *492:36 1.65872e-05
56 *355:37 *492:24 0.000426168
57 *356:39 *6192:A2 0.000153033
58 *357:37 *6192:A2 0.000148822
59 *401:21 *492:36 0.000365539
60 *471:8 *492:39 0.0016268
61 *471:8 *492:41 9.71323e-06
62 *477:15 *492:24 0.000641467
63 *477:44 *492:36 0.000374442
64 *488:34 *492:36 4.5127e-05
65 *488:54 *492:36 0.000248317
66 *488:54 *492:39 0.000266721
67 *488:61 *492:41 0.000878787
68 *490:36 *492:41 0.000411339
69 *490:44 *492:41 1.45944e-05
*RES
1 *6289:Q *492:6 13.6643
2 *492:6 *6192:A2 16.3786
3 *492:6 *492:24 49.3929
4 *492:24 *492:36 32.375
5 *492:36 *492:39 21.5625
6 *492:39 *492:41 69.1875
7 *492:41 *6419:A 12.8607
*END
*D_NET *493 0.0264267
*CONN
*I *6420:A I *D sky130_fd_sc_hd__buf_2
*I *6194:A2 I *D sky130_fd_sc_hd__a22oi_1
*I *6290:Q O *D sky130_fd_sc_hd__dfxtp_2
*CAP
1 *6420:A 0
2 *6194:A2 0.00029108
3 *6290:Q 0
4 *493:22 0.00367457
5 *493:21 0.00367457
6 *493:19 0.00422669
7 *493:17 0.00426415
8 *493:5 0.000328539
9 *6194:A2 *499:19 0.000325384
10 *493:17 *499:19 5.95793e-05
11 *493:19 *499:19 1.3813e-05
12 *493:19 *499:21 0.00945653
13 *6172:A2 *493:19 0
14 *6195:B *6194:A2 0
15 *308:15 *6194:A2 0.000111804
16 *483:15 *493:19 0
*RES
1 *6290:Q *493:5 12.325
2 *493:5 *6194:A2 19.6464
3 *493:5 *493:17 1.11607
4 *493:17 *493:19 117.152
5 *493:19 *493:21 0.125
6 *493:21 *493:22 65.0179
7 *493:22 *6420:A 12.2
*END
*D_NET *494 0.0205355
*CONN
*I *6421:A I *D sky130_fd_sc_hd__buf_2
*I *6196:A2 I *D sky130_fd_sc_hd__a22oi_1
*I *6291:Q O *D sky130_fd_sc_hd__dfxtp_2
*CAP
1 *6421:A 0
2 *6196:A2 0.000335645
3 *6291:Q 0
4 *494:18 0.00225887
5 *494:15 0.00912792
6 *494:5 0.00720469
7 *6179:A2 *494:15 0
8 *6196:A1 *6196:A2 7.21568e-05
9 *6197:B *6196:A2 0
10 *6199:A *6196:A2 0
11 *296:25 *6196:A2 0
12 *296:25 *494:15 0
13 *306:7 *6196:A2 0.00100455
14 *309:11 *6196:A2 0.000404458
15 *407:12 *494:15 0
16 *488:11 *494:15 0.000127179
*RES
1 *6291:Q *494:5 12.325
2 *494:5 *6196:A2 23.7402
3 *494:5 *494:15 125.804
4 *494:15 *494:18 39.6786
5 *494:18 *6421:A 12.2
*END
*D_NET *495 0.0308723
*CONN
*I *6198:A2 I *D sky130_fd_sc_hd__a22oi_1
*I *6422:A I *D sky130_fd_sc_hd__buf_2
*I *6292:Q O *D sky130_fd_sc_hd__dfxtp_2
*CAP
1 *6198:A2 0.000151654
2 *6422:A 0
3 *6292:Q 0
4 *495:43 0.00223312
5 *495:30 0.00416306
6 *495:29 0.00299319
7 *495:15 0.00395352
8 *495:4 0.00304192
9 *495:29 *496:44 5.92342e-05
10 *495:30 *496:44 0
11 *495:43 *496:44 0
12 *495:43 *498:18 0
13 wb_data_o[29] *495:43 1.92793e-05
14 wb_data_o[31] *495:43 0
15 *6196:B1 *6198:A2 0
16 *6198:A1 *6198:A2 1.90494e-05
17 *6198:B1 *6198:A2 5.22654e-06
18 *6211:A *495:15 2.57847e-05
19 *6218:A1 *495:15 5.05841e-05
20 *6230:A1 *495:29 0.0003014
21 *6231:A *495:29 8.18934e-05
22 *6235:A *495:30 0.000148144
23 *6238:A *495:30 0.000315191
24 *6243:A1 *495:30 9.75356e-05
25 *6246:A *495:30 0.000320287
26 *6250:A1 *495:30 2.04806e-05
27 *6251:A *495:30 0.000320287
28 *6255:A *495:30 0.000325354
29 *6258:A1 *495:43 0
30 *6259:A *495:43 0.000119538
31 *6262:A1 *495:43 0.000791207
32 *6292:CLK *495:15 0.000355261
33 *6292:D *495:15 2.54559e-05
34 *6303:D *495:29 0.000337639
35 *6306:D *495:29 0.000123906
36 *6319:D *495:30 7.44474e-05
37 *6319:D *495:43 4.08154e-05
38 *6362:A *495:43 0
39 *6369:A *495:29 8.62048e-05
40 *6406:A *495:30 0.000681804
41 *6414:A *495:30 0.000774473
42 *6414:A *495:43 9.72539e-05
43 *292:17 *495:15 0.000693272
44 *339:32 *6198:A2 7.7217e-05
45 *368:17 *495:15 0.000132446
46 *369:7 *495:15 0.000163915
47 *401:21 *495:29 0.00125168
48 *403:38 *495:29 3.63893e-05
49 *453:471 *495:30 5.62007e-05
50 *453:486 *495:30 7.77309e-06
51 *453:497 *495:30 3.89332e-06
52 *475:29 *495:29 0.00037981
53 *475:29 *495:30 0.00010517
54 *477:44 *495:29 4.16659e-05
55 *477:44 *495:30 0.000723152
56 *481:28 *495:29 0.000988282
57 *481:41 *495:30 0.000323592
58 *486:12 *495:30 0.00373364
*RES
1 *6292:Q *495:4 12.2
2 *495:4 *495:15 45.7143
3 *495:15 *495:29 32.8036
4 *495:29 *495:30 68.8839
5 *495:30 *495:43 43.8125
6 *495:43 *6422:A 12.2
7 *495:4 *6198:A2 15.758
*END
*D_NET *496 0.0342188
*CONN
*I *6200:A2 I *D sky130_fd_sc_hd__a22oi_1
*I *6423:A I *D sky130_fd_sc_hd__buf_2
*I *6293:Q O *D sky130_fd_sc_hd__dfxtp_2
*CAP
1 *6200:A2 4.13464e-05
2 *6423:A 0
3 *6293:Q 0.00026591
4 *496:44 0.00377371
5 *496:43 0.00400704
6 *496:40 0.00280654
7 *496:13 0.00439967
8 *496:9 0.00213372
9 *496:9 *499:19 0
10 *496:13 *6113:A 0.00130518
11 *496:13 *6427:A 3.163e-05
12 *496:13 *500:19 0.000277092
13 *496:40 *6113:A 8.15039e-05
14 *496:40 *6220:A0 3.18992e-05
15 *496:40 *6427:A 0.000240764
16 wb_data_o[27] *496:44 0
17 wb_data_o[31] *496:44 0
18 *6109:B *496:13 0.000219769
19 *6191:A *496:13 0.000256077
20 *6200:A1 *6200:A2 5.59685e-06
21 *6201:A *496:13 5.65165e-05
22 *6201:B *496:13 0.000428134
23 *6221:A *496:40 0.000364497
24 *6230:A1 *496:43 6.58333e-05
25 *6242:A *496:44 0.000318788
26 *6250:A1 *496:44 5.53789e-05
27 *6251:A *496:44 3.50091e-05
28 *6255:A *496:44 6.9773e-05
29 *6259:A *496:44 0
30 *6262:A1 *496:44 0
31 *6263:A *496:44 4.73076e-05
32 *6292:D *496:13 6.08467e-05
33 *6293:D *496:13 0.000217923
34 *6309:D *496:44 0.000104731
35 *6315:D *496:44 5.88662e-05
36 *6317:D *496:44 0.000101133
37 *6319:D *496:44 0.0001621
38 *6321:CLK *496:44 0.000343764
39 *6321:D *496:44 0.000179286
40 *6370:A *496:40 0.000350488
41 *6371:A *496:40 0.000381642
42 *6406:A *496:44 5.10521e-05
43 *305:10 *496:13 0.00105475
44 *307:10 *496:13 0.000436811
45 *356:7 *496:13 1.43848e-05
46 *357:7 *496:13 3.99086e-06
47 *400:31 *496:40 0.000380656
48 *402:42 *496:40 0.000781087
49 *413:14 *496:9 0
50 *453:471 *496:44 0.000186626
51 *453:486 *496:44 0.000180061
52 *453:497 *496:44 0.00055178
53 *453:512 *496:44 0.000242587
54 *453:521 *496:44 0.000159214
55 *453:530 *496:44 9.0014e-05
56 *453:539 *496:44 0.000263612
57 *453:562 *496:44 8.50941e-05
58 *474:14 *496:44 7.50872e-05
59 *475:29 *496:44 0.00112302
60 *477:44 *496:44 0.00063048
61 *481:28 *496:44 0.00099331
62 *481:41 *496:44 0.000189196
63 *488:34 *496:40 0.000154668
64 *488:54 *496:40 0
65 *488:54 *496:44 0.0020501
66 *490:44 *496:44 0.000328875
67 *492:36 *496:40 0.000853641
68 *492:41 *496:44 0
69 *495:29 *496:44 5.92342e-05
70 *495:30 *496:44 0
71 *495:43 *496:44 0
*RES
1 *6293:Q *496:9 16.7893
2 *496:9 *496:13 44.0893
3 *496:13 *496:40 48.6786
4 *496:40 *496:43 3.53571
5 *496:43 *496:44 106.679
6 *496:44 *6423:A 12.325
7 *496:9 *6200:A2 13.0348
*END
*D_NET *497 0.0123112
*CONN
*I *6424:A I *D sky130_fd_sc_hd__buf_2
*I *6130:A2 I *D sky130_fd_sc_hd__a22oi_1
*I *6266:Q O *D sky130_fd_sc_hd__dfxtp_1
*CAP
1 *6424:A 0
2 *6130:A2 0.000333173
3 *6266:Q 0
4 *497:26 0.00354615
5 *497:4 0.00387932
6 *6130:A2 *500:19 0.000255925
7 *497:26 *498:17 0.00397437
8 *497:26 *500:19 0
9 *6216:A1 *497:26 3.4922e-05
10 *305:10 *6130:A2 0
11 *305:10 *497:26 0.000112328
12 *312:24 *6130:A2 1.67329e-05
13 *314:13 *6130:A2 5.06806e-06
14 *402:42 *497:26 0.000153225
*RES
1 *6266:Q *497:4 12.2
2 *497:4 *6130:A2 17.9366
3 *497:4 *497:26 47.8036
4 *497:26 *6424:A 12.2
*END
*D_NET *498 0.0278106
*CONN
*I *6202:A2 I *D sky130_fd_sc_hd__a22oi_1
*I *6425:A I *D sky130_fd_sc_hd__buf_2
*I *6294:Q O *D sky130_fd_sc_hd__dfxtp_2
*CAP
1 *6202:A2 0
2 *6425:A 0.000133344
3 *6294:Q 0.000117321
4 *498:18 0.0077324
5 *498:17 0.00913855
6 *498:9 0.00165681
7 *498:18 *6095:C 0
8 *498:18 *6095:D 0.000487335
9 *498:18 *6098:D 0
10 *498:18 *6099:A 0
11 *498:18 *6099:D 0
12 *498:18 *6218:A0 0.000118253
13 *498:18 *6226:A0 0
14 *498:18 *6228:A0 0.000118485
15 *498:18 *6230:A0 0.000148159
16 *498:18 *6237:A0 0
17 *498:18 *6239:A0 0
18 *498:18 *6241:A0 0.000148144
19 *498:18 *6254:A0 0.000279872
20 *498:18 *6258:A0 7.65861e-05
21 *498:18 *503:8 0
22 *498:18 *507:6 0.000191624
23 *498:18 *509:9 7.86847e-05
24 *498:18 *513:6 7.50722e-05
25 *498:18 *515:8 0
26 wb_data_o[31] *498:18 0
27 *6112:A *498:9 0.00015324
28 *6203:B *498:17 0.000375027
29 *6208:A *498:17 7.48633e-05
30 *6208:B *498:17 2.16355e-05
31 *6222:S *498:18 0
32 *6226:A1 *498:18 0
33 *6226:S *498:18 5.23264e-05
34 *6245:S *498:18 0
35 *6248:A1 *498:18 8.88083e-05
36 *6249:A *498:18 0.000122098
37 *6256:S *498:18 0
38 *6257:A *498:18 0
39 *6258:A1 *498:18 0
40 *6261:A *498:18 0
41 *6294:D *498:17 6.92705e-05
42 *6304:CLK *498:18 0
43 *6307:D *498:18 0
44 *6308:D *498:18 0
45 *6320:CLK *498:18 0
46 *6362:A *498:18 3.13394e-05
47 *6414:A *498:18 0
48 *266:8 *498:17 2.20903e-05
49 *313:20 *498:17 5.96885e-05
50 *313:30 *498:9 3.16314e-05
51 *313:30 *498:17 3.30573e-05
52 *367:9 *498:18 0
53 *369:12 *498:18 0
54 *376:6 *498:18 0.000118568
55 *376:11 *498:18 0.000394828
56 *376:16 *498:18 0.000222909
57 *376:18 *498:18 0.000141642
58 *382:10 *498:18 0
59 *382:38 *498:18 0
60 *388:16 *498:18 0
61 *388:23 *498:18 0
62 *388:32 *498:18 0
63 *453:101 *498:17 0.00132255
64 *453:419 *498:18 0
65 *453:432 *498:18 0
66 *453:441 *498:18 0
67 *453:454 *498:18 0
68 *453:471 *498:18 0
69 *477:44 *498:18 0
70 *486:12 *498:18 0
71 *495:43 *498:18 0
72 *497:26 *498:17 0.00397437
*RES
1 *6294:Q *498:9 15.1821
2 *498:9 *498:17 44.8036
3 *498:17 *498:18 145.232
4 *498:18 *6425:A 14.5036
5 *498:9 *6202:A2 12.2
*END
*D_NET *499 0.0290334
*CONN
*I *6426:A I *D sky130_fd_sc_hd__buf_2
*I *6204:A2 I *D sky130_fd_sc_hd__a22oi_1
*I *6295:Q O *D sky130_fd_sc_hd__dfxtp_2
*CAP
1 *6426:A 0
2 *6204:A2 0.000209979
3 *6295:Q 5.38534e-05
4 *499:24 0.00361685
5 *499:23 0.00361685
6 *499:21 0.00424197
7 *499:19 0.00523679
8 *499:7 0.00125865
9 wb_data_o[31] *499:24 0.000189732
10 *6194:A2 *499:19 0.000325384
11 *6195:B *499:19 0
12 *6205:B *499:19 0
13 *6281:D *499:21 0
14 *313:38 *6204:A2 0.000207812
15 *315:27 *6204:A2 0
16 *315:27 *499:19 0
17 *399:16 *6204:A2 0
18 *399:16 *499:19 0
19 *409:22 *499:19 6.28168e-05
20 *409:22 *499:21 0.000279184
21 *453:214 *6204:A2 0.0002036
22 *453:319 *499:21 0
23 *493:17 *499:19 5.95793e-05
24 *493:19 *499:19 1.3813e-05
25 *493:19 *499:21 0.00945653
26 *496:9 *499:19 0
*RES
1 *6295:Q *499:7 12.8607
2 *499:7 *6204:A2 17.3964
3 *499:7 *499:19 19.4821
4 *499:19 *499:21 118.821
5 *499:21 *499:23 0.125
6 *499:23 *499:24 65.4286
7 *499:24 *6426:A 12.2
*END
*D_NET *500 0.0123535
*CONN
*I *6132:A2 I *D sky130_fd_sc_hd__a22oi_1
*I *6427:A I *D sky130_fd_sc_hd__buf_2
*I *6267:Q O *D sky130_fd_sc_hd__dfxtp_1
*CAP
1 *6132:A2 0
2 *6427:A 0.00182988
3 *6267:Q 0.000307519
4 *500:19 0.00372139
5 *500:9 0.00219903
6 *6427:A *6113:A 0.000466778
7 *6427:A *6220:A0 0.000511993
8 *500:19 *524:10 1.29348e-05
9 *6109:B *6427:A 0.000483488
10 *6112:A *500:9 0
11 *6130:A2 *500:19 0.000255925
12 *6130:B1 *500:19 0.000219686
13 *6132:A1 *500:19 4.31703e-05
14 *6133:B *500:19 0.000411006
15 *6191:A *500:19 0.000167076
16 *6199:B *500:19 0
17 *6220:A1 *6427:A 0.000163404
18 *6221:A *6427:A 5.48756e-05
19 *296:12 *500:19 0.00018755
20 *305:10 *500:19 0
21 *398:12 *500:9 0
22 *400:11 *500:9 0.000209829
23 *400:11 *500:19 0.000558485
24 *407:12 *500:19 0
25 *496:13 *6427:A 3.163e-05
26 *496:13 *500:19 0.000277092
27 *496:40 *6427:A 0.000240764
28 *497:26 *500:19 0
*RES
1 *6267:Q *500:9 18.4679
2 *500:9 *500:19 41.4464
3 *500:19 *6427:A 46.7179
4 *500:9 *6132:A2 12.2
*END
*D_NET *501 0.0165221
*CONN
*I *6134:A2 I *D sky130_fd_sc_hd__a22oi_1
*I *6428:A I *D sky130_fd_sc_hd__buf_2
*I *6268:Q O *D sky130_fd_sc_hd__dfxtp_1
*CAP
1 *6134:A2 4.26349e-05
2 *6428:A 0
3 *6268:Q 0.00019169
4 *501:41 0.00153797
5 *501:31 0.00425326
6 *501:9 0.00294962
7 *501:41 *6113:A 0.000546586
8 *501:41 *502:29 0.000311379
9 sram_wmask0[0] *501:31 0
10 sram_wmask0[1] *501:31 0.000364356
11 wb_error_o *501:41 8.62625e-06
12 *6105:A *501:31 0.000508878
13 *6134:B1 *6134:A2 5.65304e-05
14 *6134:B1 *501:9 4.81849e-05
15 *6134:B1 *501:31 3.13298e-05
16 *6134:B2 *501:31 6.08467e-05
17 *6135:B *501:31 2.20702e-05
18 *6208:B *501:41 0.000175033
19 *6210:A *501:41 0.000405584
20 *6220:S *501:41 1.77537e-06
21 *6264:CLK *501:31 0.000288084
22 *6264:D *501:31 1.87611e-05
23 *6303:D *501:41 6.08467e-05
24 *6390:A *501:31 2.7961e-05
25 *6401:A *501:31 0.000217951
26 *266:8 *501:41 0.000116772
27 *292:17 *501:41 8.56296e-05
28 *293:6 *501:41 7.77309e-06
29 *293:12 *501:41 0.000240823
30 *293:16 *501:41 0.000191541
31 *307:17 *501:31 0.000351336
32 *368:18 *501:41 7.8435e-05
33 *400:19 *501:31 0.000260388
34 *402:36 *501:31 0.000191541
35 *405:16 *501:9 7.50872e-05
36 *410:12 *501:31 0
37 *412:14 *501:9 3.00073e-05
38 *455:20 *501:31 0.000105641
39 *455:20 *501:41 0.000828603
40 *457:16 *501:31 2.652e-05
41 *477:15 *501:41 0.00144946
42 *492:24 *501:41 0.000352535
*RES
1 *6268:Q *501:9 15.2536
2 *501:9 *501:31 45.4107
3 *501:31 *501:41 45.3214
4 *501:41 *6428:A 12.2
5 *501:9 *6134:A2 13.3786
*END
*D_NET *502 0.0111339
*CONN
*I *6093:A I *D sky130_fd_sc_hd__and2_1
*I *6097:A I *D sky130_fd_sc_hd__or4b_1
*I *6234:A0 I *D sky130_fd_sc_hd__mux2_1
*I *6308:Q O *D sky130_fd_sc_hd__dfxtp_1
*CAP
1 *6093:A 0
2 *6097:A 0.000289969
3 *6234:A0 0.000783613
4 *6308:Q 0.000252741
5 *502:29 0.00225617
6 *502:28 0.00253963
7 *502:8 0.00119474
8 *502:5 0.00065735
9 *502:29 *6106:A 0
10 *502:29 *6111:A 0.000137446
11 *502:29 *6113:A 0.000212194
12 *502:29 *6117:A 0
13 *502:29 *518:6 0
14 *6093:B *502:29 0.000205317
15 *6097:C *6097:A 0.000114584
16 *6105:A *502:29 4.87198e-05
17 *6208:A *502:29 0
18 *6208:B *502:29 0.000190258
19 *6213:A1 *502:29 0
20 *6234:S *6234:A0 5.05707e-05
21 *292:17 *502:29 0.000165481
22 *296:51 *6097:A 0.000114584
23 *368:18 *6097:A 0.000125566
24 *368:18 *502:8 5.93521e-05
25 *368:18 *502:29 0
26 *370:10 *502:29 9.77204e-05
27 *410:12 *502:29 0
28 *453:370 *502:29 0.000191041
29 *453:389 *502:29 0.000358485
30 *453:401 *502:29 9.6019e-05
31 *457:16 *502:29 0.000681006
32 *501:41 *502:29 0.000311379
*RES
1 *6308:Q *502:5 14.7893
2 *502:5 *502:8 2.67857
3 *502:8 *6234:A0 20.6643
4 *502:8 *6097:A 18.8607
5 *502:5 *502:28 3.125
6 *502:28 *502:29 52.6429
7 *502:29 *6093:A 12.325
*END
*D_NET *503 0.00157465
*CONN
*I *6097:B I *D sky130_fd_sc_hd__or4b_1
*I *6237:A0 I *D sky130_fd_sc_hd__mux2_1
*I *6309:Q O *D sky130_fd_sc_hd__dfxtp_1
*CAP
1 *6097:B 0
2 *6237:A0 0.000406383
3 *6309:Q 0.000308298
4 *503:8 0.000714681
5 *6237:A1 *6237:A0 6.08467e-05
6 *6237:S *6237:A0 1.65872e-05
7 *296:51 *6237:A0 6.78549e-05
8 *498:18 *6237:A0 0
9 *498:18 *503:8 0
*RES
1 *6309:Q *503:8 15.95
2 *503:8 *6237:A0 19.6464
3 *503:8 *6097:B 12.325
*END
*D_NET *504 0.00271198
*CONN
*I *6095:A I *D sky130_fd_sc_hd__or4_1
*I *6239:A0 I *D sky130_fd_sc_hd__mux2_1
*I *6310:Q O *D sky130_fd_sc_hd__dfxtp_1
*CAP
1 *6095:A 0.000235232
2 *6239:A0 0.000202611
3 *6310:Q 0.000383545
4 *504:5 0.000821389
5 *6097:C *6095:A 0.000205381
6 *297:11 *6095:A 3.31736e-05
7 *476:25 *6239:A0 0.000213676
8 *476:25 *504:5 0.000616977
9 *498:18 *6239:A0 0
*RES
1 *6310:Q *504:5 17.2536
2 *504:5 *6239:A0 15.2536
3 *504:5 *6095:A 17.2714
*END
*D_NET *505 0.00191883
*CONN
*I *6095:B I *D sky130_fd_sc_hd__or4_1
*I *6241:A0 I *D sky130_fd_sc_hd__mux2_1
*I *6311:Q O *D sky130_fd_sc_hd__dfxtp_1
*CAP
1 *6095:B 0.000253455
2 *6241:A0 0.000166377
3 *6311:Q 0
4 *505:4 0.000419832
5 *6095:B *6095:D 6.9538e-05
6 *6241:A1 *6241:A0 6.08467e-05
7 *6241:S *6241:A0 1.65872e-05
8 *6310:CLK *6241:A0 0.00031994
9 *476:25 *6241:A0 0.000464113
10 *498:18 *6241:A0 0.000148144
*RES
1 *6311:Q *505:4 12.2
2 *505:4 *6241:A0 18.2179
3 *505:4 *6095:B 15.2
*END
*D_NET *506 0.00210283
*CONN
*I *6243:A0 I *D sky130_fd_sc_hd__mux2_1
*I *6095:C I *D sky130_fd_sc_hd__or4_1
*I *6312:Q O *D sky130_fd_sc_hd__dfxtp_1
*CAP
1 *6243:A0 0
2 *6095:C 0.000347621
3 *6312:Q 0.000664368
4 *506:8 0.00101199
5 *6095:C *6095:D 0
6 *506:8 *6095:D 0
7 *6312:D *506:8 7.88512e-05
8 *498:18 *6095:C 0
*RES
1 *6312:Q *506:8 19.8429
2 *506:8 *6095:C 18.9321
3 *506:8 *6243:A0 12.325
*END
*D_NET *507 0.00255737
*CONN
*I *6245:A0 I *D sky130_fd_sc_hd__mux2_1
*I *6095:D I *D sky130_fd_sc_hd__or4_1
*I *6313:Q O *D sky130_fd_sc_hd__dfxtp_1
*CAP
1 *6245:A0 0.000334805
2 *6095:D 0.000403686
3 *6313:Q 9.91619e-05
4 *507:6 0.000837652
5 *6095:B *6095:D 6.9538e-05
6 *6095:C *6095:D 0
7 *6245:A1 *6245:A0 7.92757e-06
8 *6245:S *6245:A0 6.50727e-05
9 *6312:CLK *6245:A0 6.0573e-05
10 *498:18 *6095:D 0.000487335
11 *498:18 *507:6 0.000191624
12 *506:8 *6095:D 0
*RES
1 *6313:Q *507:6 14.8786
2 *507:6 *6095:D 21.5214
3 *507:6 *6245:A0 16.1464
*END
*D_NET *508 0.00253569
*CONN
*I *6099:A I *D sky130_fd_sc_hd__or4_1
*I *6248:A0 I *D sky130_fd_sc_hd__mux2_1
*I *6314:Q O *D sky130_fd_sc_hd__dfxtp_1
*CAP
1 *6099:A 0.000345724
2 *6248:A0 0.000208502
3 *6314:Q 0.000552645
4 *508:7 0.00110687
5 *6099:A *6099:C 6.78549e-05
6 *6099:A *6099:D 1.07248e-05
7 *6099:A *6250:A0 1.09551e-05
8 *6100:C *6099:A 0
9 *6101:A *6099:A 0
10 *6248:A1 *6099:A 4.97193e-05
11 *6248:A1 *6248:A0 1.79807e-05
12 *6314:D *508:7 9.96009e-05
13 *297:11 *6099:A 0
14 *298:17 *6099:A 0
15 *388:7 *6248:A0 3.25584e-05
16 *388:16 *6248:A0 3.25584e-05
17 *498:18 *6099:A 0
*RES
1 *6314:Q *508:7 18.2
2 *508:7 *6248:A0 15.1286
3 *508:7 *6099:A 18.9857
*END
*D_NET *509 0.00112833
*CONN
*I *6099:B I *D sky130_fd_sc_hd__or4_1
*I *6250:A0 I *D sky130_fd_sc_hd__mux2_1
*I *6315:Q O *D sky130_fd_sc_hd__dfxtp_1
*CAP
1 *6099:B 0
2 *6250:A0 0.000313689
3 *6315:Q 0.000173119
4 *509:9 0.000486808
5 *509:9 *6099:D 0
6 *6099:A *6250:A0 1.09551e-05
7 *6250:A1 *6250:A0 6.50727e-05
8 *498:18 *509:9 7.86847e-05
*RES
1 *6315:Q *509:9 15.2536
2 *509:9 *6250:A0 18.2893
3 *509:9 *6099:B 12.2
*END
*D_NET *510 0.00280614
*CONN
*I *6252:A0 I *D sky130_fd_sc_hd__mux2_1
*I *6099:C I *D sky130_fd_sc_hd__or4_1
*I *6316:Q O *D sky130_fd_sc_hd__dfxtp_1
*CAP
1 *6252:A0 6.27751e-05
2 *6099:C 0.000383156
3 *6316:Q 0.000616343
4 *510:8 0.00106227
5 *6099:C *6099:D 0
6 *6099:A *6099:C 6.78549e-05
7 *6252:S *6252:A0 0.000114594
8 *6316:D *510:8 5.79771e-06
9 *6410:A *6252:A0 0.000264612
10 *298:17 *6099:C 0.00016412
11 *298:17 *510:8 6.46135e-05
*RES
1 *6316:Q *510:8 19.7357
2 *510:8 *6099:C 20.0036
3 *510:8 *6252:A0 14.5036
*END
*D_NET *511 0.00284833
*CONN
*I *6099:D I *D sky130_fd_sc_hd__or4_1
*I *6254:A0 I *D sky130_fd_sc_hd__mux2_1
*I *6317:Q O *D sky130_fd_sc_hd__dfxtp_1
*CAP
1 *6099:D 0.000851473
2 *6254:A0 0.00018621
3 *6317:Q 0
4 *511:4 0.00103768
5 *6099:A *6099:D 1.07248e-05
6 *6099:C *6099:D 0
7 *6252:A1 *6099:D 1.1095e-05
8 *6253:A *6099:D 5.8261e-05
9 *6256:S *6254:A0 9.34396e-06
10 *388:32 *6254:A0 8.37175e-05
11 *483:18 *6254:A0 0.000319954
12 *498:18 *6099:D 0
13 *498:18 *6254:A0 0.000279872
14 *509:9 *6099:D 0
*RES
1 *6317:Q *511:4 12.2
2 *511:4 *6254:A0 18.5036
3 *511:4 *6099:D 24.575
*END
*D_NET *512 0.00243771
*CONN
*I *6098:A I *D sky130_fd_sc_hd__or4_1
*I *6256:A0 I *D sky130_fd_sc_hd__mux2_1
*I *6318:Q O *D sky130_fd_sc_hd__dfxtp_1
*CAP
1 *6098:A 0.000384553
2 *6256:A0 0.000150283
3 *6318:Q 0.000253112
4 *512:10 0.000787948
5 *6098:A *6098:C 0.000114584
6 *6256:S *6256:A0 0.000117376
7 *6257:A *6098:A 0
8 *6257:A *6256:A0 0
9 *6258:S *6098:A 8.76374e-05
10 *6320:CLK *6098:A 0
11 *298:17 *6098:A 0.000175045
12 *298:17 *6256:A0 5.75263e-05
13 *298:17 *512:10 1.9101e-05
14 *440:11 *6098:A 1.75625e-05
15 *440:11 *6256:A0 0.00022067
16 *484:20 *512:10 5.23101e-05
*RES
1 *6318:Q *512:10 15.3161
2 *512:10 *6256:A0 16.2982
3 *512:10 *6098:A 20.9589
*END
*D_NET *513 0.00133349
*CONN
*I *6258:A0 I *D sky130_fd_sc_hd__mux2_1
*I *6098:B I *D sky130_fd_sc_hd__or4_1
*I *6319:Q O *D sky130_fd_sc_hd__dfxtp_1
*CAP
1 *6258:A0 0.000143705
2 *6098:B 0.000199957
3 *6319:Q 4.72918e-05
4 *513:6 0.000390954
5 *6258:A1 *6258:A0 3.14978e-05
6 *6258:S *6098:B 0.000317707
7 *6258:S *6258:A0 5.0715e-05
8 *6414:A *6258:A0 0
9 *6414:A *513:6 0
10 *498:18 *6258:A0 7.65861e-05
11 *498:18 *513:6 7.50722e-05
*RES
1 *6319:Q *513:6 13.3607
2 *513:6 *6098:B 14.9143
3 *513:6 *6258:A0 15.5393
*END
*D_NET *514 0.0023607
*CONN
*I *6260:A0 I *D sky130_fd_sc_hd__mux2_1
*I *6098:C I *D sky130_fd_sc_hd__or4_1
*I *6320:Q O *D sky130_fd_sc_hd__dfxtp_1
*CAP
1 *6260:A0 0
2 *6098:C 0.00015849
3 *6320:Q 0.000680886
4 *514:11 0.000839377
5 *6098:C *6098:D 0
6 *514:11 *6098:D 0
7 *6098:A *6098:C 0.000114584
8 *6258:S *6098:C 0.000169728
9 *6260:A1 *514:11 0.000304956
10 *6261:A *514:11 0
11 *6262:S *514:11 4.65396e-05
12 *6320:CLK *6098:C 0
13 *6415:A *514:11 3.18731e-05
14 *440:11 *514:11 1.4267e-05
*RES
1 *6320:Q *514:11 21.9321
2 *514:11 *6098:C 16.0571
3 *514:11 *6260:A0 12.2
*END
*D_NET *515 0.00285133
*CONN
*I *6262:A0 I *D sky130_fd_sc_hd__mux2_1
*I *6098:D I *D sky130_fd_sc_hd__or4_1
*I *6321:Q O *D sky130_fd_sc_hd__dfxtp_1
*CAP
1 *6262:A0 7.90592e-05
2 *6098:D 0.00016699
3 *6321:Q 0.000325578
4 *515:8 0.000571627
5 *6098:C *6098:D 0
6 *6261:A *6098:D 0.000143032
7 *6261:A *515:8 0.000118485
8 *6262:S *6262:A0 0.000483474
9 *6320:CLK *6098:D 0.000320287
10 *6415:A *6262:A0 0.000642796
11 *498:18 *6098:D 0
12 *498:18 *515:8 0
13 *514:11 *6098:D 0
*RES
1 *6321:Q *515:8 16.5571
2 *515:8 *6098:D 17.0036
3 *515:8 *6262:A0 17.3786
*END
*D_NET *516 0.00129123
*CONN
*I *6216:A0 I *D sky130_fd_sc_hd__mux2_1
*I *6102:A I *D sky130_fd_sc_hd__and2_1
*I *6300:Q O *D sky130_fd_sc_hd__dfxtp_1
*CAP
1 *6216:A0 0.000163008
2 *6102:A 0.000179693
3 *6300:Q 0
4 *516:4 0.000342701
5 *6216:S *6216:A0 6.50727e-05
6 *6413:A *6216:A0 0.000129784
7 *367:9 *6216:A0 4.01573e-05
8 *401:16 *6216:A0 0.000370815
*RES
1 *6300:Q *516:4 12.2
2 *516:4 *6102:A 15.5214
3 *516:4 *6216:A0 17.3964
*END
*D_NET *517 0.00376156
*CONN
*I *6104:A I *D sky130_fd_sc_hd__and2_1
*I *6218:A0 I *D sky130_fd_sc_hd__mux2_1
*I *6301:Q O *D sky130_fd_sc_hd__dfxtp_1
*CAP
1 *6104:A 0.000921324
2 *6218:A0 0.000273176
3 *6301:Q 0
4 *517:4 0.0011945
5 *6092:A *6104:A 2.7837e-05
6 *6104:B *6104:A 0.000114584
7 *6218:S *6218:A0 6.50727e-05
8 *6413:A *6104:A 0.00022067
9 *266:8 *6104:A 0.000143047
10 *293:6 *6104:A 0.000137404
11 *293:12 *6104:A 0.000225558
12 *367:9 *6218:A0 0.000227907
13 *401:16 *6104:A 4.58907e-05
14 *475:7 *6104:A 4.63382e-05
15 *498:18 *6218:A0 0.000118253
*RES
1 *6301:Q *517:4 12.2
2 *517:4 *6218:A0 18.9143
3 *517:4 *6104:A 27.7179
*END
*D_NET *518 0.00422042
*CONN
*I *6220:A0 I *D sky130_fd_sc_hd__mux2_1
*I *6106:A I *D sky130_fd_sc_hd__and2_1
*I *6302:Q O *D sky130_fd_sc_hd__dfxtp_1
*CAP
1 *6220:A0 0.000117993
2 *6106:A 0.000931758
3 *6302:Q 9.99013e-05
4 *518:6 0.00114965
5 *6220:A0 *6113:A 0.00041971
6 *6105:A *6106:A 4.01437e-05
7 *6208:A *6106:A 0.000160384
8 *6213:A1 *6106:A 0.000473514
9 *6220:A1 *6220:A0 0.00016763
10 *6221:A *6220:A0 6.53173e-05
11 *6427:A *6220:A0 0.000511993
12 *410:12 *6106:A 0
13 *410:12 *518:6 0
14 *457:16 *6106:A 5.05252e-05
15 *496:40 *6220:A0 3.18992e-05
16 *502:29 *6106:A 0
17 *502:29 *518:6 0
*RES
1 *6302:Q *518:6 13.9679
2 *518:6 *6106:A 30.2893
3 *518:6 *6220:A0 18.2
*END
*D_NET *519 0.00692915
*CONN
*I *6109:A I *D sky130_fd_sc_hd__and2_1
*I *6222:A0 I *D sky130_fd_sc_hd__mux2_1
*I *6303:Q O *D sky130_fd_sc_hd__dfxtp_1
*CAP
1 *6109:A 0.00214435
2 *6222:A0 0.000357034
3 *6303:Q 0
4 *519:4 0.00250138
5 *6109:A *6111:A 0.00120121
6 *6115:B *6109:A 0
7 *6117:B *6109:A 2.42138e-05
8 *6302:D *6109:A 3.18826e-06
9 *6304:CLK *6222:A0 0.000148144
10 *304:6 *6109:A 0
11 *304:19 *6109:A 0
12 *306:7 *6109:A 0.00012316
13 *309:7 *6109:A 1.5006e-05
14 *401:21 *6222:A0 6.66538e-05
15 *453:60 *6222:A0 9.18559e-06
16 *453:78 *6109:A 0.000161863
17 *453:78 *6222:A0 8.70665e-05
18 *453:85 *6109:A 8.66935e-05
*RES
1 *6303:Q *519:4 12.2
2 *519:4 *6222:A0 17.8071
3 *519:4 *6109:A 39.45
*END
*D_NET *520 0.00587008
*CONN
*I *6111:A I *D sky130_fd_sc_hd__and2_1
*I *6226:A0 I *D sky130_fd_sc_hd__mux2_1
*I *6304:Q O *D sky130_fd_sc_hd__dfxtp_1
*CAP
1 *6111:A 0.000996411
2 *6226:A0 0.000591635
3 *6304:Q 0
4 *520:4 0.00158805
5 *6111:A *6117:A 0.00071968
6 *6109:A *6111:A 0.00120121
7 *6117:B *6111:A 0.000163928
8 *6226:A1 *6226:A0 1.79807e-05
9 *6304:CLK *6226:A0 3.06126e-05
10 *453:85 *6111:A 4.23874e-05
11 *453:370 *6111:A 5.04829e-06
12 *477:44 *6226:A0 0
13 *481:25 *6111:A 0.000198204
14 *481:25 *6226:A0 0.000177492
15 *498:18 *6226:A0 0
16 *502:29 *6111:A 0.000137446
*RES
1 *6304:Q *520:4 12.2
2 *520:4 *6226:A0 20.4679
3 *520:4 *6111:A 35.3429
*END
*D_NET *521 0.00853246
*CONN
*I *6113:A I *D sky130_fd_sc_hd__and2_1
*I *6228:A0 I *D sky130_fd_sc_hd__mux2_1
*I *6305:Q O *D sky130_fd_sc_hd__dfxtp_1
*CAP
1 *6113:A 0.00125765
2 *6228:A0 0.000136541
3 *6305:Q 0
4 *521:4 0.00139419
5 *6220:A0 *6113:A 0.00041971
6 *6305:CLK *6113:A 0.000990858
7 *6383:A *6228:A0 0.000271044
8 *6427:A *6113:A 0.000466778
9 *368:18 *6113:A 0.00128125
10 *376:18 *6228:A0 5.04879e-05
11 *496:13 *6113:A 0.00130518
12 *496:40 *6113:A 8.15039e-05
13 *498:18 *6228:A0 0.000118485
14 *501:41 *6113:A 0.000546586
15 *502:29 *6113:A 0.000212194
*RES
1 *6305:Q *521:4 12.2
2 *521:4 *6228:A0 16.2714
3 *521:4 *6113:A 48.9321
*END
*D_NET *522 0.00743161
*CONN
*I *6115:A I *D sky130_fd_sc_hd__and2_1
*I *6230:A0 I *D sky130_fd_sc_hd__mux2_1
*I *6306:Q O *D sky130_fd_sc_hd__dfxtp_1
*CAP
1 *6115:A 0
2 *6230:A0 0.000264284
3 *6306:Q 0
4 *522:20 0.00254838
5 *522:4 0.00281266
6 *6115:B *522:20 5.22654e-06
7 *6176:A *522:20 0.000625601
8 *6181:A2 *522:20 7.34861e-05
9 *6182:B *522:20 4.3116e-06
10 *6184:B *522:20 0
11 *6185:A1 *522:20 0
12 *6230:A1 *6230:A0 6.50586e-05
13 *6230:S *6230:A0 0.000118166
14 *296:51 *522:20 0
15 *347:36 *522:20 0
16 *347:50 *522:20 0.000122098
17 *348:16 *522:20 0
18 *348:25 *522:20 0
19 *479:38 *6230:A0 0.00011226
20 *486:11 *522:20 0.000409833
21 *490:9 *522:20 0.000122083
22 *498:18 *6230:A0 0.000148159
*RES
1 *6306:Q *522:4 12.2
2 *522:4 *6230:A0 18.2179
3 *522:4 *522:20 37.9107
4 *522:20 *6115:A 12.2
*END
*D_NET *523 0.00742487
*CONN
*I *6117:A I *D sky130_fd_sc_hd__and2_1
*I *6232:A0 I *D sky130_fd_sc_hd__mux2_1
*I *6307:Q O *D sky130_fd_sc_hd__dfxtp_1
*CAP
1 *6117:A 0.00177753
2 *6232:A0 0.000705566
3 *6307:Q 0
4 *523:4 0.0024831
5 *6111:A *6117:A 0.00071968
6 *6186:B *6117:A 9.34724e-05
7 *6288:D *6117:A 4.61732e-05
8 *6306:CLK *6232:A0 8.52652e-05
9 *6383:A *6117:A 0.000290629
10 *376:16 *6232:A0 8.85814e-05
11 *410:12 *6117:A 0.000412742
12 *453:85 *6117:A 0.000115615
13 *453:370 *6117:A 0.000115585
14 *453:389 *6117:A 0
15 *453:401 *6117:A 0.00012864
16 *453:401 *6232:A0 0.00019862
17 *453:405 *6232:A0 3.64685e-05
18 *453:419 *6232:A0 0.000127194
19 *502:29 *6117:A 0
*RES
1 *6307:Q *523:4 12.2
2 *523:4 *6232:A0 22.5214
3 *523:4 *6117:A 48.8964
*END
*D_NET *524 0.00369143
*CONN
*I *6122:A I *D sky130_fd_sc_hd__clkbuf_2
*I *6163:A I *D sky130_fd_sc_hd__clkbuf_2
*I *6096:A_N I *D sky130_fd_sc_hd__and2b_2
*I *6091:B I *D sky130_fd_sc_hd__or2_1
*I *6298:Q O *D sky130_fd_sc_hd__dfxtp_1
*CAP
1 *6122:A 0.000313385
2 *6163:A 5.14168e-05
3 *6096:A_N 0
4 *6091:B 0.000153
5 *6298:Q 0
6 *524:16 0.000309984
7 *524:10 0.000403992
8 *524:4 0.000508976
9 *6091:B *6206:A 0.0002646
10 *524:16 *6206:A 0.000224395
11 *6124:A *6163:A 0.000217951
12 *6207:A2 *6091:B 0
13 *6292:CLK *6091:B 0.000190028
14 *296:5 *6163:A 4.66492e-05
15 *296:5 *524:16 0.000111708
16 *296:12 *524:10 2.95757e-05
17 *307:10 *524:16 2.22198e-05
18 *310:8 *6091:B 0
19 *339:11 *6091:B 0.000260388
20 *339:11 *6163:A 0.000108071
21 *339:11 *524:16 5.99527e-05
22 *407:12 *524:10 9.60216e-05
23 *453:120 *6122:A 0.00020979
24 *453:120 *524:10 9.63981e-05
25 *500:19 *524:10 1.29348e-05
*RES
1 *6298:Q *524:4 12.2
2 *524:4 *524:10 3.35714
3 *524:10 *524:16 3.98214
4 *524:16 *6091:B 17.1821
5 *524:16 *6096:A_N 12.2
6 *524:10 *6163:A 14.3786
7 *524:4 *6122:A 16.45
*END
*D_NET *525 0.00317665
*CONN
*I *6096:B I *D sky130_fd_sc_hd__and2b_2
*I *6206:A I *D sky130_fd_sc_hd__nor2_1
*I *6091:A I *D sky130_fd_sc_hd__or2_1
*I *6207:A1 I *D sky130_fd_sc_hd__a21o_1
*I *6299:Q O *D sky130_fd_sc_hd__dfxtp_1
*CAP
1 *6096:B 0
2 *6206:A 0.000184758
3 *6091:A 0
4 *6207:A1 0
5 *6299:Q 0.00011669
6 *525:24 0.000445228
7 *525:15 0.000401406
8 *525:9 0.000257625
9 *6091:B *6206:A 0.0002646
10 *6176:A *525:9 3.67708e-05
11 *6207:A2 *525:9 7.44124e-05
12 *6292:CLK *525:9 0
13 *292:10 *525:15 0.000381471
14 *292:10 *525:24 0.000115934
15 *307:10 *525:24 0
16 *310:8 *525:9 9.60216e-05
17 *310:13 *525:9 9.19886e-06
18 *310:13 *525:15 8.26551e-05
19 *310:13 *525:24 6.05863e-05
20 *310:43 *525:9 8.52802e-05
21 *339:11 *6206:A 0.000233755
22 *407:12 *6206:A 2.22342e-05
23 *407:12 *525:24 8.36326e-05
24 *524:16 *6206:A 0.000224395
*RES
1 *6299:Q *525:9 15.5393
2 *525:9 *6207:A1 12.2
3 *525:9 *525:15 4.23214
4 *525:15 *6091:A 12.2
5 *525:15 *525:24 5.67857
6 *525:24 *6206:A 17.7
7 *525:24 *6096:B 12.325
*END