blob: c0caa45618e1783e30a821436b0b0073863837a9 [file] [log] [blame]
(DELAYFILE
(SDFVERSION "3.0")
(DESIGN "Flash")
(DATE "Tue May 24 14:26:47 2022")
(VENDOR "Parallax")
(PROGRAM "STA")
(VERSION "2.3.1")
(DIVIDER .)
(VOLTAGE 1.800::1.800)
(PROCESS "1.000::1.000")
(TEMPERATURE 25.000::25.000)
(TIMESCALE 1ns)
(CELL
(CELLTYPE "Flash")
(INSTANCE)
(DELAY
(ABSOLUTE
(INTERCONNECT sram_dout1[0] input1.A (0.017:0.017:0.017) (0.007:0.007:0.007))
(INTERCONNECT sram_dout1[10] input2.A (0.012:0.012:0.012) (0.005:0.005:0.005))
(INTERCONNECT sram_dout1[11] input3.A (0.012:0.012:0.012) (0.005:0.005:0.005))
(INTERCONNECT sram_dout1[12] input4.A (0.011:0.011:0.011) (0.005:0.005:0.005))
(INTERCONNECT sram_dout1[13] input5.A (0.011:0.011:0.011) (0.005:0.005:0.005))
(INTERCONNECT sram_dout1[14] input6.A (0.010:0.010:0.010) (0.004:0.004:0.004))
(INTERCONNECT sram_dout1[15] input7.A (0.012:0.012:0.012) (0.005:0.005:0.005))
(INTERCONNECT sram_dout1[16] input8.A (0.012:0.012:0.012) (0.005:0.005:0.005))
(INTERCONNECT sram_dout1[17] input9.A (0.013:0.013:0.013) (0.005:0.005:0.005))
(INTERCONNECT sram_dout1[18] input10.A (0.012:0.012:0.012) (0.005:0.005:0.005))
(INTERCONNECT sram_dout1[19] input11.A (0.013:0.013:0.013) (0.006:0.006:0.006))
(INTERCONNECT sram_dout1[1] input12.A (0.014:0.014:0.014) (0.006:0.006:0.006))
(INTERCONNECT sram_dout1[20] input13.A (0.010:0.010:0.010) (0.004:0.004:0.004))
(INTERCONNECT sram_dout1[21] input14.A (0.010:0.010:0.010) (0.004:0.004:0.004))
(INTERCONNECT sram_dout1[22] input15.A (0.010:0.010:0.010) (0.004:0.004:0.004))
(INTERCONNECT sram_dout1[23] input16.A (0.010:0.010:0.010) (0.004:0.004:0.004))
(INTERCONNECT sram_dout1[24] input17.A (0.012:0.012:0.012) (0.005:0.005:0.005))
(INTERCONNECT sram_dout1[25] input18.A (0.010:0.010:0.010) (0.004:0.004:0.004))
(INTERCONNECT sram_dout1[26] input19.A (0.011:0.011:0.011) (0.004:0.004:0.004))
(INTERCONNECT sram_dout1[27] input20.A (0.010:0.010:0.010) (0.004:0.004:0.004))
(INTERCONNECT sram_dout1[28] input21.A (0.010:0.010:0.010) (0.004:0.004:0.004))
(INTERCONNECT sram_dout1[29] input22.A (0.011:0.011:0.011) (0.004:0.004:0.004))
(INTERCONNECT sram_dout1[2] input23.A (0.014:0.014:0.014) (0.006:0.006:0.006))
(INTERCONNECT sram_dout1[30] input24.A (0.015:0.015:0.015) (0.006:0.006:0.006))
(INTERCONNECT sram_dout1[31] input25.A (0.016:0.016:0.016) (0.007:0.007:0.007))
(INTERCONNECT sram_dout1[3] input26.A (0.015:0.015:0.015) (0.006:0.006:0.006))
(INTERCONNECT sram_dout1[4] input27.A (0.016:0.016:0.016) (0.007:0.007:0.007))
(INTERCONNECT sram_dout1[5] input28.A (0.017:0.017:0.017) (0.007:0.007:0.007))
(INTERCONNECT sram_dout1[6] input29.A (0.015:0.015:0.015) (0.006:0.006:0.006))
(INTERCONNECT sram_dout1[7] input30.A (0.014:0.014:0.014) (0.006:0.006:0.006))
(INTERCONNECT sram_dout1[8] input31.A (0.013:0.013:0.013) (0.005:0.005:0.005))
(INTERCONNECT sram_dout1[9] input32.A (0.014:0.014:0.014) (0.006:0.006:0.006))
(INTERCONNECT wb_adr_i[10] input33.A (0.012:0.012:0.012) (0.005:0.005:0.005))
(INTERCONNECT wb_adr_i[11] input34.A (0.011:0.011:0.011) (0.004:0.004:0.004))
(INTERCONNECT wb_adr_i[12] input35.A (0.012:0.012:0.012) (0.005:0.005:0.005))
(INTERCONNECT wb_adr_i[13] input36.A (0.015:0.015:0.015) (0.006:0.006:0.006))
(INTERCONNECT wb_adr_i[14] input37.A (0.013:0.013:0.013) (0.005:0.005:0.005))
(INTERCONNECT wb_adr_i[15] input38.A (0.012:0.012:0.012) (0.005:0.005:0.005))
(INTERCONNECT wb_adr_i[16] input39.A (0.012:0.012:0.012) (0.005:0.005:0.005))
(INTERCONNECT wb_adr_i[17] input40.A (0.014:0.014:0.014) (0.006:0.006:0.006))
(INTERCONNECT wb_adr_i[18] input41.A (0.015:0.015:0.015) (0.006:0.006:0.006))
(INTERCONNECT wb_adr_i[19] input42.A (0.012:0.012:0.012) (0.005:0.005:0.005))
(INTERCONNECT wb_adr_i[20] input43.A (0.011:0.011:0.011) (0.005:0.005:0.005))
(INTERCONNECT wb_adr_i[21] input44.A (0.011:0.011:0.011) (0.004:0.004:0.004))
(INTERCONNECT wb_adr_i[22] input45.A (0.012:0.012:0.012) (0.005:0.005:0.005))
(INTERCONNECT wb_adr_i[23] input46.A (0.011:0.011:0.011) (0.005:0.005:0.005))
(INTERCONNECT wb_adr_i[2] input47.A (0.013:0.013:0.013) (0.005:0.005:0.005))
(INTERCONNECT wb_adr_i[3] input48.A (0.011:0.011:0.011) (0.004:0.004:0.004))
(INTERCONNECT wb_adr_i[4] input49.A (0.011:0.011:0.011) (0.004:0.004:0.004))
(INTERCONNECT wb_adr_i[5] input50.A (0.011:0.011:0.011) (0.005:0.005:0.005))
(INTERCONNECT wb_adr_i[6] input51.A (0.013:0.013:0.013) (0.006:0.006:0.006))
(INTERCONNECT wb_adr_i[7] input52.A (0.011:0.011:0.011) (0.004:0.004:0.004))
(INTERCONNECT wb_adr_i[8] input53.A (0.010:0.010:0.010) (0.004:0.004:0.004))
(INTERCONNECT wb_adr_i[9] input54.A (0.011:0.011:0.011) (0.005:0.005:0.005))
(INTERCONNECT wb_clk_i input55.A (0.038:0.038:0.038) (0.017:0.017:0.017))
(INTERCONNECT wb_cyc_i input56.A (0.027:0.027:0.027) (0.012:0.012:0.012))
(INTERCONNECT wb_rst_i input57.A (0.011:0.011:0.011) (0.004:0.004:0.004))
(INTERCONNECT wb_sel_i[0] input58.A (0.018:0.018:0.018) (0.008:0.008:0.008))
(INTERCONNECT wb_sel_i[1] input59.A (0.009:0.009:0.009) (0.004:0.004:0.004))
(INTERCONNECT wb_sel_i[2] input60.A (0.016:0.016:0.016) (0.007:0.007:0.007))
(INTERCONNECT wb_sel_i[3] input61.A (0.009:0.009:0.009) (0.003:0.003:0.003))
(INTERCONNECT wb_stb_i input62.A (0.026:0.026:0.026) (0.012:0.012:0.012))
(INTERCONNECT wb_we_i input63.A (0.024:0.024:0.024) (0.011:0.011:0.011))
(INTERCONNECT _220_.X _221_.B1 (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT _220_.X _225_.B1 (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT _220_.X _228_.B1 (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT _220_.X _231_.B1 (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT _220_.X _236_.B1 (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT _221_.X _465_.D (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _222_.X _225_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _223_.X _224_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _223_.X _227_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _223_.X _230_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _223_.X _235_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _223_.X _239_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _224_.X _225_.A2 (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT _225_.X _466_.D (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _226_.X _228_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _227_.X _228_.A2 (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT _228_.X _467_.D (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _229_.X _231_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _230_.X _231_.A2 (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT _231_.X _468_.D (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _232_.X _234_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _232_.X _237_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _232_.X _242_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _232_.X _245_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _232_.X _248_.B (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT _233_.X _234_.C (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _233_.X _237_.C (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _233_.X _242_.C (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _233_.X _245_.C (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _233_.X _248_.C (0.001:0.001:0.001) (0.000:0.000:0.000))
(INTERCONNECT _234_.X _236_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _235_.X _236_.A2 (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT _236_.X _469_.D (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _237_.X _241_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _238_.X _239_.B1 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _238_.X _243_.B1 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _238_.X _246_.B1 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _238_.X _249_.B1 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _238_.X _255_.B1 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _239_.X _241_.A2 (0.002:0.002:0.002) (0.001:0.001:0.001))
(INTERCONNECT _240_.X _241_.B1 (0.001:0.001:0.001) (0.000:0.000:0.000))
(INTERCONNECT _240_.X _244_.B1 (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT _240_.X _247_.B1 (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT _240_.X _250_.B1 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _240_.X _256_.B1 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _241_.X _470_.D (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _242_.X _244_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _243_.X _244_.A2 (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT _244_.X _471_.D (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _245_.X _247_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _246_.X _247_.A2 (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT _247_.X _472_.D (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _248_.X _250_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _249_.X _250_.A2 (0.002:0.002:0.002) (0.002:0.002:0.002))
(INTERCONNECT _250_.X _473_.D (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _251_.X _253_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _251_.X _257_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _251_.X _262_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _251_.X _265_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _251_.X _268_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _252_.X _253_.C (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _252_.X _257_.C (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _252_.X _262_.C (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _252_.X _265_.C (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _252_.X _268_.C (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _253_.X _256_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _254_.X _255_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _254_.X _259_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _254_.X _263_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _254_.X _266_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _254_.X _269_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _255_.X _256_.A2 (0.002:0.002:0.002) (0.002:0.002:0.002))
(INTERCONNECT _256_.X _474_.D (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _257_.X _261_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _258_.X _259_.B1 (0.001:0.001:0.001) (0.000:0.000:0.000))
(INTERCONNECT _258_.X _263_.B1 (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT _258_.X _266_.B1 (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT _258_.X _269_.B1 (0.001:0.001:0.001) (0.000:0.000:0.000))
(INTERCONNECT _258_.X _272_.B1 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _259_.X _261_.A2 (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT _260_.X _261_.B1 (0.001:0.001:0.001) (0.000:0.000:0.000))
(INTERCONNECT _260_.X _264_.B1 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _260_.X _267_.B1 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _260_.X _270_.B1 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _260_.X _273_.B1 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _261_.X _475_.D (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _262_.X _264_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _263_.X _264_.A2 (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT _264_.X _476_.D (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _265_.X _267_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _266_.X _267_.A2 (0.002:0.002:0.002) (0.002:0.002:0.002))
(INTERCONNECT _267_.X _477_.D (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _268_.X _270_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _269_.X _270_.A2 (0.002:0.002:0.002) (0.002:0.002:0.002))
(INTERCONNECT _270_.X _478_.D (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _271_.X _273_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _272_.X _273_.A2 (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT _273_.X _479_.D (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _274_.X _276_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _275_.X _276_.A2 (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT _276_.X _480_.D (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _277_.X _279_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _278_.X _279_.A2 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _279_.X _481_.D (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _280_.Y _281_.B1 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _280_.Y _284_.A2 (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT _280_.Y _340_.B1 (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT _281_.X _482_.D (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _282_.X _283_.A (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT _282_.X _291_.A (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT _282_.X _314_.A (0.002:0.002:0.002) (0.002:0.002:0.002))
(INTERCONNECT _282_.X _325_.A (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT _283_.X _284_.B1 (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT _283_.X _285_.S (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT _283_.X _287_.S (0.001:0.001:0.001) (0.000:0.000:0.000))
(INTERCONNECT _283_.X _289_.S (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _283_.X _340_.A2 (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT _284_.X _483_.D (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _285_.X _286_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _286_.X _484_.D (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _287_.X _288_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _288_.X _485_.D (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _289_.X _290_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _290_.X _486_.D (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _291_.X _292_.A (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT _291_.X _303_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _291_.X _343_.S (0.004:0.004:0.004) (0.003:0.003:0.003))
(INTERCONNECT _291_.X _345_.S (0.003:0.003:0.003) (0.003:0.003:0.003))
(INTERCONNECT _291_.X _347_.S (0.003:0.003:0.003) (0.003:0.003:0.003))
(INTERCONNECT _292_.X _293_.S (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT _292_.X _295_.S (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT _292_.X _297_.S (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT _292_.X _299_.S (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT _292_.X _301_.S (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT _293_.X _294_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _294_.X _487_.D (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _295_.X _296_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _296_.X _488_.D (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _297_.X _298_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _298_.X _489_.D (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _299_.X _300_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _300_.X _490_.D (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _301_.X _302_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _302_.X _491_.D (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _303_.X _304_.S (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT _303_.X _306_.S (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT _303_.X _308_.S (0.002:0.002:0.002) (0.002:0.002:0.002))
(INTERCONNECT _303_.X _310_.S (0.002:0.002:0.002) (0.002:0.002:0.002))
(INTERCONNECT _303_.X _312_.S (0.002:0.002:0.002) (0.002:0.002:0.002))
(INTERCONNECT _304_.X _305_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _305_.X _492_.D (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _306_.X _307_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _307_.X _493_.D (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _308_.X _309_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _309_.X _494_.D (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _310_.X _311_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _311_.X _495_.D (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _312_.X _313_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _313_.X _496_.D (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _314_.X _315_.S (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _314_.X _317_.S (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT _314_.X _319_.S (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT _314_.X _321_.S (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT _314_.X _323_.S (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT _315_.X _316_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _316_.X _497_.D (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _317_.X _318_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _318_.X _498_.D (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _319_.X _320_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _320_.X _499_.D (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _321_.X _322_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _322_.X _500_.D (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _323_.X _324_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _324_.X _501_.D (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _325_.X _326_.S (0.003:0.003:0.003) (0.002:0.002:0.002))
(INTERCONNECT _325_.X _328_.S (0.003:0.003:0.003) (0.003:0.003:0.003))
(INTERCONNECT _325_.X _330_.S (0.003:0.003:0.003) (0.003:0.003:0.003))
(INTERCONNECT _325_.X _332_.S (0.003:0.003:0.003) (0.003:0.003:0.003))
(INTERCONNECT _325_.X _341_.S (0.002:0.002:0.002) (0.002:0.002:0.002))
(INTERCONNECT _326_.X _327_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _327_.X _502_.D (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _328_.X _329_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _329_.X _503_.D (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _330_.X _331_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _331_.X _504_.D (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _332_.X _333_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _333_.X _505_.D (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _334_.Y _335_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _334_.Y _338_.C1 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _335_.X _336_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _336_.X _506_.D (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _337_.X _338_.B1 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _338_.X _507_.D (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _339_.Y _340_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _340_.X _508_.D (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _341_.X _342_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _342_.X _509_.D (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _343_.X _344_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _344_.X _510_.D (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _345_.X _346_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _346_.X _511_.D (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _347_.X _348_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _348_.X _512_.D (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _349_.X _282_.B_N (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT _349_.X _350_.A (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT _349_.X _367_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _350_.X _338_.B2 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _350_.X _351_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _350_.X _361_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _350_.X _363_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _350_.X _365_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _351_.X _352_.A (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT _352_.X output72.A (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _353_.X _359_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _354_.Y _281_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _354_.Y _338_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _354_.Y _356_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _355_.X _281_.A2 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _355_.X _335_.A_N (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _355_.X _356_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _355_.X _380_.A_N (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _355_.X _384_.A_N (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _356_.X _359_.B (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT _357_.X _359_.C (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT _358_.X _359_.D (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _359_.X _360_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _360_.X output75.A (0.002:0.002:0.002) (0.002:0.002:0.002))
(INTERCONNECT _361_.X _362_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _362_.X output64.A (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _363_.X _364_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _364_.X output65.A (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _365_.X _366_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _366_.X output66.A (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _367_.X _368_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _367_.X _370_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _367_.X _372_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _367_.X _374_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _367_.X _376_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _368_.X _369_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _369_.X output67.A (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _370_.X _371_.A (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT _371_.X output68.A (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _372_.X _373_.A (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT _373_.X output69.A (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _374_.X _375_.A (0.002:0.002:0.002) (0.001:0.001:0.001))
(INTERCONNECT _375_.X output70.A (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _376_.X _377_.A (0.002:0.002:0.002) (0.002:0.002:0.002))
(INTERCONNECT _377_.X output71.A (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _378_.Y _232_.A (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT _378_.Y _251_.A (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT _378_.Y _379_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _378_.Y _401_.A (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT _378_.Y _442_.A (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT _379_.X _280_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _379_.X _382_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _379_.X _392_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _379_.X _395_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _379_.X _398_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _380_.X _233_.A (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT _380_.X _252_.A (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT _380_.X _381_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _380_.X _403_.A (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT _380_.X _443_.A (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT _381_.X _338_.A2 (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT _381_.X _382_.C (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT _381_.X _392_.C (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT _381_.X _395_.C (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT _381_.X _398_.C (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _382_.X _391_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _383_.X _387_.A1 (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT _383_.X _393_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _383_.X _396_.A1 (0.001:0.001:0.001) (0.000:0.000:0.000))
(INTERCONNECT _383_.X _399_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _383_.X _406_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _384_.Y _238_.A (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT _384_.Y _258_.A (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT _384_.Y _385_.A (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT _384_.Y _448_.A (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT _385_.X _275_.B1 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _385_.X _278_.B1 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _385_.X _386_.A (0.001:0.001:0.001) (0.000:0.000:0.000))
(INTERCONNECT _385_.X _409_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _385_.X _429_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _386_.X _387_.B1 (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT _386_.X _393_.B1 (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT _386_.X _396_.B1 (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT _386_.X _399_.B1 (0.001:0.001:0.001) (0.000:0.000:0.000))
(INTERCONNECT _386_.X _406_.B1 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _387_.X _391_.A2 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _388_.X _220_.A (0.002:0.002:0.002) (0.002:0.002:0.002))
(INTERCONNECT _388_.X _240_.A (0.002:0.002:0.002) (0.002:0.002:0.002))
(INTERCONNECT _388_.X _260_.A (0.002:0.002:0.002) (0.001:0.001:0.001))
(INTERCONNECT _388_.X _280_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _388_.X _389_.A (0.002:0.002:0.002) (0.001:0.001:0.001))
(INTERCONNECT _389_.X _276_.B1 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _389_.X _279_.B1 (0.001:0.001:0.001) (0.000:0.000:0.000))
(INTERCONNECT _389_.X _390_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _389_.X _411_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _389_.X _431_.A (0.001:0.001:0.001) (0.000:0.000:0.000))
(INTERCONNECT _390_.X _391_.B1 (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT _390_.X _394_.B1 (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT _390_.X _397_.B1 (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT _390_.X _400_.B1 (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT _390_.X _407_.B1 (0.001:0.001:0.001) (0.000:0.000:0.000))
(INTERCONNECT _391_.X _450_.D (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _392_.X _394_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _393_.X _394_.A2 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _394_.X _451_.D (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _395_.X _397_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _396_.X _397_.A2 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _397_.X _452_.D (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _398_.X _400_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _399_.X _400_.A2 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _400_.X _453_.D (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _401_.X _271_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _401_.X _274_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _401_.X _277_.B (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT _401_.X _402_.A (0.001:0.001:0.001) (0.000:0.000:0.000))
(INTERCONNECT _401_.X _423_.A (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT _402_.X _405_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _402_.X _408_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _402_.X _413_.B (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT _402_.X _416_.B (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT _402_.X _419_.B (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT _403_.X _271_.C (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _403_.X _274_.C (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _403_.X _277_.C (0.001:0.001:0.001) (0.000:0.000:0.000))
(INTERCONNECT _403_.X _404_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _403_.X _424_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _404_.X _405_.C (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _404_.X _408_.C (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _404_.X _413_.C (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _404_.X _416_.C (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _404_.X _419_.C (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT _405_.X _407_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _406_.X _407_.A2 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _407_.X _454_.D (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _408_.X _412_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _409_.X _410_.B1 (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT _409_.X _414_.B1 (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT _409_.X _417_.B1 (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT _409_.X _421_.B1 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _409_.X _426_.B1 (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT _410_.X _412_.A2 (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT _411_.X _412_.B1 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _411_.X _415_.B1 (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT _411_.X _418_.B1 (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT _411_.X _422_.B1 (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT _411_.X _427_.B1 (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT _412_.X _455_.D (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _413_.X _415_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _414_.X _415_.A2 (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT _415_.X _456_.D (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _416_.X _418_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _417_.X _418_.A2 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _418_.X _457_.D (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _419_.X _422_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _420_.X _421_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _420_.X _426_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _420_.X _430_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _420_.X _434_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _420_.X _437_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _421_.X _422_.A2 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _422_.X _458_.D (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _423_.X _425_.B (0.001:0.001:0.001) (0.000:0.000:0.000))
(INTERCONNECT _423_.X _428_.B (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT _423_.X _433_.B (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT _423_.X _436_.B (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT _423_.X _439_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _424_.X _425_.C (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _424_.X _428_.C (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _424_.X _433_.C (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _424_.X _436_.C (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _424_.X _439_.C (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _425_.X _427_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _426_.X _427_.A2 (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT _427_.X _459_.D (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _428_.X _432_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _429_.X _430_.B1 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _429_.X _434_.B1 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _429_.X _437_.B1 (0.001:0.001:0.001) (0.000:0.000:0.000))
(INTERCONNECT _429_.X _440_.B1 (0.001:0.001:0.001) (0.000:0.000:0.000))
(INTERCONNECT _429_.X _445_.B1 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _430_.X _432_.A2 (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT _431_.X _432_.B1 (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT _431_.X _435_.B1 (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT _431_.X _438_.B1 (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT _431_.X _441_.B1 (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT _431_.X _446_.B1 (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT _432_.X _460_.D (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _433_.X _435_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _434_.X _435_.A2 (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT _435_.X _461_.D (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _436_.X _438_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _437_.X _438_.A2 (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT _438_.X _462_.D (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _439_.X _441_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _440_.X _441_.A2 (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT _441_.X _463_.D (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _442_.X _222_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _442_.X _226_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _442_.X _229_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _442_.X _444_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _442_.X _447_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _443_.X _222_.C (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _443_.X _226_.C (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _443_.X _229_.C (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _443_.X _444_.C (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _443_.X _447_.C (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _444_.X _446_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _445_.X _446_.A2 (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT _446_.X _464_.D (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _447_.X _221_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _448_.X _224_.B1 (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT _448_.X _227_.B1 (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT _448_.X _230_.B1 (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT _448_.X _235_.B1 (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT _448_.X _449_.B1 (0.001:0.001:0.001) (0.000:0.000:0.000))
(INTERCONNECT _449_.X _221_.A2 (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT _450_.Q _382_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _450_.Q output77.A (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT _451_.Q _392_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _451_.Q output88.A (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT _452_.Q _395_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _452_.Q output99.A (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT _453_.Q _398_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _453_.Q output102.A (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT _454_.Q _405_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _454_.Q output103.A (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT _455_.Q _408_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _455_.Q output104.A (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT _456_.Q _413_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _456_.Q output105.A (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT _457_.Q _416_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _457_.Q output106.A (0.002:0.002:0.002) (0.002:0.002:0.002))
(INTERCONNECT _458_.Q _419_.A (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT _458_.Q output107.A (0.002:0.002:0.002) (0.002:0.002:0.002))
(INTERCONNECT _459_.Q _425_.A (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT _459_.Q output108.A (0.002:0.002:0.002) (0.002:0.002:0.002))
(INTERCONNECT _460_.Q _428_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _460_.Q output78.A (0.002:0.002:0.002) (0.002:0.002:0.002))
(INTERCONNECT _461_.Q _433_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _461_.Q output79.A (0.002:0.002:0.002) (0.002:0.002:0.002))
(INTERCONNECT _462_.Q _436_.A (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT _462_.Q output80.A (0.002:0.002:0.002) (0.002:0.002:0.002))
(INTERCONNECT _462_.Q INSDIODE2_1.DIODE (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT _463_.Q _439_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _463_.Q output81.A (0.002:0.002:0.002) (0.002:0.002:0.002))
(INTERCONNECT _464_.Q _444_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _464_.Q output82.A (0.002:0.002:0.002) (0.002:0.002:0.002))
(INTERCONNECT _465_.Q _447_.A (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT _465_.Q output83.A (0.002:0.002:0.002) (0.002:0.002:0.002))
(INTERCONNECT _466_.Q _222_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _466_.Q output84.A (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT _467_.Q _226_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _467_.Q output85.A (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT _468_.Q _229_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _468_.Q output86.A (0.002:0.002:0.002) (0.002:0.002:0.002))
(INTERCONNECT _469_.Q _234_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _469_.Q output87.A (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT _470_.Q _237_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _470_.Q output89.A (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT _471_.Q _242_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _471_.Q output90.A (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT _472_.Q _245_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _472_.Q output91.A (0.002:0.002:0.002) (0.002:0.002:0.002))
(INTERCONNECT _473_.Q _248_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _473_.Q output92.A (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT _474_.Q _253_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _474_.Q output93.A (0.003:0.003:0.003) (0.003:0.003:0.003))
(INTERCONNECT _475_.Q _257_.A (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT _475_.Q output94.A (0.005:0.005:0.005) (0.004:0.004:0.004))
(INTERCONNECT _476_.Q _262_.A (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT _476_.Q output95.A (0.004:0.004:0.004) (0.004:0.004:0.004))
(INTERCONNECT _477_.Q _265_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _477_.Q output96.A (0.003:0.003:0.003) (0.003:0.003:0.003))
(INTERCONNECT _478_.Q _268_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _478_.Q output97.A (0.002:0.002:0.002) (0.002:0.002:0.002))
(INTERCONNECT _479_.Q _271_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _479_.Q output98.A (0.004:0.004:0.004) (0.004:0.004:0.004))
(INTERCONNECT _480_.Q _274_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _480_.Q output100.A (0.003:0.003:0.003) (0.003:0.003:0.003))
(INTERCONNECT _481_.Q _277_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _481_.Q output101.A (0.004:0.004:0.004) (0.004:0.004:0.004))
(INTERCONNECT _482_.Q _281_.A3 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _482_.Q output76.A (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT _483_.Q _284_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _483_.Q output109.A (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _484_.Q _285_.A0 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _484_.Q _361_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _485_.Q _287_.A0 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _485_.Q _363_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _486_.Q _289_.A0 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _486_.Q _365_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _487_.Q _293_.A0 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _487_.Q _368_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _488_.Q _295_.A0 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _488_.Q _370_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _489_.Q _297_.A0 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _489_.Q _372_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _490_.Q _299_.A0 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _490_.Q _374_.A (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT _491_.Q _301_.A0 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _491_.Q _376_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _492_.Q _304_.A0 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _492_.Q _351_.A (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT _492_.Q _356_.C (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT _493_.Q _306_.A0 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _493_.Q _356_.D (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _494_.Q _308_.A0 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _494_.Q _353_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _495_.Q _310_.A0 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _495_.Q _353_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _496_.Q _312_.A0 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _496_.Q _353_.C (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _497_.Q _315_.A0 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _497_.Q _353_.D (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _498_.Q _317_.A0 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _498_.Q _358_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _499_.Q _319_.A0 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _499_.Q _358_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _500_.Q _321_.A0 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _500_.Q _358_.C (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _501_.Q _323_.A0 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _501_.Q _358_.D (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _502_.Q _326_.A0 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _502_.Q _357_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _503_.Q _328_.A0 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _503_.Q _357_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _504_.Q _330_.A0 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _504_.Q _357_.C (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _505_.Q _332_.A0 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _505_.Q _357_.D (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _506_.Q _281_.A4 (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT _506_.Q _380_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _506_.Q _384_.B (0.001:0.001:0.001) (0.000:0.000:0.000))
(INTERCONNECT _507_.Q _349_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _507_.Q _355_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _507_.Q _378_.B (0.001:0.001:0.001) (0.000:0.000:0.000))
(INTERCONNECT _508_.Q _335_.C (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT _508_.Q _349_.A (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT _508_.Q _354_.A (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT _508_.Q _378_.A (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT _508_.Q _384_.C (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT _509_.Q _341_.A0 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _509_.Q _383_.A (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT _509_.Q _410_.A1 (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT _509_.Q _414_.A1 (0.002:0.002:0.002) (0.001:0.001:0.001))
(INTERCONNECT _509_.Q _417_.A1 (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT _510_.Q _343_.A0 (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT _510_.Q _420_.A (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT _510_.Q _440_.A1 (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT _510_.Q _445_.A1 (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT _510_.Q _449_.A1 (0.001:0.001:0.001) (0.000:0.000:0.000))
(INTERCONNECT _511_.Q _223_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _511_.Q _243_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _511_.Q _246_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _511_.Q _249_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _511_.Q _345_.A0 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _512_.Q _254_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _512_.Q _272_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _512_.Q _275_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _512_.Q _278_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _512_.Q _347_.A0 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _513__157.HI flash_io0_we (0.000:0.000:0.000))
(INTERCONNECT _514__158.HI sram_csb0 (0.000:0.000:0.000))
(INTERCONNECT _515__159.HI sram_web0 (0.000:0.000:0.000))
(INTERCONNECT _516__160.HI sram_wmask0[0] (0.000:0.000:0.000))
(INTERCONNECT _517__161.HI sram_wmask0[1] (0.000:0.000:0.000))
(INTERCONNECT _518__162.HI sram_wmask0[2] (0.000:0.000:0.000))
(INTERCONNECT _519__163.HI sram_wmask0[3] (0.000:0.000:0.000))
(INTERCONNECT _520__110.LO flash_csb (0.000:0.000:0.000))
(INTERCONNECT _521__111.LO flash_io0_write (0.000:0.000:0.000))
(INTERCONNECT _522__112.LO flash_io1_we (0.000:0.000:0.000))
(INTERCONNECT _523__113.LO flash_io1_write (0.000:0.000:0.000))
(INTERCONNECT _524__114.LO flash_sck (0.000:0.000:0.000))
(INTERCONNECT _525__115.LO sram_addr0[0] (0.000:0.000:0.000))
(INTERCONNECT _526__116.LO sram_addr0[1] (0.000:0.000:0.000))
(INTERCONNECT _527__117.LO sram_addr0[2] (0.000:0.000:0.000))
(INTERCONNECT _528__118.LO sram_addr0[3] (0.000:0.000:0.000))
(INTERCONNECT _529__119.LO sram_addr0[4] (0.000:0.000:0.000))
(INTERCONNECT _530__120.LO sram_addr0[5] (0.000:0.000:0.000))
(INTERCONNECT _531__121.LO sram_addr0[6] (0.000:0.000:0.000))
(INTERCONNECT _532__122.LO sram_addr0[7] (0.000:0.000:0.000))
(INTERCONNECT _533__123.LO sram_addr0[8] (0.000:0.000:0.000))
(INTERCONNECT _534__124.LO sram_din0[0] (0.000:0.000:0.000))
(INTERCONNECT _535__125.LO sram_din0[1] (0.000:0.000:0.000))
(INTERCONNECT _536__126.LO sram_din0[2] (0.000:0.000:0.000))
(INTERCONNECT _537__127.LO sram_din0[3] (0.000:0.000:0.000))
(INTERCONNECT _538__128.LO sram_din0[4] (0.000:0.000:0.000))
(INTERCONNECT _539__129.LO sram_din0[5] (0.000:0.000:0.000))
(INTERCONNECT _540__130.LO sram_din0[6] (0.000:0.000:0.000))
(INTERCONNECT _541__131.LO sram_din0[7] (0.000:0.000:0.000))
(INTERCONNECT _542__132.LO sram_din0[8] (0.000:0.000:0.000))
(INTERCONNECT _543__133.LO sram_din0[9] (0.000:0.000:0.000))
(INTERCONNECT _544__134.LO sram_din0[10] (0.000:0.000:0.000))
(INTERCONNECT _545__135.LO sram_din0[11] (0.000:0.000:0.000))
(INTERCONNECT _546__136.LO sram_din0[12] (0.000:0.000:0.000))
(INTERCONNECT _547__137.LO sram_din0[13] (0.000:0.000:0.000))
(INTERCONNECT _548__138.LO sram_din0[14] (0.000:0.000:0.000))
(INTERCONNECT _549__139.LO sram_din0[15] (0.000:0.000:0.000))
(INTERCONNECT _550__140.LO sram_din0[16] (0.000:0.000:0.000))
(INTERCONNECT _551__141.LO sram_din0[17] (0.000:0.000:0.000))
(INTERCONNECT _552__142.LO sram_din0[18] (0.000:0.000:0.000))
(INTERCONNECT _553__143.LO sram_din0[19] (0.000:0.000:0.000))
(INTERCONNECT _554__144.LO sram_din0[20] (0.000:0.000:0.000))
(INTERCONNECT _555__145.LO sram_din0[21] (0.000:0.000:0.000))
(INTERCONNECT _556__146.LO sram_din0[22] (0.000:0.000:0.000))
(INTERCONNECT _557__147.LO sram_din0[23] (0.000:0.000:0.000))
(INTERCONNECT _558__148.LO sram_din0[24] (0.000:0.000:0.000))
(INTERCONNECT _559__149.LO sram_din0[25] (0.000:0.000:0.000))
(INTERCONNECT _560__150.LO sram_din0[26] (0.000:0.000:0.000))
(INTERCONNECT _561__151.LO sram_din0[27] (0.000:0.000:0.000))
(INTERCONNECT _562__152.LO sram_din0[28] (0.000:0.000:0.000))
(INTERCONNECT _563__153.LO sram_din0[29] (0.000:0.000:0.000))
(INTERCONNECT _564__154.LO sram_din0[30] (0.000:0.000:0.000))
(INTERCONNECT _565__155.LO sram_din0[31] (0.000:0.000:0.000))
(INTERCONNECT _566__156.LO wb_error_o (0.000:0.000:0.000))
(INTERCONNECT _567_.X output73.A (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _568_.X output74.A (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT input1.X _387_.A2 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT input10.X _230_.A2 (0.005:0.005:0.005) (0.005:0.005:0.005))
(INTERCONNECT input11.X _235_.A2 (0.008:0.008:0.008) (0.007:0.007:0.007))
(INTERCONNECT input11.X INSDIODE2_0.DIODE (0.007:0.007:0.007) (0.007:0.007:0.007))
(INTERCONNECT input12.X _393_.A2 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT input13.X _239_.A2 (0.007:0.007:0.007) (0.006:0.006:0.006))
(INTERCONNECT input14.X _243_.A2 (0.006:0.006:0.006) (0.006:0.006:0.006))
(INTERCONNECT input15.X _246_.A2 (0.008:0.008:0.008) (0.008:0.008:0.008))
(INTERCONNECT input16.X _249_.A2 (0.008:0.008:0.008) (0.008:0.008:0.008))
(INTERCONNECT input17.X _255_.A2 (0.008:0.008:0.008) (0.008:0.008:0.008))
(INTERCONNECT input18.X _259_.A2 (0.007:0.007:0.007) (0.007:0.007:0.007))
(INTERCONNECT input19.X _263_.A2 (0.010:0.010:0.010) (0.010:0.010:0.010))
(INTERCONNECT input2.X _430_.A2 (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT input20.X _266_.A2 (0.008:0.008:0.008) (0.008:0.008:0.008))
(INTERCONNECT input21.X _269_.A2 (0.009:0.009:0.009) (0.009:0.009:0.009))
(INTERCONNECT input22.X _272_.A2 (0.007:0.007:0.007) (0.007:0.007:0.007))
(INTERCONNECT input23.X _396_.A2 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT input24.X _275_.A2 (0.009:0.009:0.009) (0.009:0.009:0.009))
(INTERCONNECT input25.X _278_.A2 (0.006:0.006:0.006) (0.006:0.006:0.006))
(INTERCONNECT input26.X _399_.A2 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT input27.X _406_.A2 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT input28.X _410_.A2 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT input29.X _414_.A2 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT input3.X _434_.A2 (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT input30.X _417_.A2 (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT input31.X _421_.A2 (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT input32.X _426_.A2 (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT input33.X _304_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT input34.X _306_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT input35.X _308_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT input36.X _310_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT input37.X _312_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT input38.X _315_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT input39.X _317_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT input4.X _437_.A2 (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT input40.X _319_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT input41.X _321_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT input42.X _323_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT input43.X _326_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT input44.X _328_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT input45.X _330_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT input46.X _332_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT input47.X _285_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT input48.X _287_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT input49.X _289_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT input5.X _440_.A2 (0.002:0.002:0.002) (0.002:0.002:0.002))
(INTERCONNECT input50.X _293_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT input51.X _295_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT input52.X _297_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT input53.X _299_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT input54.X _301_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT input55.X _568_.A (0.010:0.010:0.010) (0.010:0.010:0.010))
(INTERCONNECT input55.X _567_.A (0.008:0.008:0.008) (0.008:0.008:0.008))
(INTERCONNECT input55.X _512_.CLK (0.034:0.034:0.034) (0.031:0.031:0.031))
(INTERCONNECT input55.X _511_.CLK (0.032:0.032:0.032) (0.030:0.030:0.030))
(INTERCONNECT input55.X _510_.CLK (0.034:0.034:0.034) (0.031:0.031:0.031))
(INTERCONNECT input55.X _509_.CLK (0.017:0.017:0.017) (0.016:0.016:0.016))
(INTERCONNECT input55.X _508_.CLK (0.023:0.023:0.023) (0.021:0.021:0.021))
(INTERCONNECT input55.X _507_.CLK (0.021:0.021:0.021) (0.020:0.020:0.020))
(INTERCONNECT input55.X _506_.CLK (0.022:0.022:0.022) (0.020:0.020:0.020))
(INTERCONNECT input55.X _505_.CLK (0.046:0.046:0.046) (0.043:0.043:0.043))
(INTERCONNECT input55.X _504_.CLK (0.046:0.046:0.046) (0.043:0.043:0.043))
(INTERCONNECT input55.X _503_.CLK (0.046:0.046:0.046) (0.043:0.043:0.043))
(INTERCONNECT input55.X _502_.CLK (0.046:0.046:0.046) (0.043:0.043:0.043))
(INTERCONNECT input55.X _501_.CLK (0.046:0.046:0.046) (0.042:0.042:0.042))
(INTERCONNECT input55.X _500_.CLK (0.046:0.046:0.046) (0.042:0.042:0.042))
(INTERCONNECT input55.X _499_.CLK (0.045:0.045:0.045) (0.042:0.042:0.042))
(INTERCONNECT input55.X _498_.CLK (0.045:0.045:0.045) (0.042:0.042:0.042))
(INTERCONNECT input55.X _497_.CLK (0.045:0.045:0.045) (0.042:0.042:0.042))
(INTERCONNECT input55.X _496_.CLK (0.044:0.044:0.044) (0.041:0.041:0.041))
(INTERCONNECT input55.X _495_.CLK (0.045:0.045:0.045) (0.041:0.041:0.041))
(INTERCONNECT input55.X _494_.CLK (0.045:0.045:0.045) (0.041:0.041:0.041))
(INTERCONNECT input55.X _493_.CLK (0.027:0.027:0.027) (0.025:0.025:0.025))
(INTERCONNECT input55.X _492_.CLK (0.027:0.027:0.027) (0.025:0.025:0.025))
(INTERCONNECT input55.X _491_.CLK (0.027:0.027:0.027) (0.025:0.025:0.025))
(INTERCONNECT input55.X _490_.CLK (0.026:0.026:0.026) (0.024:0.024:0.024))
(INTERCONNECT input55.X _489_.CLK (0.028:0.028:0.028) (0.026:0.026:0.026))
(INTERCONNECT input55.X _488_.CLK (0.028:0.028:0.028) (0.026:0.026:0.026))
(INTERCONNECT input55.X _487_.CLK (0.026:0.026:0.026) (0.024:0.024:0.024))
(INTERCONNECT input55.X _486_.CLK (0.024:0.024:0.024) (0.023:0.023:0.023))
(INTERCONNECT input55.X _485_.CLK (0.024:0.024:0.024) (0.022:0.022:0.022))
(INTERCONNECT input55.X _484_.CLK (0.008:0.008:0.008) (0.008:0.008:0.008))
(INTERCONNECT input55.X _483_.CLK (0.007:0.007:0.007) (0.007:0.007:0.007))
(INTERCONNECT input55.X _482_.CLK (0.020:0.020:0.020) (0.019:0.019:0.019))
(INTERCONNECT input55.X _481_.CLK (0.035:0.035:0.035) (0.032:0.032:0.032))
(INTERCONNECT input55.X _480_.CLK (0.028:0.028:0.028) (0.026:0.026:0.026))
(INTERCONNECT input55.X _479_.CLK (0.028:0.028:0.028) (0.026:0.026:0.026))
(INTERCONNECT input55.X _478_.CLK (0.027:0.027:0.027) (0.025:0.025:0.025))
(INTERCONNECT input55.X _477_.CLK (0.027:0.027:0.027) (0.025:0.025:0.025))
(INTERCONNECT input55.X _476_.CLK (0.036:0.036:0.036) (0.034:0.034:0.034))
(INTERCONNECT input55.X _475_.CLK (0.036:0.036:0.036) (0.034:0.034:0.034))
(INTERCONNECT input55.X _474_.CLK (0.027:0.027:0.027) (0.025:0.025:0.025))
(INTERCONNECT input55.X _473_.CLK (0.027:0.027:0.027) (0.025:0.025:0.025))
(INTERCONNECT input55.X _472_.CLK (0.043:0.043:0.043) (0.040:0.040:0.040))
(INTERCONNECT input55.X _471_.CLK (0.043:0.043:0.043) (0.040:0.040:0.040))
(INTERCONNECT input55.X _470_.CLK (0.027:0.027:0.027) (0.025:0.025:0.025))
(INTERCONNECT input55.X _469_.CLK (0.042:0.042:0.042) (0.039:0.039:0.039))
(INTERCONNECT input55.X _468_.CLK (0.040:0.040:0.040) (0.037:0.037:0.037))
(INTERCONNECT input55.X _467_.CLK (0.041:0.041:0.041) (0.038:0.038:0.038))
(INTERCONNECT input55.X _466_.CLK (0.041:0.041:0.041) (0.038:0.038:0.038))
(INTERCONNECT input55.X _465_.CLK (0.038:0.038:0.038) (0.035:0.035:0.035))
(INTERCONNECT input55.X _464_.CLK (0.039:0.039:0.039) (0.036:0.036:0.036))
(INTERCONNECT input55.X _463_.CLK (0.037:0.037:0.037) (0.034:0.034:0.034))
(INTERCONNECT input55.X _462_.CLK (0.034:0.034:0.034) (0.031:0.031:0.031))
(INTERCONNECT input55.X _461_.CLK (0.034:0.034:0.034) (0.031:0.031:0.031))
(INTERCONNECT input55.X _460_.CLK (0.034:0.034:0.034) (0.031:0.031:0.031))
(INTERCONNECT input55.X _459_.CLK (0.034:0.034:0.034) (0.031:0.031:0.031))
(INTERCONNECT input55.X _458_.CLK (0.034:0.034:0.034) (0.031:0.031:0.031))
(INTERCONNECT input55.X _457_.CLK (0.033:0.033:0.033) (0.031:0.031:0.031))
(INTERCONNECT input55.X _456_.CLK (0.034:0.034:0.034) (0.031:0.031:0.031))
(INTERCONNECT input55.X _455_.CLK (0.033:0.033:0.033) (0.031:0.031:0.031))
(INTERCONNECT input55.X _454_.CLK (0.032:0.032:0.032) (0.030:0.030:0.030))
(INTERCONNECT input55.X _453_.CLK (0.029:0.029:0.029) (0.027:0.027:0.027))
(INTERCONNECT input55.X _452_.CLK (0.026:0.026:0.026) (0.024:0.024:0.024))
(INTERCONNECT input55.X _451_.CLK (0.028:0.028:0.028) (0.025:0.025:0.025))
(INTERCONNECT input55.X _450_.CLK (0.028:0.028:0.028) (0.025:0.025:0.025))
(INTERCONNECT input56.X _337_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT input56.X _282_.C (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT input57.X _388_.A (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT input57.X _334_.A (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT input57.X _282_.A_N (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT input58.X _341_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT input59.X _343_.A1 (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT input6.X _445_.A2 (0.004:0.004:0.004) (0.004:0.004:0.004))
(INTERCONNECT input60.X _345_.A1 (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT input61.X _347_.A1 (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT input62.X _337_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT input62.X _282_.D (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT input63.X _339_.A (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT input63.X _337_.C (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT input7.X _449_.A2 (0.003:0.003:0.003) (0.003:0.003:0.003))
(INTERCONNECT input8.X _224_.A2 (0.003:0.003:0.003) (0.003:0.003:0.003))
(INTERCONNECT input9.X _227_.A2 (0.006:0.006:0.006) (0.006:0.006:0.006))
(INTERCONNECT output100.X wb_data_o[30] (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT output101.X wb_data_o[31] (0.002:0.002:0.002) (0.002:0.002:0.002))
(INTERCONNECT output102.X wb_data_o[3] (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT output103.X wb_data_o[4] (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT output104.X wb_data_o[5] (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT output105.X wb_data_o[6] (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT output106.X wb_data_o[7] (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT output107.X wb_data_o[8] (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT output108.X wb_data_o[9] (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT output109.X wb_stall_o (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT output64.X sram_addr1[0] (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT output65.X sram_addr1[1] (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT output66.X sram_addr1[2] (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT output67.X sram_addr1[3] (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT output68.X sram_addr1[4] (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT output69.X sram_addr1[5] (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT output70.X sram_addr1[6] (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT output71.X sram_addr1[7] (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT output72.X sram_addr1[8] (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT output73.X sram_clk0 (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT output74.X sram_clk1 (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT output75.X sram_csb1 (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT output76.X wb_ack_o (0.002:0.002:0.002) (0.002:0.002:0.002))
(INTERCONNECT output77.X wb_data_o[0] (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT output78.X wb_data_o[10] (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT output79.X wb_data_o[11] (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT output80.X wb_data_o[12] (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT output81.X wb_data_o[13] (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT output82.X wb_data_o[14] (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT output83.X wb_data_o[15] (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT output84.X wb_data_o[16] (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT output85.X wb_data_o[17] (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT output86.X wb_data_o[18] (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT output87.X wb_data_o[19] (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT output88.X wb_data_o[1] (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT output89.X wb_data_o[20] (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT output90.X wb_data_o[21] (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT output91.X wb_data_o[22] (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT output92.X wb_data_o[23] (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT output93.X wb_data_o[24] (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT output94.X wb_data_o[25] (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT output95.X wb_data_o[26] (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT output96.X wb_data_o[27] (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT output97.X wb_data_o[28] (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT output98.X wb_data_o[29] (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT output99.X wb_data_o[2] (0.001:0.001:0.001) (0.001:0.001:0.001))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__clkbuf_2")
(INSTANCE _220_)
(DELAY
(ABSOLUTE
(IOPATH A X (0.202:0.202:0.202) (0.179:0.179:0.179))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__a21o_1")
(INSTANCE _221_)
(DELAY
(ABSOLUTE
(IOPATH A1 X (0.095:0.095:0.095) (0.157:0.157:0.157))
(IOPATH A2 X (0.123:0.123:0.123) (0.180:0.180:0.180))
(IOPATH B1 X (0.095:0.095:0.095) (0.161:0.161:0.161))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__or3_1")
(INSTANCE _222_)
(DELAY
(ABSOLUTE
(IOPATH A X (0.130:0.130:0.130) (0.370:0.370:0.370))
(IOPATH B X (0.127:0.128:0.128) (0.347:0.347:0.347))
(IOPATH C X (0.119:0.119:0.119) (0.305:0.305:0.305))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__dlymetal6s2s_1")
(INSTANCE _223_)
(DELAY
(ABSOLUTE
(IOPATH A X (0.215:0.215:0.215) (0.196:0.196:0.196))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__a21o_1")
(INSTANCE _224_)
(DELAY
(ABSOLUTE
(IOPATH A1 X (0.192:0.192:0.192) (0.208:0.208:0.208))
(IOPATH A2 X (0.190:0.190:0.190) (0.236:0.236:0.236))
(IOPATH B1 X (0.166:0.166:0.166) (0.209:0.209:0.209))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__a21o_1")
(INSTANCE _225_)
(DELAY
(ABSOLUTE
(IOPATH A1 X (0.090:0.090:0.090) (0.151:0.151:0.152))
(IOPATH A2 X (0.117:0.117:0.117) (0.175:0.175:0.175))
(IOPATH B1 X (0.092:0.092:0.092) (0.157:0.157:0.157))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__or3_1")
(INSTANCE _226_)
(DELAY
(ABSOLUTE
(IOPATH A X (0.128:0.128:0.128) (0.369:0.369:0.369))
(IOPATH B X (0.128:0.128:0.128) (0.348:0.348:0.348))
(IOPATH C X (0.119:0.119:0.119) (0.306:0.306:0.306))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__a21o_1")
(INSTANCE _227_)
(DELAY
(ABSOLUTE
(IOPATH A1 X (0.197:0.197:0.197) (0.211:0.211:0.211))
(IOPATH A2 X (0.202:0.202:0.202) (0.248:0.248:0.248))
(IOPATH B1 X (0.170:0.170:0.170) (0.212:0.212:0.212))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__a21o_1")
(INSTANCE _228_)
(DELAY
(ABSOLUTE
(IOPATH A1 X (0.094:0.094:0.094) (0.155:0.155:0.156))
(IOPATH A2 X (0.123:0.123:0.124) (0.180:0.180:0.181))
(IOPATH B1 X (0.096:0.096:0.096) (0.161:0.161:0.161))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__or3_1")
(INSTANCE _229_)
(DELAY
(ABSOLUTE
(IOPATH A X (0.144:0.144:0.144) (0.379:0.379:0.379))
(IOPATH B X (0.127:0.127:0.127) (0.347:0.347:0.347))
(IOPATH C X (0.118:0.118:0.118) (0.305:0.305:0.305))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__a21o_1")
(INSTANCE _230_)
(DELAY
(ABSOLUTE
(IOPATH A1 X (0.192:0.192:0.192) (0.208:0.208:0.208))
(IOPATH A2 X (0.195:0.195:0.195) (0.242:0.242:0.242))
(IOPATH B1 X (0.166:0.166:0.166) (0.209:0.209:0.209))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__a21o_1")
(INSTANCE _231_)
(DELAY
(ABSOLUTE
(IOPATH A1 X (0.095:0.096:0.096) (0.156:0.156:0.156))
(IOPATH A2 X (0.122:0.123:0.123) (0.180:0.180:0.180))
(IOPATH B1 X (0.097:0.097:0.097) (0.162:0.162:0.162))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__dlymetal6s2s_1")
(INSTANCE _232_)
(DELAY
(ABSOLUTE
(IOPATH A X (0.194:0.212:0.231) (0.197:0.200:0.202))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__clkbuf_1")
(INSTANCE _233_)
(DELAY
(ABSOLUTE
(IOPATH A X (0.184:0.184:0.184) (0.149:0.149:0.149))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__or3_1")
(INSTANCE _234_)
(DELAY
(ABSOLUTE
(IOPATH A X (0.133:0.133:0.133) (0.376:0.376:0.376))
(IOPATH B X (0.133:0.133:0.134) (0.355:0.355:0.355))
(IOPATH C X (0.127:0.127:0.127) (0.313:0.313:0.313))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__a21o_1")
(INSTANCE _235_)
(DELAY
(ABSOLUTE
(IOPATH A1 X (0.209:0.209:0.209) (0.218:0.218:0.218))
(IOPATH A2 X (0.222:0.222:0.222) (0.264:0.264:0.264))
(IOPATH B1 X (0.183:0.183:0.183) (0.220:0.220:0.220))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__a21o_1")
(INSTANCE _236_)
(DELAY
(ABSOLUTE
(IOPATH A1 X (0.095:0.095:0.095) (0.156:0.156:0.157))
(IOPATH A2 X (0.126:0.127:0.127) (0.183:0.183:0.183))
(IOPATH B1 X (0.095:0.095:0.095) (0.161:0.161:0.161))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__or3_1")
(INSTANCE _237_)
(DELAY
(ABSOLUTE
(IOPATH A X (0.132:0.132:0.132) (0.370:0.370:0.370))
(IOPATH B X (0.128:0.128:0.128) (0.346:0.346:0.346))
(IOPATH C X (0.121:0.121:0.121) (0.305:0.305:0.305))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__dlymetal6s2s_1")
(INSTANCE _238_)
(DELAY
(ABSOLUTE
(IOPATH A X (0.210:0.214:0.218) (0.211:0.212:0.214))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__a21o_1")
(INSTANCE _239_)
(DELAY
(ABSOLUTE
(IOPATH A1 X (0.214:0.214:0.214) (0.221:0.221:0.221))
(IOPATH A2 X (0.222:0.222:0.222) (0.247:0.247:0.247))
(IOPATH B1 X (0.186:0.186:0.186) (0.220:0.220:0.220))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__dlymetal6s2s_1")
(INSTANCE _240_)
(DELAY
(ABSOLUTE
(IOPATH A X (0.226:0.226:0.226) (0.212:0.212:0.212))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__a21o_1")
(INSTANCE _241_)
(DELAY
(ABSOLUTE
(IOPATH A1 X (0.107:0.107:0.107) (0.166:0.166:0.166))
(IOPATH A2 X (0.142:0.142:0.142) (0.196:0.196:0.196))
(IOPATH B1 X (0.118:0.118:0.118) (0.175:0.175:0.175))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__or3_1")
(INSTANCE _242_)
(DELAY
(ABSOLUTE
(IOPATH A X (0.137:0.137:0.137) (0.378:0.378:0.378))
(IOPATH B X (0.133:0.134:0.134) (0.355:0.355:0.355))
(IOPATH C X (0.127:0.127:0.127) (0.313:0.313:0.313))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__a21o_1")
(INSTANCE _243_)
(DELAY
(ABSOLUTE
(IOPATH A1 X (0.222:0.222:0.222) (0.224:0.224:0.224))
(IOPATH A2 X (0.229:0.229:0.229) (0.252:0.252:0.252))
(IOPATH B1 X (0.200:0.200:0.200) (0.229:0.229:0.229))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__a21o_1")
(INSTANCE _244_)
(DELAY
(ABSOLUTE
(IOPATH A1 X (0.096:0.096:0.097) (0.158:0.158:0.158))
(IOPATH A2 X (0.134:0.134:0.134) (0.189:0.189:0.190))
(IOPATH B1 X (0.106:0.106:0.106) (0.164:0.164:0.164))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__or3_1")
(INSTANCE _245_)
(DELAY
(ABSOLUTE
(IOPATH A X (0.143:0.143:0.143) (0.383:0.383:0.383))
(IOPATH B X (0.133:0.134:0.134) (0.355:0.355:0.355))
(IOPATH C X (0.127:0.127:0.127) (0.313:0.313:0.313))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__a21o_1")
(INSTANCE _246_)
(DELAY
(ABSOLUTE
(IOPATH A1 X (0.205:0.205:0.205) (0.214:0.214:0.214))
(IOPATH A2 X (0.224:0.224:0.224) (0.250:0.250:0.250))
(IOPATH B1 X (0.182:0.182:0.182) (0.219:0.219:0.219))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__a21o_1")
(INSTANCE _247_)
(DELAY
(ABSOLUTE
(IOPATH A1 X (0.098:0.099:0.099) (0.159:0.159:0.160))
(IOPATH A2 X (0.130:0.130:0.131) (0.186:0.186:0.187))
(IOPATH B1 X (0.108:0.108:0.108) (0.166:0.166:0.166))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__or3_1")
(INSTANCE _248_)
(DELAY
(ABSOLUTE
(IOPATH A X (0.135:0.135:0.135) (0.379:0.379:0.379))
(IOPATH B X (0.135:0.135:0.135) (0.357:0.357:0.357))
(IOPATH C X (0.128:0.128:0.128) (0.315:0.315:0.315))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__a21o_1")
(INSTANCE _249_)
(DELAY
(ABSOLUTE
(IOPATH A1 X (0.217:0.217:0.217) (0.221:0.221:0.221))
(IOPATH A2 X (0.234:0.234:0.234) (0.255:0.255:0.255))
(IOPATH B1 X (0.195:0.195:0.195) (0.226:0.226:0.226))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__a21o_1")
(INSTANCE _250_)
(DELAY
(ABSOLUTE
(IOPATH A1 X (0.103:0.103:0.103) (0.164:0.164:0.164))
(IOPATH A2 X (0.138:0.138:0.139) (0.193:0.194:0.194))
(IOPATH B1 X (0.112:0.112:0.112) (0.170:0.170:0.170))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__clkbuf_1")
(INSTANCE _251_)
(DELAY
(ABSOLUTE
(IOPATH A X (0.192:0.205:0.218) (0.157:0.159:0.161))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__clkbuf_1")
(INSTANCE _252_)
(DELAY
(ABSOLUTE
(IOPATH A X (0.185:0.185:0.185) (0.149:0.149:0.149))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__or3_1")
(INSTANCE _253_)
(DELAY
(ABSOLUTE
(IOPATH A X (0.153:0.153:0.153) (0.387:0.387:0.387))
(IOPATH B X (0.135:0.135:0.135) (0.353:0.353:0.353))
(IOPATH C X (0.125:0.125:0.125) (0.311:0.311:0.311))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__dlymetal6s2s_1")
(INSTANCE _254_)
(DELAY
(ABSOLUTE
(IOPATH A X (0.217:0.217:0.217) (0.196:0.196:0.196))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__a21o_1")
(INSTANCE _255_)
(DELAY
(ABSOLUTE
(IOPATH A1 X (0.231:0.231:0.231) (0.232:0.232:0.232))
(IOPATH A2 X (0.240:0.240:0.240) (0.259:0.259:0.259))
(IOPATH B1 X (0.202:0.202:0.202) (0.230:0.230:0.230))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__a21o_1")
(INSTANCE _256_)
(DELAY
(ABSOLUTE
(IOPATH A1 X (0.097:0.097:0.097) (0.158:0.158:0.158))
(IOPATH A2 X (0.135:0.136:0.136) (0.191:0.191:0.191))
(IOPATH B1 X (0.107:0.107:0.107) (0.165:0.165:0.165))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__or3_1")
(INSTANCE _257_)
(DELAY
(ABSOLUTE
(IOPATH A X (0.143:0.143:0.143) (0.387:0.387:0.387))
(IOPATH B X (0.144:0.144:0.144) (0.365:0.365:0.365))
(IOPATH C X (0.134:0.134:0.134) (0.323:0.323:0.323))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__dlymetal6s2s_1")
(INSTANCE _258_)
(DELAY
(ABSOLUTE
(IOPATH A X (0.210:0.214:0.217) (0.211:0.212:0.214))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__a21o_1")
(INSTANCE _259_)
(DELAY
(ABSOLUTE
(IOPATH A1 X (0.200:0.200:0.200) (0.213:0.213:0.213))
(IOPATH A2 X (0.213:0.213:0.213) (0.243:0.243:0.243))
(IOPATH B1 X (0.171:0.171:0.171) (0.212:0.212:0.212))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__clkbuf_2")
(INSTANCE _260_)
(DELAY
(ABSOLUTE
(IOPATH A X (0.189:0.189:0.189) (0.169:0.169:0.169))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__a21o_1")
(INSTANCE _261_)
(DELAY
(ABSOLUTE
(IOPATH A1 X (0.104:0.104:0.104) (0.164:0.164:0.164))
(IOPATH A2 X (0.129:0.129:0.129) (0.185:0.185:0.186))
(IOPATH B1 X (0.096:0.096:0.096) (0.160:0.160:0.160))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__or3_1")
(INSTANCE _262_)
(DELAY
(ABSOLUTE
(IOPATH A X (0.136:0.136:0.136) (0.378:0.378:0.378))
(IOPATH B X (0.137:0.137:0.137) (0.356:0.356:0.356))
(IOPATH C X (0.127:0.127:0.127) (0.313:0.313:0.313))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__a21o_1")
(INSTANCE _263_)
(DELAY
(ABSOLUTE
(IOPATH A1 X (0.196:0.196:0.196) (0.211:0.211:0.211))
(IOPATH A2 X (0.212:0.212:0.212) (0.243:0.243:0.243))
(IOPATH B1 X (0.167:0.167:0.167) (0.209:0.209:0.209))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__a21o_1")
(INSTANCE _264_)
(DELAY
(ABSOLUTE
(IOPATH A1 X (0.100:0.100:0.100) (0.161:0.161:0.161))
(IOPATH A2 X (0.126:0.127:0.127) (0.183:0.183:0.184))
(IOPATH B1 X (0.096:0.096:0.096) (0.160:0.160:0.160))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__or3_1")
(INSTANCE _265_)
(DELAY
(ABSOLUTE
(IOPATH A X (0.126:0.126:0.126) (0.368:0.368:0.368))
(IOPATH B X (0.134:0.134:0.133) (0.351:0.351:0.351))
(IOPATH C X (0.124:0.124:0.124) (0.308:0.308:0.308))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__a21o_1")
(INSTANCE _266_)
(DELAY
(ABSOLUTE
(IOPATH A1 X (0.228:0.228:0.228) (0.230:0.230:0.230))
(IOPATH A2 X (0.234:0.234:0.234) (0.254:0.254:0.254))
(IOPATH B1 X (0.199:0.199:0.199) (0.229:0.229:0.229))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__a21o_1")
(INSTANCE _267_)
(DELAY
(ABSOLUTE
(IOPATH A1 X (0.113:0.114:0.114) (0.171:0.171:0.171))
(IOPATH A2 X (0.152:0.152:0.152) (0.204:0.204:0.204))
(IOPATH B1 X (0.110:0.110:0.110) (0.171:0.171:0.171))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__or3_1")
(INSTANCE _268_)
(DELAY
(ABSOLUTE
(IOPATH A X (0.123:0.123:0.123) (0.372:0.372:0.372))
(IOPATH B X (0.138:0.138:0.138) (0.358:0.358:0.358))
(IOPATH C X (0.128:0.128:0.128) (0.315:0.315:0.315))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__a21o_1")
(INSTANCE _269_)
(DELAY
(ABSOLUTE
(IOPATH A1 X (0.234:0.234:0.234) (0.234:0.234:0.234))
(IOPATH A2 X (0.243:0.243:0.243) (0.261:0.261:0.261))
(IOPATH B1 X (0.205:0.205:0.205) (0.233:0.233:0.233))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__a21o_1")
(INSTANCE _270_)
(DELAY
(ABSOLUTE
(IOPATH A1 X (0.099:0.099:0.099) (0.160:0.160:0.160))
(IOPATH A2 X (0.137:0.137:0.138) (0.193:0.193:0.193))
(IOPATH B1 X (0.094:0.094:0.094) (0.158:0.158:0.158))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__or3_1")
(INSTANCE _271_)
(DELAY
(ABSOLUTE
(IOPATH A X (0.135:0.135:0.135) (0.379:0.379:0.379))
(IOPATH B X (0.141:0.141:0.141) (0.361:0.361:0.361))
(IOPATH C X (0.134:0.134:0.134) (0.321:0.321:0.321))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__a21o_1")
(INSTANCE _272_)
(DELAY
(ABSOLUTE
(IOPATH A1 X (0.191:0.191:0.191) (0.205:0.205:0.205))
(IOPATH A2 X (0.211:0.211:0.211) (0.241:0.241:0.241))
(IOPATH B1 X (0.170:0.170:0.170) (0.211:0.211:0.211))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__a21o_1")
(INSTANCE _273_)
(DELAY
(ABSOLUTE
(IOPATH A1 X (0.098:0.098:0.098) (0.159:0.159:0.160))
(IOPATH A2 X (0.125:0.126:0.126) (0.182:0.182:0.182))
(IOPATH B1 X (0.093:0.093:0.093) (0.158:0.158:0.158))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__or3_1")
(INSTANCE _274_)
(DELAY
(ABSOLUTE
(IOPATH A X (0.130:0.130:0.130) (0.377:0.377:0.377))
(IOPATH B X (0.143:0.143:0.143) (0.364:0.364:0.364))
(IOPATH C X (0.136:0.136:0.136) (0.324:0.324:0.324))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__a21o_1")
(INSTANCE _275_)
(DELAY
(ABSOLUTE
(IOPATH A1 X (0.171:0.171:0.171) (0.192:0.192:0.192))
(IOPATH A2 X (0.176:0.176:0.176) (0.228:0.228:0.228))
(IOPATH B1 X (0.151:0.151:0.151) (0.201:0.201:0.201))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__a21o_1")
(INSTANCE _276_)
(DELAY
(ABSOLUTE
(IOPATH A1 X (0.101:0.102:0.102) (0.162:0.162:0.162))
(IOPATH A2 X (0.119:0.119:0.120) (0.178:0.179:0.179))
(IOPATH B1 X (0.097:0.097:0.097) (0.162:0.162:0.162))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__or3_1")
(INSTANCE _277_)
(DELAY
(ABSOLUTE
(IOPATH A X (0.138:0.138:0.138) (0.379:0.379:0.379))
(IOPATH B X (0.138:0.138:0.139) (0.358:0.358:0.358))
(IOPATH C X (0.132:0.132:0.132) (0.318:0.318:0.318))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__a21o_1")
(INSTANCE _278_)
(DELAY
(ABSOLUTE
(IOPATH A1 X (0.150:0.150:0.150) (0.177:0.177:0.177))
(IOPATH A2 X (0.146:0.146:0.146) (0.202:0.202:0.202))
(IOPATH B1 X (0.131:0.131:0.131) (0.186:0.186:0.186))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__a21o_1")
(INSTANCE _279_)
(DELAY
(ABSOLUTE
(IOPATH A1 X (0.099:0.099:0.099) (0.159:0.159:0.160))
(IOPATH A2 X (0.110:0.110:0.110) (0.172:0.172:0.173))
(IOPATH B1 X (0.096:0.096:0.096) (0.161:0.161:0.161))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__nor2_1")
(INSTANCE _280_)
(DELAY
(ABSOLUTE
(IOPATH A Y (0.267:0.267:0.267) (0.117:0.117:0.117))
(IOPATH B Y (0.242:0.242:0.242) (0.112:0.112:0.112))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__o41a_1")
(INSTANCE _281_)
(DELAY
(ABSOLUTE
(IOPATH A1 X (0.137:0.137:0.137) (0.405:0.405:0.405))
(IOPATH A2 X (0.162:0.162:0.162) (0.404:0.404:0.404))
(IOPATH A3 X (0.147:0.147:0.147) (0.363:0.363:0.363))
(IOPATH A4 X (0.134:0.134:0.134) (0.305:0.305:0.305))
(IOPATH B1 X (0.192:0.192:0.192) (0.118:0.118:0.118))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__and4bb_2")
(INSTANCE _282_)
(DELAY
(ABSOLUTE
(IOPATH A_N X (0.379:0.379:0.379) (0.296:0.296:0.296))
(IOPATH B_N X (0.387:0.387:0.387) (0.304:0.304:0.304))
(IOPATH C X (0.311:0.311:0.311) (0.270:0.270:0.270))
(IOPATH D X (0.310:0.310:0.310) (0.276:0.276:0.276))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__clkbuf_2")
(INSTANCE _283_)
(DELAY
(ABSOLUTE
(IOPATH A X (0.192:0.192:0.192) (0.162:0.163:0.164))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__a21o_1")
(INSTANCE _284_)
(DELAY
(ABSOLUTE
(IOPATH A1 X (0.110:0.110:0.110) (0.150:0.150:0.150))
(IOPATH A2 X (0.160:0.160:0.160) (0.187:0.187:0.187))
(IOPATH B1 X (0.098:0.098:0.098) (0.163:0.163:0.163))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__mux2_1")
(INSTANCE _285_)
(DELAY
(ABSOLUTE
(IOPATH A0 X (0.122:0.122:0.122) (0.276:0.276:0.276))
(IOPATH A1 X (0.121:0.121:0.121) (0.285:0.285:0.285))
(IOPATH S X (0.209:0.209:0.209) (0.332:0.332:0.332))
(IOPATH S X (0.149:0.149:0.149) (0.319:0.319:0.319))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__clkbuf_1")
(INSTANCE _286_)
(DELAY
(ABSOLUTE
(IOPATH A X (0.075:0.075:0.076) (0.089:0.089:0.089))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__mux2_1")
(INSTANCE _287_)
(DELAY
(ABSOLUTE
(IOPATH A0 X (0.129:0.129:0.129) (0.277:0.277:0.277))
(IOPATH A1 X (0.122:0.122:0.122) (0.283:0.283:0.283))
(IOPATH S X (0.207:0.207:0.207) (0.329:0.329:0.329))
(IOPATH S X (0.146:0.146:0.146) (0.316:0.316:0.316))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__clkbuf_1")
(INSTANCE _288_)
(DELAY
(ABSOLUTE
(IOPATH A X (0.076:0.077:0.077) (0.089:0.089:0.090))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__mux2_1")
(INSTANCE _289_)
(DELAY
(ABSOLUTE
(IOPATH A0 X (0.131:0.131:0.131) (0.276:0.276:0.276))
(IOPATH A1 X (0.118:0.118:0.118) (0.280:0.280:0.280))
(IOPATH S X (0.205:0.205:0.205) (0.327:0.327:0.327))
(IOPATH S X (0.145:0.145:0.145) (0.314:0.314:0.314))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__clkbuf_1")
(INSTANCE _290_)
(DELAY
(ABSOLUTE
(IOPATH A X (0.074:0.074:0.075) (0.087:0.088:0.088))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__buf_2")
(INSTANCE _291_)
(DELAY
(ABSOLUTE
(IOPATH A X (0.240:0.240:0.240) (0.196:0.197:0.197))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__clkbuf_2")
(INSTANCE _292_)
(DELAY
(ABSOLUTE
(IOPATH A X (0.219:0.219:0.219) (0.182:0.182:0.182))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__mux2_1")
(INSTANCE _293_)
(DELAY
(ABSOLUTE
(IOPATH A0 X (0.129:0.129:0.129) (0.275:0.275:0.275))
(IOPATH A1 X (0.115:0.115:0.115) (0.279:0.279:0.279))
(IOPATH S X (0.212:0.212:0.212) (0.330:0.330:0.330))
(IOPATH S X (0.150:0.150:0.150) (0.319:0.319:0.319))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__clkbuf_1")
(INSTANCE _294_)
(DELAY
(ABSOLUTE
(IOPATH A X (0.075:0.075:0.075) (0.088:0.088:0.088))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__mux2_1")
(INSTANCE _295_)
(DELAY
(ABSOLUTE
(IOPATH A0 X (0.124:0.124:0.124) (0.276:0.276:0.276))
(IOPATH A1 X (0.131:0.131:0.131) (0.288:0.288:0.288))
(IOPATH S X (0.216:0.216:0.216) (0.334:0.334:0.334))
(IOPATH S X (0.153:0.153:0.153) (0.324:0.324:0.324))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__clkbuf_1")
(INSTANCE _296_)
(DELAY
(ABSOLUTE
(IOPATH A X (0.082:0.082:0.083) (0.093:0.093:0.093))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__mux2_1")
(INSTANCE _297_)
(DELAY
(ABSOLUTE
(IOPATH A0 X (0.130:0.130:0.130) (0.278:0.278:0.278))
(IOPATH A1 X (0.133:0.133:0.133) (0.289:0.289:0.289))
(IOPATH S X (0.216:0.216:0.216) (0.334:0.334:0.334))
(IOPATH S X (0.154:0.154:0.154) (0.324:0.324:0.324))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__clkbuf_1")
(INSTANCE _298_)
(DELAY
(ABSOLUTE
(IOPATH A X (0.076:0.076:0.077) (0.089:0.089:0.089))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__mux2_1")
(INSTANCE _299_)
(DELAY
(ABSOLUTE
(IOPATH A0 X (0.133:0.133:0.133) (0.276:0.276:0.276))
(IOPATH A1 X (0.118:0.118:0.118) (0.279:0.279:0.279))
(IOPATH S X (0.211:0.211:0.211) (0.329:0.329:0.329))
(IOPATH S X (0.149:0.149:0.149) (0.318:0.318:0.318))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__clkbuf_1")
(INSTANCE _300_)
(DELAY
(ABSOLUTE
(IOPATH A X (0.074:0.074:0.075) (0.087:0.088:0.088))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__mux2_1")
(INSTANCE _301_)
(DELAY
(ABSOLUTE
(IOPATH A0 X (0.130:0.130:0.130) (0.276:0.276:0.276))
(IOPATH A1 X (0.132:0.132:0.132) (0.286:0.286:0.286))
(IOPATH S X (0.213:0.213:0.213) (0.331:0.331:0.331))
(IOPATH S X (0.151:0.151:0.151) (0.321:0.321:0.321))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__clkbuf_1")
(INSTANCE _302_)
(DELAY
(ABSOLUTE
(IOPATH A X (0.074:0.075:0.075) (0.088:0.088:0.088))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__clkbuf_2")
(INSTANCE _303_)
(DELAY
(ABSOLUTE
(IOPATH A X (0.227:0.227:0.227) (0.188:0.188:0.188))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__mux2_1")
(INSTANCE _304_)
(DELAY
(ABSOLUTE
(IOPATH A0 X (0.155:0.155:0.155) (0.293:0.293:0.293))
(IOPATH A1 X (0.129:0.129:0.129) (0.288:0.288:0.288))
(IOPATH S X (0.220:0.220:0.220) (0.337:0.337:0.337))
(IOPATH S X (0.157:0.157:0.157) (0.328:0.328:0.328))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__clkbuf_1")
(INSTANCE _305_)
(DELAY
(ABSOLUTE
(IOPATH A X (0.074:0.074:0.075) (0.088:0.088:0.088))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__mux2_1")
(INSTANCE _306_)
(DELAY
(ABSOLUTE
(IOPATH A0 X (0.133:0.133:0.133) (0.277:0.277:0.277))
(IOPATH A1 X (0.120:0.120:0.120) (0.282:0.282:0.282))
(IOPATH S X (0.217:0.217:0.217) (0.333:0.333:0.333))
(IOPATH S X (0.154:0.154:0.154) (0.324:0.324:0.324))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__clkbuf_1")
(INSTANCE _307_)
(DELAY
(ABSOLUTE
(IOPATH A X (0.078:0.078:0.079) (0.090:0.090:0.090))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__mux2_1")
(INSTANCE _308_)
(DELAY
(ABSOLUTE
(IOPATH A0 X (0.124:0.124:0.124) (0.276:0.276:0.276))
(IOPATH A1 X (0.125:0.125:0.125) (0.286:0.286:0.286))
(IOPATH S X (0.220:0.220:0.220) (0.336:0.336:0.336))
(IOPATH S X (0.157:0.157:0.157) (0.327:0.327:0.327))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__clkbuf_1")
(INSTANCE _309_)
(DELAY
(ABSOLUTE
(IOPATH A X (0.073:0.074:0.074) (0.087:0.088:0.088))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__mux2_1")
(INSTANCE _310_)
(DELAY
(ABSOLUTE
(IOPATH A0 X (0.124:0.124:0.124) (0.277:0.277:0.277))
(IOPATH A1 X (0.127:0.127:0.127) (0.288:0.288:0.288))
(IOPATH S X (0.221:0.221:0.221) (0.338:0.338:0.338))
(IOPATH S X (0.158:0.158:0.158) (0.329:0.329:0.329))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__clkbuf_1")
(INSTANCE _311_)
(DELAY
(ABSOLUTE
(IOPATH A X (0.076:0.076:0.077) (0.089:0.089:0.089))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__mux2_1")
(INSTANCE _312_)
(DELAY
(ABSOLUTE
(IOPATH A0 X (0.126:0.126:0.126) (0.277:0.277:0.277))
(IOPATH A1 X (0.125:0.125:0.125) (0.287:0.287:0.287))
(IOPATH S X (0.221:0.221:0.221) (0.338:0.338:0.338))
(IOPATH S X (0.158:0.158:0.158) (0.329:0.329:0.329))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__clkbuf_1")
(INSTANCE _313_)
(DELAY
(ABSOLUTE
(IOPATH A X (0.075:0.076:0.076) (0.089:0.089:0.089))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__clkbuf_2")
(INSTANCE _314_)
(DELAY
(ABSOLUTE
(IOPATH A X (0.213:0.213:0.213) (0.178:0.179:0.180))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__mux2_1")
(INSTANCE _315_)
(DELAY
(ABSOLUTE
(IOPATH A0 X (0.125:0.125:0.125) (0.276:0.276:0.276))
(IOPATH A1 X (0.123:0.123:0.123) (0.285:0.285:0.285))
(IOPATH S X (0.218:0.218:0.218) (0.336:0.336:0.336))
(IOPATH S X (0.155:0.155:0.155) (0.326:0.326:0.326))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__clkbuf_1")
(INSTANCE _316_)
(DELAY
(ABSOLUTE
(IOPATH A X (0.076:0.076:0.076) (0.089:0.089:0.089))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__mux2_1")
(INSTANCE _317_)
(DELAY
(ABSOLUTE
(IOPATH A0 X (0.124:0.124:0.124) (0.276:0.276:0.276))
(IOPATH A1 X (0.128:0.128:0.128) (0.287:0.287:0.287))
(IOPATH S X (0.219:0.219:0.219) (0.336:0.336:0.336))
(IOPATH S X (0.156:0.156:0.156) (0.327:0.327:0.327))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__clkbuf_1")
(INSTANCE _318_)
(DELAY
(ABSOLUTE
(IOPATH A X (0.076:0.077:0.077) (0.090:0.090:0.090))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__mux2_1")
(INSTANCE _319_)
(DELAY
(ABSOLUTE
(IOPATH A0 X (0.122:0.122:0.122) (0.275:0.275:0.275))
(IOPATH A1 X (0.127:0.127:0.127) (0.287:0.287:0.287))
(IOPATH S X (0.219:0.219:0.219) (0.336:0.336:0.336))
(IOPATH S X (0.156:0.156:0.156) (0.326:0.326:0.326))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__clkbuf_1")
(INSTANCE _320_)
(DELAY
(ABSOLUTE
(IOPATH A X (0.075:0.076:0.076) (0.089:0.089:0.089))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__mux2_1")
(INSTANCE _321_)
(DELAY
(ABSOLUTE
(IOPATH A0 X (0.129:0.129:0.129) (0.281:0.281:0.281))
(IOPATH A1 X (0.125:0.125:0.125) (0.289:0.289:0.289))
(IOPATH S X (0.222:0.222:0.222) (0.340:0.340:0.340))
(IOPATH S X (0.159:0.159:0.159) (0.330:0.330:0.330))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__clkbuf_1")
(INSTANCE _322_)
(DELAY
(ABSOLUTE
(IOPATH A X (0.079:0.079:0.080) (0.091:0.092:0.092))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__mux2_1")
(INSTANCE _323_)
(DELAY
(ABSOLUTE
(IOPATH A0 X (0.126:0.126:0.126) (0.276:0.276:0.276))
(IOPATH A1 X (0.122:0.122:0.122) (0.285:0.285:0.285))
(IOPATH S X (0.218:0.218:0.218) (0.335:0.335:0.335))
(IOPATH S X (0.155:0.155:0.155) (0.326:0.326:0.326))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__clkbuf_1")
(INSTANCE _324_)
(DELAY
(ABSOLUTE
(IOPATH A X (0.075:0.075:0.076) (0.088:0.089:0.089))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__clkbuf_4")
(INSTANCE _325_)
(DELAY
(ABSOLUTE
(IOPATH A X (0.238:0.238:0.238) (0.208:0.208:0.209))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__mux2_1")
(INSTANCE _326_)
(DELAY
(ABSOLUTE
(IOPATH A0 X (0.127:0.127:0.127) (0.280:0.280:0.280))
(IOPATH A1 X (0.135:0.135:0.135) (0.292:0.292:0.292))
(IOPATH S X (0.217:0.217:0.217) (0.338:0.338:0.338))
(IOPATH S X (0.155:0.155:0.155) (0.327:0.327:0.327))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__clkbuf_1")
(INSTANCE _327_)
(DELAY
(ABSOLUTE
(IOPATH A X (0.079:0.080:0.080) (0.092:0.092:0.092))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__mux2_1")
(INSTANCE _328_)
(DELAY
(ABSOLUTE
(IOPATH A0 X (0.126:0.126:0.126) (0.279:0.279:0.279))
(IOPATH A1 X (0.127:0.127:0.127) (0.289:0.289:0.289))
(IOPATH S X (0.217:0.217:0.217) (0.337:0.337:0.337))
(IOPATH S X (0.155:0.155:0.155) (0.326:0.326:0.326))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__clkbuf_1")
(INSTANCE _329_)
(DELAY
(ABSOLUTE
(IOPATH A X (0.076:0.077:0.077) (0.090:0.090:0.090))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__mux2_1")
(INSTANCE _330_)
(DELAY
(ABSOLUTE
(IOPATH A0 X (0.124:0.124:0.124) (0.277:0.277:0.277))
(IOPATH A1 X (0.127:0.127:0.127) (0.288:0.288:0.288))
(IOPATH S X (0.216:0.216:0.216) (0.336:0.336:0.336))
(IOPATH S X (0.154:0.154:0.154) (0.325:0.325:0.325))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__clkbuf_1")
(INSTANCE _331_)
(DELAY
(ABSOLUTE
(IOPATH A X (0.076:0.076:0.077) (0.089:0.089:0.090))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__mux2_1")
(INSTANCE _332_)
(DELAY
(ABSOLUTE
(IOPATH A0 X (0.123:0.123:0.123) (0.273:0.273:0.273))
(IOPATH A1 X (0.123:0.123:0.123) (0.283:0.283:0.283))
(IOPATH S X (0.211:0.211:0.211) (0.330:0.330:0.330))
(IOPATH S X (0.149:0.149:0.149) (0.319:0.319:0.319))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__clkbuf_1")
(INSTANCE _333_)
(DELAY
(ABSOLUTE
(IOPATH A X (0.074:0.075:0.075) (0.088:0.088:0.088))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__inv_2")
(INSTANCE _334_)
(DELAY
(ABSOLUTE
(IOPATH A Y (0.067:0.067:0.067) (0.052:0.052:0.052))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__and3b_1")
(INSTANCE _335_)
(DELAY
(ABSOLUTE
(IOPATH A_N X (0.217:0.217:0.217) (0.196:0.196:0.196))
(IOPATH B X (0.142:0.142:0.142) (0.154:0.154:0.154))
(IOPATH C X (0.172:0.172:0.172) (0.182:0.182:0.182))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__clkbuf_1")
(INSTANCE _336_)
(DELAY
(ABSOLUTE
(IOPATH A X (0.075:0.075:0.075) (0.077:0.078:0.078))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__and3_1")
(INSTANCE _337_)
(DELAY
(ABSOLUTE
(IOPATH A X (0.183:0.183:0.183) (0.161:0.161:0.161))
(IOPATH B X (0.182:0.182:0.182) (0.178:0.178:0.178))
(IOPATH C X (0.199:0.199:0.199) (0.205:0.205:0.205))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__o221a_1")
(INSTANCE _338_)
(DELAY
(ABSOLUTE
(IOPATH A1 X (0.172:0.172:0.172) (0.247:0.247:0.247))
(IOPATH A2 X (0.194:0.194:0.194) (0.245:0.245:0.245))
(IOPATH B1 X (0.172:0.172:0.172) (0.213:0.214:0.215))
(IOPATH B2 X (0.191:0.191:0.191) (0.211:0.211:0.211))
(IOPATH C1 X (0.147:0.147:0.147) (0.105:0.105:0.105))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__inv_2")
(INSTANCE _339_)
(DELAY
(ABSOLUTE
(IOPATH A Y (0.051:0.051:0.051) (0.039:0.039:0.039))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__a21o_1")
(INSTANCE _340_)
(DELAY
(ABSOLUTE
(IOPATH A1 X (0.095:0.095:0.095) (0.145:0.145:0.145))
(IOPATH A2 X (0.127:0.127:0.127) (0.187:0.187:0.187))
(IOPATH B1 X (0.121:0.121:0.121) (0.164:0.164:0.164))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__mux2_1")
(INSTANCE _341_)
(DELAY
(ABSOLUTE
(IOPATH A0 X (0.164:0.164:0.164) (0.297:0.297:0.297))
(IOPATH A1 X (0.124:0.124:0.124) (0.282:0.282:0.282))
(IOPATH S X (0.210:0.210:0.210) (0.328:0.328:0.328))
(IOPATH S X (0.148:0.148:0.148) (0.317:0.317:0.317))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__clkbuf_1")
(INSTANCE _342_)
(DELAY
(ABSOLUTE
(IOPATH A X (0.074:0.075:0.076) (0.087:0.088:0.088))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__mux2_1")
(INSTANCE _343_)
(DELAY
(ABSOLUTE
(IOPATH A0 X (0.158:0.158:0.158) (0.295:0.295:0.295))
(IOPATH A1 X (0.157:0.157:0.157) (0.304:0.304:0.304))
(IOPATH S X (0.215:0.215:0.215) (0.341:0.341:0.341))
(IOPATH S X (0.164:0.164:0.164) (0.324:0.324:0.324))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__clkbuf_1")
(INSTANCE _344_)
(DELAY
(ABSOLUTE
(IOPATH A X (0.078:0.079:0.080) (0.091:0.091:0.091))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__mux2_1")
(INSTANCE _345_)
(DELAY
(ABSOLUTE
(IOPATH A0 X (0.155:0.155:0.155) (0.294:0.294:0.294))
(IOPATH A1 X (0.161:0.161:0.161) (0.307:0.307:0.307))
(IOPATH S X (0.218:0.218:0.218) (0.345:0.345:0.345))
(IOPATH S X (0.167:0.167:0.167) (0.328:0.328:0.328))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__clkbuf_1")
(INSTANCE _346_)
(DELAY
(ABSOLUTE
(IOPATH A X (0.076:0.077:0.077) (0.090:0.090:0.090))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__mux2_1")
(INSTANCE _347_)
(DELAY
(ABSOLUTE
(IOPATH A0 X (0.150:0.150:0.150) (0.289:0.289:0.289))
(IOPATH A1 X (0.154:0.154:0.154) (0.301:0.301:0.301))
(IOPATH S X (0.215:0.215:0.215) (0.341:0.341:0.341))
(IOPATH S X (0.164:0.164:0.164) (0.324:0.324:0.324))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__clkbuf_1")
(INSTANCE _348_)
(DELAY
(ABSOLUTE
(IOPATH A X (0.080:0.081:0.081) (0.092:0.092:0.092))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__or2_1")
(INSTANCE _349_)
(DELAY
(ABSOLUTE
(IOPATH A X (0.189:0.189:0.189) (0.283:0.283:0.283))
(IOPATH B X (0.186:0.186:0.186) (0.266:0.266:0.266))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__dlymetal6s2s_1")
(INSTANCE _350_)
(DELAY
(ABSOLUTE
(IOPATH A X (0.210:0.210:0.210) (0.203:0.203:0.203))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__and2_1")
(INSTANCE _351_)
(DELAY
(ABSOLUTE
(IOPATH A X (0.231:0.231:0.231) (0.200:0.200:0.200))
(IOPATH B X (0.233:0.233:0.233) (0.221:0.221:0.221))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__clkbuf_1")
(INSTANCE _352_)
(DELAY
(ABSOLUTE
(IOPATH A X (0.111:0.111:0.111) (0.107:0.107:0.108))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__or4_1")
(INSTANCE _353_)
(DELAY
(ABSOLUTE
(IOPATH A X (0.101:0.101:0.101) (0.502:0.502:0.502))
(IOPATH B X (0.100:0.100:0.100) (0.485:0.485:0.485))
(IOPATH C X (0.100:0.100:0.100) (0.452:0.452:0.452))
(IOPATH D X (0.097:0.097:0.097) (0.384:0.384:0.384))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__inv_2")
(INSTANCE _354_)
(DELAY
(ABSOLUTE
(IOPATH A Y (0.077:0.077:0.077) (0.064:0.064:0.064))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__dlymetal6s2s_1")
(INSTANCE _355_)
(DELAY
(ABSOLUTE
(IOPATH A X (0.210:0.210:0.210) (0.192:0.192:0.192))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__or4_1")
(INSTANCE _356_)
(DELAY
(ABSOLUTE
(IOPATH A X (0.148:0.148:0.148) (0.577:0.577:0.577))
(IOPATH B X (0.185:0.185:0.185) (0.571:0.571:0.571))
(IOPATH C X (0.179:0.179:0.179) (0.537:0.537:0.537))
(IOPATH D X (0.158:0.158:0.158) (0.459:0.459:0.459))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__or4_1")
(INSTANCE _357_)
(DELAY
(ABSOLUTE
(IOPATH A X (0.145:0.145:0.145) (0.569:0.569:0.569))
(IOPATH B X (0.146:0.146:0.146) (0.552:0.552:0.552))
(IOPATH C X (0.142:0.142:0.142) (0.518:0.518:0.518))
(IOPATH D X (0.142:0.142:0.142) (0.451:0.451:0.451))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__or4_1")
(INSTANCE _358_)
(DELAY
(ABSOLUTE
(IOPATH A X (0.120:0.120:0.120) (0.534:0.534:0.534))
(IOPATH B X (0.119:0.119:0.119) (0.516:0.516:0.516))
(IOPATH C X (0.121:0.121:0.121) (0.484:0.484:0.484))
(IOPATH D X (0.118:0.118:0.118) (0.416:0.416:0.416))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__or4_1")
(INSTANCE _359_)
(DELAY
(ABSOLUTE
(IOPATH A X (0.095:0.095:0.096) (0.524:0.524:0.524))
(IOPATH B X (0.120:0.120:0.121) (0.521:0.521:0.522))
(IOPATH C X (0.115:0.115:0.115) (0.486:0.486:0.486))
(IOPATH D X (0.100:0.100:0.100) (0.410:0.410:0.410))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__dlymetal6s2s_1")
(INSTANCE _360_)
(DELAY
(ABSOLUTE
(IOPATH A X (0.184:0.184:0.184) (0.203:0.203:0.203))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__and2_1")
(INSTANCE _361_)
(DELAY
(ABSOLUTE
(IOPATH A X (0.122:0.122:0.122) (0.132:0.132:0.132))
(IOPATH B X (0.156:0.156:0.156) (0.174:0.174:0.174))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__clkbuf_1")
(INSTANCE _362_)
(DELAY
(ABSOLUTE
(IOPATH A X (0.081:0.081:0.082) (0.081:0.082:0.082))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__and2_1")
(INSTANCE _363_)
(DELAY
(ABSOLUTE
(IOPATH A X (0.134:0.134:0.134) (0.140:0.140:0.140))
(IOPATH B X (0.159:0.159:0.159) (0.177:0.177:0.177))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__clkbuf_1")
(INSTANCE _364_)
(DELAY
(ABSOLUTE
(IOPATH A X (0.085:0.085:0.085) (0.084:0.084:0.084))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__and2_1")
(INSTANCE _365_)
(DELAY
(ABSOLUTE
(IOPATH A X (0.143:0.143:0.143) (0.144:0.144:0.144))
(IOPATH B X (0.164:0.164:0.164) (0.180:0.180:0.180))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__clkbuf_1")
(INSTANCE _366_)
(DELAY
(ABSOLUTE
(IOPATH A X (0.087:0.087:0.088) (0.086:0.086:0.086))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__clkbuf_1")
(INSTANCE _367_)
(DELAY
(ABSOLUTE
(IOPATH A X (0.172:0.172:0.172) (0.144:0.144:0.145))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__and2_1")
(INSTANCE _368_)
(DELAY
(ABSOLUTE
(IOPATH A X (0.156:0.156:0.156) (0.154:0.154:0.154))
(IOPATH B X (0.171:0.171:0.171) (0.181:0.181:0.181))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__clkbuf_1")
(INSTANCE _369_)
(DELAY
(ABSOLUTE
(IOPATH A X (0.106:0.106:0.106) (0.098:0.098:0.099))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__and2_1")
(INSTANCE _370_)
(DELAY
(ABSOLUTE
(IOPATH A X (0.176:0.176:0.176) (0.165:0.165:0.165))
(IOPATH B X (0.200:0.200:0.200) (0.198:0.198:0.198))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__clkbuf_1")
(INSTANCE _371_)
(DELAY
(ABSOLUTE
(IOPATH A X (0.101:0.101:0.101) (0.096:0.097:0.097))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__and2_1")
(INSTANCE _372_)
(DELAY
(ABSOLUTE
(IOPATH A X (0.204:0.204:0.204) (0.181:0.181:0.181))
(IOPATH B X (0.222:0.222:0.222) (0.210:0.210:0.210))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__clkbuf_1")
(INSTANCE _373_)
(DELAY
(ABSOLUTE
(IOPATH A X (0.111:0.111:0.111) (0.106:0.107:0.107))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__and2_1")
(INSTANCE _374_)
(DELAY
(ABSOLUTE
(IOPATH A X (0.215:0.215:0.215) (0.187:0.187:0.187))
(IOPATH B X (0.225:0.225:0.225) (0.212:0.212:0.212))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__clkbuf_1")
(INSTANCE _375_)
(DELAY
(ABSOLUTE
(IOPATH A X (0.109:0.109:0.109) (0.106:0.106:0.106))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__and2_1")
(INSTANCE _376_)
(DELAY
(ABSOLUTE
(IOPATH A X (0.226:0.226:0.226) (0.193:0.193:0.193))
(IOPATH B X (0.241:0.241:0.241) (0.221:0.221:0.221))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__clkbuf_1")
(INSTANCE _377_)
(DELAY
(ABSOLUTE
(IOPATH A X (0.111:0.111:0.111) (0.109:0.109:0.110))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__xnor2_2")
(INSTANCE _378_)
(DELAY
(ABSOLUTE
(IOPATH A Y (0.180:0.180:0.180) (0.186:0.186:0.186))
(IOPATH A Y (0.279:0.279:0.279) (0.133:0.133:0.133))
(IOPATH B Y (0.176:0.176:0.176) (0.180:0.180:0.180))
(IOPATH B Y (0.253:0.253:0.253) (0.123:0.123:0.123))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__dlymetal6s2s_1")
(INSTANCE _379_)
(DELAY
(ABSOLUTE
(IOPATH A X (0.190:0.208:0.227) (0.195:0.197:0.199))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__and2b_1")
(INSTANCE _380_)
(DELAY
(ABSOLUTE
(IOPATH A_N X (0.304:0.304:0.304) (0.264:0.264:0.264))
(IOPATH B X (0.240:0.240:0.240) (0.225:0.225:0.225))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__dlymetal6s2s_1")
(INSTANCE _381_)
(DELAY
(ABSOLUTE
(IOPATH A X (0.221:0.221:0.221) (0.205:0.206:0.206))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__or3_1")
(INSTANCE _382_)
(DELAY
(ABSOLUTE
(IOPATH A X (0.127:0.127:0.127) (0.369:0.369:0.369))
(IOPATH B X (0.129:0.129:0.129) (0.349:0.349:0.349))
(IOPATH C X (0.130:0.130:0.130) (0.314:0.314:0.314))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__dlymetal6s2s_1")
(INSTANCE _383_)
(DELAY
(ABSOLUTE
(IOPATH A X (0.243:0.243:0.243) (0.219:0.219:0.219))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__nand3b_2")
(INSTANCE _384_)
(DELAY
(ABSOLUTE
(IOPATH A_N Y (0.189:0.189:0.189) (0.261:0.261:0.261))
(IOPATH B Y (0.118:0.118:0.118) (0.132:0.132:0.132))
(IOPATH C Y (0.128:0.128:0.128) (0.133:0.133:0.133))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__dlymetal6s2s_1")
(INSTANCE _385_)
(DELAY
(ABSOLUTE
(IOPATH A X (0.215:0.219:0.222) (0.216:0.217:0.219))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__dlymetal6s2s_1")
(INSTANCE _386_)
(DELAY
(ABSOLUTE
(IOPATH A X (0.242:0.242:0.242) (0.212:0.212:0.212))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__a21o_1")
(INSTANCE _387_)
(DELAY
(ABSOLUTE
(IOPATH A1 X (0.141:0.141:0.141) (0.173:0.173:0.173))
(IOPATH A2 X (0.123:0.123:0.123) (0.175:0.175:0.175))
(IOPATH B1 X (0.111:0.111:0.111) (0.169:0.169:0.169))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__clkbuf_2")
(INSTANCE _388_)
(DELAY
(ABSOLUTE
(IOPATH A X (0.225:0.225:0.225) (0.186:0.186:0.186))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__clkbuf_2")
(INSTANCE _389_)
(DELAY
(ABSOLUTE
(IOPATH A X (0.192:0.192:0.192) (0.173:0.173:0.173))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__clkbuf_2")
(INSTANCE _390_)
(DELAY
(ABSOLUTE
(IOPATH A X (0.182:0.182:0.182) (0.160:0.160:0.160))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__a21o_1")
(INSTANCE _391_)
(DELAY
(ABSOLUTE
(IOPATH A1 X (0.095:0.095:0.095) (0.156:0.156:0.156))
(IOPATH A2 X (0.100:0.100:0.100) (0.164:0.164:0.164))
(IOPATH B1 X (0.094:0.094:0.094) (0.158:0.158:0.158))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__or3_1")
(INSTANCE _392_)
(DELAY
(ABSOLUTE
(IOPATH A X (0.132:0.132:0.132) (0.375:0.375:0.375))
(IOPATH B X (0.132:0.132:0.132) (0.353:0.353:0.353))
(IOPATH C X (0.133:0.133:0.133) (0.318:0.318:0.318))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__a21o_1")
(INSTANCE _393_)
(DELAY
(ABSOLUTE
(IOPATH A1 X (0.142:0.142:0.142) (0.174:0.174:0.174))
(IOPATH A2 X (0.117:0.117:0.117) (0.172:0.172:0.172))
(IOPATH B1 X (0.113:0.113:0.113) (0.170:0.170:0.170))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__a21o_1")
(INSTANCE _394_)
(DELAY
(ABSOLUTE
(IOPATH A1 X (0.095:0.096:0.096) (0.157:0.157:0.157))
(IOPATH A2 X (0.100:0.100:0.100) (0.164:0.164:0.164))
(IOPATH B1 X (0.094:0.094:0.094) (0.158:0.158:0.158))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__or3_1")
(INSTANCE _395_)
(DELAY
(ABSOLUTE
(IOPATH A X (0.127:0.127:0.127) (0.371:0.371:0.371))
(IOPATH B X (0.131:0.131:0.131) (0.352:0.352:0.352))
(IOPATH C X (0.132:0.132:0.132) (0.317:0.317:0.317))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__a21o_1")
(INSTANCE _396_)
(DELAY
(ABSOLUTE
(IOPATH A1 X (0.151:0.151:0.151) (0.180:0.180:0.180))
(IOPATH A2 X (0.118:0.118:0.118) (0.173:0.173:0.173))
(IOPATH B1 X (0.121:0.121:0.121) (0.177:0.177:0.177))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__a21o_1")
(INSTANCE _397_)
(DELAY
(ABSOLUTE
(IOPATH A1 X (0.092:0.092:0.092) (0.154:0.154:0.154))
(IOPATH A2 X (0.100:0.100:0.101) (0.163:0.164:0.164))
(IOPATH B1 X (0.091:0.091:0.091) (0.155:0.155:0.155))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__or3_1")
(INSTANCE _398_)
(DELAY
(ABSOLUTE
(IOPATH A X (0.133:0.133:0.133) (0.377:0.377:0.377))
(IOPATH B X (0.134:0.134:0.134) (0.356:0.356:0.356))
(IOPATH C X (0.135:0.135:0.135) (0.321:0.321:0.321))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__a21o_1")
(INSTANCE _399_)
(DELAY
(ABSOLUTE
(IOPATH A1 X (0.158:0.158:0.158) (0.186:0.186:0.186))
(IOPATH A2 X (0.125:0.125:0.125) (0.179:0.179:0.179))
(IOPATH B1 X (0.129:0.129:0.129) (0.183:0.183:0.183))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__a21o_1")
(INSTANCE _400_)
(DELAY
(ABSOLUTE
(IOPATH A1 X (0.098:0.098:0.098) (0.159:0.159:0.159))
(IOPATH A2 X (0.108:0.108:0.108) (0.170:0.170:0.170))
(IOPATH B1 X (0.095:0.095:0.095) (0.159:0.159:0.159))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__dlymetal6s2s_1")
(INSTANCE _401_)
(DELAY
(ABSOLUTE
(IOPATH A X (0.211:0.229:0.247) (0.207:0.209:0.211))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__dlymetal6s2s_1")
(INSTANCE _402_)
(DELAY
(ABSOLUTE
(IOPATH A X (0.222:0.222:0.223) (0.203:0.203:0.203))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__dlymetal6s2s_1")
(INSTANCE _403_)
(DELAY
(ABSOLUTE
(IOPATH A X (0.218:0.218:0.218) (0.204:0.204:0.205))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__dlymetal6s2s_1")
(INSTANCE _404_)
(DELAY
(ABSOLUTE
(IOPATH A X (0.206:0.206:0.206) (0.193:0.193:0.193))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__or3_1")
(INSTANCE _405_)
(DELAY
(ABSOLUTE
(IOPATH A X (0.133:0.133:0.133) (0.377:0.377:0.377))
(IOPATH B X (0.138:0.138:0.138) (0.359:0.359:0.359))
(IOPATH C X (0.131:0.131:0.131) (0.318:0.318:0.318))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__a21o_1")
(INSTANCE _406_)
(DELAY
(ABSOLUTE
(IOPATH A1 X (0.171:0.171:0.171) (0.196:0.196:0.196))
(IOPATH A2 X (0.142:0.142:0.142) (0.191:0.191:0.191))
(IOPATH B1 X (0.141:0.141:0.141) (0.192:0.192:0.192))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__a21o_1")
(INSTANCE _407_)
(DELAY
(ABSOLUTE
(IOPATH A1 X (0.095:0.095:0.095) (0.156:0.156:0.156))
(IOPATH A2 X (0.109:0.110:0.110) (0.171:0.171:0.172))
(IOPATH B1 X (0.092:0.092:0.092) (0.157:0.157:0.157))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__or3_1")
(INSTANCE _408_)
(DELAY
(ABSOLUTE
(IOPATH A X (0.134:0.134:0.134) (0.377:0.377:0.377))
(IOPATH B X (0.138:0.138:0.138) (0.359:0.359:0.359))
(IOPATH C X (0.130:0.130:0.130) (0.318:0.318:0.318))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__dlymetal6s2s_1")
(INSTANCE _409_)
(DELAY
(ABSOLUTE
(IOPATH A X (0.235:0.235:0.235) (0.208:0.208:0.208))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__a21o_1")
(INSTANCE _410_)
(DELAY
(ABSOLUTE
(IOPATH A1 X (0.195:0.195:0.195) (0.213:0.213:0.213))
(IOPATH A2 X (0.162:0.162:0.162) (0.205:0.205:0.205))
(IOPATH B1 X (0.158:0.158:0.158) (0.203:0.203:0.203))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__clkbuf_2")
(INSTANCE _411_)
(DELAY
(ABSOLUTE
(IOPATH A X (0.187:0.187:0.187) (0.163:0.163:0.163))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__a21o_1")
(INSTANCE _412_)
(DELAY
(ABSOLUTE
(IOPATH A1 X (0.102:0.102:0.102) (0.163:0.163:0.163))
(IOPATH A2 X (0.125:0.125:0.125) (0.182:0.183:0.183))
(IOPATH B1 X (0.101:0.101:0.101) (0.165:0.165:0.165))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__or3_1")
(INSTANCE _413_)
(DELAY
(ABSOLUTE
(IOPATH A X (0.140:0.140:0.140) (0.383:0.383:0.383))
(IOPATH B X (0.140:0.140:0.140) (0.361:0.361:0.361))
(IOPATH C X (0.132:0.132:0.132) (0.320:0.320:0.320))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__a21o_1")
(INSTANCE _414_)
(DELAY
(ABSOLUTE
(IOPATH A1 X (0.183:0.183:0.183) (0.206:0.206:0.206))
(IOPATH A2 X (0.150:0.150:0.150) (0.198:0.198:0.198))
(IOPATH B1 X (0.146:0.146:0.146) (0.196:0.196:0.196))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__a21o_1")
(INSTANCE _415_)
(DELAY
(ABSOLUTE
(IOPATH A1 X (0.096:0.096:0.096) (0.157:0.157:0.157))
(IOPATH A2 X (0.113:0.113:0.113) (0.173:0.173:0.174))
(IOPATH B1 X (0.094:0.094:0.094) (0.159:0.159:0.159))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__or3_1")
(INSTANCE _416_)
(DELAY
(ABSOLUTE
(IOPATH A X (0.141:0.141:0.141) (0.380:0.380:0.380))
(IOPATH B X (0.135:0.135:0.135) (0.355:0.355:0.355))
(IOPATH C X (0.127:0.127:0.127) (0.314:0.314:0.314))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__a21o_1")
(INSTANCE _417_)
(DELAY
(ABSOLUTE
(IOPATH A1 X (0.175:0.175:0.175) (0.200:0.200:0.200))
(IOPATH A2 X (0.157:0.157:0.157) (0.201:0.201:0.201))
(IOPATH B1 X (0.138:0.138:0.138) (0.190:0.190:0.190))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__a21o_1")
(INSTANCE _418_)
(DELAY
(ABSOLUTE
(IOPATH A1 X (0.095:0.096:0.096) (0.157:0.157:0.157))
(IOPATH A2 X (0.111:0.111:0.111) (0.172:0.173:0.173))
(IOPATH B1 X (0.095:0.095:0.095) (0.160:0.160:0.160))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__or3_1")
(INSTANCE _419_)
(DELAY
(ABSOLUTE
(IOPATH A X (0.150:0.150:0.150) (0.389:0.389:0.389))
(IOPATH B X (0.138:0.138:0.138) (0.359:0.359:0.359))
(IOPATH C X (0.130:0.130:0.130) (0.318:0.318:0.318))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__dlymetal6s2s_1")
(INSTANCE _420_)
(DELAY
(ABSOLUTE
(IOPATH A X (0.223:0.223:0.223) (0.203:0.203:0.203))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__a21o_1")
(INSTANCE _421_)
(DELAY
(ABSOLUTE
(IOPATH A1 X (0.157:0.157:0.157) (0.185:0.185:0.185))
(IOPATH A2 X (0.150:0.150:0.150) (0.196:0.196:0.196))
(IOPATH B1 X (0.129:0.129:0.129) (0.182:0.182:0.182))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__a21o_1")
(INSTANCE _422_)
(DELAY
(ABSOLUTE
(IOPATH A1 X (0.094:0.094:0.094) (0.155:0.155:0.155))
(IOPATH A2 X (0.104:0.104:0.105) (0.167:0.167:0.167))
(IOPATH B1 X (0.093:0.093:0.093) (0.157:0.157:0.157))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__dlymetal6s2s_1")
(INSTANCE _423_)
(DELAY
(ABSOLUTE
(IOPATH A X (0.221:0.221:0.221) (0.203:0.203:0.203))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__dlymetal6s2s_1")
(INSTANCE _424_)
(DELAY
(ABSOLUTE
(IOPATH A X (0.203:0.203:0.203) (0.191:0.191:0.191))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__or3_1")
(INSTANCE _425_)
(DELAY
(ABSOLUTE
(IOPATH A X (0.147:0.147:0.147) (0.384:0.384:0.384))
(IOPATH B X (0.135:0.135:0.135) (0.355:0.355:0.355))
(IOPATH C X (0.127:0.127:0.127) (0.313:0.313:0.313))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__a21o_1")
(INSTANCE _426_)
(DELAY
(ABSOLUTE
(IOPATH A1 X (0.186:0.186:0.186) (0.205:0.205:0.205))
(IOPATH A2 X (0.173:0.173:0.173) (0.212:0.212:0.212))
(IOPATH B1 X (0.157:0.157:0.157) (0.203:0.203:0.203))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__a21o_1")
(INSTANCE _427_)
(DELAY
(ABSOLUTE
(IOPATH A1 X (0.092:0.092:0.093) (0.154:0.154:0.154))
(IOPATH A2 X (0.115:0.115:0.116) (0.174:0.174:0.175))
(IOPATH B1 X (0.092:0.092:0.092) (0.157:0.157:0.157))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__or3_1")
(INSTANCE _428_)
(DELAY
(ABSOLUTE
(IOPATH A X (0.152:0.152:0.152) (0.389:0.389:0.389))
(IOPATH B X (0.137:0.137:0.137) (0.358:0.358:0.358))
(IOPATH C X (0.129:0.129:0.129) (0.317:0.317:0.317))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__dlymetal6s2s_1")
(INSTANCE _429_)
(DELAY
(ABSOLUTE
(IOPATH A X (0.245:0.245:0.245) (0.214:0.214:0.214))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__a21o_1")
(INSTANCE _430_)
(DELAY
(ABSOLUTE
(IOPATH A1 X (0.191:0.191:0.191) (0.208:0.208:0.208))
(IOPATH A2 X (0.181:0.181:0.181) (0.218:0.218:0.218))
(IOPATH B1 X (0.164:0.164:0.164) (0.208:0.208:0.208))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__clkbuf_2")
(INSTANCE _431_)
(DELAY
(ABSOLUTE
(IOPATH A X (0.183:0.183:0.183) (0.160:0.160:0.160))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__a21o_1")
(INSTANCE _432_)
(DELAY
(ABSOLUTE
(IOPATH A1 X (0.095:0.095:0.095) (0.156:0.156:0.156))
(IOPATH A2 X (0.119:0.119:0.119) (0.177:0.177:0.177))
(IOPATH B1 X (0.093:0.093:0.093) (0.157:0.157:0.157))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__or3_1")
(INSTANCE _433_)
(DELAY
(ABSOLUTE
(IOPATH A X (0.141:0.141:0.141) (0.382:0.382:0.382))
(IOPATH B X (0.137:0.137:0.137) (0.358:0.358:0.358))
(IOPATH C X (0.129:0.129:0.129) (0.316:0.316:0.316))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__a21o_1")
(INSTANCE _434_)
(DELAY
(ABSOLUTE
(IOPATH A1 X (0.193:0.193:0.193) (0.209:0.209:0.209))
(IOPATH A2 X (0.183:0.183:0.183) (0.219:0.219:0.219))
(IOPATH B1 X (0.166:0.166:0.166) (0.209:0.209:0.209))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__a21o_1")
(INSTANCE _435_)
(DELAY
(ABSOLUTE
(IOPATH A1 X (0.099:0.099:0.100) (0.160:0.160:0.160))
(IOPATH A2 X (0.124:0.124:0.125) (0.181:0.182:0.182))
(IOPATH B1 X (0.097:0.097:0.097) (0.161:0.161:0.161))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__or3_1")
(INSTANCE _436_)
(DELAY
(ABSOLUTE
(IOPATH A X (0.143:0.143:0.143) (0.383:0.383:0.383))
(IOPATH B X (0.137:0.137:0.137) (0.357:0.357:0.357))
(IOPATH C X (0.128:0.128:0.128) (0.316:0.316:0.316))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__a21o_1")
(INSTANCE _437_)
(DELAY
(ABSOLUTE
(IOPATH A1 X (0.174:0.174:0.174) (0.197:0.197:0.197))
(IOPATH A2 X (0.174:0.174:0.174) (0.216:0.216:0.216))
(IOPATH B1 X (0.148:0.148:0.148) (0.198:0.198:0.198))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__a21o_1")
(INSTANCE _438_)
(DELAY
(ABSOLUTE
(IOPATH A1 X (0.093:0.093:0.093) (0.155:0.155:0.155))
(IOPATH A2 X (0.110:0.111:0.111) (0.171:0.172:0.172))
(IOPATH B1 X (0.091:0.091:0.091) (0.156:0.156:0.156))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__or3_1")
(INSTANCE _439_)
(DELAY
(ABSOLUTE
(IOPATH A X (0.147:0.147:0.147) (0.386:0.386:0.386))
(IOPATH B X (0.137:0.137:0.137) (0.358:0.358:0.358))
(IOPATH C X (0.129:0.129:0.129) (0.317:0.317:0.317))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__a21o_1")
(INSTANCE _440_)
(DELAY
(ABSOLUTE
(IOPATH A1 X (0.186:0.186:0.186) (0.204:0.204:0.204))
(IOPATH A2 X (0.194:0.194:0.194) (0.230:0.230:0.230))
(IOPATH B1 X (0.161:0.161:0.161) (0.207:0.207:0.207))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__a21o_1")
(INSTANCE _441_)
(DELAY
(ABSOLUTE
(IOPATH A1 X (0.101:0.101:0.102) (0.162:0.162:0.162))
(IOPATH A2 X (0.124:0.124:0.125) (0.182:0.182:0.183))
(IOPATH B1 X (0.099:0.099:0.099) (0.163:0.163:0.163))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__dlymetal6s2s_1")
(INSTANCE _442_)
(DELAY
(ABSOLUTE
(IOPATH A X (0.189:0.207:0.225) (0.194:0.197:0.199))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__dlymetal6s2s_1")
(INSTANCE _443_)
(DELAY
(ABSOLUTE
(IOPATH A X (0.188:0.188:0.188) (0.188:0.188:0.188))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__or3_1")
(INSTANCE _444_)
(DELAY
(ABSOLUTE
(IOPATH A X (0.145:0.145:0.145) (0.382:0.382:0.382))
(IOPATH B X (0.130:0.130:0.130) (0.351:0.351:0.351))
(IOPATH C X (0.121:0.121:0.121) (0.309:0.309:0.309))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__a21o_1")
(INSTANCE _445_)
(DELAY
(ABSOLUTE
(IOPATH A1 X (0.192:0.192:0.192) (0.208:0.208:0.208))
(IOPATH A2 X (0.219:0.219:0.219) (0.249:0.249:0.249))
(IOPATH B1 X (0.167:0.167:0.167) (0.210:0.210:0.210))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__a21o_1")
(INSTANCE _446_)
(DELAY
(ABSOLUTE
(IOPATH A1 X (0.094:0.095:0.095) (0.156:0.156:0.156))
(IOPATH A2 X (0.121:0.121:0.121) (0.178:0.179:0.179))
(IOPATH B1 X (0.093:0.093:0.093) (0.158:0.158:0.158))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__or3_1")
(INSTANCE _447_)
(DELAY
(ABSOLUTE
(IOPATH A X (0.142:0.142:0.142) (0.382:0.382:0.382))
(IOPATH B X (0.132:0.132:0.132) (0.354:0.354:0.354))
(IOPATH C X (0.123:0.123:0.123) (0.312:0.312:0.312))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__dlymetal6s2s_1")
(INSTANCE _448_)
(DELAY
(ABSOLUTE
(IOPATH A X (0.217:0.221:0.224) (0.215:0.216:0.218))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__a21o_1")
(INSTANCE _449_)
(DELAY
(ABSOLUTE
(IOPATH A1 X (0.198:0.198:0.198) (0.211:0.211:0.211))
(IOPATH A2 X (0.194:0.194:0.194) (0.238:0.238:0.238))
(IOPATH B1 X (0.172:0.172:0.172) (0.213:0.213:0.213))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__dfxtp_1")
(INSTANCE _450_)
(DELAY
(ABSOLUTE
(IOPATH CLK Q (0.444:0.444:0.444) (0.405:0.405:0.405))
)
)
(TIMINGCHECK
(HOLD (posedge D) (posedge CLK) (0.001:0.001:0.001))
(HOLD (negedge D) (posedge CLK) (0.005:0.004:0.004))
(SETUP (posedge D) (posedge CLK) (0.019:0.019:0.019))
(SETUP (negedge D) (posedge CLK) (0.050:0.051:0.051))
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__dfxtp_1")
(INSTANCE _451_)
(DELAY
(ABSOLUTE
(IOPATH CLK Q (0.453:0.453:0.453) (0.409:0.409:0.409))
)
)
(TIMINGCHECK
(HOLD (posedge D) (posedge CLK) (0.001:0.001:0.001))
(HOLD (negedge D) (posedge CLK) (0.005:0.004:0.004))
(SETUP (posedge D) (posedge CLK) (0.019:0.019:0.019))
(SETUP (negedge D) (posedge CLK) (0.050:0.051:0.051))
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__dfxtp_1")
(INSTANCE _452_)
(DELAY
(ABSOLUTE
(IOPATH CLK Q (0.440:0.440:0.440) (0.403:0.403:0.403))
)
)
(TIMINGCHECK
(HOLD (posedge D) (posedge CLK) (0.002:0.002:0.002))
(HOLD (negedge D) (posedge CLK) (0.005:0.005:0.005))
(SETUP (posedge D) (posedge CLK) (0.018:0.018:0.018))
(SETUP (negedge D) (posedge CLK) (0.049:0.050:0.050))
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__dfxtp_1")
(INSTANCE _453_)
(DELAY
(ABSOLUTE
(IOPATH CLK Q (0.449:0.449:0.449) (0.408:0.408:0.408))
)
)
(TIMINGCHECK
(HOLD (posedge D) (posedge CLK) (0.001:0.001:0.001))
(HOLD (negedge D) (posedge CLK) (0.004:0.004:0.004))
(SETUP (posedge D) (posedge CLK) (0.019:0.019:0.019))
(SETUP (negedge D) (posedge CLK) (0.050:0.051:0.051))
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__dfxtp_1")
(INSTANCE _454_)
(DELAY
(ABSOLUTE
(IOPATH CLK Q (0.451:0.451:0.451) (0.409:0.409:0.409))
)
)
(TIMINGCHECK
(HOLD (posedge D) (posedge CLK) (0.002:0.002:0.002))
(HOLD (negedge D) (posedge CLK) (0.005:0.005:0.005))
(SETUP (posedge D) (posedge CLK) (0.018:0.018:0.018))
(SETUP (negedge D) (posedge CLK) (0.049:0.050:0.050))
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__dfxtp_1")
(INSTANCE _455_)
(DELAY
(ABSOLUTE
(IOPATH CLK Q (0.455:0.455:0.455) (0.411:0.411:0.411))
)
)
(TIMINGCHECK
(HOLD (posedge D) (posedge CLK) (0.000:0.000:-0.000))
(HOLD (negedge D) (posedge CLK) (0.003:0.003:0.003))
(SETUP (posedge D) (posedge CLK) (0.020:0.020:0.020))
(SETUP (negedge D) (posedge CLK) (0.051:0.052:0.052))
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__dfxtp_1")
(INSTANCE _456_)
(DELAY
(ABSOLUTE
(IOPATH CLK Q (0.466:0.466:0.466) (0.417:0.417:0.417))
)
)
(TIMINGCHECK
(HOLD (posedge D) (posedge CLK) (0.002:0.002:0.002))
(HOLD (negedge D) (posedge CLK) (0.005:0.005:0.005))
(SETUP (posedge D) (posedge CLK) (0.018:0.018:0.018))
(SETUP (negedge D) (posedge CLK) (0.049:0.050:0.050))
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__dfxtp_1")
(INSTANCE _457_)
(DELAY
(ABSOLUTE
(IOPATH CLK Q (0.483:0.483:0.483) (0.426:0.426:0.426))
)
)
(TIMINGCHECK
(HOLD (posedge D) (posedge CLK) (0.002:0.001:0.001))
(HOLD (negedge D) (posedge CLK) (0.005:0.005:0.004))
(SETUP (posedge D) (posedge CLK) (0.019:0.019:0.019))
(SETUP (negedge D) (posedge CLK) (0.050:0.050:0.051))
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__dfxtp_1")
(INSTANCE _458_)
(DELAY
(ABSOLUTE
(IOPATH CLK Q (0.501:0.501:0.501) (0.435:0.435:0.435))
)
)
(TIMINGCHECK
(HOLD (posedge D) (posedge CLK) (0.002:0.002:0.002))
(HOLD (negedge D) (posedge CLK) (0.006:0.005:0.005))
(SETUP (posedge D) (posedge CLK) (0.018:0.018:0.018))
(SETUP (negedge D) (posedge CLK) (0.049:0.049:0.050))
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__dfxtp_1")
(INSTANCE _459_)
(DELAY
(ABSOLUTE
(IOPATH CLK Q (0.498:0.498:0.498) (0.434:0.434:0.434))
)
)
(TIMINGCHECK
(HOLD (posedge D) (posedge CLK) (0.002:0.002:0.002))
(HOLD (negedge D) (posedge CLK) (0.006:0.006:0.005))
(SETUP (posedge D) (posedge CLK) (0.018:0.018:0.018))
(SETUP (negedge D) (posedge CLK) (0.049:0.049:0.050))
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__dfxtp_1")
(INSTANCE _460_)
(DELAY
(ABSOLUTE
(IOPATH CLK Q (0.506:0.506:0.506) (0.438:0.438:0.438))
)
)
(TIMINGCHECK
(HOLD (posedge D) (posedge CLK) (0.002:0.002:0.002))
(HOLD (negedge D) (posedge CLK) (0.006:0.005:0.005))
(SETUP (posedge D) (posedge CLK) (0.018:0.018:0.018))
(SETUP (negedge D) (posedge CLK) (0.049:0.050:0.050))
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__dfxtp_1")
(INSTANCE _461_)
(DELAY
(ABSOLUTE
(IOPATH CLK Q (0.475:0.475:0.475) (0.422:0.422:0.422))
)
)
(TIMINGCHECK
(HOLD (posedge D) (posedge CLK) (0.001:0.001:0.001))
(HOLD (negedge D) (posedge CLK) (0.004:0.004:0.004))
(SETUP (posedge D) (posedge CLK) (0.019:0.019:0.020))
(SETUP (negedge D) (posedge CLK) (0.051:0.051:0.051))
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__dfxtp_1")
(INSTANCE _462_)
(DELAY
(ABSOLUTE
(IOPATH CLK Q (0.483:0.483:0.483) (0.426:0.426:0.426))
)
)
(TIMINGCHECK
(HOLD (posedge D) (posedge CLK) (0.002:0.002:0.002))
(HOLD (negedge D) (posedge CLK) (0.006:0.006:0.005))
(SETUP (posedge D) (posedge CLK) (0.018:0.018:0.018))
(SETUP (negedge D) (posedge CLK) (0.049:0.049:0.050))
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__dfxtp_1")
(INSTANCE _463_)
(DELAY
(ABSOLUTE
(IOPATH CLK Q (0.492:0.492:0.492) (0.431:0.431:0.431))
)
)
(TIMINGCHECK
(HOLD (posedge D) (posedge CLK) (0.001:0.001:0.000))
(HOLD (negedge D) (posedge CLK) (0.004:0.004:0.003))
(SETUP (posedge D) (posedge CLK) (0.019:0.020:0.020))
(SETUP (negedge D) (posedge CLK) (0.051:0.051:0.052))
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__dfxtp_1")
(INSTANCE _464_)
(DELAY
(ABSOLUTE
(IOPATH CLK Q (0.496:0.496:0.496) (0.433:0.433:0.433))
)
)
(TIMINGCHECK
(HOLD (posedge D) (posedge CLK) (0.002:0.002:0.002))
(HOLD (negedge D) (posedge CLK) (0.006:0.005:0.005))
(SETUP (posedge D) (posedge CLK) (0.018:0.018:0.018))
(SETUP (negedge D) (posedge CLK) (0.049:0.049:0.050))
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__dfxtp_1")
(INSTANCE _465_)
(DELAY
(ABSOLUTE
(IOPATH CLK Q (0.481:0.481:0.481) (0.425:0.425:0.425))
)
)
(TIMINGCHECK
(HOLD (posedge D) (posedge CLK) (0.002:0.002:0.002))
(HOLD (negedge D) (posedge CLK) (0.006:0.005:0.005))
(SETUP (posedge D) (posedge CLK) (0.018:0.018:0.018))
(SETUP (negedge D) (posedge CLK) (0.049:0.049:0.050))
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__dfxtp_1")
(INSTANCE _466_)
(DELAY
(ABSOLUTE
(IOPATH CLK Q (0.458:0.458:0.458) (0.413:0.413:0.413))
)
)
(TIMINGCHECK
(HOLD (posedge D) (posedge CLK) (0.003:0.003:0.003))
(HOLD (negedge D) (posedge CLK) (0.007:0.007:0.006))
(SETUP (posedge D) (posedge CLK) (0.017:0.017:0.017))
(SETUP (negedge D) (posedge CLK) (0.048:0.048:0.048))
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__dfxtp_1")
(INSTANCE _467_)
(DELAY
(ABSOLUTE
(IOPATH CLK Q (0.453:0.453:0.453) (0.410:0.410:0.410))
)
)
(TIMINGCHECK
(HOLD (posedge D) (posedge CLK) (0.002:0.002:0.002))
(HOLD (negedge D) (posedge CLK) (0.006:0.006:0.005))
(SETUP (posedge D) (posedge CLK) (0.018:0.018:0.018))
(SETUP (negedge D) (posedge CLK) (0.049:0.049:0.050))
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__dfxtp_1")
(INSTANCE _468_)
(DELAY
(ABSOLUTE
(IOPATH CLK Q (0.500:0.500:0.500) (0.436:0.436:0.436))
)
)
(TIMINGCHECK
(HOLD (posedge D) (posedge CLK) (0.002:0.002:0.001))
(HOLD (negedge D) (posedge CLK) (0.005:0.005:0.005))
(SETUP (posedge D) (posedge CLK) (0.018:0.019:0.019))
(SETUP (negedge D) (posedge CLK) (0.049:0.050:0.050))
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__dfxtp_1")
(INSTANCE _469_)
(DELAY
(ABSOLUTE
(IOPATH CLK Q (0.456:0.456:0.456) (0.412:0.412:0.412))
)
)
(TIMINGCHECK
(HOLD (posedge D) (posedge CLK) (0.002:0.002:0.002))
(HOLD (negedge D) (posedge CLK) (0.006:0.006:0.006))
(SETUP (posedge D) (posedge CLK) (0.018:0.018:0.018))
(SETUP (negedge D) (posedge CLK) (0.049:0.049:0.049))
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__dfxtp_1")
(INSTANCE _470_)
(DELAY
(ABSOLUTE
(IOPATH CLK Q (0.465:0.465:0.465) (0.416:0.416:0.416))
)
)
(TIMINGCHECK
(HOLD (posedge D) (posedge CLK) (-0.002:-0.002:-0.002))
(HOLD (negedge D) (posedge CLK) (0.001:0.001:0.000))
(SETUP (posedge D) (posedge CLK) (0.022:0.023:0.023))
(SETUP (negedge D) (posedge CLK) (0.054:0.054:0.055))
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__dfxtp_1")
(INSTANCE _471_)
(DELAY
(ABSOLUTE
(IOPATH CLK Q (0.466:0.466:0.466) (0.418:0.418:0.418))
)
)
(TIMINGCHECK
(HOLD (posedge D) (posedge CLK) (0.002:0.002:0.002))
(HOLD (negedge D) (posedge CLK) (0.006:0.006:0.005))
(SETUP (posedge D) (posedge CLK) (0.018:0.018:0.018))
(SETUP (negedge D) (posedge CLK) (0.049:0.049:0.050))
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__dfxtp_1")
(INSTANCE _472_)
(DELAY
(ABSOLUTE
(IOPATH CLK Q (0.486:0.486:0.486) (0.428:0.428:0.428))
)
)
(TIMINGCHECK
(HOLD (posedge D) (posedge CLK) (0.001:0.001:0.001))
(HOLD (negedge D) (posedge CLK) (0.005:0.005:0.005))
(SETUP (posedge D) (posedge CLK) (0.019:0.019:0.019))
(SETUP (negedge D) (posedge CLK) (0.049:0.050:0.050))
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__dfxtp_1")
(INSTANCE _473_)
(DELAY
(ABSOLUTE
(IOPATH CLK Q (0.456:0.456:0.456) (0.411:0.411:0.411))
)
)
(TIMINGCHECK
(HOLD (posedge D) (posedge CLK) (-0.000:-0.001:-0.001))
(HOLD (negedge D) (posedge CLK) (0.003:0.002:0.002))
(SETUP (posedge D) (posedge CLK) (0.021:0.021:0.021))
(SETUP (negedge D) (posedge CLK) (0.052:0.053:0.053))
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__dfxtp_1")
(INSTANCE _474_)
(DELAY
(ABSOLUTE
(IOPATH CLK Q (0.516:0.516:0.516) (0.443:0.443:0.443))
)
)
(TIMINGCHECK
(HOLD (posedge D) (posedge CLK) (0.001:0.001:0.000))
(HOLD (negedge D) (posedge CLK) (0.004:0.004:0.003))
(SETUP (posedge D) (posedge CLK) (0.020:0.020:0.020))
(SETUP (negedge D) (posedge CLK) (0.051:0.051:0.052))
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__dfxtp_2")
(INSTANCE _475_)
(DELAY
(ABSOLUTE
(IOPATH CLK Q (0.479:0.479:0.479) (0.435:0.435:0.435))
)
)
(TIMINGCHECK
(HOLD (posedge D) (posedge CLK) (0.001:0.001:0.000))
(HOLD (negedge D) (posedge CLK) (0.011:0.011:0.010))
(SETUP (posedge D) (posedge CLK) (0.023:0.023:0.023))
(SETUP (negedge D) (posedge CLK) (0.053:0.054:0.054))
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__dfxtp_2")
(INSTANCE _476_)
(DELAY
(ABSOLUTE
(IOPATH CLK Q (0.479:0.479:0.479) (0.435:0.435:0.435))
)
)
(TIMINGCHECK
(HOLD (posedge D) (posedge CLK) (0.001:0.001:0.001))
(HOLD (negedge D) (posedge CLK) (0.011:0.011:0.010))
(SETUP (posedge D) (posedge CLK) (0.023:0.023:0.023))
(SETUP (negedge D) (posedge CLK) (0.053:0.053:0.054))
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__dfxtp_2")
(INSTANCE _477_)
(DELAY
(ABSOLUTE
(IOPATH CLK Q (0.458:0.458:0.458) (0.423:0.423:0.423))
)
)
(TIMINGCHECK
(HOLD (posedge D) (posedge CLK) (-0.003:-0.003:-0.004))
(HOLD (negedge D) (posedge CLK) (0.006:0.006:0.005))
(SETUP (posedge D) (posedge CLK) (0.027:0.028:0.028))
(SETUP (negedge D) (posedge CLK) (0.058:0.058:0.059))
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__dfxtp_2")
(INSTANCE _478_)
(DELAY
(ABSOLUTE
(IOPATH CLK Q (0.441:0.441:0.441) (0.414:0.414:0.414))
)
)
(TIMINGCHECK
(HOLD (posedge D) (posedge CLK) (0.001:0.001:0.000))
(HOLD (negedge D) (posedge CLK) (0.011:0.010:0.010))
(SETUP (posedge D) (posedge CLK) (0.023:0.023:0.024))
(SETUP (negedge D) (posedge CLK) (0.053:0.054:0.054))
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__dfxtp_2")
(INSTANCE _479_)
(DELAY
(ABSOLUTE
(IOPATH CLK Q (0.470:0.470:0.470) (0.430:0.430:0.430))
)
)
(TIMINGCHECK
(HOLD (posedge D) (posedge CLK) (0.001:0.001:0.001))
(HOLD (negedge D) (posedge CLK) (0.011:0.011:0.010))
(SETUP (posedge D) (posedge CLK) (0.023:0.023:0.023))
(SETUP (negedge D) (posedge CLK) (0.053:0.053:0.054))
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__dfxtp_2")
(INSTANCE _480_)
(DELAY
(ABSOLUTE
(IOPATH CLK Q (0.450:0.450:0.450) (0.419:0.419:0.419))
)
)
(TIMINGCHECK
(HOLD (posedge D) (posedge CLK) (0.001:0.000:0.000))
(HOLD (negedge D) (posedge CLK) (0.010:0.010:0.010))
(SETUP (posedge D) (posedge CLK) (0.023:0.023:0.024))
(SETUP (negedge D) (posedge CLK) (0.054:0.054:0.055))
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__dfxtp_2")
(INSTANCE _481_)
(DELAY
(ABSOLUTE
(IOPATH CLK Q (0.487:0.487:0.487) (0.439:0.439:0.439))
)
)
(TIMINGCHECK
(HOLD (posedge D) (posedge CLK) (0.001:0.001:0.001))
(HOLD (negedge D) (posedge CLK) (0.011:0.011:0.011))
(SETUP (posedge D) (posedge CLK) (0.023:0.023:0.023))
(SETUP (negedge D) (posedge CLK) (0.053:0.053:0.054))
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__dfxtp_1")
(INSTANCE _482_)
(DELAY
(ABSOLUTE
(IOPATH CLK Q (0.445:0.445:0.445) (0.404:0.404:0.404))
)
)
(TIMINGCHECK
(HOLD (posedge D) (posedge CLK) (-0.001:-0.001:-0.002))
(HOLD (negedge D) (posedge CLK) (0.004:-0.002:-0.007))
(SETUP (posedge D) (posedge CLK) (0.021:0.022:0.022))
(SETUP (negedge D) (posedge CLK) (0.051:0.057:0.063))
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__dfxtp_1")
(INSTANCE _483_)
(DELAY
(ABSOLUTE
(IOPATH CLK Q (0.402:0.402:0.402) (0.380:0.380:0.380))
)
)
(TIMINGCHECK
(HOLD (posedge D) (posedge CLK) (0.000:-0.000:-0.001))
(HOLD (negedge D) (posedge CLK) (0.003:0.002:0.002))
(SETUP (posedge D) (posedge CLK) (0.020:0.020:0.021))
(SETUP (negedge D) (posedge CLK) (0.052:0.052:0.053))
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__dfxtp_1")
(INSTANCE _484_)
(DELAY
(ABSOLUTE
(IOPATH CLK Q (0.387:0.387:0.387) (0.372:0.372:0.372))
)
)
(TIMINGCHECK
(HOLD (posedge D) (posedge CLK) (0.000:0.000:0.000))
(HOLD (negedge D) (posedge CLK) (0.007:0.007:0.007))
(SETUP (posedge D) (posedge CLK) (0.020:0.020:0.020))
(SETUP (negedge D) (posedge CLK) (0.048:0.048:0.048))
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__dfxtp_1")
(INSTANCE _485_)
(DELAY
(ABSOLUTE
(IOPATH CLK Q (0.407:0.407:0.407) (0.384:0.384:0.384))
)
)
(TIMINGCHECK
(HOLD (posedge D) (posedge CLK) (-0.000:-0.000:-0.000))
(HOLD (negedge D) (posedge CLK) (0.007:0.007:0.007))
(SETUP (posedge D) (posedge CLK) (0.020:0.020:0.020))
(SETUP (negedge D) (posedge CLK) (0.048:0.048:0.048))
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__dfxtp_1")
(INSTANCE _486_)
(DELAY
(ABSOLUTE
(IOPATH CLK Q (0.416:0.416:0.416) (0.390:0.390:0.390))
)
)
(TIMINGCHECK
(HOLD (posedge D) (posedge CLK) (0.000:0.000:0.000))
(HOLD (negedge D) (posedge CLK) (0.007:0.007:0.007))
(SETUP (posedge D) (posedge CLK) (0.020:0.020:0.020))
(SETUP (negedge D) (posedge CLK) (0.048:0.048:0.048))
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__dfxtp_1")
(INSTANCE _487_)
(DELAY
(ABSOLUTE
(IOPATH CLK Q (0.413:0.413:0.413) (0.388:0.388:0.388))
)
)
(TIMINGCHECK
(HOLD (posedge D) (posedge CLK) (0.000:0.000:0.000))
(HOLD (negedge D) (posedge CLK) (0.007:0.007:0.007))
(SETUP (posedge D) (posedge CLK) (0.020:0.020:0.020))
(SETUP (negedge D) (posedge CLK) (0.048:0.048:0.048))
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__dfxtp_1")
(INSTANCE _488_)
(DELAY
(ABSOLUTE
(IOPATH CLK Q (0.394:0.394:0.394) (0.376:0.376:0.376))
)
)
(TIMINGCHECK
(HOLD (posedge D) (posedge CLK) (-0.001:-0.001:-0.001))
(HOLD (negedge D) (posedge CLK) (0.006:0.006:0.006))
(SETUP (posedge D) (posedge CLK) (0.021:0.021:0.021))
(SETUP (negedge D) (posedge CLK) (0.049:0.049:0.049))
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__dfxtp_1")
(INSTANCE _489_)
(DELAY
(ABSOLUTE
(IOPATH CLK Q (0.407:0.407:0.407) (0.385:0.385:0.385))
)
)
(TIMINGCHECK
(HOLD (posedge D) (posedge CLK) (0.000:0.000:0.000))
(HOLD (negedge D) (posedge CLK) (0.007:0.007:0.007))
(SETUP (posedge D) (posedge CLK) (0.020:0.020:0.020))
(SETUP (negedge D) (posedge CLK) (0.048:0.048:0.048))
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__dfxtp_1")
(INSTANCE _490_)
(DELAY
(ABSOLUTE
(IOPATH CLK Q (0.423:0.423:0.423) (0.394:0.394:0.394))
)
)
(TIMINGCHECK
(HOLD (posedge D) (posedge CLK) (0.000:0.000:0.000))
(HOLD (negedge D) (posedge CLK) (0.007:0.007:0.007))
(SETUP (posedge D) (posedge CLK) (0.020:0.020:0.020))
(SETUP (negedge D) (posedge CLK) (0.048:0.048:0.048))
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__dfxtp_1")
(INSTANCE _491_)
(DELAY
(ABSOLUTE
(IOPATH CLK Q (0.413:0.413:0.413) (0.388:0.388:0.388))
)
)
(TIMINGCHECK
(HOLD (posedge D) (posedge CLK) (0.001:0.001:0.001))
(HOLD (negedge D) (posedge CLK) (0.007:0.007:0.007))
(SETUP (posedge D) (posedge CLK) (0.020:0.020:0.020))
(SETUP (negedge D) (posedge CLK) (0.047:0.047:0.047))
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__dfxtp_1")
(INSTANCE _492_)
(DELAY
(ABSOLUTE
(IOPATH CLK Q (0.465:0.465:0.465) (0.416:0.416:0.416))
)
)
(TIMINGCHECK
(HOLD (posedge D) (posedge CLK) (0.001:0.001:0.001))
(HOLD (negedge D) (posedge CLK) (0.008:0.008:0.008))
(SETUP (posedge D) (posedge CLK) (0.019:0.019:0.019))
(SETUP (negedge D) (posedge CLK) (0.047:0.047:0.047))
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__dfxtp_1")
(INSTANCE _493_)
(DELAY
(ABSOLUTE
(IOPATH CLK Q (0.420:0.420:0.420) (0.392:0.392:0.392))
)
)
(TIMINGCHECK
(HOLD (posedge D) (posedge CLK) (-0.000:-0.000:-0.000))
(HOLD (negedge D) (posedge CLK) (0.006:0.006:0.006))
(SETUP (posedge D) (posedge CLK) (0.021:0.021:0.021))
(SETUP (negedge D) (posedge CLK) (0.048:0.048:0.048))
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__dfxtp_1")
(INSTANCE _494_)
(DELAY
(ABSOLUTE
(IOPATH CLK Q (0.394:0.394:0.394) (0.377:0.377:0.377))
)
)
(TIMINGCHECK
(HOLD (posedge D) (posedge CLK) (0.002:0.002:0.002))
(HOLD (negedge D) (posedge CLK) (0.010:0.010:0.010))
(SETUP (posedge D) (posedge CLK) (0.018:0.018:0.018))
(SETUP (negedge D) (posedge CLK) (0.045:0.045:0.045))
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__dfxtp_1")
(INSTANCE _495_)
(DELAY
(ABSOLUTE
(IOPATH CLK Q (0.393:0.393:0.393) (0.376:0.376:0.376))
)
)
(TIMINGCHECK
(HOLD (posedge D) (posedge CLK) (0.002:0.002:0.002))
(HOLD (negedge D) (posedge CLK) (0.009:0.009:0.009))
(SETUP (posedge D) (posedge CLK) (0.018:0.018:0.018))
(SETUP (negedge D) (posedge CLK) (0.046:0.046:0.046))
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__dfxtp_1")
(INSTANCE _496_)
(DELAY
(ABSOLUTE
(IOPATH CLK Q (0.397:0.397:0.397) (0.378:0.378:0.378))
)
)
(TIMINGCHECK
(HOLD (posedge D) (posedge CLK) (0.002:0.002:0.002))
(HOLD (negedge D) (posedge CLK) (0.009:0.009:0.009))
(SETUP (posedge D) (posedge CLK) (0.018:0.018:0.018))
(SETUP (negedge D) (posedge CLK) (0.046:0.046:0.046))
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__dfxtp_1")
(INSTANCE _497_)
(DELAY
(ABSOLUTE
(IOPATH CLK Q (0.398:0.398:0.398) (0.379:0.379:0.379))
)
)
(TIMINGCHECK
(HOLD (posedge D) (posedge CLK) (0.002:0.002:0.002))
(HOLD (negedge D) (posedge CLK) (0.009:0.009:0.009))
(SETUP (posedge D) (posedge CLK) (0.019:0.019:0.019))
(SETUP (negedge D) (posedge CLK) (0.046:0.046:0.046))
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__dfxtp_1")
(INSTANCE _498_)
(DELAY
(ABSOLUTE
(IOPATH CLK Q (0.394:0.394:0.394) (0.377:0.377:0.377))
)
)
(TIMINGCHECK
(HOLD (posedge D) (posedge CLK) (0.001:0.001:0.001))
(HOLD (negedge D) (posedge CLK) (0.009:0.009:0.009))
(SETUP (posedge D) (posedge CLK) (0.019:0.019:0.019))
(SETUP (negedge D) (posedge CLK) (0.046:0.046:0.046))
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__dfxtp_1")
(INSTANCE _499_)
(DELAY
(ABSOLUTE
(IOPATH CLK Q (0.390:0.390:0.390) (0.375:0.375:0.375))
)
)
(TIMINGCHECK
(HOLD (posedge D) (posedge CLK) (0.002:0.002:0.002))
(HOLD (negedge D) (posedge CLK) (0.009:0.009:0.009))
(SETUP (posedge D) (posedge CLK) (0.018:0.018:0.018))
(SETUP (negedge D) (posedge CLK) (0.045:0.045:0.045))
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__dfxtp_1")
(INSTANCE _500_)
(DELAY
(ABSOLUTE
(IOPATH CLK Q (0.400:0.400:0.400) (0.381:0.381:0.381))
)
)
(TIMINGCHECK
(HOLD (posedge D) (posedge CLK) (0.001:0.001:0.001))
(HOLD (negedge D) (posedge CLK) (0.009:0.009:0.009))
(SETUP (posedge D) (posedge CLK) (0.019:0.019:0.019))
(SETUP (negedge D) (posedge CLK) (0.046:0.046:0.046))
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__dfxtp_1")
(INSTANCE _501_)
(DELAY
(ABSOLUTE
(IOPATH CLK Q (0.400:0.400:0.400) (0.381:0.381:0.381))
)
)
(TIMINGCHECK
(HOLD (posedge D) (posedge CLK) (0.002:0.002:0.002))
(HOLD (negedge D) (posedge CLK) (0.009:0.009:0.009))
(SETUP (posedge D) (posedge CLK) (0.018:0.018:0.018))
(SETUP (negedge D) (posedge CLK) (0.045:0.045:0.045))
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__dfxtp_1")
(INSTANCE _502_)
(DELAY
(ABSOLUTE
(IOPATH CLK Q (0.395:0.395:0.395) (0.378:0.378:0.378))
)
)
(TIMINGCHECK
(HOLD (posedge D) (posedge CLK) (0.001:0.001:0.001))
(HOLD (negedge D) (posedge CLK) (0.008:0.008:0.008))
(SETUP (posedge D) (posedge CLK) (0.019:0.019:0.019))
(SETUP (negedge D) (posedge CLK) (0.046:0.046:0.046))
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__dfxtp_1")
(INSTANCE _503_)
(DELAY
(ABSOLUTE
(IOPATH CLK Q (0.395:0.395:0.395) (0.377:0.377:0.377))
)
)
(TIMINGCHECK
(HOLD (posedge D) (posedge CLK) (0.002:0.002:0.002))
(HOLD (negedge D) (posedge CLK) (0.009:0.009:0.009))
(SETUP (posedge D) (posedge CLK) (0.018:0.018:0.018))
(SETUP (negedge D) (posedge CLK) (0.046:0.046:0.046))
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__dfxtp_1")
(INSTANCE _504_)
(DELAY
(ABSOLUTE
(IOPATH CLK Q (0.392:0.392:0.392) (0.376:0.376:0.376))
)
)
(TIMINGCHECK
(HOLD (posedge D) (posedge CLK) (0.002:0.002:0.002))
(HOLD (negedge D) (posedge CLK) (0.009:0.009:0.009))
(SETUP (posedge D) (posedge CLK) (0.018:0.018:0.018))
(SETUP (negedge D) (posedge CLK) (0.045:0.045:0.045))
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__dfxtp_1")
(INSTANCE _505_)
(DELAY
(ABSOLUTE
(IOPATH CLK Q (0.399:0.399:0.399) (0.380:0.380:0.380))
)
)
(TIMINGCHECK
(HOLD (posedge D) (posedge CLK) (0.002:0.002:0.002))
(HOLD (negedge D) (posedge CLK) (0.009:0.009:0.009))
(SETUP (posedge D) (posedge CLK) (0.018:0.018:0.018))
(SETUP (negedge D) (posedge CLK) (0.045:0.045:0.045))
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__dfxtp_1")
(INSTANCE _506_)
(DELAY
(ABSOLUTE
(IOPATH CLK Q (0.444:0.444:0.444) (0.403:0.403:0.403))
)
)
(TIMINGCHECK
(HOLD (posedge D) (posedge CLK) (0.001:0.001:0.001))
(HOLD (negedge D) (posedge CLK) (0.008:0.008:0.008))
(SETUP (posedge D) (posedge CLK) (0.019:0.019:0.019))
(SETUP (negedge D) (posedge CLK) (0.047:0.047:0.047))
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__dfxtp_1")
(INSTANCE _507_)
(DELAY
(ABSOLUTE
(IOPATH CLK Q (0.449:0.449:0.449) (0.406:0.406:0.406))
)
)
(TIMINGCHECK
(HOLD (posedge D) (posedge CLK) (-0.001:-0.001:-0.001))
(HOLD (negedge D) (posedge CLK) (0.005:0.002:-0.000))
(SETUP (posedge D) (posedge CLK) (0.021:0.022:0.022))
(SETUP (negedge D) (posedge CLK) (0.050:0.053:0.055))
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__dfxtp_2")
(INSTANCE _508_)
(DELAY
(ABSOLUTE
(IOPATH CLK Q (0.466:0.466:0.466) (0.425:0.425:0.425))
)
)
(TIMINGCHECK
(HOLD (posedge D) (posedge CLK) (0.000:-0.000:-0.000))
(HOLD (negedge D) (posedge CLK) (0.010:0.010:0.009))
(SETUP (posedge D) (posedge CLK) (0.024:0.024:0.024))
(SETUP (negedge D) (posedge CLK) (0.054:0.054:0.055))
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__dfxtp_1")
(INSTANCE _509_)
(DELAY
(ABSOLUTE
(IOPATH CLK Q (0.506:0.506:0.506) (0.436:0.436:0.436))
)
)
(TIMINGCHECK
(HOLD (posedge D) (posedge CLK) (0.000:0.000:0.000))
(HOLD (negedge D) (posedge CLK) (0.007:0.007:0.007))
(SETUP (posedge D) (posedge CLK) (0.020:0.020:0.020))
(SETUP (negedge D) (posedge CLK) (0.048:0.048:0.048))
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__dfxtp_1")
(INSTANCE _510_)
(DELAY
(ABSOLUTE
(IOPATH CLK Q (0.474:0.474:0.474) (0.420:0.420:0.420))
)
)
(TIMINGCHECK
(HOLD (posedge D) (posedge CLK) (0.000:0.000:0.000))
(HOLD (negedge D) (posedge CLK) (0.007:0.007:0.007))
(SETUP (posedge D) (posedge CLK) (0.020:0.020:0.020))
(SETUP (negedge D) (posedge CLK) (0.048:0.048:0.048))
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__dfxtp_1")
(INSTANCE _511_)
(DELAY
(ABSOLUTE
(IOPATH CLK Q (0.457:0.457:0.457) (0.410:0.410:0.410))
)
)
(TIMINGCHECK
(HOLD (posedge D) (posedge CLK) (0.001:0.001:0.001))
(HOLD (negedge D) (posedge CLK) (0.008:0.008:0.008))
(SETUP (posedge D) (posedge CLK) (0.019:0.019:0.019))
(SETUP (negedge D) (posedge CLK) (0.047:0.047:0.047))
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__dfxtp_1")
(INSTANCE _512_)
(DELAY
(ABSOLUTE
(IOPATH CLK Q (0.451:0.451:0.451) (0.407:0.407:0.407))
)
)
(TIMINGCHECK
(HOLD (posedge D) (posedge CLK) (-0.000:-0.000:-0.000))
(HOLD (negedge D) (posedge CLK) (0.007:0.007:0.007))
(SETUP (posedge D) (posedge CLK) (0.020:0.020:0.020))
(SETUP (negedge D) (posedge CLK) (0.048:0.048:0.048))
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__clkbuf_1")
(INSTANCE _567_)
(DELAY
(ABSOLUTE
(IOPATH A X (0.131:0.131:0.131) (0.127:0.127:0.127))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__clkbuf_1")
(INSTANCE _568_)
(DELAY
(ABSOLUTE
(IOPATH A X (0.131:0.131:0.131) (0.127:0.127:0.127))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__clkbuf_1")
(INSTANCE input1)
(DELAY
(ABSOLUTE
(IOPATH A X (0.116:0.116:0.116) (0.097:0.097:0.097))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__clkbuf_2")
(INSTANCE input10)
(DELAY
(ABSOLUTE
(IOPATH A X (0.183:0.183:0.183) (0.160:0.160:0.160))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__clkbuf_2")
(INSTANCE input11)
(DELAY
(ABSOLUTE
(IOPATH A X (0.214:0.214:0.214) (0.182:0.182:0.182))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__clkbuf_1")
(INSTANCE input12)
(DELAY
(ABSOLUTE
(IOPATH A X (0.099:0.099:0.099) (0.087:0.087:0.087))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__buf_2")
(INSTANCE input13)
(DELAY
(ABSOLUTE
(IOPATH A X (0.205:0.205:0.205) (0.172:0.172:0.172))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__buf_2")
(INSTANCE input14)
(DELAY
(ABSOLUTE
(IOPATH A X (0.181:0.181:0.181) (0.159:0.159:0.159))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__buf_2")
(INSTANCE input15)
(DELAY
(ABSOLUTE
(IOPATH A X (0.220:0.220:0.220) (0.179:0.179:0.179))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__buf_2")
(INSTANCE input16)
(DELAY
(ABSOLUTE
(IOPATH A X (0.213:0.213:0.213) (0.175:0.175:0.175))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__buf_2")
(INSTANCE input17)
(DELAY
(ABSOLUTE
(IOPATH A X (0.210:0.210:0.210) (0.173:0.173:0.173))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__buf_2")
(INSTANCE input18)
(DELAY
(ABSOLUTE
(IOPATH A X (0.221:0.221:0.221) (0.180:0.180:0.180))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__buf_2")
(INSTANCE input19)
(DELAY
(ABSOLUTE
(IOPATH A X (0.227:0.227:0.227) (0.181:0.181:0.181))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__clkbuf_1")
(INSTANCE input2)
(DELAY
(ABSOLUTE
(IOPATH A X (0.127:0.127:0.127) (0.103:0.103:0.103))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__buf_2")
(INSTANCE input20)
(DELAY
(ABSOLUTE
(IOPATH A X (0.196:0.196:0.196) (0.167:0.167:0.167))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__buf_2")
(INSTANCE input21)
(DELAY
(ABSOLUTE
(IOPATH A X (0.206:0.206:0.206) (0.171:0.171:0.171))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__buf_2")
(INSTANCE input22)
(DELAY
(ABSOLUTE
(IOPATH A X (0.218:0.218:0.218) (0.178:0.178:0.178))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__clkbuf_1")
(INSTANCE input23)
(DELAY
(ABSOLUTE
(IOPATH A X (0.079:0.079:0.079) (0.075:0.075:0.075))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__clkbuf_4")
(INSTANCE input24)
(DELAY
(ABSOLUTE
(IOPATH A X (0.201:0.201:0.201) (0.184:0.184:0.184))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__clkbuf_4")
(INSTANCE input25)
(DELAY
(ABSOLUTE
(IOPATH A X (0.173:0.173:0.173) (0.164:0.164:0.164))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__clkbuf_1")
(INSTANCE input26)
(DELAY
(ABSOLUTE
(IOPATH A X (0.079:0.079:0.079) (0.076:0.076:0.076))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__clkbuf_1")
(INSTANCE input27)
(DELAY
(ABSOLUTE
(IOPATH A X (0.088:0.088:0.088) (0.081:0.081:0.081))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__clkbuf_1")
(INSTANCE input28)
(DELAY
(ABSOLUTE
(IOPATH A X (0.092:0.092:0.092) (0.083:0.083:0.083))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__clkbuf_1")
(INSTANCE input29)
(DELAY
(ABSOLUTE
(IOPATH A X (0.091:0.091:0.091) (0.083:0.083:0.083))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__clkbuf_1")
(INSTANCE input3)
(DELAY
(ABSOLUTE
(IOPATH A X (0.126:0.126:0.126) (0.102:0.102:0.102))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__clkbuf_1")
(INSTANCE input30)
(DELAY
(ABSOLUTE
(IOPATH A X (0.125:0.125:0.125) (0.102:0.102:0.102))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__clkbuf_1")
(INSTANCE input31)
(DELAY
(ABSOLUTE
(IOPATH A X (0.136:0.136:0.136) (0.107:0.107:0.107))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__clkbuf_1")
(INSTANCE input32)
(DELAY
(ABSOLUTE
(IOPATH A X (0.120:0.120:0.120) (0.099:0.099:0.099))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__clkbuf_1")
(INSTANCE input33)
(DELAY
(ABSOLUTE
(IOPATH A X (0.092:0.092:0.092) (0.083:0.083:0.083))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__clkbuf_1")
(INSTANCE input34)
(DELAY
(ABSOLUTE
(IOPATH A X (0.080:0.080:0.080) (0.076:0.076:0.076))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__clkbuf_1")
(INSTANCE input35)
(DELAY
(ABSOLUTE
(IOPATH A X (0.083:0.083:0.083) (0.078:0.078:0.078))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__clkbuf_1")
(INSTANCE input36)
(DELAY
(ABSOLUTE
(IOPATH A X (0.088:0.088:0.088) (0.081:0.081:0.081))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__clkbuf_1")
(INSTANCE input37)
(DELAY
(ABSOLUTE
(IOPATH A X (0.082:0.082:0.082) (0.077:0.077:0.077))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__clkbuf_1")
(INSTANCE input38)
(DELAY
(ABSOLUTE
(IOPATH A X (0.079:0.079:0.079) (0.076:0.076:0.076))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__clkbuf_1")
(INSTANCE input39)
(DELAY
(ABSOLUTE
(IOPATH A X (0.089:0.089:0.089) (0.081:0.081:0.081))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__dlymetal6s2s_1")
(INSTANCE input4)
(DELAY
(ABSOLUTE
(IOPATH A X (0.164:0.164:0.164) (0.165:0.165:0.165))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__clkbuf_1")
(INSTANCE input40)
(DELAY
(ABSOLUTE
(IOPATH A X (0.087:0.087:0.087) (0.081:0.081:0.081))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__clkbuf_1")
(INSTANCE input41)
(DELAY
(ABSOLUTE
(IOPATH A X (0.078:0.078:0.078) (0.075:0.075:0.075))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__clkbuf_1")
(INSTANCE input42)
(DELAY
(ABSOLUTE
(IOPATH A X (0.078:0.078:0.078) (0.075:0.075:0.075))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__clkbuf_1")
(INSTANCE input43)
(DELAY
(ABSOLUTE
(IOPATH A X (0.097:0.097:0.097) (0.086:0.086:0.086))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__clkbuf_1")
(INSTANCE input44)
(DELAY
(ABSOLUTE
(IOPATH A X (0.081:0.081:0.081) (0.077:0.077:0.077))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__clkbuf_1")
(INSTANCE input45)
(DELAY
(ABSOLUTE
(IOPATH A X (0.085:0.085:0.085) (0.079:0.079:0.079))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__clkbuf_1")
(INSTANCE input46)
(DELAY
(ABSOLUTE
(IOPATH A X (0.086:0.086:0.086) (0.080:0.080:0.080))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__clkbuf_1")
(INSTANCE input47)
(DELAY
(ABSOLUTE
(IOPATH A X (0.075:0.075:0.075) (0.073:0.073:0.073))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__clkbuf_1")
(INSTANCE input48)
(DELAY
(ABSOLUTE
(IOPATH A X (0.080:0.080:0.080) (0.076:0.076:0.076))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__clkbuf_1")
(INSTANCE input49)
(DELAY
(ABSOLUTE
(IOPATH A X (0.077:0.077:0.077) (0.075:0.075:0.075))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__dlymetal6s2s_1")
(INSTANCE input5)
(DELAY
(ABSOLUTE
(IOPATH A X (0.183:0.183:0.183) (0.175:0.175:0.175))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__clkbuf_1")
(INSTANCE input50)
(DELAY
(ABSOLUTE
(IOPATH A X (0.072:0.072:0.072) (0.071:0.071:0.071))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__clkbuf_1")
(INSTANCE input51)
(DELAY
(ABSOLUTE
(IOPATH A X (0.098:0.098:0.098) (0.087:0.087:0.087))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__clkbuf_1")
(INSTANCE input52)
(DELAY
(ABSOLUTE
(IOPATH A X (0.100:0.100:0.100) (0.088:0.088:0.088))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__clkbuf_1")
(INSTANCE input53)
(DELAY
(ABSOLUTE
(IOPATH A X (0.080:0.080:0.080) (0.076:0.076:0.076))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__clkbuf_1")
(INSTANCE input54)
(DELAY
(ABSOLUTE
(IOPATH A X (0.105:0.105:0.105) (0.091:0.091:0.091))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__buf_12")
(INSTANCE input55)
(DELAY
(ABSOLUTE
(IOPATH A X (0.247:0.247:0.247) (0.175:0.175:0.175))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__clkbuf_1")
(INSTANCE input56)
(DELAY
(ABSOLUTE
(IOPATH A X (0.124:0.124:0.124) (0.102:0.102:0.102))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__dlymetal6s2s_1")
(INSTANCE input57)
(DELAY
(ABSOLUTE
(IOPATH A X (0.170:0.170:0.170) (0.166:0.166:0.166))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__clkbuf_1")
(INSTANCE input58)
(DELAY
(ABSOLUTE
(IOPATH A X (0.094:0.094:0.094) (0.084:0.084:0.084))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__dlymetal6s2s_1")
(INSTANCE input59)
(DELAY
(ABSOLUTE
(IOPATH A X (0.162:0.162:0.162) (0.164:0.164:0.164))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__dlymetal6s2s_1")
(INSTANCE input6)
(DELAY
(ABSOLUTE
(IOPATH A X (0.242:0.242:0.242) (0.206:0.206:0.206))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__clkbuf_1")
(INSTANCE input60)
(DELAY
(ABSOLUTE
(IOPATH A X (0.157:0.157:0.157) (0.119:0.119:0.119))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__dlymetal6s2s_1")
(INSTANCE input61)
(DELAY
(ABSOLUTE
(IOPATH A X (0.151:0.151:0.151) (0.158:0.158:0.158))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__clkbuf_1")
(INSTANCE input62)
(DELAY
(ABSOLUTE
(IOPATH A X (0.110:0.110:0.110) (0.093:0.093:0.093))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__clkbuf_1")
(INSTANCE input63)
(DELAY
(ABSOLUTE
(IOPATH A X (0.151:0.151:0.151) (0.115:0.115:0.115))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__clkbuf_2")
(INSTANCE input7)
(DELAY
(ABSOLUTE
(IOPATH A X (0.164:0.164:0.164) (0.146:0.146:0.146))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__clkbuf_2")
(INSTANCE input8)
(DELAY
(ABSOLUTE
(IOPATH A X (0.169:0.169:0.169) (0.150:0.150:0.150))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__clkbuf_2")
(INSTANCE input9)
(DELAY
(ABSOLUTE
(IOPATH A X (0.191:0.191:0.191) (0.165:0.165:0.165))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__buf_2")
(INSTANCE output100)
(DELAY
(ABSOLUTE
(IOPATH A X (0.229:0.229:0.229) (0.190:0.190:0.190))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__buf_2")
(INSTANCE output101)
(DELAY
(ABSOLUTE
(IOPATH A X (0.249:0.249:0.249) (0.204:0.204:0.204))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__buf_2")
(INSTANCE output102)
(DELAY
(ABSOLUTE
(IOPATH A X (0.231:0.231:0.231) (0.192:0.192:0.192))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__buf_2")
(INSTANCE output103)
(DELAY
(ABSOLUTE
(IOPATH A X (0.231:0.231:0.231) (0.192:0.192:0.192))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__buf_2")
(INSTANCE output104)
(DELAY
(ABSOLUTE
(IOPATH A X (0.233:0.233:0.233) (0.194:0.194:0.194))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__buf_2")
(INSTANCE output105)
(DELAY
(ABSOLUTE
(IOPATH A X (0.237:0.237:0.237) (0.197:0.197:0.197))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__buf_2")
(INSTANCE output106)
(DELAY
(ABSOLUTE
(IOPATH A X (0.244:0.244:0.244) (0.202:0.202:0.202))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__buf_2")
(INSTANCE output107)
(DELAY
(ABSOLUTE
(IOPATH A X (0.252:0.252:0.252) (0.209:0.209:0.209))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__buf_2")
(INSTANCE output108)
(DELAY
(ABSOLUTE
(IOPATH A X (0.249:0.249:0.249) (0.207:0.207:0.207))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__buf_2")
(INSTANCE output109)
(DELAY
(ABSOLUTE
(IOPATH A X (0.215:0.215:0.215) (0.182:0.182:0.182))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__buf_2")
(INSTANCE output64)
(DELAY
(ABSOLUTE
(IOPATH A X (0.201:0.201:0.201) (0.174:0.174:0.174))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__buf_2")
(INSTANCE output65)
(DELAY
(ABSOLUTE
(IOPATH A X (0.199:0.199:0.199) (0.172:0.172:0.172))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__buf_2")
(INSTANCE output66)
(DELAY
(ABSOLUTE
(IOPATH A X (0.198:0.198:0.198) (0.172:0.172:0.172))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__buf_2")
(INSTANCE output67)
(DELAY
(ABSOLUTE
(IOPATH A X (0.205:0.205:0.205) (0.176:0.176:0.176))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__buf_2")
(INSTANCE output68)
(DELAY
(ABSOLUTE
(IOPATH A X (0.198:0.198:0.198) (0.172:0.172:0.172))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__buf_2")
(INSTANCE output69)
(DELAY
(ABSOLUTE
(IOPATH A X (0.201:0.201:0.201) (0.174:0.174:0.174))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__buf_2")
(INSTANCE output70)
(DELAY
(ABSOLUTE
(IOPATH A X (0.199:0.199:0.199) (0.173:0.173:0.173))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__buf_2")
(INSTANCE output71)
(DELAY
(ABSOLUTE
(IOPATH A X (0.199:0.199:0.199) (0.173:0.173:0.173))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__buf_2")
(INSTANCE output72)
(DELAY
(ABSOLUTE
(IOPATH A X (0.201:0.201:0.201) (0.174:0.174:0.174))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__buf_2")
(INSTANCE output73)
(DELAY
(ABSOLUTE
(IOPATH A X (0.206:0.206:0.206) (0.176:0.176:0.176))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__buf_2")
(INSTANCE output74)
(DELAY
(ABSOLUTE
(IOPATH A X (0.208:0.208:0.208) (0.177:0.177:0.177))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__buf_2")
(INSTANCE output75)
(DELAY
(ABSOLUTE
(IOPATH A X (0.246:0.246:0.246) (0.204:0.204:0.204))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__buf_2")
(INSTANCE output76)
(DELAY
(ABSOLUTE
(IOPATH A X (0.243:0.243:0.243) (0.198:0.198:0.198))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__buf_2")
(INSTANCE output77)
(DELAY
(ABSOLUTE
(IOPATH A X (0.229:0.229:0.229) (0.191:0.191:0.191))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__buf_2")
(INSTANCE output78)
(DELAY
(ABSOLUTE
(IOPATH A X (0.252:0.252:0.252) (0.209:0.209:0.209))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__buf_2")
(INSTANCE output79)
(DELAY
(ABSOLUTE
(IOPATH A X (0.241:0.241:0.241) (0.200:0.200:0.200))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__buf_2")
(INSTANCE output80)
(DELAY
(ABSOLUTE
(IOPATH A X (0.244:0.244:0.244) (0.203:0.203:0.203))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__buf_2")
(INSTANCE output81)
(DELAY
(ABSOLUTE
(IOPATH A X (0.247:0.247:0.247) (0.205:0.205:0.205))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__buf_2")
(INSTANCE output82)
(DELAY
(ABSOLUTE
(IOPATH A X (0.249:0.249:0.249) (0.207:0.207:0.207))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__buf_2")
(INSTANCE output83)
(DELAY
(ABSOLUTE
(IOPATH A X (0.243:0.243:0.243) (0.202:0.202:0.202))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__buf_2")
(INSTANCE output84)
(DELAY
(ABSOLUTE
(IOPATH A X (0.234:0.234:0.234) (0.194:0.194:0.194))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__buf_2")
(INSTANCE output85)
(DELAY
(ABSOLUTE
(IOPATH A X (0.232:0.232:0.232) (0.193:0.193:0.193))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__buf_2")
(INSTANCE output86)
(DELAY
(ABSOLUTE
(IOPATH A X (0.251:0.251:0.251) (0.208:0.208:0.208))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__buf_2")
(INSTANCE output87)
(DELAY
(ABSOLUTE
(IOPATH A X (0.233:0.233:0.233) (0.194:0.194:0.194))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__buf_2")
(INSTANCE output88)
(DELAY
(ABSOLUTE
(IOPATH A X (0.232:0.232:0.232) (0.193:0.193:0.193))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__buf_2")
(INSTANCE output89)
(DELAY
(ABSOLUTE
(IOPATH A X (0.237:0.237:0.237) (0.197:0.197:0.197))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__buf_2")
(INSTANCE output90)
(DELAY
(ABSOLUTE
(IOPATH A X (0.237:0.237:0.237) (0.197:0.197:0.197))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__buf_2")
(INSTANCE output91)
(DELAY
(ABSOLUTE
(IOPATH A X (0.244:0.244:0.244) (0.203:0.203:0.203))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__buf_2")
(INSTANCE output92)
(DELAY
(ABSOLUTE
(IOPATH A X (0.234:0.234:0.234) (0.194:0.194:0.194))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__buf_2")
(INSTANCE output93)
(DELAY
(ABSOLUTE
(IOPATH A X (0.257:0.257:0.257) (0.213:0.213:0.213))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__buf_2")
(INSTANCE output94)
(DELAY
(ABSOLUTE
(IOPATH A X (0.236:0.236:0.236) (0.197:0.197:0.197))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__buf_2")
(INSTANCE output95)
(DELAY
(ABSOLUTE
(IOPATH A X (0.236:0.236:0.236) (0.196:0.196:0.196))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__buf_2")
(INSTANCE output96)
(DELAY
(ABSOLUTE
(IOPATH A X (0.229:0.229:0.229) (0.190:0.190:0.190))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__buf_2")
(INSTANCE output97)
(DELAY
(ABSOLUTE
(IOPATH A X (0.220:0.220:0.220) (0.185:0.185:0.185))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__buf_2")
(INSTANCE output98)
(DELAY
(ABSOLUTE
(IOPATH A X (0.237:0.237:0.237) (0.196:0.196:0.196))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__buf_2")
(INSTANCE output99)
(DELAY
(ABSOLUTE
(IOPATH A X (0.227:0.227:0.227) (0.189:0.189:0.189))
)
)
)
)