mpw6 vesion--1
diff --git a/precheck_results/08_JUN_2022___02_52_28/logs/precheck.log b/precheck_results/08_JUN_2022___02_52_28/logs/precheck.log
deleted file mode 100644
index fe15e69..0000000
--- a/precheck_results/08_JUN_2022___02_52_28/logs/precheck.log
+++ /dev/null
@@ -1,2 +0,0 @@
-2022-06-08 02:52:28 - [INFO] - {{EXTRACTING FILES}} Extracting compressed files in: /home/wisla/sky130_skel/Myschematics/Receptor/receiver
-2022-06-08 02:52:28 - [CRITICAL] - {{IDENTIFYING PROJECT TYPE FAILED}} A single valid GDS was not found. If your project is digital, a GDS file should exist under the project's 'gds' directory named 'user_project_wrapper(.gds/.gds.gz)'. If your project is analog, a GDS file should exist under the project's 'gds' directory named 'user_analog_project_wrapper(.gds/.gds.gz)'.
diff --git a/precheck_results/08_JUN_2022___02_52_56/logs/gds.info b/precheck_results/08_JUN_2022___02_52_56/logs/gds.info
deleted file mode 100644
index c36465d..0000000
--- a/precheck_results/08_JUN_2022___02_52_56/logs/gds.info
+++ /dev/null
@@ -1 +0,0 @@
-user_analog_project_wrapper.gds: 8f08951dbf93d463ffea71e1885464be0c12dc23
\ No newline at end of file
diff --git a/precheck_results/08_JUN_2022___02_52_56/logs/klayout_beol_check.log b/precheck_results/08_JUN_2022___02_52_56/logs/klayout_beol_check.log
deleted file mode 100644
index 61e67c3..0000000
--- a/precheck_results/08_JUN_2022___02_52_56/logs/klayout_beol_check.log
+++ /dev/null
@@ -1,992 +0,0 @@
-/home/wisla/mpw_precheck/checks/tech-files/sky130A_mr.drc:38: warning: already initialized constant DRC::DRCEngine::FEOL
-/home/wisla/mpw_precheck/checks/tech-files/sky130A_mr.drc:28: warning: previous definition of FEOL was here
-/home/wisla/mpw_precheck/checks/tech-files/sky130A_mr.drc:42: warning: already initialized constant DRC::DRCEngine::BEOL
-/home/wisla/mpw_precheck/checks/tech-files/sky130A_mr.drc:29: warning: previous definition of BEOL was here
-/home/wisla/mpw_precheck/checks/tech-files/sky130A_mr.drc:50: warning: already initialized constant DRC::DRCEngine::OFFGRID
-/home/wisla/mpw_precheck/checks/tech-files/sky130A_mr.drc:30: warning: previous definition of OFFGRID was here
-/home/wisla/mpw_precheck/checks/tech-files/sky130A_mr.drc:56: warning: already initialized constant DRC::DRCEngine::SEAL
-/home/wisla/mpw_precheck/checks/tech-files/sky130A_mr.drc:31: warning: previous definition of SEAL was here
-/home/wisla/mpw_precheck/checks/tech-files/sky130A_mr.drc:62: warning: already initialized constant DRC::DRCEngine::FLOATING_MET
-/home/wisla/mpw_precheck/checks/tech-files/sky130A_mr.drc:32: warning: previous definition of FLOATING_MET was here
-"input" in: sky130A_mr.drc:112
-    Polygons (raw): 10 (flat)  10 (hierarchical)
-    Elapsed: 0.010s  Memory: 521.00M
-"polygons" in: sky130A_mr.drc:113
-    Polygons (raw): 3 (flat)  3 (hierarchical)
-    Elapsed: 0.010s  Memory: 521.00M
-"polygons" in: sky130A_mr.drc:114
-    Polygons (raw): 1 (flat)  1 (hierarchical)
-    Elapsed: 0.000s  Memory: 521.00M
-"polygons" in: sky130A_mr.drc:115
-    Polygons (raw): 0 (flat)  0 (hierarchical)
-    Elapsed: 0.010s  Memory: 521.00M
-"polygons" in: sky130A_mr.drc:116
-    Polygons (raw): 0 (flat)  0 (hierarchical)
-    Elapsed: 0.010s  Memory: 521.00M
-"polygons" in: sky130A_mr.drc:117
-    Polygons (raw): 0 (flat)  0 (hierarchical)
-    Elapsed: 0.000s  Memory: 521.00M
-"polygons" in: sky130A_mr.drc:118
-    Polygons (raw): 0 (flat)  0 (hierarchical)
-    Elapsed: 0.010s  Memory: 521.00M
-"polygons" in: sky130A_mr.drc:119
-    Polygons (raw): 0 (flat)  0 (hierarchical)
-    Elapsed: 0.010s  Memory: 521.00M
-"polygons" in: sky130A_mr.drc:120
-    Polygons (raw): 0 (flat)  0 (hierarchical)
-    Elapsed: 0.000s  Memory: 521.00M
-"polygons" in: sky130A_mr.drc:121
-    Polygons (raw): 0 (flat)  0 (hierarchical)
-    Elapsed: 0.010s  Memory: 521.00M
-"polygons" in: sky130A_mr.drc:122
-    Polygons (raw): 0 (flat)  0 (hierarchical)
-    Elapsed: 0.010s  Memory: 521.00M
-"polygons" in: sky130A_mr.drc:123
-    Polygons (raw): 0 (flat)  0 (hierarchical)
-    Elapsed: 0.010s  Memory: 521.00M
-"polygons" in: sky130A_mr.drc:124
-    Polygons (raw): 0 (flat)  0 (hierarchical)
-    Elapsed: 0.000s  Memory: 521.00M
-"polygons" in: sky130A_mr.drc:125
-    Polygons (raw): 15 (flat)  15 (hierarchical)
-    Elapsed: 0.010s  Memory: 521.00M
-"polygons" in: sky130A_mr.drc:126
-    Polygons (raw): 0 (flat)  0 (hierarchical)
-    Elapsed: 0.010s  Memory: 521.00M
-"polygons" in: sky130A_mr.drc:127
-    Polygons (raw): 5 (flat)  5 (hierarchical)
-    Elapsed: 0.000s  Memory: 521.00M
-"polygons" in: sky130A_mr.drc:128
-    Polygons (raw): 7 (flat)  7 (hierarchical)
-    Elapsed: 0.010s  Memory: 521.00M
-"polygons" in: sky130A_mr.drc:129
-    Polygons (raw): 0 (flat)  0 (hierarchical)
-    Elapsed: 0.010s  Memory: 521.00M
-"polygons" in: sky130A_mr.drc:130
-    Polygons (raw): 1 (flat)  1 (hierarchical)
-    Elapsed: 0.000s  Memory: 521.00M
-"polygons" in: sky130A_mr.drc:131
-    Polygons (raw): 8 (flat)  8 (hierarchical)
-    Elapsed: 0.010s  Memory: 521.00M
-"polygons" in: sky130A_mr.drc:132
-    Polygons (raw): 63 (flat)  63 (hierarchical)
-    Elapsed: 0.010s  Memory: 521.00M
-"polygons" in: sky130A_mr.drc:134
-    Polygons (raw): 67 (flat)  67 (hierarchical)
-    Elapsed: 0.010s  Memory: 521.00M
-"polygons" in: sky130A_mr.drc:135
-    Polygons (raw): 79 (flat)  79 (hierarchical)
-    Elapsed: 0.000s  Memory: 521.00M
-"polygons" in: sky130A_mr.drc:137
-    Polygons (raw): 30 (flat)  30 (hierarchical)
-    Elapsed: 0.010s  Memory: 521.00M
-"polygons" in: sky130A_mr.drc:138
-    Polygons (raw): 90 (flat)  90 (hierarchical)
-    Elapsed: 0.010s  Memory: 521.00M
-"polygons" in: sky130A_mr.drc:140
-    Polygons (raw): 504 (flat)  504 (hierarchical)
-    Elapsed: 0.000s  Memory: 521.00M
-"polygons" in: sky130A_mr.drc:141
-    Polygons (raw): 69 (flat)  69 (hierarchical)
-    Elapsed: 0.010s  Memory: 521.00M
-"polygons" in: sky130A_mr.drc:143
-    Polygons (raw): 253 (flat)  253 (hierarchical)
-    Elapsed: 0.010s  Memory: 521.00M
-"polygons" in: sky130A_mr.drc:144
-    Polygons (raw): 10174 (flat)  10174 (hierarchical)
-    Elapsed: 0.010s  Memory: 522.00M
-"polygons" in: sky130A_mr.drc:146
-    Polygons (raw): 55 (flat)  55 (hierarchical)
-    Elapsed: 0.010s  Memory: 522.00M
-"polygons" in: sky130A_mr.drc:147
-    Polygons (raw): 484 (flat)  484 (hierarchical)
-    Elapsed: 0.010s  Memory: 522.00M
-"polygons" in: sky130A_mr.drc:149
-    Polygons (raw): 51 (flat)  51 (hierarchical)
-    Elapsed: 0.000s  Memory: 522.00M
-"polygons" in: sky130A_mr.drc:151
-    Polygons (raw): 0 (flat)  0 (hierarchical)
-    Elapsed: 0.010s  Memory: 522.00M
-"polygons" in: sky130A_mr.drc:152
-    Polygons (raw): 0 (flat)  0 (hierarchical)
-    Elapsed: 0.010s  Memory: 522.00M
-"polygons" in: sky130A_mr.drc:153
-    Polygons (raw): 0 (flat)  0 (hierarchical)
-    Elapsed: 0.000s  Memory: 522.00M
-"polygons" in: sky130A_mr.drc:154
-    Polygons (raw): 2 (flat)  2 (hierarchical)
-    Elapsed: 0.010s  Memory: 522.00M
-"polygons" in: sky130A_mr.drc:155
-    Polygons (raw): 0 (flat)  0 (hierarchical)
-    Elapsed: 0.010s  Memory: 522.00M
-"polygons" in: sky130A_mr.drc:156
-    Polygons (raw): 0 (flat)  0 (hierarchical)
-    Elapsed: 0.000s  Memory: 522.00M
-"polygons" in: sky130A_mr.drc:157
-    Polygons (raw): 0 (flat)  0 (hierarchical)
-    Elapsed: 0.010s  Memory: 522.00M
-"polygons" in: sky130A_mr.drc:158
-    Polygons (raw): 0 (flat)  0 (hierarchical)
-    Elapsed: 0.010s  Memory: 522.00M
-"polygons" in: sky130A_mr.drc:159
-    Polygons (raw): 0 (flat)  0 (hierarchical)
-    Elapsed: 0.000s  Memory: 522.00M
-"polygons" in: sky130A_mr.drc:160
-    Polygons (raw): 0 (flat)  0 (hierarchical)
-    Elapsed: 0.010s  Memory: 522.00M
-"polygons" in: sky130A_mr.drc:161
-    Polygons (raw): 0 (flat)  0 (hierarchical)
-    Elapsed: 0.010s  Memory: 522.00M
-"polygons" in: sky130A_mr.drc:162
-    Polygons (raw): 0 (flat)  0 (hierarchical)
-    Elapsed: 0.010s  Memory: 522.00M
-"polygons" in: sky130A_mr.drc:163
-    Polygons (raw): 0 (flat)  0 (hierarchical)
-    Elapsed: 0.000s  Memory: 522.00M
-"polygons" in: sky130A_mr.drc:164
-    Polygons (raw): 0 (flat)  0 (hierarchical)
-    Elapsed: 0.010s  Memory: 522.00M
-"polygons" in: sky130A_mr.drc:165
-    Polygons (raw): 0 (flat)  0 (hierarchical)
-    Elapsed: 0.010s  Memory: 522.00M
-"polygons" in: sky130A_mr.drc:166
-    Polygons (raw): 0 (flat)  0 (hierarchical)
-    Elapsed: 0.000s  Memory: 522.00M
-"polygons" in: sky130A_mr.drc:167
-    Polygons (raw): 0 (flat)  0 (hierarchical)
-    Elapsed: 0.010s  Memory: 522.00M
-"polygons" in: sky130A_mr.drc:168
-    Polygons (raw): 0 (flat)  0 (hierarchical)
-    Elapsed: 0.010s  Memory: 522.00M
-"polygons" in: sky130A_mr.drc:169
-    Polygons (raw): 0 (flat)  0 (hierarchical)
-    Elapsed: 0.000s  Memory: 522.00M
-"polygons" in: sky130A_mr.drc:170
-    Polygons (raw): 0 (flat)  0 (hierarchical)
-    Elapsed: 0.010s  Memory: 522.00M
-"polygons" in: sky130A_mr.drc:171
-    Polygons (raw): 0 (flat)  0 (hierarchical)
-    Elapsed: 0.010s  Memory: 522.00M
-"polygons" in: sky130A_mr.drc:172
-    Polygons (raw): 0 (flat)  0 (hierarchical)
-    Elapsed: 0.010s  Memory: 522.00M
-"polygons" in: sky130A_mr.drc:173
-    Polygons (raw): 0 (flat)  0 (hierarchical)
-    Elapsed: 0.000s  Memory: 522.00M
-"polygons" in: sky130A_mr.drc:174
-    Polygons (raw): 0 (flat)  0 (hierarchical)
-    Elapsed: 0.010s  Memory: 522.00M
-"polygons" in: sky130A_mr.drc:175
-    Polygons (raw): 0 (flat)  0 (hierarchical)
-    Elapsed: 0.010s  Memory: 522.00M
-"polygons" in: sky130A_mr.drc:176
-    Polygons (raw): 1 (flat)  1 (hierarchical)
-    Elapsed: 0.000s  Memory: 522.00M
-"polygons" in: sky130A_mr.drc:177
-    Polygons (raw): 0 (flat)  0 (hierarchical)
-    Elapsed: 0.010s  Memory: 522.00M
-"polygons" in: sky130A_mr.drc:178
-    Polygons (raw): 0 (flat)  0 (hierarchical)
-    Elapsed: 0.010s  Memory: 522.00M
-"polygons" in: sky130A_mr.drc:179
-    Polygons (raw): 0 (flat)  0 (hierarchical)
-    Elapsed: 0.000s  Memory: 522.00M
-"polygons" in: sky130A_mr.drc:180
-    Polygons (raw): 0 (flat)  0 (hierarchical)
-    Elapsed: 0.010s  Memory: 522.00M
-"polygons" in: sky130A_mr.drc:181
-    Polygons (raw): 0 (flat)  0 (hierarchical)
-    Elapsed: 0.010s  Memory: 522.00M
-"polygons" in: sky130A_mr.drc:182
-    Polygons (raw): 0 (flat)  0 (hierarchical)
-    Elapsed: 0.010s  Memory: 522.00M
-"polygons" in: sky130A_mr.drc:183
-    Polygons (raw): 0 (flat)  0 (hierarchical)
-    Elapsed: 0.000s  Memory: 522.00M
-"polygons" in: sky130A_mr.drc:184
-    Polygons (raw): 0 (flat)  0 (hierarchical)
-    Elapsed: 0.010s  Memory: 522.00M
-"polygons" in: sky130A_mr.drc:185
-    Polygons (raw): 0 (flat)  0 (hierarchical)
-    Elapsed: 0.010s  Memory: 522.00M
-"polygons" in: sky130A_mr.drc:186
-    Polygons (raw): 0 (flat)  0 (hierarchical)
-    Elapsed: 0.000s  Memory: 522.00M
-"polygons" in: sky130A_mr.drc:187
-    Polygons (raw): 0 (flat)  0 (hierarchical)
-    Elapsed: 0.010s  Memory: 522.00M
-"polygons" in: sky130A_mr.drc:188
-    Polygons (raw): 0 (flat)  0 (hierarchical)
-    Elapsed: 0.010s  Memory: 522.00M
-"polygons" in: sky130A_mr.drc:189
-    Polygons (raw): 0 (flat)  0 (hierarchical)
-    Elapsed: 0.000s  Memory: 522.00M
-"polygons" in: sky130A_mr.drc:190
-    Polygons (raw): 0 (flat)  0 (hierarchical)
-    Elapsed: 0.010s  Memory: 522.00M
-"polygons" in: sky130A_mr.drc:191
-    Polygons (raw): 0 (flat)  0 (hierarchical)
-    Elapsed: 0.010s  Memory: 522.00M
-"polygons" in: sky130A_mr.drc:192
-    Polygons (raw): 0 (flat)  0 (hierarchical)
-    Elapsed: 0.000s  Memory: 522.00M
-"polygons" in: sky130A_mr.drc:193
-    Polygons (raw): 0 (flat)  0 (hierarchical)
-    Elapsed: 0.010s  Memory: 522.00M
-"polygons" in: sky130A_mr.drc:194
-    Polygons (raw): 0 (flat)  0 (hierarchical)
-    Elapsed: 0.010s  Memory: 522.00M
-"polygons" in: sky130A_mr.drc:195
-    Polygons (raw): 0 (flat)  0 (hierarchical)
-    Elapsed: 0.000s  Memory: 522.00M
-"polygons" in: sky130A_mr.drc:196
-    Polygons (raw): 0 (flat)  0 (hierarchical)
-    Elapsed: 0.010s  Memory: 522.00M
-"polygons" in: sky130A_mr.drc:197
-    Polygons (raw): 0 (flat)  0 (hierarchical)
-    Elapsed: 0.010s  Memory: 522.00M
-"polygons" in: sky130A_mr.drc:198
-    Polygons (raw): 0 (flat)  0 (hierarchical)
-    Elapsed: 0.000s  Memory: 522.00M
-"polygons" in: sky130A_mr.drc:199
-    Polygons (raw): 1 (flat)  1 (hierarchical)
-    Elapsed: 0.010s  Memory: 522.00M
-"polygons" in: sky130A_mr.drc:200
-    Polygons (raw): 0 (flat)  0 (hierarchical)
-    Elapsed: 0.010s  Memory: 522.00M
-"polygons" in: sky130A_mr.drc:201
-    Polygons (raw): 0 (flat)  0 (hierarchical)
-    Elapsed: 0.010s  Memory: 522.00M
-"polygons" in: sky130A_mr.drc:202
-    Polygons (raw): 0 (flat)  0 (hierarchical)
-    Elapsed: 0.000s  Memory: 522.00M
-"polygons" in: sky130A_mr.drc:203
-    Polygons (raw): 0 (flat)  0 (hierarchical)
-    Elapsed: 0.010s  Memory: 522.00M
-DRC section
-BEOL section
-START: 67/20 (li)
-"not" in: sky130A_mr.drc:381
-    Polygons (raw): 67 (flat)  67 (hierarchical)
-    Elapsed: 0.010s  Memory: 522.00M
-"width" in: sky130A_mr.drc:382
-    Edge pairs: 0 (flat)  0 (hierarchical)
-    Elapsed: 0.000s  Memory: 522.00M
-"output" in: sky130A_mr.drc:382
-    Edge pairs: 0 (flat)  0 (hierarchical)
-    Elapsed: 0.010s  Memory: 522.00M
-"edges" in: sky130A_mr.drc:384
-    Edges: 233 (flat)  233 (hierarchical)
-    Elapsed: 0.010s  Memory: 1066.00M
-"space" in: sky130A_mr.drc:384
-    Edge pairs: 0 (flat)  0 (hierarchical)
-    Elapsed: 0.010s  Memory: 1066.00M
-"output" in: sky130A_mr.drc:384
-    Edge pairs: 0 (flat)  0 (hierarchical)
-    Elapsed: 0.010s  Memory: 1066.00M
-"not" in: sky130A_mr.drc:385
-    Polygons (raw): 63 (flat)  63 (hierarchical)
-    Elapsed: 0.010s  Memory: 1066.00M
-"enclosing" in: sky130A_mr.drc:386
-    Edge pairs: 38 (flat)  38 (hierarchical)
-    Elapsed: 0.010s  Memory: 1066.00M
-"second_edges" in: sky130A_mr.drc:386
-    Edges: 38 (flat)  38 (hierarchical)
-    Elapsed: 0.000s  Memory: 1066.00M
-"width" in: sky130A_mr.drc:387
-    Edge pairs: 0 (flat)  0 (hierarchical)
-    Elapsed: 0.010s  Memory: 1066.00M
-"polygons" in: sky130A_mr.drc:388
-    Polygons (raw): 0 (flat)  0 (hierarchical)
-    Elapsed: 0.010s  Memory: 1066.00M
-"interacting" in: sky130A_mr.drc:388
-    Polygons (raw): 0 (flat)  0 (hierarchical)
-    Elapsed: 0.010s  Memory: 1066.00M
-"output" in: sky130A_mr.drc:389
-    Polygons (raw): 0 (flat)  0 (hierarchical)
-    Elapsed: 0.010s  Memory: 1066.00M
-"with_area" in: sky130A_mr.drc:390
-    Polygons (raw): 0 (flat)  0 (hierarchical)
-    Elapsed: 0.000s  Memory: 1066.00M
-"output" in: sky130A_mr.drc:390
-    Polygons (raw): 0 (flat)  0 (hierarchical)
-    Elapsed: 0.010s  Memory: 1066.00M
-END: 67/20 (li)
-START: 67/44 (mcon)
-"not" in: sky130A_mr.drc:395
-    Polygons (raw): 79 (flat)  79 (hierarchical)
-    Elapsed: 0.010s  Memory: 1066.00M
-"not" in: sky130A_mr.drc:402
-    Polygons (raw): 79 (flat)  79 (hierarchical)
-    Elapsed: 0.000s  Memory: 1066.00M
-"non_rectangles" in: sky130A_mr.drc:403
-    Polygons (raw): 0 (flat)  0 (hierarchical)
-    Elapsed: 0.010s  Memory: 1066.00M
-"output" in: sky130A_mr.drc:403
-    Polygons (raw): 0 (flat)  0 (hierarchical)
-    Elapsed: 0.010s  Memory: 1066.00M
-"drc" in: sky130A_mr.drc:405
-    Edge pairs: 0 (flat)  0 (hierarchical)
-    Elapsed: 0.010s  Memory: 1066.00M
-"output" in: sky130A_mr.drc:405
-    Edge pairs: 0 (flat)  0 (hierarchical)
-    Elapsed: 0.010s  Memory: 1066.00M
-"drc" in: sky130A_mr.drc:406
-    Edges: 0 (flat)  0 (hierarchical)
-    Elapsed: 0.000s  Memory: 1066.00M
-"output" in: sky130A_mr.drc:406
-    Edges: 0 (flat)  0 (hierarchical)
-    Elapsed: 0.010s  Memory: 1066.00M
-"space" in: sky130A_mr.drc:407
-    Edge pairs: 0 (flat)  0 (hierarchical)
-    Elapsed: 0.020s  Memory: 1066.00M
-"output" in: sky130A_mr.drc:407
-    Edge pairs: 0 (flat)  0 (hierarchical)
-    Elapsed: 0.000s  Memory: 1066.00M
-"not" in: sky130A_mr.drc:413
-    Polygons (raw): 0 (flat)  0 (hierarchical)
-    Elapsed: 0.010s  Memory: 1066.00M
-"output" in: sky130A_mr.drc:413
-    Polygons (raw): 0 (flat)  0 (hierarchical)
-    Elapsed: 0.010s  Memory: 1066.00M
-END: 67/44 (mcon)
-START: 68/20 (m1)
-"width" in: sky130A_mr.drc:418
-    Edge pairs: 0 (flat)  0 (hierarchical)
-    Elapsed: 0.000s  Memory: 1066.00M
-"output" in: sky130A_mr.drc:418
-    Edge pairs: 0 (flat)  0 (hierarchical)
-    Elapsed: 0.010s  Memory: 1066.00M
-"sized" in: sky130A_mr.drc:419
-    Polygons (raw): 0 (flat)  0 (hierarchical)
-    Elapsed: 0.010s  Memory: 1066.00M
-"sized" in: sky130A_mr.drc:419
-    Polygons (raw): 0 (flat)  0 (hierarchical)
-    Elapsed: 0.000s  Memory: 1066.00M
-"snap" in: sky130A_mr.drc:419
-    Polygons (raw): 0 (flat)  0 (hierarchical)
-    Elapsed: 0.010s  Memory: 1066.00M
-"&" in: sky130A_mr.drc:419
-    Polygons (raw): 0 (flat)  0 (hierarchical)
-    Elapsed: 0.010s  Memory: 1066.00M
-"edges" in: sky130A_mr.drc:420
-    Edges: 107 (flat)  107 (hierarchical)
-    Elapsed: 0.000s  Memory: 1066.00M
-"-" in: sky130A_mr.drc:420
-    Edges: 107 (flat)  107 (hierarchical)
-    Elapsed: 0.010s  Memory: 1066.00M
-"edges" in: sky130A_mr.drc:421
-    Edges: 0 (flat)  0 (hierarchical)
-    Elapsed: 0.010s  Memory: 1074.00M
-"merged" in: sky130A_mr.drc:421
-    Polygons (raw): 12 (flat)  12 (hierarchical)
-    Elapsed: 0.000s  Memory: 1066.00M
-"outside_part" in: sky130A_mr.drc:421
-    Edges: 0 (flat)  0 (hierarchical)
-    Elapsed: 0.010s  Memory: 1066.00M
-"space" in: sky130A_mr.drc:423
-    Edge pairs: 0 (flat)  0 (hierarchical)
-    Elapsed: 0.010s  Memory: 1066.00M
-"output" in: sky130A_mr.drc:423
-    Edge pairs: 0 (flat)  0 (hierarchical)
-    Elapsed: 0.010s  Memory: 1066.00M
-"separation" in: sky130A_mr.drc:425
-    Edge pairs: 0 (flat)  0 (hierarchical)
-    Elapsed: 0.000s  Memory: 1066.00M
-"space" in: sky130A_mr.drc:425
-    Edge pairs: 0 (flat)  0 (hierarchical)
-    Elapsed: 0.010s  Memory: 1066.00M
-"+" in: sky130A_mr.drc:425
-    Edge pairs: 0 (flat)  0 (hierarchical)
-    Elapsed: 0.010s  Memory: 1066.00M
-"output" in: sky130A_mr.drc:425
-    Edge pairs: 0 (flat)  0 (hierarchical)
-    Elapsed: 0.000s  Memory: 1066.00M
-"input" in: sky130A_mr.drc:429
-    Polygons (raw): 30 (flat)  30 (hierarchical)
-    Elapsed: 0.010s  Memory: 1066.00M
-"enclosing" in: sky130A_mr.drc:431
-    Edge pairs: 0 (flat)  0 (hierarchical)
-    Elapsed: 0.020s  Memory: 1066.00M
-"output" in: sky130A_mr.drc:431
-    Edge pairs: 0 (flat)  0 (hierarchical)
-    Elapsed: 0.000s  Memory: 1066.00M
-"not" in: sky130A_mr.drc:432
-    Polygons (raw): 0 (flat)  0 (hierarchical)
-    Elapsed: 0.010s  Memory: 1074.00M
-"output" in: sky130A_mr.drc:432
-    Polygons (raw): 0 (flat)  0 (hierarchical)
-    Elapsed: 0.010s  Memory: 1066.00M
-"input" in: sky130A_mr.drc:434
-    Polygons (raw): 0 (flat)  0 (hierarchical)
-    Elapsed: 0.010s  Memory: 1066.00M
-"enclosing" in: sky130A_mr.drc:435
-    Edge pairs: 0 (flat)  0 (hierarchical)
-    Elapsed: 0.000s  Memory: 1066.00M
-"output" in: sky130A_mr.drc:435
-    Edge pairs: 0 (flat)  0 (hierarchical)
-    Elapsed: 0.010s  Memory: 1066.00M
-"not" in: sky130A_mr.drc:437
-    Polygons (raw): 0 (flat)  0 (hierarchical)
-    Elapsed: 0.010s  Memory: 1066.00M
-"output" in: sky130A_mr.drc:437
-    Polygons (raw): 0 (flat)  0 (hierarchical)
-    Elapsed: 0.000s  Memory: 1066.00M
-"with_area" in: sky130A_mr.drc:439
-    Polygons (raw): 0 (flat)  0 (hierarchical)
-    Elapsed: 0.010s  Memory: 1066.00M
-"output" in: sky130A_mr.drc:439
-    Polygons (raw): 0 (flat)  0 (hierarchical)
-    Elapsed: 0.010s  Memory: 1066.00M
-"holes" in: sky130A_mr.drc:441
-    Polygons (raw): 0 (flat)  0 (hierarchical)
-    Elapsed: 0.000s  Memory: 1066.00M
-"with_area" in: sky130A_mr.drc:441
-    Polygons (raw): 0 (flat)  0 (hierarchical)
-    Elapsed: 0.010s  Memory: 1066.00M
-"output" in: sky130A_mr.drc:441
-    Polygons (raw): 0 (flat)  0 (hierarchical)
-    Elapsed: 0.010s  Memory: 1066.00M
-"enclosing" in: sky130A_mr.drc:448
-    Edge pairs: 0 (flat)  0 (hierarchical)
-    Elapsed: 0.010s  Memory: 1074.00M
-"second_edges" in: sky130A_mr.drc:448
-    Edges: 0 (flat)  0 (hierarchical)
-    Elapsed: 0.000s  Memory: 1066.00M
-"width" in: sky130A_mr.drc:449
-    Edge pairs: 0 (flat)  0 (hierarchical)
-    Elapsed: 0.010s  Memory: 1066.00M
-"polygons" in: sky130A_mr.drc:450
-    Polygons (raw): 0 (flat)  0 (hierarchical)
-    Elapsed: 0.010s  Memory: 1066.00M
-"interacting" in: sky130A_mr.drc:450
-    Polygons (raw): 0 (flat)  0 (hierarchical)
-    Elapsed: 0.000s  Memory: 1074.00M
-"output" in: sky130A_mr.drc:451
-    Polygons (raw): 0 (flat)  0 (hierarchical)
-    Elapsed: 0.010s  Memory: 1066.00M
-END: 68/20 (m1)
-START: 68/44 (via)
-"not" in: sky130A_mr.drc:465
-    Polygons (raw): 90 (flat)  90 (hierarchical)
-    Elapsed: 0.010s  Memory: 1066.00M
-"non_rectangles" in: sky130A_mr.drc:467
-    Polygons (raw): 0 (flat)  0 (hierarchical)
-    Elapsed: 0.010s  Memory: 1066.00M
-"output" in: sky130A_mr.drc:467
-    Polygons (raw): 0 (flat)  0 (hierarchical)
-    Elapsed: 0.000s  Memory: 1066.00M
-"width" in: sky130A_mr.drc:468
-    Edge pairs: 0 (flat)  0 (hierarchical)
-    Elapsed: 0.010s  Memory: 1066.00M
-"output" in: sky130A_mr.drc:468
-    Edge pairs: 0 (flat)  0 (hierarchical)
-    Elapsed: 0.000s  Memory: 1066.00M
-"drc" in: sky130A_mr.drc:470
-    Edges: 0 (flat)  0 (hierarchical)
-    Elapsed: 0.010s  Memory: 1066.00M
-"output" in: sky130A_mr.drc:470
-    Edges: 0 (flat)  0 (hierarchical)
-    Elapsed: 0.010s  Memory: 1066.00M
-"space" in: sky130A_mr.drc:472
-    Edge pairs: 0 (flat)  0 (hierarchical)
-    Elapsed: 0.010s  Memory: 1066.00M
-"output" in: sky130A_mr.drc:472
-    Edge pairs: 0 (flat)  0 (hierarchical)
-    Elapsed: 0.010s  Memory: 1066.00M
-"edges" in: sky130A_mr.drc:480
-    Edges: 107 (flat)  107 (hierarchical)
-    Elapsed: 0.010s  Memory: 1066.00M
-"drc" in: sky130A_mr.drc:480
-    Edges: 360 (flat)  360 (hierarchical)
-    Elapsed: 0.010s  Memory: 1066.00M
-"enclosing" in: sky130A_mr.drc:480
-    Edge pairs: 0 (flat)  0 (hierarchical)
-    Elapsed: 0.010s  Memory: 1066.00M
-"output" in: sky130A_mr.drc:480
-    Edge pairs: 0 (flat)  0 (hierarchical)
-    Elapsed: 0.010s  Memory: 1066.00M
-"squares" in: sky130A_mr.drc:481
-    Polygons (raw): 90 (flat)  90 (hierarchical)
-    Elapsed: 0.010s  Memory: 1066.00M
-"drc" in: sky130A_mr.drc:481
-    Edges: 360 (flat)  360 (hierarchical)
-    Elapsed: 0.010s  Memory: 1066.00M
-"not" in: sky130A_mr.drc:481
-    Edges: 0 (flat)  0 (hierarchical)
-    Elapsed: 0.010s  Memory: 1066.00M
-"output" in: sky130A_mr.drc:481
-    Edges: 0 (flat)  0 (hierarchical)
-    Elapsed: 0.000s  Memory: 1066.00M
-"edges" in: sky130A_mr.drc:483
-    Edges: 107 (flat)  107 (hierarchical)
-    Elapsed: 0.010s  Memory: 1066.00M
-"drc" in: sky130A_mr.drc:483
-    Edges: 360 (flat)  360 (hierarchical)
-    Elapsed: 0.010s  Memory: 1066.00M
-"enclosing" in: sky130A_mr.drc:483
-    Edge pairs: 0 (flat)  0 (hierarchical)
-    Elapsed: 0.010s  Memory: 1082.00M
-"second_edges" in: sky130A_mr.drc:483
-    Edges: 0 (flat)  0 (hierarchical)
-    Elapsed: 0.000s  Memory: 1066.00M
-"width" in: sky130A_mr.drc:484
-    Edge pairs: 0 (flat)  0 (hierarchical)
-    Elapsed: 0.010s  Memory: 1066.00M
-"polygons" in: sky130A_mr.drc:485
-    Polygons (raw): 0 (flat)  0 (hierarchical)
-    Elapsed: 0.010s  Memory: 1066.00M
-"interacting" in: sky130A_mr.drc:485
-    Polygons (raw): 0 (flat)  0 (hierarchical)
-    Elapsed: 0.010s  Memory: 1066.00M
-"output" in: sky130A_mr.drc:486
-    Polygons (raw): 0 (flat)  0 (hierarchical)
-    Elapsed: 0.000s  Memory: 1066.00M
-END: 68/44 (via)
-START: 69/20 (m2)
-"width" in: sky130A_mr.drc:493
-    Edge pairs: 0 (flat)  0 (hierarchical)
-    Elapsed: 0.010s  Memory: 1066.00M
-"output" in: sky130A_mr.drc:493
-    Edge pairs: 0 (flat)  0 (hierarchical)
-    Elapsed: 0.010s  Memory: 1066.00M
-"sized" in: sky130A_mr.drc:495
-    Polygons (raw): 0 (flat)  0 (hierarchical)
-    Elapsed: 0.010s  Memory: 1066.00M
-"sized" in: sky130A_mr.drc:495
-    Polygons (raw): 0 (flat)  0 (hierarchical)
-    Elapsed: 0.010s  Memory: 1066.00M
-"snap" in: sky130A_mr.drc:495
-    Polygons (raw): 0 (flat)  0 (hierarchical)
-    Elapsed: 0.000s  Memory: 1066.00M
-"&" in: sky130A_mr.drc:495
-    Polygons (raw): 0 (flat)  0 (hierarchical)
-    Elapsed: 0.010s  Memory: 1066.00M
-"edges" in: sky130A_mr.drc:496
-    Edges: 2016 (flat)  2016 (hierarchical)
-    Elapsed: 0.010s  Memory: 1066.00M
-"-" in: sky130A_mr.drc:496
-    Edges: 2016 (flat)  2016 (hierarchical)
-    Elapsed: 0.010s  Memory: 1066.00M
-"edges" in: sky130A_mr.drc:497
-    Edges: 0 (flat)  0 (hierarchical)
-    Elapsed: 0.000s  Memory: 1066.00M
-"merged" in: sky130A_mr.drc:497
-    Polygons (raw): 504 (flat)  504 (hierarchical)
-    Elapsed: 0.010s  Memory: 1066.00M
-"outside_part" in: sky130A_mr.drc:497
-    Edges: 0 (flat)  0 (hierarchical)
-    Elapsed: 0.010s  Memory: 1066.00M
-"not" in: sky130A_mr.drc:498
-    Polygons (raw): 90 (flat)  90 (hierarchical)
-    Elapsed: 0.000s  Memory: 1066.00M
-"space" in: sky130A_mr.drc:500
-    Edge pairs: 0 (flat)  0 (hierarchical)
-    Elapsed: 0.030s  Memory: 1066.00M
-"output" in: sky130A_mr.drc:500
-    Edge pairs: 0 (flat)  0 (hierarchical)
-    Elapsed: 0.010s  Memory: 1066.00M
-"separation" in: sky130A_mr.drc:502
-    Edge pairs: 0 (flat)  0 (hierarchical)
-    Elapsed: 0.000s  Memory: 1066.00M
-"space" in: sky130A_mr.drc:502
-    Edge pairs: 0 (flat)  0 (hierarchical)
-    Elapsed: 0.010s  Memory: 1066.00M
-"+" in: sky130A_mr.drc:502
-    Edge pairs: 0 (flat)  0 (hierarchical)
-    Elapsed: 0.010s  Memory: 1066.00M
-"output" in: sky130A_mr.drc:502
-    Edge pairs: 0 (flat)  0 (hierarchical)
-    Elapsed: 0.000s  Memory: 1066.00M
-"with_area" in: sky130A_mr.drc:504
-    Polygons (raw): 0 (flat)  0 (hierarchical)
-    Elapsed: 0.010s  Memory: 1066.00M
-"output" in: sky130A_mr.drc:504
-    Polygons (raw): 0 (flat)  0 (hierarchical)
-    Elapsed: 0.010s  Memory: 1066.00M
-"holes" in: sky130A_mr.drc:505
-    Polygons (raw): 0 (flat)  0 (hierarchical)
-    Elapsed: 0.000s  Memory: 1066.00M
-"with_area" in: sky130A_mr.drc:505
-    Polygons (raw): 0 (flat)  0 (hierarchical)
-    Elapsed: 0.010s  Memory: 1066.00M
-"output" in: sky130A_mr.drc:505
-    Polygons (raw): 0 (flat)  0 (hierarchical)
-    Elapsed: 0.010s  Memory: 1066.00M
-"enclosing" in: sky130A_mr.drc:510
-    Edge pairs: 0 (flat)  0 (hierarchical)
-    Elapsed: 0.000s  Memory: 1066.00M
-"output" in: sky130A_mr.drc:510
-    Edge pairs: 0 (flat)  0 (hierarchical)
-    Elapsed: 0.010s  Memory: 1066.00M
-"not" in: sky130A_mr.drc:511
-    Polygons (raw): 0 (flat)  0 (hierarchical)
-    Elapsed: 0.010s  Memory: 1066.00M
-"output" in: sky130A_mr.drc:511
-    Polygons (raw): 0 (flat)  0 (hierarchical)
-    Elapsed: 0.000s  Memory: 1066.00M
-"enclosing" in: sky130A_mr.drc:512
-    Edge pairs: 0 (flat)  0 (hierarchical)
-    Elapsed: 0.010s  Memory: 1066.00M
-"second_edges" in: sky130A_mr.drc:512
-    Edges: 0 (flat)  0 (hierarchical)
-    Elapsed: 0.010s  Memory: 1066.00M
-"width" in: sky130A_mr.drc:513
-    Edge pairs: 0 (flat)  0 (hierarchical)
-    Elapsed: 0.010s  Memory: 1066.00M
-"polygons" in: sky130A_mr.drc:514
-    Polygons (raw): 0 (flat)  0 (hierarchical)
-    Elapsed: 0.000s  Memory: 1066.00M
-"interacting" in: sky130A_mr.drc:514
-    Polygons (raw): 0 (flat)  0 (hierarchical)
-    Elapsed: 0.020s  Memory: 1066.00M
-"output" in: sky130A_mr.drc:515
-    Polygons (raw): 0 (flat)  0 (hierarchical)
-    Elapsed: 0.010s  Memory: 1066.00M
-END: 69/20 (m2)
-START: 69/44 (via2)
-"not" in: sky130A_mr.drc:530
-    Polygons (raw): 69 (flat)  69 (hierarchical)
-    Elapsed: 0.000s  Memory: 1066.00M
-"non_rectangles" in: sky130A_mr.drc:531
-    Polygons (raw): 0 (flat)  0 (hierarchical)
-    Elapsed: 0.010s  Memory: 1066.00M
-"output" in: sky130A_mr.drc:531
-    Polygons (raw): 0 (flat)  0 (hierarchical)
-    Elapsed: 0.010s  Memory: 1066.00M
-"width" in: sky130A_mr.drc:532
-    Edge pairs: 0 (flat)  0 (hierarchical)
-    Elapsed: 0.000s  Memory: 1066.00M
-"output" in: sky130A_mr.drc:532
-    Edge pairs: 0 (flat)  0 (hierarchical)
-    Elapsed: 0.010s  Memory: 1066.00M
-"edges" in: sky130A_mr.drc:533
-    Edges: 276 (flat)  276 (hierarchical)
-    Elapsed: 0.010s  Memory: 1066.00M
-"without_length" in: sky130A_mr.drc:533
-    Edges: 0 (flat)  0 (hierarchical)
-    Elapsed: 0.010s  Memory: 1066.00M
-"output" in: sky130A_mr.drc:533
-    Edges: 0 (flat)  0 (hierarchical)
-    Elapsed: 0.000s  Memory: 1066.00M
-"space" in: sky130A_mr.drc:534
-    Edge pairs: 0 (flat)  0 (hierarchical)
-    Elapsed: 0.010s  Memory: 1066.00M
-"output" in: sky130A_mr.drc:534
-    Edge pairs: 0 (flat)  0 (hierarchical)
-    Elapsed: 0.010s  Memory: 1066.00M
-"enclosing" in: sky130A_mr.drc:542
-    Edge pairs: 0 (flat)  0 (hierarchical)
-    Elapsed: 0.010s  Memory: 1066.00M
-"output" in: sky130A_mr.drc:542
-    Edge pairs: 0 (flat)  0 (hierarchical)
-    Elapsed: 0.010s  Memory: 1066.00M
-"not" in: sky130A_mr.drc:543
-    Polygons (raw): 0 (flat)  0 (hierarchical)
-    Elapsed: 0.000s  Memory: 1066.00M
-"output" in: sky130A_mr.drc:543
-    Polygons (raw): 0 (flat)  0 (hierarchical)
-    Elapsed: 0.010s  Memory: 1066.00M
-"enclosing" in: sky130A_mr.drc:545
-    Edge pairs: 0 (flat)  0 (hierarchical)
-    Elapsed: 0.010s  Memory: 1066.00M
-"second_edges" in: sky130A_mr.drc:545
-    Edges: 0 (flat)  0 (hierarchical)
-    Elapsed: 0.000s  Memory: 1066.00M
-"width" in: sky130A_mr.drc:546
-    Edge pairs: 0 (flat)  0 (hierarchical)
-    Elapsed: 0.010s  Memory: 1074.00M
-"polygons" in: sky130A_mr.drc:547
-    Polygons (raw): 0 (flat)  0 (hierarchical)
-    Elapsed: 0.010s  Memory: 1066.00M
-"interacting" in: sky130A_mr.drc:547
-    Polygons (raw): 0 (flat)  0 (hierarchical)
-    Elapsed: 0.010s  Memory: 1066.00M
-"output" in: sky130A_mr.drc:548
-    Polygons (raw): 0 (flat)  0 (hierarchical)
-    Elapsed: 0.000s  Memory: 1066.00M
-END: 69/44 (via2)
-START: 70/20 (m3)
-"width" in: sky130A_mr.drc:554
-    Edge pairs: 0 (flat)  0 (hierarchical)
-    Elapsed: 0.010s  Memory: 1066.00M
-"output" in: sky130A_mr.drc:554
-    Edge pairs: 0 (flat)  0 (hierarchical)
-    Elapsed: 0.010s  Memory: 1066.00M
-"sized" in: sky130A_mr.drc:556
-    Polygons (raw): 41 (flat)  41 (hierarchical)
-    Elapsed: 0.010s  Memory: 1066.00M
-"sized" in: sky130A_mr.drc:556
-    Polygons (raw): 41 (flat)  41 (hierarchical)
-    Elapsed: 0.000s  Memory: 1066.00M
-"snap" in: sky130A_mr.drc:556
-    Polygons (raw): 41 (flat)  41 (hierarchical)
-    Elapsed: 0.010s  Memory: 1066.00M
-"&" in: sky130A_mr.drc:556
-    Polygons (raw): 48 (flat)  48 (hierarchical)
-    Elapsed: 0.010s  Memory: 1066.00M
-"edges" in: sky130A_mr.drc:557
-    Edges: 964 (flat)  964 (hierarchical)
-    Elapsed: 0.020s  Memory: 1066.00M
-"-" in: sky130A_mr.drc:557
-    Edges: 661 (flat)  661 (hierarchical)
-    Elapsed: 0.010s  Memory: 1066.00M
-"edges" in: sky130A_mr.drc:558
-    Edges: 269 (flat)  269 (hierarchical)
-    Elapsed: 0.010s  Memory: 1066.00M
-"merged" in: sky130A_mr.drc:558
-    Polygons (raw): 191 (flat)  191 (hierarchical)
-    Elapsed: 0.000s  Memory: 1066.00M
-"outside_part" in: sky130A_mr.drc:558
-    Edges: 272 (flat)  272 (hierarchical)
-    Elapsed: 0.010s  Memory: 1066.00M
-"space" in: sky130A_mr.drc:560
-    Edge pairs: 0 (flat)  0 (hierarchical)
-    Elapsed: 0.010s  Memory: 1074.00M
-"output" in: sky130A_mr.drc:560
-    Edge pairs: 0 (flat)  0 (hierarchical)
-    Elapsed: 0.010s  Memory: 1066.00M
-"separation" in: sky130A_mr.drc:562
-    Edge pairs: 0 (flat)  0 (hierarchical)
-    Elapsed: 0.010s  Memory: 1066.00M
-"space" in: sky130A_mr.drc:562
-    Edge pairs: 0 (flat)  0 (hierarchical)
-    Elapsed: 0.000s  Memory: 1066.00M
-"+" in: sky130A_mr.drc:562
-    Edge pairs: 0 (flat)  0 (hierarchical)
-    Elapsed: 0.010s  Memory: 1066.00M
-"output" in: sky130A_mr.drc:562
-    Edge pairs: 0 (flat)  0 (hierarchical)
-    Elapsed: 0.010s  Memory: 1066.00M
-"enclosing" in: sky130A_mr.drc:567
-    Edge pairs: 0 (flat)  0 (hierarchical)
-    Elapsed: 0.010s  Memory: 1066.00M
-"output" in: sky130A_mr.drc:567
-    Edge pairs: 0 (flat)  0 (hierarchical)
-    Elapsed: 0.000s  Memory: 1066.00M
-"not" in: sky130A_mr.drc:568
-    Polygons (raw): 0 (flat)  0 (hierarchical)
-    Elapsed: 0.010s  Memory: 1066.00M
-"output" in: sky130A_mr.drc:568
-    Polygons (raw): 0 (flat)  0 (hierarchical)
-    Elapsed: 0.010s  Memory: 1066.00M
-END: 70/20 (m3)
-START: 70/44 (via3)
-"not" in: sky130A_mr.drc:582
-    Polygons (raw): 10174 (flat)  10174 (hierarchical)
-    Elapsed: 0.000s  Memory: 1066.00M
-"non_rectangles" in: sky130A_mr.drc:583
-    Polygons (raw): 0 (flat)  0 (hierarchical)
-    Elapsed: 0.090s  Memory: 1069.00M
-"output" in: sky130A_mr.drc:583
-    Polygons (raw): 0 (flat)  0 (hierarchical)
-    Elapsed: 0.010s  Memory: 1069.00M
-"width" in: sky130A_mr.drc:584
-    Edge pairs: 0 (flat)  0 (hierarchical)
-    Elapsed: 0.010s  Memory: 1069.00M
-"output" in: sky130A_mr.drc:584
-    Edge pairs: 0 (flat)  0 (hierarchical)
-    Elapsed: 0.010s  Memory: 1069.00M
-"edges" in: sky130A_mr.drc:585
-    Edges: 40696 (flat)  40696 (hierarchical)
-    Elapsed: 0.060s  Memory: 1069.00M
-"without_length" in: sky130A_mr.drc:585
-    Edges: 0 (flat)  0 (hierarchical)
-    Elapsed: 0.140s  Memory: 1081.00M
-"output" in: sky130A_mr.drc:585
-    Edges: 0 (flat)  0 (hierarchical)
-    Elapsed: 0.010s  Memory: 1081.00M
-"space" in: sky130A_mr.drc:587
-    Edge pairs: 0 (flat)  0 (hierarchical)
-    Elapsed: 0.120s  Memory: 1081.00M
-"output" in: sky130A_mr.drc:587
-    Edge pairs: 0 (flat)  0 (hierarchical)
-    Elapsed: 0.010s  Memory: 1081.00M
-"enclosing" in: sky130A_mr.drc:588
-    Edge pairs: 0 (flat)  0 (hierarchical)
-    Elapsed: 0.130s  Memory: 1081.00M
-"output" in: sky130A_mr.drc:588
-    Edge pairs: 0 (flat)  0 (hierarchical)
-    Elapsed: 0.010s  Memory: 1081.00M
-"not" in: sky130A_mr.drc:589
-    Polygons (raw): 0 (flat)  0 (hierarchical)
-    Elapsed: 0.030s  Memory: 1081.00M
-"output" in: sky130A_mr.drc:589
-    Polygons (raw): 0 (flat)  0 (hierarchical)
-    Elapsed: 0.010s  Memory: 1081.00M
-"enclosing" in: sky130A_mr.drc:591
-    Edge pairs: 0 (flat)  0 (hierarchical)
-    Elapsed: 0.120s  Memory: 1081.00M
-"second_edges" in: sky130A_mr.drc:591
-    Edges: 0 (flat)  0 (hierarchical)
-    Elapsed: 0.010s  Memory: 1081.00M
-"width" in: sky130A_mr.drc:592
-    Edge pairs: 0 (flat)  0 (hierarchical)
-    Elapsed: 0.010s  Memory: 1081.00M
-"polygons" in: sky130A_mr.drc:593
-    Polygons (raw): 0 (flat)  0 (hierarchical)
-    Elapsed: 0.000s  Memory: 1081.00M
-"interacting" in: sky130A_mr.drc:593
-    Polygons (raw): 0 (flat)  0 (hierarchical)
-    Elapsed: 0.010s  Memory: 1081.00M
-"output" in: sky130A_mr.drc:594
-    Polygons (raw): 0 (flat)  0 (hierarchical)
-    Elapsed: 0.010s  Memory: 1081.00M
-END: 70/44 (via3)
-START: 71/20 (m4)
-"width" in: sky130A_mr.drc:600
-    Edge pairs: 0 (flat)  0 (hierarchical)
-    Elapsed: 0.010s  Memory: 1081.00M
-"output" in: sky130A_mr.drc:600
-    Edge pairs: 0 (flat)  0 (hierarchical)
-    Elapsed: 0.000s  Memory: 1081.00M
-"sized" in: sky130A_mr.drc:602
-    Polygons (raw): 16 (flat)  16 (hierarchical)
-    Elapsed: 0.010s  Memory: 1081.00M
-"sized" in: sky130A_mr.drc:602
-    Polygons (raw): 16 (flat)  16 (hierarchical)
-    Elapsed: 0.010s  Memory: 1081.00M
-"snap" in: sky130A_mr.drc:602
-    Polygons (raw): 16 (flat)  16 (hierarchical)
-    Elapsed: 0.000s  Memory: 1081.00M
-"&" in: sky130A_mr.drc:602
-    Polygons (raw): 20 (flat)  20 (hierarchical)
-    Elapsed: 0.010s  Memory: 1081.00M
-"edges" in: sky130A_mr.drc:603
-    Edges: 192 (flat)  192 (hierarchical)
-    Elapsed: 0.010s  Memory: 1081.00M
-"-" in: sky130A_mr.drc:603
-    Edges: 87 (flat)  87 (hierarchical)
-    Elapsed: 0.020s  Memory: 1081.00M
-"edges" in: sky130A_mr.drc:604
-    Edges: 92 (flat)  92 (hierarchical)
-    Elapsed: 0.010s  Memory: 1081.00M
-"merged" in: sky130A_mr.drc:604
-    Polygons (raw): 21 (flat)  21 (hierarchical)
-    Elapsed: 0.000s  Memory: 1081.00M
-"outside_part" in: sky130A_mr.drc:604
-    Edges: 97 (flat)  97 (hierarchical)
-    Elapsed: 0.020s  Memory: 1081.00M
-"space" in: sky130A_mr.drc:606
-    Edge pairs: 0 (flat)  0 (hierarchical)
-    Elapsed: 0.000s  Memory: 1081.00M
-"output" in: sky130A_mr.drc:606
-    Edge pairs: 0 (flat)  0 (hierarchical)
-    Elapsed: 0.010s  Memory: 1081.00M
-"with_area" in: sky130A_mr.drc:608
-    Polygons (raw): 0 (flat)  0 (hierarchical)
-    Elapsed: 0.010s  Memory: 1081.00M
-"output" in: sky130A_mr.drc:608
-    Polygons (raw): 0 (flat)  0 (hierarchical)
-    Elapsed: 0.000s  Memory: 1081.00M
-"separation" in: sky130A_mr.drc:610
-    Edge pairs: 0 (flat)  0 (hierarchical)
-    Elapsed: 0.010s  Memory: 1081.00M
-"space" in: sky130A_mr.drc:610
-    Edge pairs: 0 (flat)  0 (hierarchical)
-    Elapsed: 0.010s  Memory: 1081.00M
-"+" in: sky130A_mr.drc:610
-    Edge pairs: 0 (flat)  0 (hierarchical)
-    Elapsed: 0.010s  Memory: 1081.00M
-"output" in: sky130A_mr.drc:610
-    Edge pairs: 0 (flat)  0 (hierarchical)
-    Elapsed: 0.010s  Memory: 1081.00M
-"enclosing" in: sky130A_mr.drc:615
-    Edge pairs: 0 (flat)  0 (hierarchical)
-    Elapsed: 0.090s  Memory: 1081.00M
-"output" in: sky130A_mr.drc:615
-    Edge pairs: 0 (flat)  0 (hierarchical)
-    Elapsed: 0.010s  Memory: 1081.00M
-"not" in: sky130A_mr.drc:616
-    Polygons (raw): 0 (flat)  0 (hierarchical)
-    Elapsed: 0.030s  Memory: 1081.00M
-"output" in: sky130A_mr.drc:616
-    Polygons (raw): 0 (flat)  0 (hierarchical)
-    Elapsed: 0.010s  Memory: 1081.00M
-END: 71/20 (m4)
-START: 71/44 (via4)
-"not" in: sky130A_mr.drc:629
-    Polygons (raw): 484 (flat)  484 (hierarchical)
-    Elapsed: 0.000s  Memory: 1081.00M
-"non_rectangles" in: sky130A_mr.drc:630
-    Polygons (raw): 0 (flat)  0 (hierarchical)
-    Elapsed: 0.010s  Memory: 1081.00M
-"output" in: sky130A_mr.drc:630
-    Polygons (raw): 0 (flat)  0 (hierarchical)
-    Elapsed: 0.000s  Memory: 1081.00M
-"width" in: sky130A_mr.drc:631
-    Edge pairs: 0 (flat)  0 (hierarchical)
-    Elapsed: 0.010s  Memory: 1081.00M
-"output" in: sky130A_mr.drc:631
-    Edge pairs: 0 (flat)  0 (hierarchical)
-    Elapsed: 0.010s  Memory: 1081.00M
-"drc" in: sky130A_mr.drc:632
-    Edges: 0 (flat)  0 (hierarchical)
-    Elapsed: 0.010s  Memory: 1089.00M
-"output" in: sky130A_mr.drc:632
-    Edges: 0 (flat)  0 (hierarchical)
-    Elapsed: 0.010s  Memory: 1081.00M
-"space" in: sky130A_mr.drc:634
-    Edge pairs: 0 (flat)  0 (hierarchical)
-    Elapsed: 0.000s  Memory: 1089.00M
-"polygons" in: sky130A_mr.drc:634
-    Polygons (raw): 0 (flat)  0 (hierarchical)
-    Elapsed: 0.010s  Memory: 1081.00M
-"output" in: sky130A_mr.drc:634
-    Polygons (raw): 0 (flat)  0 (hierarchical)
-    Elapsed: 0.010s  Memory: 1081.00M
-"enclosing" in: sky130A_mr.drc:642
-    Edge pairs: 0 (flat)  0 (hierarchical)
-    Elapsed: 0.010s  Memory: 1081.00M
-"output" in: sky130A_mr.drc:642
-    Edge pairs: 0 (flat)  0 (hierarchical)
-    Elapsed: 0.010s  Memory: 1081.00M
-"not" in: sky130A_mr.drc:643
-    Polygons (raw): 0 (flat)  0 (hierarchical)
-    Elapsed: 0.010s  Memory: 1081.00M
-"output" in: sky130A_mr.drc:643
-    Polygons (raw): 0 (flat)  0 (hierarchical)
-    Elapsed: 0.010s  Memory: 1081.00M
-END: 71/44 (via4)
-START: 72/20 (m5)
-"width" in: sky130A_mr.drc:648
-    Edge pairs: 0 (flat)  0 (hierarchical)
-    Elapsed: 0.010s  Memory: 1081.00M
-"output" in: sky130A_mr.drc:648
-    Edge pairs: 0 (flat)  0 (hierarchical)
-    Elapsed: 0.000s  Memory: 1081.00M
-"space" in: sky130A_mr.drc:650
-    Edge pairs: 0 (flat)  0 (hierarchical)
-    Elapsed: 0.010s  Memory: 1081.00M
-"output" in: sky130A_mr.drc:650
-    Edge pairs: 0 (flat)  0 (hierarchical)
-    Elapsed: 0.010s  Memory: 1081.00M
-"enclosing" in: sky130A_mr.drc:652
-    Edge pairs: 0 (flat)  0 (hierarchical)
-    Elapsed: 0.010s  Memory: 1081.00M
-"output" in: sky130A_mr.drc:652
-    Edge pairs: 0 (flat)  0 (hierarchical)
-    Elapsed: 0.010s  Memory: 1081.00M
-"not" in: sky130A_mr.drc:653
-    Polygons (raw): 0 (flat)  0 (hierarchical)
-    Elapsed: 0.010s  Memory: 1089.00M
-"output" in: sky130A_mr.drc:653
-    Polygons (raw): 0 (flat)  0 (hierarchical)
-    Elapsed: 0.000s  Memory: 1081.00M
-"with_area" in: sky130A_mr.drc:657
-    Polygons (raw): 0 (flat)  0 (hierarchical)
-    Elapsed: 0.010s  Memory: 1081.00M
-"output" in: sky130A_mr.drc:657
-    Polygons (raw): 0 (flat)  0 (hierarchical)
-    Elapsed: 0.010s  Memory: 1081.00M
-END: 72/20 (m5)
-START: 76/20 (pad)
-"space" in: sky130A_mr.drc:662
-    Edge pairs: 0 (flat)  0 (hierarchical)
-    Elapsed: 0.010s  Memory: 1081.00M
-"output" in: sky130A_mr.drc:662
-    Edge pairs: 0 (flat)  0 (hierarchical)
-    Elapsed: 0.000s  Memory: 1081.00M
-END: 76/20 (pad)
-Writing report database: /home/wisla/sky130_skel/Myschematics/Receptor/receiver/precheck_results/08_JUN_2022___02_52_56/outputs/reports/klayout_beol_check.xml ..
-Total elapsed: 3.210s  Memory: 1081.00M
diff --git a/precheck_results/08_JUN_2022___02_52_56/logs/klayout_beol_check.total b/precheck_results/08_JUN_2022___02_52_56/logs/klayout_beol_check.total
deleted file mode 100644
index c227083..0000000
--- a/precheck_results/08_JUN_2022___02_52_56/logs/klayout_beol_check.total
+++ /dev/null
@@ -1 +0,0 @@
-0
\ No newline at end of file
diff --git a/precheck_results/08_JUN_2022___02_52_56/logs/klayout_feol_check.log b/precheck_results/08_JUN_2022___02_52_56/logs/klayout_feol_check.log
deleted file mode 100644
index 85f446c..0000000
--- a/precheck_results/08_JUN_2022___02_52_56/logs/klayout_feol_check.log
+++ /dev/null
@@ -1,789 +0,0 @@
-/home/wisla/mpw_precheck/checks/tech-files/sky130A_mr.drc:36: warning: already initialized constant DRC::DRCEngine::FEOL
-/home/wisla/mpw_precheck/checks/tech-files/sky130A_mr.drc:28: warning: previous definition of FEOL was here
-/home/wisla/mpw_precheck/checks/tech-files/sky130A_mr.drc:44: warning: already initialized constant DRC::DRCEngine::BEOL
-/home/wisla/mpw_precheck/checks/tech-files/sky130A_mr.drc:29: warning: previous definition of BEOL was here
-/home/wisla/mpw_precheck/checks/tech-files/sky130A_mr.drc:50: warning: already initialized constant DRC::DRCEngine::OFFGRID
-/home/wisla/mpw_precheck/checks/tech-files/sky130A_mr.drc:30: warning: previous definition of OFFGRID was here
-/home/wisla/mpw_precheck/checks/tech-files/sky130A_mr.drc:56: warning: already initialized constant DRC::DRCEngine::SEAL
-/home/wisla/mpw_precheck/checks/tech-files/sky130A_mr.drc:31: warning: previous definition of SEAL was here
-/home/wisla/mpw_precheck/checks/tech-files/sky130A_mr.drc:62: warning: already initialized constant DRC::DRCEngine::FLOATING_MET
-/home/wisla/mpw_precheck/checks/tech-files/sky130A_mr.drc:32: warning: previous definition of FLOATING_MET was here
-"input" in: sky130A_mr.drc:112
-    Polygons (raw): 10 (flat)  10 (hierarchical)
-    Elapsed: 0.000s  Memory: 521.00M
-"polygons" in: sky130A_mr.drc:113
-    Polygons (raw): 3 (flat)  3 (hierarchical)
-    Elapsed: 0.010s  Memory: 521.00M
-"polygons" in: sky130A_mr.drc:114
-    Polygons (raw): 1 (flat)  1 (hierarchical)
-    Elapsed: 0.010s  Memory: 521.00M
-"polygons" in: sky130A_mr.drc:115
-    Polygons (raw): 0 (flat)  0 (hierarchical)
-    Elapsed: 0.000s  Memory: 521.00M
-"polygons" in: sky130A_mr.drc:116
-    Polygons (raw): 0 (flat)  0 (hierarchical)
-    Elapsed: 0.010s  Memory: 521.00M
-"polygons" in: sky130A_mr.drc:117
-    Polygons (raw): 0 (flat)  0 (hierarchical)
-    Elapsed: 0.010s  Memory: 521.00M
-"polygons" in: sky130A_mr.drc:118
-    Polygons (raw): 0 (flat)  0 (hierarchical)
-    Elapsed: 0.010s  Memory: 521.00M
-"polygons" in: sky130A_mr.drc:119
-    Polygons (raw): 0 (flat)  0 (hierarchical)
-    Elapsed: 0.000s  Memory: 521.00M
-"polygons" in: sky130A_mr.drc:120
-    Polygons (raw): 0 (flat)  0 (hierarchical)
-    Elapsed: 0.010s  Memory: 521.00M
-"polygons" in: sky130A_mr.drc:121
-    Polygons (raw): 0 (flat)  0 (hierarchical)
-    Elapsed: 0.010s  Memory: 521.00M
-"polygons" in: sky130A_mr.drc:122
-    Polygons (raw): 0 (flat)  0 (hierarchical)
-    Elapsed: 0.000s  Memory: 521.00M
-"polygons" in: sky130A_mr.drc:123
-    Polygons (raw): 0 (flat)  0 (hierarchical)
-    Elapsed: 0.010s  Memory: 521.00M
-"polygons" in: sky130A_mr.drc:124
-    Polygons (raw): 0 (flat)  0 (hierarchical)
-    Elapsed: 0.010s  Memory: 521.00M
-"polygons" in: sky130A_mr.drc:125
-    Polygons (raw): 15 (flat)  15 (hierarchical)
-    Elapsed: 0.000s  Memory: 521.00M
-"polygons" in: sky130A_mr.drc:126
-    Polygons (raw): 0 (flat)  0 (hierarchical)
-    Elapsed: 0.010s  Memory: 521.00M
-"polygons" in: sky130A_mr.drc:127
-    Polygons (raw): 5 (flat)  5 (hierarchical)
-    Elapsed: 0.010s  Memory: 521.00M
-"polygons" in: sky130A_mr.drc:128
-    Polygons (raw): 7 (flat)  7 (hierarchical)
-    Elapsed: 0.000s  Memory: 521.00M
-"polygons" in: sky130A_mr.drc:129
-    Polygons (raw): 0 (flat)  0 (hierarchical)
-    Elapsed: 0.010s  Memory: 521.00M
-"polygons" in: sky130A_mr.drc:130
-    Polygons (raw): 1 (flat)  1 (hierarchical)
-    Elapsed: 0.010s  Memory: 521.00M
-"polygons" in: sky130A_mr.drc:131
-    Polygons (raw): 8 (flat)  8 (hierarchical)
-    Elapsed: 0.000s  Memory: 521.00M
-"polygons" in: sky130A_mr.drc:132
-    Polygons (raw): 63 (flat)  63 (hierarchical)
-    Elapsed: 0.010s  Memory: 521.00M
-"polygons" in: sky130A_mr.drc:134
-    Polygons (raw): 67 (flat)  67 (hierarchical)
-    Elapsed: 0.010s  Memory: 521.00M
-"polygons" in: sky130A_mr.drc:135
-    Polygons (raw): 79 (flat)  79 (hierarchical)
-    Elapsed: 0.000s  Memory: 521.00M
-"polygons" in: sky130A_mr.drc:137
-    Polygons (raw): 30 (flat)  30 (hierarchical)
-    Elapsed: 0.010s  Memory: 521.00M
-"polygons" in: sky130A_mr.drc:138
-    Polygons (raw): 90 (flat)  90 (hierarchical)
-    Elapsed: 0.010s  Memory: 521.00M
-"polygons" in: sky130A_mr.drc:140
-    Polygons (raw): 504 (flat)  504 (hierarchical)
-    Elapsed: 0.000s  Memory: 521.00M
-"polygons" in: sky130A_mr.drc:141
-    Polygons (raw): 69 (flat)  69 (hierarchical)
-    Elapsed: 0.000s  Memory: 521.00M
-"polygons" in: sky130A_mr.drc:143
-    Polygons (raw): 253 (flat)  253 (hierarchical)
-    Elapsed: 0.010s  Memory: 521.00M
-"polygons" in: sky130A_mr.drc:144
-    Polygons (raw): 10174 (flat)  10174 (hierarchical)
-    Elapsed: 0.020s  Memory: 522.00M
-"polygons" in: sky130A_mr.drc:146
-    Polygons (raw): 55 (flat)  55 (hierarchical)
-    Elapsed: 0.000s  Memory: 522.00M
-"polygons" in: sky130A_mr.drc:147
-    Polygons (raw): 484 (flat)  484 (hierarchical)
-    Elapsed: 0.010s  Memory: 522.00M
-"polygons" in: sky130A_mr.drc:149
-    Polygons (raw): 51 (flat)  51 (hierarchical)
-    Elapsed: 0.010s  Memory: 522.00M
-"polygons" in: sky130A_mr.drc:151
-    Polygons (raw): 0 (flat)  0 (hierarchical)
-    Elapsed: 0.000s  Memory: 522.00M
-"polygons" in: sky130A_mr.drc:152
-    Polygons (raw): 0 (flat)  0 (hierarchical)
-    Elapsed: 0.010s  Memory: 522.00M
-"polygons" in: sky130A_mr.drc:153
-    Polygons (raw): 0 (flat)  0 (hierarchical)
-    Elapsed: 0.010s  Memory: 522.00M
-"polygons" in: sky130A_mr.drc:154
-    Polygons (raw): 2 (flat)  2 (hierarchical)
-    Elapsed: 0.000s  Memory: 522.00M
-"polygons" in: sky130A_mr.drc:155
-    Polygons (raw): 0 (flat)  0 (hierarchical)
-    Elapsed: 0.010s  Memory: 522.00M
-"polygons" in: sky130A_mr.drc:156
-    Polygons (raw): 0 (flat)  0 (hierarchical)
-    Elapsed: 0.010s  Memory: 522.00M
-"polygons" in: sky130A_mr.drc:157
-    Polygons (raw): 0 (flat)  0 (hierarchical)
-    Elapsed: 0.000s  Memory: 522.00M
-"polygons" in: sky130A_mr.drc:158
-    Polygons (raw): 0 (flat)  0 (hierarchical)
-    Elapsed: 0.010s  Memory: 522.00M
-"polygons" in: sky130A_mr.drc:159
-    Polygons (raw): 0 (flat)  0 (hierarchical)
-    Elapsed: 0.010s  Memory: 522.00M
-"polygons" in: sky130A_mr.drc:160
-    Polygons (raw): 0 (flat)  0 (hierarchical)
-    Elapsed: 0.010s  Memory: 522.00M
-"polygons" in: sky130A_mr.drc:161
-    Polygons (raw): 0 (flat)  0 (hierarchical)
-    Elapsed: 0.000s  Memory: 522.00M
-"polygons" in: sky130A_mr.drc:162
-    Polygons (raw): 0 (flat)  0 (hierarchical)
-    Elapsed: 0.010s  Memory: 522.00M
-"polygons" in: sky130A_mr.drc:163
-    Polygons (raw): 0 (flat)  0 (hierarchical)
-    Elapsed: 0.010s  Memory: 522.00M
-"polygons" in: sky130A_mr.drc:164
-    Polygons (raw): 0 (flat)  0 (hierarchical)
-    Elapsed: 0.000s  Memory: 522.00M
-"polygons" in: sky130A_mr.drc:165
-    Polygons (raw): 0 (flat)  0 (hierarchical)
-    Elapsed: 0.010s  Memory: 522.00M
-"polygons" in: sky130A_mr.drc:166
-    Polygons (raw): 0 (flat)  0 (hierarchical)
-    Elapsed: 0.010s  Memory: 522.00M
-"polygons" in: sky130A_mr.drc:167
-    Polygons (raw): 0 (flat)  0 (hierarchical)
-    Elapsed: 0.000s  Memory: 522.00M
-"polygons" in: sky130A_mr.drc:168
-    Polygons (raw): 0 (flat)  0 (hierarchical)
-    Elapsed: 0.010s  Memory: 522.00M
-"polygons" in: sky130A_mr.drc:169
-    Polygons (raw): 0 (flat)  0 (hierarchical)
-    Elapsed: 0.010s  Memory: 522.00M
-"polygons" in: sky130A_mr.drc:170
-    Polygons (raw): 0 (flat)  0 (hierarchical)
-    Elapsed: 0.000s  Memory: 522.00M
-"polygons" in: sky130A_mr.drc:171
-    Polygons (raw): 0 (flat)  0 (hierarchical)
-    Elapsed: 0.010s  Memory: 522.00M
-"polygons" in: sky130A_mr.drc:172
-    Polygons (raw): 0 (flat)  0 (hierarchical)
-    Elapsed: 0.010s  Memory: 522.00M
-"polygons" in: sky130A_mr.drc:173
-    Polygons (raw): 0 (flat)  0 (hierarchical)
-    Elapsed: 0.010s  Memory: 522.00M
-"polygons" in: sky130A_mr.drc:174
-    Polygons (raw): 0 (flat)  0 (hierarchical)
-    Elapsed: 0.000s  Memory: 522.00M
-"polygons" in: sky130A_mr.drc:175
-    Polygons (raw): 0 (flat)  0 (hierarchical)
-    Elapsed: 0.010s  Memory: 522.00M
-"polygons" in: sky130A_mr.drc:176
-    Polygons (raw): 1 (flat)  1 (hierarchical)
-    Elapsed: 0.010s  Memory: 522.00M
-"polygons" in: sky130A_mr.drc:177
-    Polygons (raw): 0 (flat)  0 (hierarchical)
-    Elapsed: 0.010s  Memory: 522.00M
-"polygons" in: sky130A_mr.drc:178
-    Polygons (raw): 0 (flat)  0 (hierarchical)
-    Elapsed: 0.010s  Memory: 522.00M
-"polygons" in: sky130A_mr.drc:179
-    Polygons (raw): 0 (flat)  0 (hierarchical)
-    Elapsed: 0.010s  Memory: 522.00M
-"polygons" in: sky130A_mr.drc:180
-    Polygons (raw): 0 (flat)  0 (hierarchical)
-    Elapsed: 0.000s  Memory: 522.00M
-"polygons" in: sky130A_mr.drc:181
-    Polygons (raw): 0 (flat)  0 (hierarchical)
-    Elapsed: 0.010s  Memory: 522.00M
-"polygons" in: sky130A_mr.drc:182
-    Polygons (raw): 0 (flat)  0 (hierarchical)
-    Elapsed: 0.010s  Memory: 522.00M
-"polygons" in: sky130A_mr.drc:183
-    Polygons (raw): 0 (flat)  0 (hierarchical)
-    Elapsed: 0.000s  Memory: 522.00M
-"polygons" in: sky130A_mr.drc:184
-    Polygons (raw): 0 (flat)  0 (hierarchical)
-    Elapsed: 0.010s  Memory: 522.00M
-"polygons" in: sky130A_mr.drc:185
-    Polygons (raw): 0 (flat)  0 (hierarchical)
-    Elapsed: 0.010s  Memory: 522.00M
-"polygons" in: sky130A_mr.drc:186
-    Polygons (raw): 0 (flat)  0 (hierarchical)
-    Elapsed: 0.010s  Memory: 522.00M
-"polygons" in: sky130A_mr.drc:187
-    Polygons (raw): 0 (flat)  0 (hierarchical)
-    Elapsed: 0.000s  Memory: 522.00M
-"polygons" in: sky130A_mr.drc:188
-    Polygons (raw): 0 (flat)  0 (hierarchical)
-    Elapsed: 0.010s  Memory: 522.00M
-"polygons" in: sky130A_mr.drc:189
-    Polygons (raw): 0 (flat)  0 (hierarchical)
-    Elapsed: 0.010s  Memory: 522.00M
-"polygons" in: sky130A_mr.drc:190
-    Polygons (raw): 0 (flat)  0 (hierarchical)
-    Elapsed: 0.000s  Memory: 522.00M
-"polygons" in: sky130A_mr.drc:191
-    Polygons (raw): 0 (flat)  0 (hierarchical)
-    Elapsed: 0.010s  Memory: 522.00M
-"polygons" in: sky130A_mr.drc:192
-    Polygons (raw): 0 (flat)  0 (hierarchical)
-    Elapsed: 0.010s  Memory: 522.00M
-"polygons" in: sky130A_mr.drc:193
-    Polygons (raw): 0 (flat)  0 (hierarchical)
-    Elapsed: 0.000s  Memory: 522.00M
-"polygons" in: sky130A_mr.drc:194
-    Polygons (raw): 0 (flat)  0 (hierarchical)
-    Elapsed: 0.010s  Memory: 522.00M
-"polygons" in: sky130A_mr.drc:195
-    Polygons (raw): 0 (flat)  0 (hierarchical)
-    Elapsed: 0.010s  Memory: 522.00M
-"polygons" in: sky130A_mr.drc:196
-    Polygons (raw): 0 (flat)  0 (hierarchical)
-    Elapsed: 0.010s  Memory: 522.00M
-"polygons" in: sky130A_mr.drc:197
-    Polygons (raw): 0 (flat)  0 (hierarchical)
-    Elapsed: 0.000s  Memory: 522.00M
-"polygons" in: sky130A_mr.drc:198
-    Polygons (raw): 0 (flat)  0 (hierarchical)
-    Elapsed: 0.010s  Memory: 522.00M
-"polygons" in: sky130A_mr.drc:199
-    Polygons (raw): 1 (flat)  1 (hierarchical)
-    Elapsed: 0.000s  Memory: 522.00M
-"polygons" in: sky130A_mr.drc:200
-    Polygons (raw): 0 (flat)  0 (hierarchical)
-    Elapsed: 0.010s  Memory: 522.00M
-"polygons" in: sky130A_mr.drc:201
-    Polygons (raw): 0 (flat)  0 (hierarchical)
-    Elapsed: 0.010s  Memory: 522.00M
-"polygons" in: sky130A_mr.drc:202
-    Polygons (raw): 0 (flat)  0 (hierarchical)
-    Elapsed: 0.010s  Memory: 522.00M
-"polygons" in: sky130A_mr.drc:203
-    Polygons (raw): 0 (flat)  0 (hierarchical)
-    Elapsed: 0.000s  Memory: 522.00M
-DRC section
-FEOL section
-START: 64/18 (dnwell)
-"width" in: sky130A_mr.drc:229
-    Edge pairs: 0 (flat)  0 (hierarchical)
-    Elapsed: 0.010s  Memory: 522.00M
-"output" in: sky130A_mr.drc:229
-    Edge pairs: 0 (flat)  0 (hierarchical)
-    Elapsed: 0.010s  Memory: 522.00M
-END: 64/18 (dnwell)
-"input" in: sky130A_mr.drc:233
-    Polygons (raw): 5 (flat)  5 (hierarchical)
-    Elapsed: 0.000s  Memory: 522.00M
-"input" in: sky130A_mr.drc:234
-    Polygons (raw): 7 (flat)  7 (hierarchical)
-    Elapsed: 0.010s  Memory: 522.00M
-"input" in: sky130A_mr.drc:235
-    Polygons (raw): 1 (flat)  1 (hierarchical)
-    Elapsed: 0.010s  Memory: 522.00M
-START: 64/20 (nwell)
-"width" in: sky130A_mr.drc:239
-    Edge pairs: 0 (flat)  0 (hierarchical)
-    Elapsed: 0.000s  Memory: 522.00M
-"output" in: sky130A_mr.drc:239
-    Edge pairs: 0 (flat)  0 (hierarchical)
-    Elapsed: 0.010s  Memory: 522.00M
-"space" in: sky130A_mr.drc:240
-    Edge pairs: 0 (flat)  0 (hierarchical)
-    Elapsed: 0.010s  Memory: 1066.00M
-"output" in: sky130A_mr.drc:240
-    Edge pairs: 0 (flat)  0 (hierarchical)
-    Elapsed: 0.010s  Memory: 1066.00M
-"merge" in: sky130A_mr.drc:241
-    Polygons (raw): 1 (flat)  1 (hierarchical)
-    Elapsed: 0.000s  Memory: 1066.00M
-"holes" in: sky130A_mr.drc:242
-    Polygons (raw): 0 (flat)  0 (hierarchical)
-    Elapsed: 0.010s  Memory: 1066.00M
-"enclosing" in: sky130A_mr.drc:242
-    Edge pairs: 0 (flat)  0 (hierarchical)
-    Elapsed: 0.010s  Memory: 1066.00M
-"output" in: sky130A_mr.drc:242
-    Edge pairs: 0 (flat)  0 (hierarchical)
-    Elapsed: 0.000s  Memory: 1066.00M
-END: 64/20 (nwell)
-START: 78/44 (hvtp)
-"width" in: sky130A_mr.drc:247
-    Edge pairs: 0 (flat)  0 (hierarchical)
-    Elapsed: 0.010s  Memory: 1066.00M
-"output" in: sky130A_mr.drc:247
-    Edge pairs: 0 (flat)  0 (hierarchical)
-    Elapsed: 0.010s  Memory: 1066.00M
-"space" in: sky130A_mr.drc:248
-    Edge pairs: 0 (flat)  0 (hierarchical)
-    Elapsed: 0.010s  Memory: 1074.00M
-"output" in: sky130A_mr.drc:248
-    Edge pairs: 0 (flat)  0 (hierarchical)
-    Elapsed: 0.000s  Memory: 1066.00M
-END: 78/44 (hvtp)
-START: 18/20 (htvr)
-"width" in: sky130A_mr.drc:253
-    Edge pairs: 0 (flat)  0 (hierarchical)
-    Elapsed: 0.010s  Memory: 1066.00M
-"output" in: sky130A_mr.drc:253
-    Edge pairs: 0 (flat)  0 (hierarchical)
-    Elapsed: 0.010s  Memory: 1066.00M
-"separation" in: sky130A_mr.drc:254
-    Edge pairs: 0 (flat)  0 (hierarchical)
-    Elapsed: 0.010s  Memory: 1066.00M
-"output" in: sky130A_mr.drc:254
-    Edge pairs: 0 (flat)  0 (hierarchical)
-    Elapsed: 0.000s  Memory: 1066.00M
-"and" in: sky130A_mr.drc:255
-    Polygons (raw): 0 (flat)  0 (hierarchical)
-    Elapsed: 0.010s  Memory: 1066.00M
-"output" in: sky130A_mr.drc:255
-    Polygons (raw): 0 (flat)  0 (hierarchical)
-    Elapsed: 0.010s  Memory: 1066.00M
-END: 18/20 (htvr)
-START: 25/44 (lvtn)
-"width" in: sky130A_mr.drc:260
-    Edge pairs: 0 (flat)  0 (hierarchical)
-    Elapsed: 0.000s  Memory: 1066.00M
-"output" in: sky130A_mr.drc:260
-    Edge pairs: 0 (flat)  0 (hierarchical)
-    Elapsed: 0.010s  Memory: 1066.00M
-"space" in: sky130A_mr.drc:261
-    Edge pairs: 0 (flat)  0 (hierarchical)
-    Elapsed: 0.010s  Memory: 1066.00M
-"output" in: sky130A_mr.drc:261
-    Edge pairs: 0 (flat)  0 (hierarchical)
-    Elapsed: 0.010s  Memory: 1066.00M
-END: 25/44 (lvtn)
-START: 92/44 (ncm)
-"width" in: sky130A_mr.drc:266
-    Edge pairs: 0 (flat)  0 (hierarchical)
-    Elapsed: 0.000s  Memory: 1066.00M
-"output" in: sky130A_mr.drc:266
-    Edge pairs: 0 (flat)  0 (hierarchical)
-    Elapsed: 0.000s  Memory: 1066.00M
-"space" in: sky130A_mr.drc:267
-    Edge pairs: 0 (flat)  0 (hierarchical)
-    Elapsed: 0.010s  Memory: 1066.00M
-"output" in: sky130A_mr.drc:267
-    Edge pairs: 0 (flat)  0 (hierarchical)
-    Elapsed: 0.010s  Memory: 1066.00M
-END: 92/44 (ncm)
-START: 65/20 (diff)
-"or" in: sky130A_mr.drc:272
-    Polygons (raw): 10 (flat)  10 (hierarchical)
-    Elapsed: 0.000s  Memory: 1066.00M
-"rectangles" in: sky130A_mr.drc:273
-    Polygons (raw): 10 (flat)  10 (hierarchical)
-    Elapsed: 0.010s  Memory: 1066.00M
-"width" in: sky130A_mr.drc:273
-    Edge pairs: 0 (flat)  0 (hierarchical)
-    Elapsed: 0.010s  Memory: 1066.00M
-"polygons" in: sky130A_mr.drc:273
-    Polygons (raw): 0 (flat)  0 (hierarchical)
-    Elapsed: 0.000s  Memory: 1066.00M
-"edges" in: sky130A_mr.drc:274
-    Edges: 0 (flat)  0 (hierarchical)
-    Elapsed: 0.010s  Memory: 1066.00M
-"outside_part" in: sky130A_mr.drc:274
-    Edges: 0 (flat)  0 (hierarchical)
-    Elapsed: 0.010s  Memory: 1066.00M
-"outside" in: sky130A_mr.drc:274
-    Polygons (raw): 0 (flat)  0 (hierarchical)
-    Elapsed: 0.010s  Memory: 1066.00M
-"edges" in: sky130A_mr.drc:274
-    Edges: 0 (flat)  0 (hierarchical)
-    Elapsed: 0.000s  Memory: 1066.00M
-"not" in: sky130A_mr.drc:274
-    Edges: 0 (flat)  0 (hierarchical)
-    Elapsed: 0.010s  Memory: 1066.00M
-"output" in: sky130A_mr.drc:275
-    Edges: 0 (flat)  0 (hierarchical)
-    Elapsed: 0.010s  Memory: 1066.00M
-"outside" in: sky130A_mr.drc:276
-    Polygons (raw): 10 (flat)  10 (hierarchical)
-    Elapsed: 0.010s  Memory: 1074.00M
-"width" in: sky130A_mr.drc:276
-    Edge pairs: 0 (flat)  0 (hierarchical)
-    Elapsed: 0.000s  Memory: 1066.00M
-"output" in: sky130A_mr.drc:276
-    Edge pairs: 0 (flat)  0 (hierarchical)
-    Elapsed: 0.010s  Memory: 1066.00M
-END: 65/20 (diff)
-START: 65/44 (tap)
-"rectangles" in: sky130A_mr.drc:280
-    Polygons (raw): 3 (flat)  3 (hierarchical)
-    Elapsed: 0.010s  Memory: 1066.00M
-"width" in: sky130A_mr.drc:280
-    Edge pairs: 0 (flat)  0 (hierarchical)
-    Elapsed: 0.000s  Memory: 1066.00M
-"polygons" in: sky130A_mr.drc:280
-    Polygons (raw): 0 (flat)  0 (hierarchical)
-    Elapsed: 0.010s  Memory: 1066.00M
-"edges" in: sky130A_mr.drc:281
-    Edges: 0 (flat)  0 (hierarchical)
-    Elapsed: 0.010s  Memory: 1066.00M
-"outside_part" in: sky130A_mr.drc:281
-    Edges: 0 (flat)  0 (hierarchical)
-    Elapsed: 0.000s  Memory: 1066.00M
-"outside" in: sky130A_mr.drc:281
-    Polygons (raw): 0 (flat)  0 (hierarchical)
-    Elapsed: 0.010s  Memory: 1066.00M
-"edges" in: sky130A_mr.drc:281
-    Edges: 0 (flat)  0 (hierarchical)
-    Elapsed: 0.010s  Memory: 1066.00M
-"not" in: sky130A_mr.drc:281
-    Edges: 0 (flat)  0 (hierarchical)
-    Elapsed: 0.010s  Memory: 1066.00M
-"output" in: sky130A_mr.drc:282
-    Edges: 0 (flat)  0 (hierarchical)
-    Elapsed: 0.000s  Memory: 1066.00M
-"not" in: sky130A_mr.drc:283
-    Polygons (raw): 3 (flat)  3 (hierarchical)
-    Elapsed: 0.010s  Memory: 1066.00M
-"width" in: sky130A_mr.drc:283
-    Edge pairs: 0 (flat)  0 (hierarchical)
-    Elapsed: 0.010s  Memory: 1066.00M
-"output" in: sky130A_mr.drc:283
-    Edge pairs: 0 (flat)  0 (hierarchical)
-    Elapsed: 0.000s  Memory: 1066.00M
-END: 65/44 (tap)
-"space" in: sky130A_mr.drc:286
-    Edge pairs: 0 (flat)  0 (hierarchical)
-    Elapsed: 0.020s  Memory: 1066.00M
-"output" in: sky130A_mr.drc:286
-    Edge pairs: 0 (flat)  0 (hierarchical)
-    Elapsed: 0.010s  Memory: 1066.00M
-START: 80/20 (tunm)
-"width" in: sky130A_mr.drc:290
-    Edge pairs: 0 (flat)  0 (hierarchical)
-    Elapsed: 0.000s  Memory: 1066.00M
-"output" in: sky130A_mr.drc:290
-    Edge pairs: 0 (flat)  0 (hierarchical)
-    Elapsed: 0.010s  Memory: 1066.00M
-"space" in: sky130A_mr.drc:291
-    Edge pairs: 0 (flat)  0 (hierarchical)
-    Elapsed: 0.010s  Memory: 1066.00M
-"output" in: sky130A_mr.drc:291
-    Edge pairs: 0 (flat)  0 (hierarchical)
-    Elapsed: 0.010s  Memory: 1066.00M
-END: 80/20 (tunm)
-START: 66/20 (poly)
-"width" in: sky130A_mr.drc:296
-    Edge pairs: 0 (flat)  0 (hierarchical)
-    Elapsed: 0.000s  Memory: 1066.00M
-"output" in: sky130A_mr.drc:296
-    Edge pairs: 0 (flat)  0 (hierarchical)
-    Elapsed: 0.010s  Memory: 1066.00M
-"not" in: sky130A_mr.drc:297
-    Polygons (raw): 15 (flat)  15 (hierarchical)
-    Elapsed: 0.010s  Memory: 1066.00M
-"space" in: sky130A_mr.drc:297
-    Edge pairs: 0 (flat)  0 (hierarchical)
-    Elapsed: 0.000s  Memory: 1066.00M
-"output" in: sky130A_mr.drc:297
-    Edge pairs: 0 (flat)  0 (hierarchical)
-    Elapsed: 0.010s  Memory: 1066.00M
-START: 86/20 (rpm)
-"width" in: sky130A_mr.drc:302
-    Edge pairs: 0 (flat)  0 (hierarchical)
-    Elapsed: 0.010s  Memory: 1066.00M
-"output" in: sky130A_mr.drc:302
-    Edge pairs: 0 (flat)  0 (hierarchical)
-    Elapsed: 0.000s  Memory: 1066.00M
-"space" in: sky130A_mr.drc:303
-    Edge pairs: 0 (flat)  0 (hierarchical)
-    Elapsed: 0.010s  Memory: 1066.00M
-"output" in: sky130A_mr.drc:303
-    Edge pairs: 0 (flat)  0 (hierarchical)
-    Elapsed: 0.010s  Memory: 1066.00M
-END: 86/20 (rpm)
-START: 79/20 (urpm)
-"width" in: sky130A_mr.drc:308
-    Edge pairs: 0 (flat)  0 (hierarchical)
-    Elapsed: 0.000s  Memory: 1066.00M
-"output" in: sky130A_mr.drc:308
-    Edge pairs: 0 (flat)  0 (hierarchical)
-    Elapsed: 0.010s  Memory: 1066.00M
-"space" in: sky130A_mr.drc:309
-    Edge pairs: 0 (flat)  0 (hierarchical)
-    Elapsed: 0.010s  Memory: 1066.00M
-"output" in: sky130A_mr.drc:309
-    Edge pairs: 0 (flat)  0 (hierarchical)
-    Elapsed: 0.010s  Memory: 1066.00M
-END: 79/20 (urpm)
-START: 95/20 (npc)
-"width" in: sky130A_mr.drc:314
-    Edge pairs: 0 (flat)  0 (hierarchical)
-    Elapsed: 0.000s  Memory: 1066.00M
-"output" in: sky130A_mr.drc:314
-    Edge pairs: 0 (flat)  0 (hierarchical)
-    Elapsed: 0.010s  Memory: 1066.00M
-"space" in: sky130A_mr.drc:315
-    Edge pairs: 0 (flat)  0 (hierarchical)
-    Elapsed: 0.020s  Memory: 1066.00M
-"output" in: sky130A_mr.drc:315
-    Edge pairs: 0 (flat)  0 (hierarchical)
-    Elapsed: 0.000s  Memory: 1066.00M
-END: 95/20 (npc)
-START: 93/44 (nsdm)
-"outside" in: sky130A_mr.drc:320
-    Polygons (raw): 4 (flat)  4 (hierarchical)
-    Elapsed: 0.010s  Memory: 1066.00M
-"width" in: sky130A_mr.drc:320
-    Edge pairs: 0 (flat)  0 (hierarchical)
-    Elapsed: 0.010s  Memory: 1066.00M
-"output" in: sky130A_mr.drc:320
-    Edge pairs: 0 (flat)  0 (hierarchical)
-    Elapsed: 0.010s  Memory: 1066.00M
-"not" in: sky130A_mr.drc:321
-    Polygons (raw): 5 (flat)  5 (hierarchical)
-    Elapsed: 0.000s  Memory: 1066.00M
-"space" in: sky130A_mr.drc:321
-    Edge pairs: 0 (flat)  0 (hierarchical)
-    Elapsed: 0.010s  Memory: 1066.00M
-"output" in: sky130A_mr.drc:321
-    Edge pairs: 0 (flat)  0 (hierarchical)
-    Elapsed: 0.010s  Memory: 1066.00M
-END: 93/44 (nsdm)
-START: 94/20 (psdm)
-"outside" in: sky130A_mr.drc:326
-    Polygons (raw): 7 (flat)  7 (hierarchical)
-    Elapsed: 0.000s  Memory: 1066.00M
-"width" in: sky130A_mr.drc:326
-    Edge pairs: 0 (flat)  0 (hierarchical)
-    Elapsed: 0.010s  Memory: 1066.00M
-"output" in: sky130A_mr.drc:326
-    Edge pairs: 0 (flat)  0 (hierarchical)
-    Elapsed: 0.010s  Memory: 1066.00M
-"not" in: sky130A_mr.drc:327
-    Polygons (raw): 7 (flat)  7 (hierarchical)
-    Elapsed: 0.010s  Memory: 1066.00M
-"space" in: sky130A_mr.drc:327
-    Edge pairs: 0 (flat)  0 (hierarchical)
-    Elapsed: 0.000s  Memory: 1066.00M
-"output" in: sky130A_mr.drc:327
-    Edge pairs: 0 (flat)  0 (hierarchical)
-    Elapsed: 0.010s  Memory: 1066.00M
-END: 94/20 (psdm)
-START: 66/44 (licon)
-"not" in: sky130A_mr.drc:338
-    Polygons (raw): 21 (flat)  21 (hierarchical)
-    Elapsed: 0.010s  Memory: 1066.00M
-"and" in: sky130A_mr.drc:339
-    Polygons (raw): 51 (flat)  51 (hierarchical)
-    Elapsed: 0.010s  Memory: 1074.00M
-"interacting" in: sky130A_mr.drc:339
-    Polygons (raw): 51 (flat)  51 (hierarchical)
-    Elapsed: 0.010s  Memory: 1066.00M
-"not" in: sky130A_mr.drc:340
-    Polygons (raw): 51 (flat)  51 (hierarchical)
-    Elapsed: 0.010s  Memory: 1066.00M
-"non_rectangles" in: sky130A_mr.drc:341
-    Polygons (raw): 0 (flat)  0 (hierarchical)
-    Elapsed: 0.010s  Memory: 1066.00M
-"output" in: sky130A_mr.drc:341
-    Polygons (raw): 0 (flat)  0 (hierarchical)
-    Elapsed: 0.010s  Memory: 1066.00M
-"or" in: sky130A_mr.drc:342
-    Polygons (raw): 1 (flat)  1 (hierarchical)
-    Elapsed: 0.000s  Memory: 1066.00M
-"not" in: sky130A_mr.drc:342
-    Polygons (raw): 61 (flat)  61 (hierarchical)
-    Elapsed: 0.010s  Memory: 1066.00M
-"edges" in: sky130A_mr.drc:342
-    Edges: 244 (flat)  244 (hierarchical)
-    Elapsed: 0.010s  Memory: 1066.00M
-"without_length" in: sky130A_mr.drc:342
-    Edges: 0 (flat)  0 (hierarchical)
-    Elapsed: 0.000s  Memory: 1066.00M
-"output" in: sky130A_mr.drc:342
-    Edges: 0 (flat)  0 (hierarchical)
-    Elapsed: 0.010s  Memory: 1066.00M
-"separation" in: sky130A_mr.drc:343
-    Edge pairs: 0 (flat)  0 (hierarchical)
-    Elapsed: 0.010s  Memory: 1082.00M
-"output" in: sky130A_mr.drc:343
-    Edge pairs: 0 (flat)  0 (hierarchical)
-    Elapsed: 0.010s  Memory: 1066.00M
-"and" in: sky130A_mr.drc:344
-    Polygons (raw): 0 (flat)  0 (hierarchical)
-    Elapsed: 0.000s  Memory: 1066.00M
-"output" in: sky130A_mr.drc:344
-    Polygons (raw): 0 (flat)  0 (hierarchical)
-    Elapsed: 0.010s  Memory: 1066.00M
-"interacting" in: sky130A_mr.drc:345
-    Polygons (raw): 12 (flat)  12 (hierarchical)
-    Elapsed: 0.010s  Memory: 1066.00M
-"interacting" in: sky130A_mr.drc:345
-    Polygons (raw): 51 (flat)  51 (hierarchical)
-    Elapsed: 0.010s  Memory: 1066.00M
-"and" in: sky130A_mr.drc:345
-    Polygons (raw): 0 (flat)  0 (hierarchical)
-    Elapsed: 0.010s  Memory: 1066.00M
-"output" in: sky130A_mr.drc:345
-    Polygons (raw): 0 (flat)  0 (hierarchical)
-    Elapsed: 0.010s  Memory: 1066.00M
-END: 66/44 (licon)
-START: 89/44 (capm)
-"and" in: sky130A_mr.drc:350
-    Polygons (raw): 0 (flat)  0 (hierarchical)
-    Elapsed: 0.010s  Memory: 1066.00M
-"sized" in: sky130A_mr.drc:350
-    Polygons (raw): 0 (flat)  0 (hierarchical)
-    Elapsed: 0.000s  Memory: 1066.00M
-"width" in: sky130A_mr.drc:351
-    Edge pairs: 0 (flat)  0 (hierarchical)
-    Elapsed: 0.010s  Memory: 1066.00M
-"output" in: sky130A_mr.drc:351
-    Edge pairs: 0 (flat)  0 (hierarchical)
-    Elapsed: 0.010s  Memory: 1066.00M
-"space" in: sky130A_mr.drc:352
-    Edge pairs: 0 (flat)  0 (hierarchical)
-    Elapsed: 0.000s  Memory: 1066.00M
-"output" in: sky130A_mr.drc:352
-    Edge pairs: 0 (flat)  0 (hierarchical)
-    Elapsed: 0.010s  Memory: 1066.00M
-"interacting" in: sky130A_mr.drc:353
-    Polygons (raw): 0 (flat)  0 (hierarchical)
-    Elapsed: 0.010s  Memory: 1066.00M
-"isolated" in: sky130A_mr.drc:353
-    Edge pairs: 0 (flat)  0 (hierarchical)
-    Elapsed: 0.010s  Memory: 1066.00M
-"output" in: sky130A_mr.drc:353
-    Edge pairs: 0 (flat)  0 (hierarchical)
-    Elapsed: 0.000s  Memory: 1066.00M
-"isolated" in: sky130A_mr.drc:354
-    Edge pairs: 0 (flat)  0 (hierarchical)
-    Elapsed: 0.010s  Memory: 1066.00M
-"output" in: sky130A_mr.drc:354
-    Edge pairs: 0 (flat)  0 (hierarchical)
-    Elapsed: 0.010s  Memory: 1066.00M
-"and" in: sky130A_mr.drc:355
-    Polygons (raw): 0 (flat)  0 (hierarchical)
-    Elapsed: 0.000s  Memory: 1066.00M
-"enclosing" in: sky130A_mr.drc:355
-    Edge pairs: 0 (flat)  0 (hierarchical)
-    Elapsed: 0.010s  Memory: 1066.00M
-"output" in: sky130A_mr.drc:355
-    Edge pairs: 0 (flat)  0 (hierarchical)
-    Elapsed: 0.010s  Memory: 1066.00M
-"enclosing" in: sky130A_mr.drc:356
-    Edge pairs: 0 (flat)  0 (hierarchical)
-    Elapsed: 0.010s  Memory: 1066.00M
-"output" in: sky130A_mr.drc:356
-    Edge pairs: 0 (flat)  0 (hierarchical)
-    Elapsed: 0.010s  Memory: 1066.00M
-"enclosing" in: sky130A_mr.drc:357
-    Edge pairs: 0 (flat)  0 (hierarchical)
-    Elapsed: 0.010s  Memory: 1066.00M
-"output" in: sky130A_mr.drc:357
-    Edge pairs: 0 (flat)  0 (hierarchical)
-    Elapsed: 0.010s  Memory: 1066.00M
-"separation" in: sky130A_mr.drc:358
-    Edge pairs: 0 (flat)  0 (hierarchical)
-    Elapsed: 0.000s  Memory: 1066.00M
-"output" in: sky130A_mr.drc:358
-    Edge pairs: 0 (flat)  0 (hierarchical)
-    Elapsed: 0.010s  Memory: 1066.00M
-END: 89/44 (capm)
-START: 97/44 (cap2m)
-"and" in: sky130A_mr.drc:363
-    Polygons (raw): 2 (flat)  2 (hierarchical)
-    Elapsed: 0.010s  Memory: 1066.00M
-"sized" in: sky130A_mr.drc:363
-    Polygons (raw): 2 (flat)  2 (hierarchical)
-    Elapsed: 0.000s  Memory: 1066.00M
-"width" in: sky130A_mr.drc:364
-    Edge pairs: 0 (flat)  0 (hierarchical)
-    Elapsed: 0.010s  Memory: 1066.00M
-"output" in: sky130A_mr.drc:364
-    Edge pairs: 0 (flat)  0 (hierarchical)
-    Elapsed: 0.010s  Memory: 1066.00M
-"space" in: sky130A_mr.drc:365
-    Edge pairs: 0 (flat)  0 (hierarchical)
-    Elapsed: 0.000s  Memory: 1066.00M
-"output" in: sky130A_mr.drc:365
-    Edge pairs: 0 (flat)  0 (hierarchical)
-    Elapsed: 0.010s  Memory: 1066.00M
-"interacting" in: sky130A_mr.drc:366
-    Polygons (raw): 2 (flat)  2 (hierarchical)
-    Elapsed: 0.010s  Memory: 1066.00M
-"isolated" in: sky130A_mr.drc:366
-    Edge pairs: 0 (flat)  0 (hierarchical)
-    Elapsed: 0.010s  Memory: 1066.00M
-"output" in: sky130A_mr.drc:366
-    Edge pairs: 0 (flat)  0 (hierarchical)
-    Elapsed: 0.000s  Memory: 1066.00M
-"isolated" in: sky130A_mr.drc:368
-    Edge pairs: 0 (flat)  0 (hierarchical)
-    Elapsed: 0.010s  Memory: 1066.00M
-"output" in: sky130A_mr.drc:368
-    Edge pairs: 0 (flat)  0 (hierarchical)
-    Elapsed: 0.010s  Memory: 1066.00M
-"and" in: sky130A_mr.drc:369
-    Polygons (raw): 2 (flat)  2 (hierarchical)
-    Elapsed: 0.010s  Memory: 1066.00M
-"enclosing" in: sky130A_mr.drc:369
-    Edge pairs: 0 (flat)  0 (hierarchical)
-    Elapsed: 0.010s  Memory: 1066.00M
-"output" in: sky130A_mr.drc:369
-    Edge pairs: 0 (flat)  0 (hierarchical)
-    Elapsed: 0.000s  Memory: 1066.00M
-"enclosing" in: sky130A_mr.drc:370
-    Edge pairs: 0 (flat)  0 (hierarchical)
-    Elapsed: 0.010s  Memory: 1066.00M
-"output" in: sky130A_mr.drc:370
-    Edge pairs: 0 (flat)  0 (hierarchical)
-    Elapsed: 0.010s  Memory: 1066.00M
-"enclosing" in: sky130A_mr.drc:371
-    Edge pairs: 0 (flat)  0 (hierarchical)
-    Elapsed: 0.010s  Memory: 1066.00M
-"output" in: sky130A_mr.drc:371
-    Edge pairs: 0 (flat)  0 (hierarchical)
-    Elapsed: 0.000s  Memory: 1066.00M
-"separation" in: sky130A_mr.drc:372
-    Edge pairs: 0 (flat)  0 (hierarchical)
-    Elapsed: 0.010s  Memory: 1066.00M
-"output" in: sky130A_mr.drc:372
-    Edge pairs: 0 (flat)  0 (hierarchical)
-    Elapsed: 0.010s  Memory: 1066.00M
-END: 97/44 (cap2m)
-FEOL section
-START: 75/20 (hvi)
-"not" in: sky130A_mr.drc:672
-    Polygons (raw): 0 (flat)  0 (hierarchical)
-    Elapsed: 0.010s  Memory: 1066.00M
-"width" in: sky130A_mr.drc:673
-    Edge pairs: 0 (flat)  0 (hierarchical)
-    Elapsed: 0.000s  Memory: 1066.00M
-"output" in: sky130A_mr.drc:673
-    Edge pairs: 0 (flat)  0 (hierarchical)
-    Elapsed: 0.010s  Memory: 1066.00M
-"space" in: sky130A_mr.drc:674
-    Edge pairs: 0 (flat)  0 (hierarchical)
-    Elapsed: 0.010s  Memory: 1066.00M
-"output" in: sky130A_mr.drc:674
-    Edge pairs: 0 (flat)  0 (hierarchical)
-    Elapsed: 0.010s  Memory: 1066.00M
-END: 75/20 (hvi)
-START: 125/20 (hvntm)
-"not" in: sky130A_mr.drc:679
-    Polygons (raw): 0 (flat)  0 (hierarchical)
-    Elapsed: 0.000s  Memory: 1066.00M
-"width" in: sky130A_mr.drc:680
-    Edge pairs: 0 (flat)  0 (hierarchical)
-    Elapsed: 0.010s  Memory: 1066.00M
-"output" in: sky130A_mr.drc:680
-    Edge pairs: 0 (flat)  0 (hierarchical)
-    Elapsed: 0.010s  Memory: 1066.00M
-"space" in: sky130A_mr.drc:681
-    Edge pairs: 0 (flat)  0 (hierarchical)
-    Elapsed: 0.000s  Memory: 1066.00M
-"output" in: sky130A_mr.drc:681
-    Edge pairs: 0 (flat)  0 (hierarchical)
-    Elapsed: 0.010s  Memory: 1066.00M
-END: 125/20 (hvntm)
-Writing report database: /home/wisla/sky130_skel/Myschematics/Receptor/receiver/precheck_results/08_JUN_2022___02_52_56/outputs/reports/klayout_feol_check.xml ..
-Total elapsed: 1.830s  Memory: 1066.00M
diff --git a/precheck_results/08_JUN_2022___02_52_56/logs/klayout_feol_check.total b/precheck_results/08_JUN_2022___02_52_56/logs/klayout_feol_check.total
deleted file mode 100644
index c227083..0000000
--- a/precheck_results/08_JUN_2022___02_52_56/logs/klayout_feol_check.total
+++ /dev/null
@@ -1 +0,0 @@
-0
\ No newline at end of file
diff --git a/precheck_results/08_JUN_2022___02_52_56/logs/klayout_met_min_ca_density_check.log b/precheck_results/08_JUN_2022___02_52_56/logs/klayout_met_min_ca_density_check.log
deleted file mode 100644
index c99cd19..0000000
--- a/precheck_results/08_JUN_2022___02_52_56/logs/klayout_met_min_ca_density_check.log
+++ /dev/null
@@ -1,79 +0,0 @@
-"polygons" in: met_min_ca_density.lydrc:35
-    Polygons (raw): 69 (flat)  69 (hierarchical)
-    Elapsed: 0.000s  Memory: 521.00M
-"polygons" in: met_min_ca_density.lydrc:36
-    Polygons (raw): 79 (flat)  79 (hierarchical)
-    Elapsed: 0.010s  Memory: 521.00M
-"polygons" in: met_min_ca_density.lydrc:38
-    Polygons (raw): 30 (flat)  30 (hierarchical)
-    Elapsed: 0.010s  Memory: 521.00M
-"polygons" in: met_min_ca_density.lydrc:39
-    Polygons (raw): 90 (flat)  90 (hierarchical)
-    Elapsed: 0.000s  Memory: 521.00M
-"polygons" in: met_min_ca_density.lydrc:41
-    Polygons (raw): 504 (flat)  504 (hierarchical)
-    Elapsed: 0.010s  Memory: 521.00M
-"polygons" in: met_min_ca_density.lydrc:42
-    Polygons (raw): 69 (flat)  69 (hierarchical)
-    Elapsed: 0.010s  Memory: 521.00M
-"polygons" in: met_min_ca_density.lydrc:44
-    Polygons (raw): 253 (flat)  253 (hierarchical)
-    Elapsed: 0.000s  Memory: 521.00M
-"polygons" in: met_min_ca_density.lydrc:45
-    Polygons (raw): 10174 (flat)  10174 (hierarchical)
-    Elapsed: 0.010s  Memory: 521.00M
-"polygons" in: met_min_ca_density.lydrc:47
-    Polygons (raw): 55 (flat)  55 (hierarchical)
-    Elapsed: 0.010s  Memory: 521.00M
-"polygons" in: met_min_ca_density.lydrc:48
-    Polygons (raw): 0 (flat)  0 (hierarchical)
-    Elapsed: 0.010s  Memory: 521.00M
-"polygons" in: met_min_ca_density.lydrc:49
-    Polygons (raw): 484 (flat)  484 (hierarchical)
-    Elapsed: 0.010s  Memory: 521.00M
-"polygons" in: met_min_ca_density.lydrc:51
-    Polygons (raw): 52 (flat)  52 (hierarchical)
-    Elapsed: 0.010s  Memory: 521.00M
-"input" in: met_min_ca_density.lydrc:53
-    Polygons (raw): 1 (flat)  1 (hierarchical)
-    Elapsed: 0.000s  Memory: 521.00M
-"area" in: met_min_ca_density.lydrc:55
-    Elapsed: 0.010s  Memory: 521.00M
-"polygons" in: met_min_ca_density.lydrc:59
-    Polygons (raw): 69 (flat)  69 (hierarchical)
-    Elapsed: 0.010s  Memory: 521.00M
-"area" in: met_min_ca_density.lydrc:59
-    Elapsed: 0.010s  Memory: 521.00M
-li1_ca_density is 0.9999930796622042
-"polygons" in: met_min_ca_density.lydrc:69
-    Polygons (raw): 30 (flat)  30 (hierarchical)
-    Elapsed: 0.010s  Memory: 521.00M
-"area" in: met_min_ca_density.lydrc:69
-    Elapsed: 0.000s  Memory: 521.00M
-m1_ca_density is 0.9999953794170299
-"polygons" in: met_min_ca_density.lydrc:79
-    Polygons (raw): 504 (flat)  504 (hierarchical)
-    Elapsed: 0.000s  Memory: 521.00M
-"area" in: met_min_ca_density.lydrc:79
-    Elapsed: 0.010s  Memory: 521.00M
-m2_ca_density is 0.9998240288469022
-"polygons" in: met_min_ca_density.lydrc:89
-    Polygons (raw): 253 (flat)  253 (hierarchical)
-    Elapsed: 0.010s  Memory: 521.00M
-"area" in: met_min_ca_density.lydrc:89
-    Elapsed: 0.010s  Memory: 521.00M
-m3_ca_density is 0.9953296156405667
-"polygons" in: met_min_ca_density.lydrc:99
-    Polygons (raw): 55 (flat)  55 (hierarchical)
-    Elapsed: 0.000s  Memory: 521.00M
-"area" in: met_min_ca_density.lydrc:99
-    Elapsed: 0.000s  Memory: 521.00M
-m4_ca_density is 0.9973960278156133
-"polygons" in: met_min_ca_density.lydrc:109
-    Polygons (raw): 52 (flat)  52 (hierarchical)
-    Elapsed: 0.010s  Memory: 521.00M
-"area" in: met_min_ca_density.lydrc:109
-    Elapsed: 0.010s  Memory: 521.00M
-m5_ca_density is 0.9946324059094801
-Writing report database: /home/wisla/sky130_skel/Myschematics/Receptor/receiver/precheck_results/08_JUN_2022___02_52_56/outputs/reports/klayout_met_min_ca_density_check.xml ..
-Total elapsed: 0.220s  Memory: 521.00M
diff --git a/precheck_results/08_JUN_2022___02_52_56/logs/klayout_met_min_ca_density_check.total b/precheck_results/08_JUN_2022___02_52_56/logs/klayout_met_min_ca_density_check.total
deleted file mode 100644
index c227083..0000000
--- a/precheck_results/08_JUN_2022___02_52_56/logs/klayout_met_min_ca_density_check.total
+++ /dev/null
@@ -1 +0,0 @@
-0
\ No newline at end of file
diff --git a/precheck_results/08_JUN_2022___02_52_56/logs/klayout_offgrid_check.log b/precheck_results/08_JUN_2022___02_52_56/logs/klayout_offgrid_check.log
deleted file mode 100644
index 1068b7b..0000000
--- a/precheck_results/08_JUN_2022___02_52_56/logs/klayout_offgrid_check.log
+++ /dev/null
@@ -1,755 +0,0 @@
-/home/wisla/mpw_precheck/checks/tech-files/sky130A_mr.drc:38: warning: already initialized constant DRC::DRCEngine::FEOL
-/home/wisla/mpw_precheck/checks/tech-files/sky130A_mr.drc:28: warning: previous definition of FEOL was here
-/home/wisla/mpw_precheck/checks/tech-files/sky130A_mr.drc:44: warning: already initialized constant DRC::DRCEngine::BEOL
-/home/wisla/mpw_precheck/checks/tech-files/sky130A_mr.drc:29: warning: previous definition of BEOL was here
-/home/wisla/mpw_precheck/checks/tech-files/sky130A_mr.drc:48: warning: already initialized constant DRC::DRCEngine::OFFGRID
-/home/wisla/mpw_precheck/checks/tech-files/sky130A_mr.drc:30: warning: previous definition of OFFGRID was here
-/home/wisla/mpw_precheck/checks/tech-files/sky130A_mr.drc:56: warning: already initialized constant DRC::DRCEngine::SEAL
-/home/wisla/mpw_precheck/checks/tech-files/sky130A_mr.drc:31: warning: previous definition of SEAL was here
-/home/wisla/mpw_precheck/checks/tech-files/sky130A_mr.drc:62: warning: already initialized constant DRC::DRCEngine::FLOATING_MET
-/home/wisla/mpw_precheck/checks/tech-files/sky130A_mr.drc:32: warning: previous definition of FLOATING_MET was here
-"input" in: sky130A_mr.drc:112
-    Polygons (raw): 10 (flat)  10 (hierarchical)
-    Elapsed: 0.010s  Memory: 521.00M
-"polygons" in: sky130A_mr.drc:113
-    Polygons (raw): 3 (flat)  3 (hierarchical)
-    Elapsed: 0.010s  Memory: 521.00M
-"polygons" in: sky130A_mr.drc:114
-    Polygons (raw): 1 (flat)  1 (hierarchical)
-    Elapsed: 0.000s  Memory: 521.00M
-"polygons" in: sky130A_mr.drc:115
-    Polygons (raw): 0 (flat)  0 (hierarchical)
-    Elapsed: 0.010s  Memory: 521.00M
-"polygons" in: sky130A_mr.drc:116
-    Polygons (raw): 0 (flat)  0 (hierarchical)
-    Elapsed: 0.010s  Memory: 521.00M
-"polygons" in: sky130A_mr.drc:117
-    Polygons (raw): 0 (flat)  0 (hierarchical)
-    Elapsed: 0.000s  Memory: 521.00M
-"polygons" in: sky130A_mr.drc:118
-    Polygons (raw): 0 (flat)  0 (hierarchical)
-    Elapsed: 0.010s  Memory: 521.00M
-"polygons" in: sky130A_mr.drc:119
-    Polygons (raw): 0 (flat)  0 (hierarchical)
-    Elapsed: 0.010s  Memory: 521.00M
-"polygons" in: sky130A_mr.drc:120
-    Polygons (raw): 0 (flat)  0 (hierarchical)
-    Elapsed: 0.000s  Memory: 521.00M
-"polygons" in: sky130A_mr.drc:121
-    Polygons (raw): 0 (flat)  0 (hierarchical)
-    Elapsed: 0.010s  Memory: 521.00M
-"polygons" in: sky130A_mr.drc:122
-    Polygons (raw): 0 (flat)  0 (hierarchical)
-    Elapsed: 0.010s  Memory: 521.00M
-"polygons" in: sky130A_mr.drc:123
-    Polygons (raw): 0 (flat)  0 (hierarchical)
-    Elapsed: 0.000s  Memory: 521.00M
-"polygons" in: sky130A_mr.drc:124
-    Polygons (raw): 0 (flat)  0 (hierarchical)
-    Elapsed: 0.010s  Memory: 521.00M
-"polygons" in: sky130A_mr.drc:125
-    Polygons (raw): 15 (flat)  15 (hierarchical)
-    Elapsed: 0.010s  Memory: 521.00M
-"polygons" in: sky130A_mr.drc:126
-    Polygons (raw): 0 (flat)  0 (hierarchical)
-    Elapsed: 0.010s  Memory: 521.00M
-"polygons" in: sky130A_mr.drc:127
-    Polygons (raw): 5 (flat)  5 (hierarchical)
-    Elapsed: 0.000s  Memory: 521.00M
-"polygons" in: sky130A_mr.drc:128
-    Polygons (raw): 7 (flat)  7 (hierarchical)
-    Elapsed: 0.010s  Memory: 521.00M
-"polygons" in: sky130A_mr.drc:129
-    Polygons (raw): 0 (flat)  0 (hierarchical)
-    Elapsed: 0.010s  Memory: 521.00M
-"polygons" in: sky130A_mr.drc:130
-    Polygons (raw): 1 (flat)  1 (hierarchical)
-    Elapsed: 0.010s  Memory: 521.00M
-"polygons" in: sky130A_mr.drc:131
-    Polygons (raw): 8 (flat)  8 (hierarchical)
-    Elapsed: 0.000s  Memory: 521.00M
-"polygons" in: sky130A_mr.drc:132
-    Polygons (raw): 63 (flat)  63 (hierarchical)
-    Elapsed: 0.010s  Memory: 521.00M
-"polygons" in: sky130A_mr.drc:134
-    Polygons (raw): 67 (flat)  67 (hierarchical)
-    Elapsed: 0.010s  Memory: 521.00M
-"polygons" in: sky130A_mr.drc:135
-    Polygons (raw): 79 (flat)  79 (hierarchical)
-    Elapsed: 0.010s  Memory: 521.00M
-"polygons" in: sky130A_mr.drc:137
-    Polygons (raw): 30 (flat)  30 (hierarchical)
-    Elapsed: 0.000s  Memory: 521.00M
-"polygons" in: sky130A_mr.drc:138
-    Polygons (raw): 90 (flat)  90 (hierarchical)
-    Elapsed: 0.010s  Memory: 521.00M
-"polygons" in: sky130A_mr.drc:140
-    Polygons (raw): 504 (flat)  504 (hierarchical)
-    Elapsed: 0.010s  Memory: 521.00M
-"polygons" in: sky130A_mr.drc:141
-    Polygons (raw): 69 (flat)  69 (hierarchical)
-    Elapsed: 0.010s  Memory: 521.00M
-"polygons" in: sky130A_mr.drc:143
-    Polygons (raw): 253 (flat)  253 (hierarchical)
-    Elapsed: 0.000s  Memory: 521.00M
-"polygons" in: sky130A_mr.drc:144
-    Polygons (raw): 10174 (flat)  10174 (hierarchical)
-    Elapsed: 0.020s  Memory: 522.00M
-"polygons" in: sky130A_mr.drc:146
-    Polygons (raw): 55 (flat)  55 (hierarchical)
-    Elapsed: 0.000s  Memory: 522.00M
-"polygons" in: sky130A_mr.drc:147
-    Polygons (raw): 484 (flat)  484 (hierarchical)
-    Elapsed: 0.010s  Memory: 522.00M
-"polygons" in: sky130A_mr.drc:149
-    Polygons (raw): 51 (flat)  51 (hierarchical)
-    Elapsed: 0.010s  Memory: 522.00M
-"polygons" in: sky130A_mr.drc:151
-    Polygons (raw): 0 (flat)  0 (hierarchical)
-    Elapsed: 0.010s  Memory: 522.00M
-"polygons" in: sky130A_mr.drc:152
-    Polygons (raw): 0 (flat)  0 (hierarchical)
-    Elapsed: 0.000s  Memory: 522.00M
-"polygons" in: sky130A_mr.drc:153
-    Polygons (raw): 0 (flat)  0 (hierarchical)
-    Elapsed: 0.010s  Memory: 522.00M
-"polygons" in: sky130A_mr.drc:154
-    Polygons (raw): 2 (flat)  2 (hierarchical)
-    Elapsed: 0.010s  Memory: 522.00M
-"polygons" in: sky130A_mr.drc:155
-    Polygons (raw): 0 (flat)  0 (hierarchical)
-    Elapsed: 0.000s  Memory: 522.00M
-"polygons" in: sky130A_mr.drc:156
-    Polygons (raw): 0 (flat)  0 (hierarchical)
-    Elapsed: 0.010s  Memory: 522.00M
-"polygons" in: sky130A_mr.drc:157
-    Polygons (raw): 0 (flat)  0 (hierarchical)
-    Elapsed: 0.020s  Memory: 522.00M
-"polygons" in: sky130A_mr.drc:158
-    Polygons (raw): 0 (flat)  0 (hierarchical)
-    Elapsed: 0.000s  Memory: 522.00M
-"polygons" in: sky130A_mr.drc:159
-    Polygons (raw): 0 (flat)  0 (hierarchical)
-    Elapsed: 0.010s  Memory: 522.00M
-"polygons" in: sky130A_mr.drc:160
-    Polygons (raw): 0 (flat)  0 (hierarchical)
-    Elapsed: 0.010s  Memory: 522.00M
-"polygons" in: sky130A_mr.drc:161
-    Polygons (raw): 0 (flat)  0 (hierarchical)
-    Elapsed: 0.000s  Memory: 522.00M
-"polygons" in: sky130A_mr.drc:162
-    Polygons (raw): 0 (flat)  0 (hierarchical)
-    Elapsed: 0.010s  Memory: 522.00M
-"polygons" in: sky130A_mr.drc:163
-    Polygons (raw): 0 (flat)  0 (hierarchical)
-    Elapsed: 0.010s  Memory: 522.00M
-"polygons" in: sky130A_mr.drc:164
-    Polygons (raw): 0 (flat)  0 (hierarchical)
-    Elapsed: 0.000s  Memory: 522.00M
-"polygons" in: sky130A_mr.drc:165
-    Polygons (raw): 0 (flat)  0 (hierarchical)
-    Elapsed: 0.010s  Memory: 522.00M
-"polygons" in: sky130A_mr.drc:166
-    Polygons (raw): 0 (flat)  0 (hierarchical)
-    Elapsed: 0.010s  Memory: 522.00M
-"polygons" in: sky130A_mr.drc:167
-    Polygons (raw): 0 (flat)  0 (hierarchical)
-    Elapsed: 0.010s  Memory: 522.00M
-"polygons" in: sky130A_mr.drc:168
-    Polygons (raw): 0 (flat)  0 (hierarchical)
-    Elapsed: 0.000s  Memory: 522.00M
-"polygons" in: sky130A_mr.drc:169
-    Polygons (raw): 0 (flat)  0 (hierarchical)
-    Elapsed: 0.010s  Memory: 522.00M
-"polygons" in: sky130A_mr.drc:170
-    Polygons (raw): 0 (flat)  0 (hierarchical)
-    Elapsed: 0.010s  Memory: 522.00M
-"polygons" in: sky130A_mr.drc:171
-    Polygons (raw): 0 (flat)  0 (hierarchical)
-    Elapsed: 0.000s  Memory: 522.00M
-"polygons" in: sky130A_mr.drc:172
-    Polygons (raw): 0 (flat)  0 (hierarchical)
-    Elapsed: 0.010s  Memory: 522.00M
-"polygons" in: sky130A_mr.drc:173
-    Polygons (raw): 0 (flat)  0 (hierarchical)
-    Elapsed: 0.010s  Memory: 522.00M
-"polygons" in: sky130A_mr.drc:174
-    Polygons (raw): 0 (flat)  0 (hierarchical)
-    Elapsed: 0.000s  Memory: 522.00M
-"polygons" in: sky130A_mr.drc:175
-    Polygons (raw): 0 (flat)  0 (hierarchical)
-    Elapsed: 0.010s  Memory: 522.00M
-"polygons" in: sky130A_mr.drc:176
-    Polygons (raw): 1 (flat)  1 (hierarchical)
-    Elapsed: 0.010s  Memory: 522.00M
-"polygons" in: sky130A_mr.drc:177
-    Polygons (raw): 0 (flat)  0 (hierarchical)
-    Elapsed: 0.010s  Memory: 522.00M
-"polygons" in: sky130A_mr.drc:178
-    Polygons (raw): 0 (flat)  0 (hierarchical)
-    Elapsed: 0.000s  Memory: 522.00M
-"polygons" in: sky130A_mr.drc:179
-    Polygons (raw): 0 (flat)  0 (hierarchical)
-    Elapsed: 0.010s  Memory: 522.00M
-"polygons" in: sky130A_mr.drc:180
-    Polygons (raw): 0 (flat)  0 (hierarchical)
-    Elapsed: 0.010s  Memory: 522.00M
-"polygons" in: sky130A_mr.drc:181
-    Polygons (raw): 0 (flat)  0 (hierarchical)
-    Elapsed: 0.000s  Memory: 522.00M
-"polygons" in: sky130A_mr.drc:182
-    Polygons (raw): 0 (flat)  0 (hierarchical)
-    Elapsed: 0.010s  Memory: 522.00M
-"polygons" in: sky130A_mr.drc:183
-    Polygons (raw): 0 (flat)  0 (hierarchical)
-    Elapsed: 0.010s  Memory: 522.00M
-"polygons" in: sky130A_mr.drc:184
-    Polygons (raw): 0 (flat)  0 (hierarchical)
-    Elapsed: 0.010s  Memory: 522.00M
-"polygons" in: sky130A_mr.drc:185
-    Polygons (raw): 0 (flat)  0 (hierarchical)
-    Elapsed: 0.000s  Memory: 522.00M
-"polygons" in: sky130A_mr.drc:186
-    Polygons (raw): 0 (flat)  0 (hierarchical)
-    Elapsed: 0.010s  Memory: 522.00M
-"polygons" in: sky130A_mr.drc:187
-    Polygons (raw): 0 (flat)  0 (hierarchical)
-    Elapsed: 0.010s  Memory: 522.00M
-"polygons" in: sky130A_mr.drc:188
-    Polygons (raw): 0 (flat)  0 (hierarchical)
-    Elapsed: 0.000s  Memory: 522.00M
-"polygons" in: sky130A_mr.drc:189
-    Polygons (raw): 0 (flat)  0 (hierarchical)
-    Elapsed: 0.010s  Memory: 522.00M
-"polygons" in: sky130A_mr.drc:190
-    Polygons (raw): 0 (flat)  0 (hierarchical)
-    Elapsed: 0.010s  Memory: 522.00M
-"polygons" in: sky130A_mr.drc:191
-    Polygons (raw): 0 (flat)  0 (hierarchical)
-    Elapsed: 0.000s  Memory: 522.00M
-"polygons" in: sky130A_mr.drc:192
-    Polygons (raw): 0 (flat)  0 (hierarchical)
-    Elapsed: 0.010s  Memory: 522.00M
-"polygons" in: sky130A_mr.drc:193
-    Polygons (raw): 0 (flat)  0 (hierarchical)
-    Elapsed: 0.010s  Memory: 522.00M
-"polygons" in: sky130A_mr.drc:194
-    Polygons (raw): 0 (flat)  0 (hierarchical)
-    Elapsed: 0.000s  Memory: 522.00M
-"polygons" in: sky130A_mr.drc:195
-    Polygons (raw): 0 (flat)  0 (hierarchical)
-    Elapsed: 0.010s  Memory: 522.00M
-"polygons" in: sky130A_mr.drc:196
-    Polygons (raw): 0 (flat)  0 (hierarchical)
-    Elapsed: 0.010s  Memory: 522.00M
-"polygons" in: sky130A_mr.drc:197
-    Polygons (raw): 0 (flat)  0 (hierarchical)
-    Elapsed: 0.000s  Memory: 522.00M
-"polygons" in: sky130A_mr.drc:198
-    Polygons (raw): 0 (flat)  0 (hierarchical)
-    Elapsed: 0.010s  Memory: 522.00M
-"polygons" in: sky130A_mr.drc:199
-    Polygons (raw): 1 (flat)  1 (hierarchical)
-    Elapsed: 0.010s  Memory: 522.00M
-"polygons" in: sky130A_mr.drc:200
-    Polygons (raw): 0 (flat)  0 (hierarchical)
-    Elapsed: 0.010s  Memory: 522.00M
-"polygons" in: sky130A_mr.drc:201
-    Polygons (raw): 0 (flat)  0 (hierarchical)
-    Elapsed: 0.000s  Memory: 522.00M
-"polygons" in: sky130A_mr.drc:202
-    Polygons (raw): 0 (flat)  0 (hierarchical)
-    Elapsed: 0.010s  Memory: 522.00M
-"polygons" in: sky130A_mr.drc:203
-    Polygons (raw): 0 (flat)  0 (hierarchical)
-    Elapsed: 0.010s  Memory: 522.00M
-DRC section
-OFFGRID-ANGLES section
-"ongrid" in: sky130A_mr.drc:690
-    Edge pairs: 0 (flat)  0 (hierarchical)
-    Elapsed: 0.000s  Memory: 522.00M
-"output" in: sky130A_mr.drc:690
-    Edge pairs: 0 (flat)  0 (hierarchical)
-    Elapsed: 0.010s  Memory: 522.00M
-"with_angle" in: sky130A_mr.drc:691
-    Edge pairs: 0 (flat)  0 (hierarchical)
-    Elapsed: 0.010s  Memory: 522.00M
-"output" in: sky130A_mr.drc:691
-    Edge pairs: 0 (flat)  0 (hierarchical)
-    Elapsed: 0.000s  Memory: 522.00M
-"ongrid" in: sky130A_mr.drc:692
-    Edge pairs: 0 (flat)  0 (hierarchical)
-    Elapsed: 0.010s  Memory: 522.00M
-"output" in: sky130A_mr.drc:692
-    Edge pairs: 0 (flat)  0 (hierarchical)
-    Elapsed: 0.010s  Memory: 522.00M
-"with_angle" in: sky130A_mr.drc:693
-    Edge pairs: 0 (flat)  0 (hierarchical)
-    Elapsed: 0.000s  Memory: 522.00M
-"output" in: sky130A_mr.drc:693
-    Edge pairs: 0 (flat)  0 (hierarchical)
-    Elapsed: 0.010s  Memory: 522.00M
-"ongrid" in: sky130A_mr.drc:694
-    Edge pairs: 0 (flat)  0 (hierarchical)
-    Elapsed: 0.010s  Memory: 522.00M
-"output" in: sky130A_mr.drc:694
-    Edge pairs: 0 (flat)  0 (hierarchical)
-    Elapsed: 0.000s  Memory: 522.00M
-"with_angle" in: sky130A_mr.drc:695
-    Edge pairs: 0 (flat)  0 (hierarchical)
-    Elapsed: 0.010s  Memory: 522.00M
-"output" in: sky130A_mr.drc:695
-    Edge pairs: 0 (flat)  0 (hierarchical)
-    Elapsed: 0.010s  Memory: 522.00M
-"ongrid" in: sky130A_mr.drc:696
-    Edge pairs: 0 (flat)  0 (hierarchical)
-    Elapsed: 0.000s  Memory: 522.00M
-"output" in: sky130A_mr.drc:696
-    Edge pairs: 0 (flat)  0 (hierarchical)
-    Elapsed: 0.010s  Memory: 522.00M
-"with_angle" in: sky130A_mr.drc:697
-    Edge pairs: 0 (flat)  0 (hierarchical)
-    Elapsed: 0.010s  Memory: 522.00M
-"output" in: sky130A_mr.drc:697
-    Edge pairs: 0 (flat)  0 (hierarchical)
-    Elapsed: 0.000s  Memory: 522.00M
-"ongrid" in: sky130A_mr.drc:698
-    Edge pairs: 0 (flat)  0 (hierarchical)
-    Elapsed: 0.010s  Memory: 522.00M
-"output" in: sky130A_mr.drc:698
-    Edge pairs: 0 (flat)  0 (hierarchical)
-    Elapsed: 0.010s  Memory: 522.00M
-"with_angle" in: sky130A_mr.drc:699
-    Edge pairs: 0 (flat)  0 (hierarchical)
-    Elapsed: 0.000s  Memory: 522.00M
-"output" in: sky130A_mr.drc:699
-    Edge pairs: 0 (flat)  0 (hierarchical)
-    Elapsed: 0.010s  Memory: 522.00M
-"ongrid" in: sky130A_mr.drc:700
-    Edge pairs: 0 (flat)  0 (hierarchical)
-    Elapsed: 0.010s  Memory: 522.00M
-"output" in: sky130A_mr.drc:700
-    Edge pairs: 0 (flat)  0 (hierarchical)
-    Elapsed: 0.000s  Memory: 522.00M
-"with_angle" in: sky130A_mr.drc:701
-    Edge pairs: 0 (flat)  0 (hierarchical)
-    Elapsed: 0.010s  Memory: 522.00M
-"output" in: sky130A_mr.drc:701
-    Edge pairs: 0 (flat)  0 (hierarchical)
-    Elapsed: 0.010s  Memory: 522.00M
-"ongrid" in: sky130A_mr.drc:702
-    Edge pairs: 0 (flat)  0 (hierarchical)
-    Elapsed: 0.010s  Memory: 522.00M
-"output" in: sky130A_mr.drc:702
-    Edge pairs: 0 (flat)  0 (hierarchical)
-    Elapsed: 0.000s  Memory: 522.00M
-"with_angle" in: sky130A_mr.drc:703
-    Edge pairs: 0 (flat)  0 (hierarchical)
-    Elapsed: 0.010s  Memory: 522.00M
-"output" in: sky130A_mr.drc:703
-    Edge pairs: 0 (flat)  0 (hierarchical)
-    Elapsed: 0.010s  Memory: 522.00M
-"ongrid" in: sky130A_mr.drc:704
-    Edge pairs: 0 (flat)  0 (hierarchical)
-    Elapsed: 0.000s  Memory: 522.00M
-"output" in: sky130A_mr.drc:704
-    Edge pairs: 0 (flat)  0 (hierarchical)
-    Elapsed: 0.010s  Memory: 522.00M
-"with_angle" in: sky130A_mr.drc:705
-    Edge pairs: 0 (flat)  0 (hierarchical)
-    Elapsed: 0.010s  Memory: 522.00M
-"output" in: sky130A_mr.drc:705
-    Edge pairs: 0 (flat)  0 (hierarchical)
-    Elapsed: 0.000s  Memory: 522.00M
-"ongrid" in: sky130A_mr.drc:706
-    Edge pairs: 0 (flat)  0 (hierarchical)
-    Elapsed: 0.010s  Memory: 522.00M
-"output" in: sky130A_mr.drc:706
-    Edge pairs: 0 (flat)  0 (hierarchical)
-    Elapsed: 0.010s  Memory: 522.00M
-"ongrid" in: sky130A_mr.drc:707
-    Edge pairs: 0 (flat)  0 (hierarchical)
-    Elapsed: 0.000s  Memory: 522.00M
-"output" in: sky130A_mr.drc:707
-    Edge pairs: 0 (flat)  0 (hierarchical)
-    Elapsed: 0.010s  Memory: 522.00M
-"and" in: sky130A_mr.drc:708
-    Polygons (raw): 0 (flat)  0 (hierarchical)
-    Elapsed: 0.010s  Memory: 522.00M
-"not" in: sky130A_mr.drc:708
-    Polygons (raw): 10 (flat)  10 (hierarchical)
-    Elapsed: 0.000s  Memory: 522.00M
-"with_angle" in: sky130A_mr.drc:708
-    Edge pairs: 0 (flat)  0 (hierarchical)
-    Elapsed: 0.010s  Memory: 522.00M
-"output" in: sky130A_mr.drc:708
-    Edge pairs: 0 (flat)  0 (hierarchical)
-    Elapsed: 0.010s  Memory: 522.00M
-"and" in: sky130A_mr.drc:709
-    Polygons (raw): 0 (flat)  0 (hierarchical)
-    Elapsed: 0.000s  Memory: 522.00M
-"and" in: sky130A_mr.drc:709
-    Polygons (raw): 0 (flat)  0 (hierarchical)
-    Elapsed: 0.010s  Memory: 522.00M
-"with_angle" in: sky130A_mr.drc:709
-    Edge pairs: 0 (flat)  0 (hierarchical)
-    Elapsed: 0.010s  Memory: 522.00M
-"output" in: sky130A_mr.drc:709
-    Edge pairs: 0 (flat)  0 (hierarchical)
-    Elapsed: 0.000s  Memory: 522.00M
-"and" in: sky130A_mr.drc:710
-    Polygons (raw): 0 (flat)  0 (hierarchical)
-    Elapsed: 0.010s  Memory: 522.00M
-"not" in: sky130A_mr.drc:710
-    Polygons (raw): 3 (flat)  3 (hierarchical)
-    Elapsed: 0.010s  Memory: 522.00M
-"with_angle" in: sky130A_mr.drc:710
-    Edge pairs: 0 (flat)  0 (hierarchical)
-    Elapsed: 0.000s  Memory: 522.00M
-"output" in: sky130A_mr.drc:710
-    Edge pairs: 0 (flat)  0 (hierarchical)
-    Elapsed: 0.010s  Memory: 522.00M
-"and" in: sky130A_mr.drc:711
-    Polygons (raw): 0 (flat)  0 (hierarchical)
-    Elapsed: 0.010s  Memory: 522.00M
-"and" in: sky130A_mr.drc:711
-    Polygons (raw): 0 (flat)  0 (hierarchical)
-    Elapsed: 0.000s  Memory: 522.00M
-"with_angle" in: sky130A_mr.drc:711
-    Edge pairs: 0 (flat)  0 (hierarchical)
-    Elapsed: 0.010s  Memory: 522.00M
-"output" in: sky130A_mr.drc:711
-    Edge pairs: 0 (flat)  0 (hierarchical)
-    Elapsed: 0.010s  Memory: 522.00M
-"ongrid" in: sky130A_mr.drc:712
-    Edge pairs: 0 (flat)  0 (hierarchical)
-    Elapsed: 0.000s  Memory: 522.00M
-"output" in: sky130A_mr.drc:712
-    Edge pairs: 0 (flat)  0 (hierarchical)
-    Elapsed: 0.010s  Memory: 522.00M
-"with_angle" in: sky130A_mr.drc:713
-    Edge pairs: 0 (flat)  0 (hierarchical)
-    Elapsed: 0.010s  Memory: 522.00M
-"output" in: sky130A_mr.drc:713
-    Edge pairs: 0 (flat)  0 (hierarchical)
-    Elapsed: 0.000s  Memory: 522.00M
-"ongrid" in: sky130A_mr.drc:714
-    Edge pairs: 0 (flat)  0 (hierarchical)
-    Elapsed: 0.010s  Memory: 522.00M
-"output" in: sky130A_mr.drc:714
-    Edge pairs: 0 (flat)  0 (hierarchical)
-    Elapsed: 0.010s  Memory: 522.00M
-"with_angle" in: sky130A_mr.drc:715
-    Edge pairs: 0 (flat)  0 (hierarchical)
-    Elapsed: 0.000s  Memory: 522.00M
-"output" in: sky130A_mr.drc:715
-    Edge pairs: 0 (flat)  0 (hierarchical)
-    Elapsed: 0.010s  Memory: 522.00M
-"ongrid" in: sky130A_mr.drc:716
-    Edge pairs: 0 (flat)  0 (hierarchical)
-    Elapsed: 0.010s  Memory: 522.00M
-"output" in: sky130A_mr.drc:716
-    Edge pairs: 0 (flat)  0 (hierarchical)
-    Elapsed: 0.000s  Memory: 522.00M
-"with_angle" in: sky130A_mr.drc:717
-    Edge pairs: 0 (flat)  0 (hierarchical)
-    Elapsed: 0.010s  Memory: 522.00M
-"output" in: sky130A_mr.drc:717
-    Edge pairs: 0 (flat)  0 (hierarchical)
-    Elapsed: 0.010s  Memory: 522.00M
-"ongrid" in: sky130A_mr.drc:718
-    Edge pairs: 0 (flat)  0 (hierarchical)
-    Elapsed: 0.000s  Memory: 522.00M
-"output" in: sky130A_mr.drc:718
-    Edge pairs: 0 (flat)  0 (hierarchical)
-    Elapsed: 0.010s  Memory: 522.00M
-"with_angle" in: sky130A_mr.drc:719
-    Edge pairs: 0 (flat)  0 (hierarchical)
-    Elapsed: 0.010s  Memory: 522.00M
-"output" in: sky130A_mr.drc:719
-    Edge pairs: 0 (flat)  0 (hierarchical)
-    Elapsed: 0.000s  Memory: 522.00M
-"ongrid" in: sky130A_mr.drc:720
-    Edge pairs: 0 (flat)  0 (hierarchical)
-    Elapsed: 0.010s  Memory: 522.00M
-"output" in: sky130A_mr.drc:720
-    Edge pairs: 0 (flat)  0 (hierarchical)
-    Elapsed: 0.010s  Memory: 522.00M
-"with_angle" in: sky130A_mr.drc:721
-    Edge pairs: 0 (flat)  0 (hierarchical)
-    Elapsed: 0.000s  Memory: 522.00M
-"output" in: sky130A_mr.drc:721
-    Edge pairs: 0 (flat)  0 (hierarchical)
-    Elapsed: 0.010s  Memory: 522.00M
-"ongrid" in: sky130A_mr.drc:722
-    Edge pairs: 0 (flat)  0 (hierarchical)
-    Elapsed: 0.010s  Memory: 522.00M
-"output" in: sky130A_mr.drc:722
-    Edge pairs: 0 (flat)  0 (hierarchical)
-    Elapsed: 0.000s  Memory: 522.00M
-"with_angle" in: sky130A_mr.drc:723
-    Edge pairs: 0 (flat)  0 (hierarchical)
-    Elapsed: 0.010s  Memory: 522.00M
-"output" in: sky130A_mr.drc:723
-    Edge pairs: 0 (flat)  0 (hierarchical)
-    Elapsed: 0.010s  Memory: 522.00M
-"ongrid" in: sky130A_mr.drc:724
-    Edge pairs: 0 (flat)  0 (hierarchical)
-    Elapsed: 0.000s  Memory: 522.00M
-"output" in: sky130A_mr.drc:724
-    Edge pairs: 0 (flat)  0 (hierarchical)
-    Elapsed: 0.010s  Memory: 522.00M
-"with_angle" in: sky130A_mr.drc:725
-    Edge pairs: 0 (flat)  0 (hierarchical)
-    Elapsed: 0.010s  Memory: 522.00M
-"output" in: sky130A_mr.drc:725
-    Edge pairs: 0 (flat)  0 (hierarchical)
-    Elapsed: 0.000s  Memory: 522.00M
-"ongrid" in: sky130A_mr.drc:726
-    Edge pairs: 0 (flat)  0 (hierarchical)
-    Elapsed: 0.000s  Memory: 522.00M
-"output" in: sky130A_mr.drc:726
-    Edge pairs: 0 (flat)  0 (hierarchical)
-    Elapsed: 0.010s  Memory: 522.00M
-"with_angle" in: sky130A_mr.drc:727
-    Edge pairs: 0 (flat)  0 (hierarchical)
-    Elapsed: 0.010s  Memory: 522.00M
-"output" in: sky130A_mr.drc:727
-    Edge pairs: 0 (flat)  0 (hierarchical)
-    Elapsed: 0.000s  Memory: 522.00M
-"ongrid" in: sky130A_mr.drc:728
-    Edge pairs: 0 (flat)  0 (hierarchical)
-    Elapsed: 0.010s  Memory: 522.00M
-"output" in: sky130A_mr.drc:728
-    Edge pairs: 0 (flat)  0 (hierarchical)
-    Elapsed: 0.010s  Memory: 522.00M
-"with_angle" in: sky130A_mr.drc:729
-    Edge pairs: 0 (flat)  0 (hierarchical)
-    Elapsed: 0.000s  Memory: 522.00M
-"output" in: sky130A_mr.drc:729
-    Edge pairs: 0 (flat)  0 (hierarchical)
-    Elapsed: 0.010s  Memory: 522.00M
-"ongrid" in: sky130A_mr.drc:730
-    Edge pairs: 0 (flat)  0 (hierarchical)
-    Elapsed: 0.010s  Memory: 522.00M
-"output" in: sky130A_mr.drc:730
-    Edge pairs: 0 (flat)  0 (hierarchical)
-    Elapsed: 0.000s  Memory: 522.00M
-"with_angle" in: sky130A_mr.drc:731
-    Edge pairs: 0 (flat)  0 (hierarchical)
-    Elapsed: 0.010s  Memory: 522.00M
-"output" in: sky130A_mr.drc:731
-    Edge pairs: 0 (flat)  0 (hierarchical)
-    Elapsed: 0.010s  Memory: 522.00M
-"ongrid" in: sky130A_mr.drc:732
-    Edge pairs: 0 (flat)  0 (hierarchical)
-    Elapsed: 0.000s  Memory: 522.00M
-"output" in: sky130A_mr.drc:732
-    Edge pairs: 0 (flat)  0 (hierarchical)
-    Elapsed: 0.010s  Memory: 522.00M
-"with_angle" in: sky130A_mr.drc:733
-    Edge pairs: 0 (flat)  0 (hierarchical)
-    Elapsed: 0.010s  Memory: 522.00M
-"output" in: sky130A_mr.drc:733
-    Edge pairs: 0 (flat)  0 (hierarchical)
-    Elapsed: 0.000s  Memory: 522.00M
-"ongrid" in: sky130A_mr.drc:734
-    Edge pairs: 0 (flat)  0 (hierarchical)
-    Elapsed: 0.010s  Memory: 522.00M
-"output" in: sky130A_mr.drc:734
-    Edge pairs: 0 (flat)  0 (hierarchical)
-    Elapsed: 0.010s  Memory: 522.00M
-"with_angle" in: sky130A_mr.drc:735
-    Edge pairs: 0 (flat)  0 (hierarchical)
-    Elapsed: 0.000s  Memory: 522.00M
-"output" in: sky130A_mr.drc:735
-    Edge pairs: 0 (flat)  0 (hierarchical)
-    Elapsed: 0.010s  Memory: 522.00M
-"ongrid" in: sky130A_mr.drc:736
-    Edge pairs: 0 (flat)  0 (hierarchical)
-    Elapsed: 0.010s  Memory: 522.00M
-"output" in: sky130A_mr.drc:736
-    Edge pairs: 0 (flat)  0 (hierarchical)
-    Elapsed: 0.010s  Memory: 522.00M
-"with_angle" in: sky130A_mr.drc:737
-    Edge pairs: 0 (flat)  0 (hierarchical)
-    Elapsed: 0.000s  Memory: 522.00M
-"output" in: sky130A_mr.drc:737
-    Edge pairs: 0 (flat)  0 (hierarchical)
-    Elapsed: 0.010s  Memory: 522.00M
-"ongrid" in: sky130A_mr.drc:738
-    Edge pairs: 0 (flat)  0 (hierarchical)
-    Elapsed: 0.010s  Memory: 522.00M
-"output" in: sky130A_mr.drc:738
-    Edge pairs: 0 (flat)  0 (hierarchical)
-    Elapsed: 0.010s  Memory: 522.00M
-"with_angle" in: sky130A_mr.drc:739
-    Edge pairs: 0 (flat)  0 (hierarchical)
-    Elapsed: 0.000s  Memory: 522.00M
-"output" in: sky130A_mr.drc:739
-    Edge pairs: 0 (flat)  0 (hierarchical)
-    Elapsed: 0.010s  Memory: 522.00M
-"ongrid" in: sky130A_mr.drc:740
-    Edge pairs: 0 (flat)  0 (hierarchical)
-    Elapsed: 0.010s  Memory: 522.00M
-"output" in: sky130A_mr.drc:740
-    Edge pairs: 0 (flat)  0 (hierarchical)
-    Elapsed: 0.000s  Memory: 522.00M
-"with_angle" in: sky130A_mr.drc:741
-    Edge pairs: 0 (flat)  0 (hierarchical)
-    Elapsed: 0.000s  Memory: 522.00M
-"output" in: sky130A_mr.drc:741
-    Edge pairs: 0 (flat)  0 (hierarchical)
-    Elapsed: 0.010s  Memory: 522.00M
-"ongrid" in: sky130A_mr.drc:742
-    Edge pairs: 0 (flat)  0 (hierarchical)
-    Elapsed: 0.090s  Memory: 524.00M
-"output" in: sky130A_mr.drc:742
-    Edge pairs: 0 (flat)  0 (hierarchical)
-    Elapsed: 0.000s  Memory: 524.00M
-"with_angle" in: sky130A_mr.drc:743
-    Edge pairs: 0 (flat)  0 (hierarchical)
-    Elapsed: 0.020s  Memory: 524.00M
-"output" in: sky130A_mr.drc:743
-    Edge pairs: 0 (flat)  0 (hierarchical)
-    Elapsed: 0.000s  Memory: 524.00M
-"ongrid" in: sky130A_mr.drc:744
-    Edge pairs: 0 (flat)  0 (hierarchical)
-    Elapsed: 0.010s  Memory: 524.00M
-"output" in: sky130A_mr.drc:744
-    Edge pairs: 0 (flat)  0 (hierarchical)
-    Elapsed: 0.010s  Memory: 524.00M
-"with_angle" in: sky130A_mr.drc:745
-    Edge pairs: 0 (flat)  0 (hierarchical)
-    Elapsed: 0.000s  Memory: 524.00M
-"output" in: sky130A_mr.drc:745
-    Edge pairs: 0 (flat)  0 (hierarchical)
-    Elapsed: 0.010s  Memory: 524.00M
-"ongrid" in: sky130A_mr.drc:746
-    Edge pairs: 0 (flat)  0 (hierarchical)
-    Elapsed: 0.010s  Memory: 524.00M
-"output" in: sky130A_mr.drc:746
-    Edge pairs: 0 (flat)  0 (hierarchical)
-    Elapsed: 0.000s  Memory: 524.00M
-"with_angle" in: sky130A_mr.drc:747
-    Edge pairs: 0 (flat)  0 (hierarchical)
-    Elapsed: 0.010s  Memory: 524.00M
-"output" in: sky130A_mr.drc:747
-    Edge pairs: 0 (flat)  0 (hierarchical)
-    Elapsed: 0.010s  Memory: 524.00M
-"ongrid" in: sky130A_mr.drc:748
-    Edge pairs: 0 (flat)  0 (hierarchical)
-    Elapsed: 0.010s  Memory: 524.00M
-"output" in: sky130A_mr.drc:748
-    Edge pairs: 0 (flat)  0 (hierarchical)
-    Elapsed: 0.000s  Memory: 524.00M
-"with_angle" in: sky130A_mr.drc:749
-    Edge pairs: 0 (flat)  0 (hierarchical)
-    Elapsed: 0.010s  Memory: 524.00M
-"output" in: sky130A_mr.drc:749
-    Edge pairs: 0 (flat)  0 (hierarchical)
-    Elapsed: 0.010s  Memory: 524.00M
-"ongrid" in: sky130A_mr.drc:750
-    Edge pairs: 0 (flat)  0 (hierarchical)
-    Elapsed: 0.010s  Memory: 524.00M
-"output" in: sky130A_mr.drc:750
-    Edge pairs: 0 (flat)  0 (hierarchical)
-    Elapsed: 0.000s  Memory: 524.00M
-"with_angle" in: sky130A_mr.drc:751
-    Edge pairs: 0 (flat)  0 (hierarchical)
-    Elapsed: 0.010s  Memory: 524.00M
-"output" in: sky130A_mr.drc:751
-    Edge pairs: 0 (flat)  0 (hierarchical)
-    Elapsed: 0.010s  Memory: 524.00M
-"ongrid" in: sky130A_mr.drc:752
-    Edge pairs: 0 (flat)  0 (hierarchical)
-    Elapsed: 0.000s  Memory: 524.00M
-"output" in: sky130A_mr.drc:752
-    Edge pairs: 0 (flat)  0 (hierarchical)
-    Elapsed: 0.010s  Memory: 524.00M
-"with_angle" in: sky130A_mr.drc:753
-    Edge pairs: 0 (flat)  0 (hierarchical)
-    Elapsed: 0.010s  Memory: 524.00M
-"output" in: sky130A_mr.drc:753
-    Edge pairs: 0 (flat)  0 (hierarchical)
-    Elapsed: 0.000s  Memory: 524.00M
-"ongrid" in: sky130A_mr.drc:754
-    Edge pairs: 0 (flat)  0 (hierarchical)
-    Elapsed: 0.010s  Memory: 524.00M
-"output" in: sky130A_mr.drc:754
-    Edge pairs: 0 (flat)  0 (hierarchical)
-    Elapsed: 0.010s  Memory: 524.00M
-"with_angle" in: sky130A_mr.drc:755
-    Edge pairs: 0 (flat)  0 (hierarchical)
-    Elapsed: 0.000s  Memory: 524.00M
-"output" in: sky130A_mr.drc:755
-    Edge pairs: 0 (flat)  0 (hierarchical)
-    Elapsed: 0.010s  Memory: 524.00M
-"ongrid" in: sky130A_mr.drc:756
-    Edge pairs: 0 (flat)  0 (hierarchical)
-    Elapsed: 0.010s  Memory: 524.00M
-"output" in: sky130A_mr.drc:756
-    Edge pairs: 0 (flat)  0 (hierarchical)
-    Elapsed: 0.000s  Memory: 524.00M
-"with_angle" in: sky130A_mr.drc:757
-    Edge pairs: 0 (flat)  0 (hierarchical)
-    Elapsed: 0.010s  Memory: 524.00M
-"output" in: sky130A_mr.drc:757
-    Edge pairs: 0 (flat)  0 (hierarchical)
-    Elapsed: 0.010s  Memory: 524.00M
-"ongrid" in: sky130A_mr.drc:758
-    Edge pairs: 0 (flat)  0 (hierarchical)
-    Elapsed: 0.000s  Memory: 524.00M
-"output" in: sky130A_mr.drc:758
-    Edge pairs: 0 (flat)  0 (hierarchical)
-    Elapsed: 0.010s  Memory: 524.00M
-"with_angle" in: sky130A_mr.drc:759
-    Edge pairs: 0 (flat)  0 (hierarchical)
-    Elapsed: 0.010s  Memory: 524.00M
-"output" in: sky130A_mr.drc:759
-    Edge pairs: 0 (flat)  0 (hierarchical)
-    Elapsed: 0.010s  Memory: 524.00M
-"ongrid" in: sky130A_mr.drc:760
-    Edge pairs: 0 (flat)  0 (hierarchical)
-    Elapsed: 0.000s  Memory: 524.00M
-"output" in: sky130A_mr.drc:760
-    Edge pairs: 0 (flat)  0 (hierarchical)
-    Elapsed: 0.010s  Memory: 524.00M
-"with_angle" in: sky130A_mr.drc:761
-    Edge pairs: 0 (flat)  0 (hierarchical)
-    Elapsed: 0.010s  Memory: 524.00M
-"output" in: sky130A_mr.drc:761
-    Edge pairs: 0 (flat)  0 (hierarchical)
-    Elapsed: 0.000s  Memory: 524.00M
-"ongrid" in: sky130A_mr.drc:762
-    Edge pairs: 0 (flat)  0 (hierarchical)
-    Elapsed: 0.010s  Memory: 524.00M
-"output" in: sky130A_mr.drc:762
-    Edge pairs: 0 (flat)  0 (hierarchical)
-    Elapsed: 0.010s  Memory: 524.00M
-"with_angle" in: sky130A_mr.drc:763
-    Edge pairs: 0 (flat)  0 (hierarchical)
-    Elapsed: 0.000s  Memory: 524.00M
-"output" in: sky130A_mr.drc:763
-    Edge pairs: 0 (flat)  0 (hierarchical)
-    Elapsed: 0.010s  Memory: 524.00M
-"ongrid" in: sky130A_mr.drc:764
-    Edge pairs: 0 (flat)  0 (hierarchical)
-    Elapsed: 0.010s  Memory: 524.00M
-"output" in: sky130A_mr.drc:764
-    Edge pairs: 0 (flat)  0 (hierarchical)
-    Elapsed: 0.000s  Memory: 524.00M
-"with_angle" in: sky130A_mr.drc:765
-    Edge pairs: 0 (flat)  0 (hierarchical)
-    Elapsed: 0.010s  Memory: 524.00M
-"output" in: sky130A_mr.drc:765
-    Edge pairs: 0 (flat)  0 (hierarchical)
-    Elapsed: 0.010s  Memory: 524.00M
-"ongrid" in: sky130A_mr.drc:766
-    Edge pairs: 0 (flat)  0 (hierarchical)
-    Elapsed: 0.000s  Memory: 524.00M
-"output" in: sky130A_mr.drc:766
-    Edge pairs: 0 (flat)  0 (hierarchical)
-    Elapsed: 0.010s  Memory: 524.00M
-Writing report database: /home/wisla/sky130_skel/Myschematics/Receptor/receiver/precheck_results/08_JUN_2022___02_52_56/outputs/reports/klayout_offgrid_check.xml ..
-Total elapsed: 1.820s  Memory: 524.00M
diff --git a/precheck_results/08_JUN_2022___02_52_56/logs/klayout_offgrid_check.total b/precheck_results/08_JUN_2022___02_52_56/logs/klayout_offgrid_check.total
deleted file mode 100644
index c227083..0000000
--- a/precheck_results/08_JUN_2022___02_52_56/logs/klayout_offgrid_check.total
+++ /dev/null
@@ -1 +0,0 @@
-0
\ No newline at end of file
diff --git a/precheck_results/08_JUN_2022___02_52_56/logs/klayout_pin_label_purposes_overlapping_drawing_check.log b/precheck_results/08_JUN_2022___02_52_56/logs/klayout_pin_label_purposes_overlapping_drawing_check.log
deleted file mode 100644
index f090961..0000000
--- a/precheck_results/08_JUN_2022___02_52_56/logs/klayout_pin_label_purposes_overlapping_drawing_check.log
+++ /dev/null
@@ -1,29 +0,0 @@
-Running pin_label_purposes_overlapping_drawing.rb.drc on file=/home/wisla/sky130_skel/Myschematics/Receptor/receiver/gds/user_analog_project_wrapper.gds, topcell=user_analog_project_wrapper, output to /home/wisla/sky130_skel/Myschematics/Receptor/receiver/precheck_results/08_JUN_2022___02_52_56/outputs/reports/klayout_pin_label_purposes_overlapping_drawing_check.xml
-  deep:true tiled:false threads:4
---- #err|description, table for cell: user_analog_project_wrapper
-NO-Check ----        pwell:64/44/EMP   122/16/EMP    64/59/EMP    44/16/EMP     44/5/EMP
-         ----        nwell:64/20/dat    64/16/EMP     64/5/EMP
-         ----         diff:65/20/dat    65/16/EMP     65/6/EMP
-         ----          tap:65/44/dat    65/48/EMP     65/5/EMP
-         ----         poly:66/20/dat    66/16/EMP     66/5/EMP
-         ----       licon1:66/44/dat    66/58/EMP
-         ----          li1:67/20/dat    67/16/dat     67/5/EMP
-         ----         mcon:67/44/dat    67/48/EMP
-         ----         met1:68/20/dat    68/16/EMP     68/5/EMP
-         ----          via:68/44/dat    68/58/EMP
-         ----         met2:69/20/dat    69/16/EMP     69/5/dat
-         ----         via2:69/44/dat    69/58/EMP
-         ----         met3:70/20/dat    70/16/EMP     70/5/dat
-         ----         via3:70/44/dat    70/48/EMP
-         ----         met4:71/20/dat    71/16/EMP     71/5/dat
-         ----         via4:71/44/dat    71/48/EMP
-         ----         met5:72/20/dat    72/16/dat     72/5/dat
-         ----          pad:76/20/EMP     76/5/EMP    76/16/EMP
-         ----          pnp:82/44/EMP    82/59/EMP
-         ----          npn:82/20/EMP     82/5/EMP
-         ----          rdl:74/20/EMP    74/16/EMP     74/5/EMP
-         ----     inductor:82/24/EMP    82/25/EMP
-       0 total error(s) among 0 error type(s), 33 checks, cell: user_analog_project_wrapper
-Writing report...
-VmPeak:	 1189376 kB
-VmHWM:	  231592 kB
diff --git a/precheck_results/08_JUN_2022___02_52_56/logs/klayout_pin_label_purposes_overlapping_drawing_check.total b/precheck_results/08_JUN_2022___02_52_56/logs/klayout_pin_label_purposes_overlapping_drawing_check.total
deleted file mode 100644
index c227083..0000000
--- a/precheck_results/08_JUN_2022___02_52_56/logs/klayout_pin_label_purposes_overlapping_drawing_check.total
+++ /dev/null
@@ -1 +0,0 @@
-0
\ No newline at end of file
diff --git a/precheck_results/08_JUN_2022___02_52_56/logs/klayout_zeroarea_check.log b/precheck_results/08_JUN_2022___02_52_56/logs/klayout_zeroarea_check.log
deleted file mode 100644
index 85acca2..0000000
--- a/precheck_results/08_JUN_2022___02_52_56/logs/klayout_zeroarea_check.log
+++ /dev/null
@@ -1,4 +0,0 @@
-0 zero-area shapes
-writing to /home/wisla/sky130_skel/Myschematics/Receptor/receiver/precheck_results/08_JUN_2022___02_52_56/outputs/user_analog_project_wrapper_no_zero_areas.gds
-VmPeak:	  536672 kB
-VmHWM:	  232188 kB
diff --git a/precheck_results/08_JUN_2022___02_52_56/logs/klayout_zeroarea_check.total b/precheck_results/08_JUN_2022___02_52_56/logs/klayout_zeroarea_check.total
deleted file mode 100644
index c227083..0000000
--- a/precheck_results/08_JUN_2022___02_52_56/logs/klayout_zeroarea_check.total
+++ /dev/null
@@ -1 +0,0 @@
-0
\ No newline at end of file
diff --git a/precheck_results/08_JUN_2022___02_52_56/logs/magic_drc_check.log b/precheck_results/08_JUN_2022___02_52_56/logs/magic_drc_check.log
deleted file mode 100644
index f32c2bc..0000000
--- a/precheck_results/08_JUN_2022___02_52_56/logs/magic_drc_check.log
+++ /dev/null
@@ -1,30 +0,0 @@
-
-Magic 8.3 revision 274 - Compiled on Fri Mar  4 22:54:15 UTC 2022.
-Starting magic under Tcl interpreter
-Using the terminal as the console.
-Using NULL graphics device.
-Processing system .magicrc file
-Sourcing design .magicrc for technology sky130A ...
-2 Magic internal units = 1 Lambda
-Input style sky130: scaleFactor=2, multiplier=2
-The following types are not handled by extraction and will be treated as non-electrical types:
-    nnmos obsactive mvobsactive obsli1 obsm1 obsm2 obsm3 obsm4 obsm5 obsmrdl fillblock comment obscomment res0p35 res0p69 res1p41 res2p85 res5p73 
-Scaled tech values by 2 / 1 to match internal grid scaling
-Loading sky130A Device Generator Menu ...
-Loading "/home/wisla/mpw_precheck/checks/drc_checks/magic/magic_drc_check.tcl" from command line.
-Warning: Calma reading is not undoable!  I hope that's OK.
-Library written using GDS-II Release 3.0
-Library name: user_analog_project_wrapper
-Reading "ota".
-Reading "detector".
-Reading "user_analog_project_wrapper".
-[INFO]: Loading user_analog_project_wrapper
-
-DRC style is now "drc(full)"
-Loading DRC CIF style.
-No errors found.
-[INFO]: COUNT: 0
-[INFO]: Should be divided by 3 or 4
-[INFO]: DRC Checking DONE (/home/wisla/sky130_skel/Myschematics/Receptor/receiver/precheck_results/08_JUN_2022___02_52_56/outputs/reports/magic_drc_check.drc.report)
-[INFO]: Saving mag view with DRC errors(/home/wisla/sky130_skel/Myschematics/Receptor/receiver/precheck_results/08_JUN_2022___02_52_56/outputs/user_analog_project_wrapper.magic.drc.mag)
-[INFO]: Saved
diff --git a/precheck_results/08_JUN_2022___02_52_56/logs/magic_drc_check.total b/precheck_results/08_JUN_2022___02_52_56/logs/magic_drc_check.total
deleted file mode 100644
index c227083..0000000
--- a/precheck_results/08_JUN_2022___02_52_56/logs/magic_drc_check.total
+++ /dev/null
@@ -1 +0,0 @@
-0
\ No newline at end of file
diff --git a/precheck_results/08_JUN_2022___02_52_56/logs/pdks.info b/precheck_results/08_JUN_2022___02_52_56/logs/pdks.info
deleted file mode 100644
index 41b7e0d..0000000
--- a/precheck_results/08_JUN_2022___02_52_56/logs/pdks.info
+++ /dev/null
@@ -1,2 +0,0 @@
-Open PDKs 32cdb2097fd9a629c91e8ea33e1f6de08ab25946
-Skywater PDK 5a57f505cd4cd65d10e9f37dd2d259a526bc9bf7
\ No newline at end of file
diff --git a/precheck_results/08_JUN_2022___02_52_56/logs/precheck.log b/precheck_results/08_JUN_2022___02_52_56/logs/precheck.log
deleted file mode 100644
index 73e649d..0000000
--- a/precheck_results/08_JUN_2022___02_52_56/logs/precheck.log
+++ /dev/null
@@ -1,62 +0,0 @@
-2022-06-08 02:52:56 - [INFO] - {{EXTRACTING FILES}} Extracting compressed files in: /home/wisla/sky130_skel/Myschematics/Receptor/receiver
-2022-06-08 02:52:56 - [INFO] - {{Project Type Info}} analog
-2022-06-08 02:52:56 - [INFO] - {{Project GDS Info}} user_analog_project_wrapper: 8f08951dbf93d463ffea71e1885464be0c12dc23
-2022-06-08 02:52:56 - [INFO] - {{Tools Info}} KLayout: v0.27.8 | Magic: v8.3.274
-2022-06-08 02:52:56 - [INFO] - {{PDKs Info}} Open PDKs: 32cdb2097fd9a629c91e8ea33e1f6de08ab25946 | Skywater PDK: 5a57f505cd4cd65d10e9f37dd2d259a526bc9bf7
-2022-06-08 02:52:56 - [INFO] - {{START}} Precheck Started, the full log 'precheck.log' will be located in '/home/wisla/sky130_skel/Myschematics/Receptor/receiver/precheck_results/08_JUN_2022___02_52_56/logs'
-2022-06-08 02:52:56 - [INFO] - {{PRECHECK SEQUENCE}} Precheck will run the following checks: [License, Makefile, Default, Documentation, Consistency, XOR, Magic DRC, Klayout FEOL, Klayout BEOL, Klayout Offgrid, Klayout Metal Minimum Clear Area Density, Klayout Pin Label Purposes Overlapping Drawing, Klayout ZeroArea]
-2022-06-08 02:52:56 - [INFO] - {{STEP UPDATE}} Executing Check 1 of 13: License
-2022-06-08 02:52:57 - [INFO] - An approved LICENSE (Apache-2.0) was found in /home/wisla/sky130_skel/Myschematics/Receptor/receiver.
-2022-06-08 02:52:57 - [INFO] - {{MAIN LICENSE CHECK PASSED}} An approved LICENSE was found in project root.
-2022-06-08 02:52:58 - [INFO] - An approved LICENSE (Apache-2.0) was found in /home/wisla/sky130_skel/Myschematics/Receptor/receiver.
-2022-06-08 02:52:59 - [INFO] - An approved LICENSE (Apache-2.0) was found in /home/wisla/sky130_skel/Myschematics/Receptor/receiver.
-2022-06-08 02:53:00 - [INFO] - An approved LICENSE (Apache-2.0) was found in /home/wisla/sky130_skel/Myschematics/Receptor/receiver.
-2022-06-08 02:53:00 - [INFO] - {{SUBMODULES LICENSE CHECK PASSED}} No prohibited LICENSE file(s) was found in project submodules
-2022-06-08 02:53:00 - [WARNING] - {{SPDX COMPLIANCE CHECK FAILED}} Found 25 non-compliant file(s) with the SPDX Standard.
-2022-06-08 02:53:00 - [INFO] - SPDX COMPLIANCE: NON-COMPLIANT FILE(S) PREVIEW: ['/home/wisla/sky130_skel/Myschematics/Receptor/receiver/Makefile', '/home/wisla/sky130_skel/Myschematics/Receptor/receiver/netgen/run_lvs_por.sh', '/home/wisla/sky130_skel/Myschematics/Receptor/receiver/netgen/run_lvs_wrapper_xschem.sh', '/home/wisla/sky130_skel/Myschematics/Receptor/receiver/netgen/setup.tcl', '/home/wisla/sky130_skel/Myschematics/Receptor/receiver/netgen/run_lvs_ota.sh', '/home/wisla/sky130_skel/Myschematics/Receptor/receiver/xschem/.spiceinit', '/home/wisla/sky130_skel/Myschematics/Receptor/receiver/xschem/analog_wrapper_tb.sch', '/home/wisla/sky130_skel/Myschematics/Receptor/receiver/xschem/user_analog_project_wrapper.sch', '/home/wisla/sky130_skel/Myschematics/Receptor/receiver/xschem/user_analog_project_wrapper.sym', '/home/wisla/sky130_skel/Myschematics/Receptor/receiver/xschem/sky130_fd_pr.patch', '/home/wisla/sky130_skel/Myschematics/Receptor/receiver/xschem/xschemrc', '/home/wisla/sky130_skel/Myschematics/Receptor/receiver/xschem/detectorPassivo.sch', '/home/wisla/sky130_skel/Myschematics/Receptor/receiver/xschem/detectorPassivo.sym', '/home/wisla/sky130_skel/Myschematics/Receptor/receiver/xschem/OTA.sch', '/home/wisla/sky130_skel/Myschematics/Receptor/receiver/xschem/OTA.sym']
-2022-06-08 02:53:00 - [INFO] - For the full SPDX compliance report check: /home/wisla/sky130_skel/Myschematics/Receptor/receiver/precheck_results/08_JUN_2022___02_52_56/logs/spdx_compliance_report.log
-2022-06-08 02:53:00 - [INFO] - {{STEP UPDATE}} Executing Check 2 of 13: Makefile
-2022-06-08 02:53:00 - [INFO] - {{MAKEFILE CHECK PASSED}} Makefile valid.
-2022-06-08 02:53:00 - [INFO] - {{STEP UPDATE}} Executing Check 3 of 13: Default
-2022-06-08 02:53:00 - [INFO] - {{README DEFAULT CHECK PASSED}} Project 'README.md' was modified and is not identical to the default 'README.md'
-2022-06-08 02:53:01 - [INFO] - {{CONTENT DEFAULT CHECK PASSED}} Project 'gds' was modified and is not identical to the default 'gds'
-2022-06-08 02:53:01 - [INFO] - {{STEP UPDATE}} Executing Check 4 of 13: Documentation
-2022-06-08 02:53:01 - [INFO] - {{DOCUMENTATION CHECK PASSED}} Project documentation is appropriate.
-2022-06-08 02:53:01 - [INFO] - {{STEP UPDATE}} Executing Check 5 of 13: Consistency
-2022-06-08 02:53:02 - [INFO] - HIERARCHY CHECK PASSED: Module user_analog_project_wrapper is instantiated in caravan. 
-2022-06-08 02:53:02 - [INFO] - COMPLEXITY CHECK PASSED: Netlist caravan contains at least 8 instances (68 instances). 
-2022-06-08 02:53:02 - [INFO] - MODELING CHECK PASSED: Netlist caravan is structural.
-2022-06-08 02:53:02 - [INFO] - SUBMODULE HOOKS CHECK PASSED: All module ports for user_analog_project_wrapper are correctly connected in the top level netlist caravan.
-2022-06-08 02:53:02 - [INFO] - {{NETLIST CONSISTENCY CHECK PASSED}} caravan netlist passed all consistency checks.
-2022-06-08 02:53:02 - [INFO] - PORTS CHECK PASSED: Netlist user_analog_project_wrapper ports match the golden wrapper ports
-2022-06-08 02:53:02 - [INFO] - COMPLEXITY CHECK PASSED: Netlist user_analog_project_wrapper contains at least 1 instances (2 instances). 
-2022-06-08 02:53:02 - [INFO] - MODELING CHECK PASSED: Netlist user_analog_project_wrapper is structural.
-2022-06-08 02:53:02 - [INFO] - LAYOUT CHECK PASSED: The GDS layout for user_analog_project_wrapper matches the provided structural netlist.
-2022-06-08 02:53:02 - [INFO] - {{NETLIST CONSISTENCY CHECK PASSED}} user_analog_project_wrapper netlist passed all consistency checks.
-2022-06-08 02:53:02 - [INFO] - {{CONSISTENCY CHECK PASSED}} The user netlist and the top netlist are valid.
-2022-06-08 02:53:02 - [INFO] - {{STEP UPDATE}} Executing Check 6 of 13: XOR
-2022-06-08 02:53:05 - [INFO] - {{XOR CHECK UPDATE}} Total XOR differences: 0, for more details view /home/wisla/sky130_skel/Myschematics/Receptor/receiver/precheck_results/08_JUN_2022___02_52_56/outputs/user_analog_project_wrapper.xor.gds
-2022-06-08 02:53:05 - [INFO] - {{XOR CHECK PASSED}} The GDS file has no XOR violations.
-2022-06-08 02:53:05 - [INFO] - {{STEP UPDATE}} Executing Check 7 of 13: Magic DRC
-2022-06-08 02:53:06 - [INFO] - 0 DRC violations
-2022-06-08 02:53:06 - [INFO] - {{MAGIC DRC CHECK PASSED}} The GDS file, user_analog_project_wrapper.gds, has no DRC violations.
-2022-06-08 02:53:06 - [INFO] - {{STEP UPDATE}} Executing Check 8 of 13: Klayout FEOL
-2022-06-08 02:53:09 - [INFO] - No DRC Violations found
-2022-06-08 02:53:09 - [INFO] - {{Klayout FEOL CHECK PASSED}} The GDS file, user_analog_project_wrapper.gds, has no DRC violations.
-2022-06-08 02:53:09 - [INFO] - {{STEP UPDATE}} Executing Check 9 of 13: Klayout BEOL
-2022-06-08 02:53:13 - [INFO] - No DRC Violations found
-2022-06-08 02:53:13 - [INFO] - {{Klayout BEOL CHECK PASSED}} The GDS file, user_analog_project_wrapper.gds, has no DRC violations.
-2022-06-08 02:53:13 - [INFO] - {{STEP UPDATE}} Executing Check 10 of 13: Klayout Offgrid
-2022-06-08 02:53:16 - [INFO] - No DRC Violations found
-2022-06-08 02:53:16 - [INFO] - {{Klayout Offgrid CHECK PASSED}} The GDS file, user_analog_project_wrapper.gds, has no DRC violations.
-2022-06-08 02:53:16 - [INFO] - {{STEP UPDATE}} Executing Check 11 of 13: Klayout Metal Minimum Clear Area Density
-2022-06-08 02:53:17 - [INFO] - No DRC Violations found
-2022-06-08 02:53:17 - [INFO] - {{Klayout Metal Minimum Clear Area Density CHECK PASSED}} The GDS file, user_analog_project_wrapper.gds, has no DRC violations.
-2022-06-08 02:53:17 - [INFO] - {{STEP UPDATE}} Executing Check 12 of 13: Klayout Pin Label Purposes Overlapping Drawing
-2022-06-08 02:53:19 - [INFO] - No DRC Violations found
-2022-06-08 02:53:19 - [INFO] - {{Klayout Pin Label Purposes Overlapping Drawing CHECK PASSED}} The GDS file, user_analog_project_wrapper.gds, has no DRC violations.
-2022-06-08 02:53:19 - [INFO] - {{STEP UPDATE}} Executing Check 13 of 13: Klayout ZeroArea
-2022-06-08 02:53:20 - [INFO] - No DRC Violations found
-2022-06-08 02:53:20 - [INFO] - {{Klayout ZeroArea CHECK PASSED}} The GDS file, user_analog_project_wrapper.gds, has no DRC violations.
-2022-06-08 02:53:20 - [INFO] - {{FINISH}} Executing Finished, the full log 'precheck.log' can be found in '/home/wisla/sky130_skel/Myschematics/Receptor/receiver/precheck_results/08_JUN_2022___02_52_56/logs'
-2022-06-08 02:53:20 - [INFO] - {{SUCCESS}} All Checks Passed !!!
diff --git a/precheck_results/08_JUN_2022___02_52_56/logs/spdx_compliance_report.log b/precheck_results/08_JUN_2022___02_52_56/logs/spdx_compliance_report.log
deleted file mode 100644
index 1152e7c..0000000
--- a/precheck_results/08_JUN_2022___02_52_56/logs/spdx_compliance_report.log
+++ /dev/null
@@ -1,25 +0,0 @@
-/home/wisla/sky130_skel/Myschematics/Receptor/receiver/Makefile
-/home/wisla/sky130_skel/Myschematics/Receptor/receiver/netgen/run_lvs_por.sh
-/home/wisla/sky130_skel/Myschematics/Receptor/receiver/netgen/run_lvs_wrapper_xschem.sh
-/home/wisla/sky130_skel/Myschematics/Receptor/receiver/netgen/setup.tcl
-/home/wisla/sky130_skel/Myschematics/Receptor/receiver/netgen/run_lvs_ota.sh
-/home/wisla/sky130_skel/Myschematics/Receptor/receiver/xschem/.spiceinit
-/home/wisla/sky130_skel/Myschematics/Receptor/receiver/xschem/analog_wrapper_tb.sch
-/home/wisla/sky130_skel/Myschematics/Receptor/receiver/xschem/user_analog_project_wrapper.sch
-/home/wisla/sky130_skel/Myschematics/Receptor/receiver/xschem/user_analog_project_wrapper.sym
-/home/wisla/sky130_skel/Myschematics/Receptor/receiver/xschem/sky130_fd_pr.patch
-/home/wisla/sky130_skel/Myschematics/Receptor/receiver/xschem/xschemrc
-/home/wisla/sky130_skel/Myschematics/Receptor/receiver/xschem/detectorPassivo.sch
-/home/wisla/sky130_skel/Myschematics/Receptor/receiver/xschem/detectorPassivo.sym
-/home/wisla/sky130_skel/Myschematics/Receptor/receiver/xschem/OTA.sch
-/home/wisla/sky130_skel/Myschematics/Receptor/receiver/xschem/OTA.sym
-/home/wisla/sky130_skel/Myschematics/Receptor/receiver/mag/.magicrc
-/home/wisla/sky130_skel/Myschematics/Receptor/receiver/mag/detector.ext
-/home/wisla/sky130_skel/Myschematics/Receptor/receiver/mag/detector.sim
-/home/wisla/sky130_skel/Myschematics/Receptor/receiver/mag/detector.nodes
-/home/wisla/sky130_skel/Myschematics/Receptor/receiver/mag/detector.res.ext
-/home/wisla/sky130_skel/Myschematics/Receptor/receiver/mag/ota.ext
-/home/wisla/sky130_skel/Myschematics/Receptor/receiver/mag/user_analog_project_wrapper.ext
-/home/wisla/sky130_skel/Myschematics/Receptor/receiver/precheck_results/08_JUN_2022___02_52_56/logs/gds.info
-/home/wisla/sky130_skel/Myschematics/Receptor/receiver/precheck_results/08_JUN_2022___02_52_56/logs/tools.info
-/home/wisla/sky130_skel/Myschematics/Receptor/receiver/precheck_results/08_JUN_2022___02_52_56/logs/pdks.info
diff --git a/precheck_results/08_JUN_2022___02_52_56/logs/tools.info b/precheck_results/08_JUN_2022___02_52_56/logs/tools.info
deleted file mode 100644
index 9b2230a..0000000
--- a/precheck_results/08_JUN_2022___02_52_56/logs/tools.info
+++ /dev/null
@@ -1,2 +0,0 @@
-KLayout: 0.27.8
-Magic: 8.3.274
\ No newline at end of file
diff --git a/precheck_results/08_JUN_2022___02_52_56/logs/xor_check.log b/precheck_results/08_JUN_2022___02_52_56/logs/xor_check.log
deleted file mode 100644
index 397894e..0000000
--- a/precheck_results/08_JUN_2022___02_52_56/logs/xor_check.log
+++ /dev/null
@@ -1,162 +0,0 @@
-Reading file /home/wisla/sky130_skel/Myschematics/Receptor/receiver/gds/user_analog_project_wrapper.gds for cell user_analog_project_wrapper
-dbu=0.001
-cell user_analog_project_wrapper dbu-bbox(ll;ur)=(-4000,-4000;2924000,3524000)
-cell user_analog_project_wrapper dbu-bbox(left,bottom,right,top)=(-4000,-4000,2924000,3524000)
-cell user_analog_project_wrapper dbu-size(width,height)=(2928000,3528000)
-cell user_analog_project_wrapper micron-bbox(left,bottom,right,top)=(-4.0,-4.0,2924.0,3524.0)
-cell user_analog_project_wrapper micron-size(width,height)=(2928.0,3528.0)
-Done.
-
-Magic 8.3 revision 274 - Compiled on Fri Mar  4 22:54:15 UTC 2022.
-Starting magic under Tcl interpreter
-Using the terminal as the console.
-Using NULL graphics device.
-Processing system .magicrc file
-Sourcing design .magicrc for technology sky130A ...
-2 Magic internal units = 1 Lambda
-Input style sky130: scaleFactor=2, multiplier=2
-The following types are not handled by extraction and will be treated as non-electrical types:
-    nnmos obsactive mvobsactive obsli1 obsm1 obsm2 obsm3 obsm4 obsm5 obsmrdl fillblock comment obscomment res0p35 res0p69 res1p41 res2p85 res5p73 
-Scaled tech values by 2 / 1 to match internal grid scaling
-Loading sky130A Device Generator Menu ...
-Loading "/home/wisla/mpw_precheck/checks/xor_check/erase_box.tcl" from command line.
-"sky130(vendor)" is not one of the CIF input styles Magic knows.
-The current style is "sky130".
-The CIF input styles are: sky130, vendorimport, waffleimport, rdlimport.
-Warning: Calma reading is not undoable!  I hope that's OK.
-Library written using GDS-II Release 3.0
-Library name: user_analog_project_wrapper
-Reading "ota".
-Reading "detector".
-Reading "user_analog_project_wrapper".
-Root cell box:
-           width x height  (   llx,  lly  ), (   urx,  ury  )  area (units^2)
-
-microns:  42.880 x 3520.000  (-42.880,  0.000), ( 0.000,  3520.000)  150937.594
-lambda:   4288.00 x 352000.00  (-4288.00,  0.00 ), (  0.00,  352000.00)  1509376000.00
-internal:   8576 x 704000  ( -8576,  0    ), (     0,  704000)  6037504000
-Root cell box:
-           width x height  (   llx,  lly  ), (   urx,  ury  )  area (units^2)
-
-microns:  42.500 x 3520.000  ( 2920.000,  0.000), ( 2962.500,  3520.000)  149600.000
-lambda:   4250.00 x 352000.00  ( 292000.00,  0.00 ), ( 296250.00,  352000.00)  1496000000.00
-internal:   8500 x 704000  ( 584000,  0    ), ( 592500,  704000)  5984000000
-Root cell box:
-           width x height  (   llx,  lly  ), (   urx,  ury  )  area (units^2)
-
-microns:  3005.380 x 37.530  (-42.880, -37.530), ( 2962.500,  0.000)  112791.906
-lambda:   300538.00 x 3753.00  (-4288.00, -3753.00), ( 296250.00,  0.00 )  1127919104.00
-internal: 601076 x 7506    ( -8576, -7506 ), ( 592500,  0    )  4511676456
-Root cell box:
-           width x height  (   llx,  lly  ), (   urx,  ury  )  area (units^2)
-
-microns:  3005.380 x 37.210  (-42.880,  3520.000), ( 2962.500,  3557.210)  111830.188
-lambda:   300538.00 x 3721.00  (-4288.00,  352000.00), ( 296250.00,  355721.00)  1118301952.00
-internal: 601076 x 7442    ( -8576,  704000), ( 592500,  711442)  4473207592
-   Generating output for cell xor_target
-
-Magic 8.3 revision 274 - Compiled on Fri Mar  4 22:54:15 UTC 2022.
-Starting magic under Tcl interpreter
-Using the terminal as the console.
-Using NULL graphics device.
-Processing system .magicrc file
-Sourcing design .magicrc for technology sky130A ...
-2 Magic internal units = 1 Lambda
-Input style sky130: scaleFactor=2, multiplier=2
-The following types are not handled by extraction and will be treated as non-electrical types:
-    nnmos obsactive mvobsactive obsli1 obsm1 obsm2 obsm3 obsm4 obsm5 obsmrdl fillblock comment obscomment res0p35 res0p69 res1p41 res2p85 res5p73 
-Scaled tech values by 2 / 1 to match internal grid scaling
-Loading sky130A Device Generator Menu ...
-Loading "/home/wisla/mpw_precheck/checks/xor_check/erase_box.tcl" from command line.
-"sky130(vendor)" is not one of the CIF input styles Magic knows.
-The current style is "sky130".
-The CIF input styles are: sky130, vendorimport, waffleimport, rdlimport.
-Warning: Calma reading is not undoable!  I hope that's OK.
-Library written using GDS-II Release 6.0
-Library name: user_analog_project_wrapper_empty
-Reading "user_analog_project_wrapper".
-Root cell box:
-           width x height  (   llx,  lly  ), (   urx,  ury  )  area (units^2)
-
-microns:  42.880 x 3520.000  (-42.880,  0.000), ( 0.000,  3520.000)  150937.594
-lambda:   4288.00 x 352000.00  (-4288.00,  0.00 ), (  0.00,  352000.00)  1509376000.00
-internal:   8576 x 704000  ( -8576,  0    ), (     0,  704000)  6037504000
-Root cell box:
-           width x height  (   llx,  lly  ), (   urx,  ury  )  area (units^2)
-
-microns:  42.500 x 3520.000  ( 2920.000,  0.000), ( 2962.500,  3520.000)  149600.000
-lambda:   4250.00 x 352000.00  ( 292000.00,  0.00 ), ( 296250.00,  352000.00)  1496000000.00
-internal:   8500 x 704000  ( 584000,  0    ), ( 592500,  704000)  5984000000
-Root cell box:
-           width x height  (   llx,  lly  ), (   urx,  ury  )  area (units^2)
-
-microns:  3005.380 x 37.530  (-42.880, -37.530), ( 2962.500,  0.000)  112791.906
-lambda:   300538.00 x 3753.00  (-4288.00, -3753.00), ( 296250.00,  0.00 )  1127919104.00
-internal: 601076 x 7506    ( -8576, -7506 ), ( 592500,  0    )  4511676456
-Root cell box:
-           width x height  (   llx,  lly  ), (   urx,  ury  )  area (units^2)
-
-microns:  3005.380 x 37.210  (-42.880,  3520.000), ( 2962.500,  3557.210)  111830.188
-lambda:   300538.00 x 3721.00  (-4288.00,  352000.00), ( 296250.00,  355721.00)  1118301952.00
-internal: 601076 x 7442    ( -8576,  704000), ( 592500,  711442)  4473207592
-   Generating output for cell xor_target
-Reading /home/wisla/sky130_skel/Myschematics/Receptor/receiver/precheck_results/08_JUN_2022___02_52_56/outputs/user_analog_project_wrapper_erased.gds ..
-Reading /home/wisla/sky130_skel/Myschematics/Receptor/receiver/precheck_results/08_JUN_2022___02_52_56/outputs/user_analog_project_wrapper_empty_erased.gds ..
---- Running XOR for 69/20 ---
-"input" in: xor.rb.drc:39
-    Polygons (raw): 494 (flat)  494 (hierarchical)
-    Elapsed: 0.000s  Memory: 521.00M
-"input" in: xor.rb.drc:39
-    Polygons (raw): 494 (flat)  494 (hierarchical)
-    Elapsed: 0.010s  Memory: 521.00M
-"^" in: xor.rb.drc:39
-    Polygons (raw): 0 (flat)  0 (hierarchical)
-    Elapsed: 0.010s  Memory: 521.00M
-XOR differences: 0
-"output" in: xor.rb.drc:43
-    Polygons (raw): 0 (flat)  0 (hierarchical)
-    Elapsed: 0.010s  Memory: 521.00M
---- Running XOR for 70/20 ---
-"input" in: xor.rb.drc:39
-    Polygons (raw): 184 (flat)  184 (hierarchical)
-    Elapsed: 0.000s  Memory: 521.00M
-"input" in: xor.rb.drc:39
-    Polygons (raw): 184 (flat)  184 (hierarchical)
-    Elapsed: 0.010s  Memory: 521.00M
-"^" in: xor.rb.drc:39
-    Polygons (raw): 0 (flat)  0 (hierarchical)
-    Elapsed: 0.000s  Memory: 521.00M
-XOR differences: 0
-"output" in: xor.rb.drc:43
-    Polygons (raw): 0 (flat)  0 (hierarchical)
-    Elapsed: 0.010s  Memory: 521.00M
---- Running XOR for 72/20 ---
-"input" in: xor.rb.drc:39
-    Polygons (raw): 6 (flat)  6 (hierarchical)
-    Elapsed: 0.010s  Memory: 521.00M
-"input" in: xor.rb.drc:39
-    Polygons (raw): 6 (flat)  6 (hierarchical)
-    Elapsed: 0.000s  Memory: 521.00M
-"^" in: xor.rb.drc:39
-    Polygons (raw): 0 (flat)  0 (hierarchical)
-    Elapsed: 0.010s  Memory: 521.00M
-XOR differences: 0
-"output" in: xor.rb.drc:43
-    Polygons (raw): 0 (flat)  0 (hierarchical)
-    Elapsed: 0.010s  Memory: 521.00M
---- Running XOR for 81/14 ---
-"input" in: xor.rb.drc:39
-    Polygons (raw): 1 (flat)  1 (hierarchical)
-    Elapsed: 0.000s  Memory: 521.00M
-"input" in: xor.rb.drc:39
-    Polygons (raw): 1 (flat)  1 (hierarchical)
-    Elapsed: 0.010s  Memory: 521.00M
-"^" in: xor.rb.drc:39
-    Polygons (raw): 0 (flat)  0 (hierarchical)
-    Elapsed: 0.010s  Memory: 521.00M
-XOR differences: 0
-"output" in: xor.rb.drc:43
-    Polygons (raw): 0 (flat)  0 (hierarchical)
-    Elapsed: 0.000s  Memory: 521.00M
-Writing layout file: /home/wisla/sky130_skel/Myschematics/Receptor/receiver/precheck_results/08_JUN_2022___02_52_56/outputs/user_analog_project_wrapper.xor.gds ..
-Total elapsed: 0.110s  Memory: 521.00M
diff --git a/precheck_results/08_JUN_2022___02_52_56/logs/xor_check.total b/precheck_results/08_JUN_2022___02_52_56/logs/xor_check.total
deleted file mode 100644
index c227083..0000000
--- a/precheck_results/08_JUN_2022___02_52_56/logs/xor_check.total
+++ /dev/null
@@ -1 +0,0 @@
-0
\ No newline at end of file
diff --git a/precheck_results/08_JUN_2022___02_52_56/outputs/reports/klayout_beol_check.xml b/precheck_results/08_JUN_2022___02_52_56/outputs/reports/klayout_beol_check.xml
deleted file mode 100644
index 766c2e9..0000000
--- a/precheck_results/08_JUN_2022___02_52_56/outputs/reports/klayout_beol_check.xml
+++ /dev/null
@@ -1,447 +0,0 @@
-<?xml version="1.0" encoding="utf-8"?>
-<report-database>
- <description>SKY130 DRC runset</description>
- <original-file/>
- <generator>drc: script='/home/wisla/mpw_precheck/checks/tech-files/sky130A_mr.drc'</generator>
- <top-cell>user_analog_project_wrapper</top-cell>
- <tags>
- </tags>
- <categories>
-  <category>
-   <name>li.1</name>
-   <description>li.1 : min. li width : 0.17um</description>
-   <categories>
-   </categories>
-  </category>
-  <category>
-   <name>li.3</name>
-   <description>li.3 : min. li spacing : 0.17um</description>
-   <categories>
-   </categories>
-  </category>
-  <category>
-   <name>li.5</name>
-   <description>li.5 : min. li enclosure of licon of 2 adjacent edges : 0.08um</description>
-   <categories>
-   </categories>
-  </category>
-  <category>
-   <name>li.6</name>
-   <description>li.6 : min. li area : 0.0561um²</description>
-   <categories>
-   </categories>
-  </category>
-  <category>
-   <name>ct.1</name>
-   <description>ct.1: non-ring mcon should be rectangular</description>
-   <categories>
-   </categories>
-  </category>
-  <category>
-   <name>ct.1_a</name>
-   <description>ct.1_a : minimum width of mcon : 0.17um</description>
-   <categories>
-   </categories>
-  </category>
-  <category>
-   <name>ct.1_b</name>
-   <description>ct.1_b : maximum length of mcon : 0.17um</description>
-   <categories>
-   </categories>
-  </category>
-  <category>
-   <name>ct.2</name>
-   <description>ct.2 : min. mcon spacing : 0.19um</description>
-   <categories>
-   </categories>
-  </category>
-  <category>
-   <name>ct.4</name>
-   <description>ct.4 : mcon should covered by li</description>
-   <categories>
-   </categories>
-  </category>
-  <category>
-   <name>m1.1</name>
-   <description>m1.1 : min. m1 width : 0.14um</description>
-   <categories>
-   </categories>
-  </category>
-  <category>
-   <name>m1.2</name>
-   <description>m1.2 : min. m1 spacing : 0.14um</description>
-   <categories>
-   </categories>
-  </category>
-  <category>
-   <name>m1.3ab</name>
-   <description>m1.3ab : min. 3um.m1 spacing m1 : 0.28um</description>
-   <categories>
-   </categories>
-  </category>
-  <category>
-   <name>791_m1.4</name>
-   <description>791_m1.4 : min. m1 enclosure of mcon : 0.03um</description>
-   <categories>
-   </categories>
-  </category>
-  <category>
-   <name>m1.4</name>
-   <description>m1.4 : mcon periphery must be enclosed by m1</description>
-   <categories>
-   </categories>
-  </category>
-  <category>
-   <name>m1.4a</name>
-   <description>m1.4a : min. m1 enclosure of mcon for specific cells : 0.005um</description>
-   <categories>
-   </categories>
-  </category>
-  <category>
-   <name>m1.4a_a</name>
-   <description>m1.4a_a : mcon periph must be enclosed by met1 for specific cells</description>
-   <categories>
-   </categories>
-  </category>
-  <category>
-   <name>m1.6</name>
-   <description>m1.6 : min. m1 area : 0.083um²</description>
-   <categories>
-   </categories>
-  </category>
-  <category>
-   <name>m1.7</name>
-   <description>m1.7 : min. m1 with holes area : 0.14um²</description>
-   <categories>
-   </categories>
-  </category>
-  <category>
-   <name>m1.5</name>
-   <description>m1.5 : min. m1 enclosure of mcon of 2 adjacent edges : 0.06um</description>
-   <categories>
-   </categories>
-  </category>
-  <category>
-   <name>via.1a</name>
-   <description>via.1a : via outside of moduleCut should be rectangular</description>
-   <categories>
-   </categories>
-  </category>
-  <category>
-   <name>via.1a_a</name>
-   <description>via.1a_a : min. width of via outside of moduleCut : 0.15um</description>
-   <categories>
-   </categories>
-  </category>
-  <category>
-   <name>via.1a_b</name>
-   <description>via.1a_b : maximum length of via : 0.15um</description>
-   <categories>
-   </categories>
-  </category>
-  <category>
-   <name>via.2</name>
-   <description>via.2 : min. via spacing : 0.17um</description>
-   <categories>
-   </categories>
-  </category>
-  <category>
-   <name>via.4a</name>
-   <description>via.4a : min. m1 enclosure of 0.15um via : 0.055um</description>
-   <categories>
-   </categories>
-  </category>
-  <category>
-   <name>via.4a_a</name>
-   <description>via.4a_a : 0.15um via must be enclosed by met1</description>
-   <categories>
-   </categories>
-  </category>
-  <category>
-   <name>via.5a</name>
-   <description>via.5a : min. m1 enclosure of 0.15um via of 2 adjacent edges : 0.085um</description>
-   <categories>
-   </categories>
-  </category>
-  <category>
-   <name>m2.1</name>
-   <description>m2.1 : min. m2 width : 0.14um</description>
-   <categories>
-   </categories>
-  </category>
-  <category>
-   <name>m2.2</name>
-   <description>m2.2 : min. m2 spacing : 0.14um</description>
-   <categories>
-   </categories>
-  </category>
-  <category>
-   <name>m2.3ab</name>
-   <description>m2.3ab : min. 3um.m2 spacing m2 : 0.28um</description>
-   <categories>
-   </categories>
-  </category>
-  <category>
-   <name>m2.6</name>
-   <description>m2.6 : min. m2 area : 0.0676um²</description>
-   <categories>
-   </categories>
-  </category>
-  <category>
-   <name>m2.7</name>
-   <description>m2.7 : min. m2 holes area : 0.14um²</description>
-   <categories>
-   </categories>
-  </category>
-  <category>
-   <name>m2.4</name>
-   <description>m2.4 : min. m2 enclosure of via : 0.055um</description>
-   <categories>
-   </categories>
-  </category>
-  <category>
-   <name>m2.4_a</name>
-   <description>m2.4_a : via in periphery must be enclosed by met2</description>
-   <categories>
-   </categories>
-  </category>
-  <category>
-   <name>m2.5</name>
-   <description>m2.5 : min. m2 enclosure of via of 2 adjacent edges : 0.085um</description>
-   <categories>
-   </categories>
-  </category>
-  <category>
-   <name>via2.1a</name>
-   <description>via2.1a : via2 outside of moduleCut should be rectangular</description>
-   <categories>
-   </categories>
-  </category>
-  <category>
-   <name>via2.1a_a</name>
-   <description>via2.1a_a : min. width of via2 outside of moduleCut : 0.2um</description>
-   <categories>
-   </categories>
-  </category>
-  <category>
-   <name>via2.1a_b</name>
-   <description>via2.1a_b : maximum length of via2 : 0.2um</description>
-   <categories>
-   </categories>
-  </category>
-  <category>
-   <name>via2.2</name>
-   <description>via2.2 : min. via2 spacing : 0.2um</description>
-   <categories>
-   </categories>
-  </category>
-  <category>
-   <name>via2.4</name>
-   <description>via2.4 : min. m2 enclosure of via2 : 0.04um</description>
-   <categories>
-   </categories>
-  </category>
-  <category>
-   <name>via2.4_a</name>
-   <description>via2.4_a : via must be enclosed by met2</description>
-   <categories>
-   </categories>
-  </category>
-  <category>
-   <name>via2.5</name>
-   <description>via2.5 : min. m3 enclosure of via2 of 2 adjacent edges : 0.085um</description>
-   <categories>
-   </categories>
-  </category>
-  <category>
-   <name>m3.1</name>
-   <description>m3.1 : min. m3 width : 0.3um</description>
-   <categories>
-   </categories>
-  </category>
-  <category>
-   <name>m3.2</name>
-   <description>m3.2 : min. m3 spacing : 0.3um</description>
-   <categories>
-   </categories>
-  </category>
-  <category>
-   <name>m3.3cd</name>
-   <description>m3.3cd : min. 3um.m3 spacing m3 : 0.4um</description>
-   <categories>
-   </categories>
-  </category>
-  <category>
-   <name>m3.4</name>
-   <description>m3.4 : min. m3 enclosure of via2 : 0.065um</description>
-   <categories>
-   </categories>
-  </category>
-  <category>
-   <name>m3.4_a</name>
-   <description>m3.4_a : via2 must be enclosed by met3</description>
-   <categories>
-   </categories>
-  </category>
-  <category>
-   <name>via3.1</name>
-   <description>via3.1 : via3 outside of moduleCut should be rectangular</description>
-   <categories>
-   </categories>
-  </category>
-  <category>
-   <name>via3.1_a</name>
-   <description>via3.1_a : min. width of via3 outside of moduleCut : 0.2um</description>
-   <categories>
-   </categories>
-  </category>
-  <category>
-   <name>via3.1_b</name>
-   <description>via3.1_b : maximum length of via3 : 0.2um</description>
-   <categories>
-   </categories>
-  </category>
-  <category>
-   <name>via3.2</name>
-   <description>via3.2 : min. via3 spacing : 0.2um</description>
-   <categories>
-   </categories>
-  </category>
-  <category>
-   <name>via3.4</name>
-   <description>via3.4 : min. m3 enclosure of via3 : 0.06um</description>
-   <categories>
-   </categories>
-  </category>
-  <category>
-   <name>via3.4_a</name>
-   <description>via3.4_a : non-ring via3 must be enclosed by met3</description>
-   <categories>
-   </categories>
-  </category>
-  <category>
-   <name>via3.5</name>
-   <description>via3.5 : min. m3 enclosure of via3 of 2 adjacent edges : 0.09um</description>
-   <categories>
-   </categories>
-  </category>
-  <category>
-   <name>m4.1</name>
-   <description>m4.1 : min. m4 width : 0.3um</description>
-   <categories>
-   </categories>
-  </category>
-  <category>
-   <name>m4.2</name>
-   <description>m4.2 : min. m4 spacing : 0.3um</description>
-   <categories>
-   </categories>
-  </category>
-  <category>
-   <name>m4.4a</name>
-   <description>m4.4a : min. m4 area : 0.240um²</description>
-   <categories>
-   </categories>
-  </category>
-  <category>
-   <name>m4.5ab</name>
-   <description>m4.5ab : min. 3um.m4 spacing m4 : 0.4um</description>
-   <categories>
-   </categories>
-  </category>
-  <category>
-   <name>m4.3</name>
-   <description>m4.3 : min. m4 enclosure of via3 : 0.065um</description>
-   <categories>
-   </categories>
-  </category>
-  <category>
-   <name>m4.3_a</name>
-   <description>m4.3_a : via3 must be enclosed by met4</description>
-   <categories>
-   </categories>
-  </category>
-  <category>
-   <name>via4.1</name>
-   <description>via4.1 : via4 outside of moduleCut should be rectangular</description>
-   <categories>
-   </categories>
-  </category>
-  <category>
-   <name>via4.1_a</name>
-   <description>via4.1_a : min. width of via4 outside of moduleCut : 0.8um</description>
-   <categories>
-   </categories>
-  </category>
-  <category>
-   <name>via4.1_b</name>
-   <description>via4.1_b : maximum length of via4 : 0.8um</description>
-   <categories>
-   </categories>
-  </category>
-  <category>
-   <name>via4.2</name>
-   <description>via4.2 : min. via4 spacing : 0.8um</description>
-   <categories>
-   </categories>
-  </category>
-  <category>
-   <name>via4.4</name>
-   <description>via4.4 : min. m4 enclosure of via4 : 0.19um</description>
-   <categories>
-   </categories>
-  </category>
-  <category>
-   <name>via4.4_a</name>
-   <description>via4.4_a : m4 must enclose all via4</description>
-   <categories>
-   </categories>
-  </category>
-  <category>
-   <name>m5.1</name>
-   <description>m5.1 : min. m5 width : 1.6um</description>
-   <categories>
-   </categories>
-  </category>
-  <category>
-   <name>m5.2</name>
-   <description>m5.2 : min. m5 spacing : 1.6um</description>
-   <categories>
-   </categories>
-  </category>
-  <category>
-   <name>m5.3</name>
-   <description>m5.3 : min. m5 enclosure of via4 : 0.31um</description>
-   <categories>
-   </categories>
-  </category>
-  <category>
-   <name>m5.3_a</name>
-   <description>m5.3_a : via must be enclosed by m5</description>
-   <categories>
-   </categories>
-  </category>
-  <category>
-   <name>m5.4</name>
-   <description>m5.4 : min. m5 area : 4.0um²</description>
-   <categories>
-   </categories>
-  </category>
-  <category>
-   <name>pad.2</name>
-   <description>pad.2 : min. pad spacing : 1.27um</description>
-   <categories>
-   </categories>
-  </category>
- </categories>
- <cells>
-  <cell>
-   <name>user_analog_project_wrapper</name>
-   <variant/>
-   <references>
-   </references>
-  </cell>
- </cells>
- <items>
- </items>
-</report-database>
diff --git a/precheck_results/08_JUN_2022___02_52_56/outputs/reports/klayout_feol_check.xml b/precheck_results/08_JUN_2022___02_52_56/outputs/reports/klayout_feol_check.xml
deleted file mode 100644
index 46dfa5b..0000000
--- a/precheck_results/08_JUN_2022___02_52_56/outputs/reports/klayout_feol_check.xml
+++ /dev/null
@@ -1,363 +0,0 @@
-<?xml version="1.0" encoding="utf-8"?>
-<report-database>
- <description>SKY130 DRC runset</description>
- <original-file/>
- <generator>drc: script='/home/wisla/mpw_precheck/checks/tech-files/sky130A_mr.drc'</generator>
- <top-cell>user_analog_project_wrapper</top-cell>
- <tags>
- </tags>
- <categories>
-  <category>
-   <name>dnwell.2</name>
-   <description>dnwell.2 : min. dnwell width : 3.0um</description>
-   <categories>
-   </categories>
-  </category>
-  <category>
-   <name>nwell.1</name>
-   <description>nwell.1 : min. nwell width : 0.84um</description>
-   <categories>
-   </categories>
-  </category>
-  <category>
-   <name>nwell.2a</name>
-   <description>nwell.2a : min. nwell spacing (merged if less) : 1.27um</description>
-   <categories>
-   </categories>
-  </category>
-  <category>
-   <name>nwell.6</name>
-   <description>nwell.6 : min enclosure of nwellHole by dnwell : 1.03um</description>
-   <categories>
-   </categories>
-  </category>
-  <category>
-   <name>hvtp.1</name>
-   <description>hvtp.1 : min. hvtp width : 0.38um</description>
-   <categories>
-   </categories>
-  </category>
-  <category>
-   <name>hvtp.2</name>
-   <description>hvtp.2 : min. hvtp spacing : 0.38um</description>
-   <categories>
-   </categories>
-  </category>
-  <category>
-   <name>hvtr.1</name>
-   <description>hvtr.1 : min. hvtr width : 0.38um</description>
-   <categories>
-   </categories>
-  </category>
-  <category>
-   <name>hvtr.2</name>
-   <description>hvtr.2 : min. hvtr spacing : 0.38um</description>
-   <categories>
-   </categories>
-  </category>
-  <category>
-   <name>hvtr.2_a</name>
-   <description>hvtr.2_a : hvtr must not overlap hvtp</description>
-   <categories>
-   </categories>
-  </category>
-  <category>
-   <name>lvtn.1a</name>
-   <description>lvtn.1a : min. lvtn width : 0.38um</description>
-   <categories>
-   </categories>
-  </category>
-  <category>
-   <name>lvtn.2</name>
-   <description>lvtn.2 : min. lvtn spacing : 0.38um</description>
-   <categories>
-   </categories>
-  </category>
-  <category>
-   <name>ncm.1</name>
-   <description>ncm.1 : min. ncm width : 0.38um</description>
-   <categories>
-   </categories>
-  </category>
-  <category>
-   <name>ncm.2a</name>
-   <description>ncm.2a : min. ncm spacing : 0.38um</description>
-   <categories>
-   </categories>
-  </category>
-  <category>
-   <name>difftap.1</name>
-   <description>difftap.1 : min. diff width across areaid:ce : 0.15um</description>
-   <categories>
-   </categories>
-  </category>
-  <category>
-   <name>difftap.1_a</name>
-   <description>difftap.1_a : min. diff width in periphery : 0.15um</description>
-   <categories>
-   </categories>
-  </category>
-  <category>
-   <name>difftap.1_b</name>
-   <description>difftap.1_b : min. tap width across areaid:ce : 0.15um</description>
-   <categories>
-   </categories>
-  </category>
-  <category>
-   <name>difftap.1_c</name>
-   <description>difftap.1_c : min. tap width in periphery : 0.15um</description>
-   <categories>
-   </categories>
-  </category>
-  <category>
-   <name>difftap.3</name>
-   <description>difftap.3 : min. difftap spacing : 0.27um</description>
-   <categories>
-   </categories>
-  </category>
-  <category>
-   <name>tunm.1</name>
-   <description>tunm.1 : min. tunm width : 0.41um</description>
-   <categories>
-   </categories>
-  </category>
-  <category>
-   <name>tunm.2</name>
-   <description>tunm.2 : min. tunm spacing : 0.5um</description>
-   <categories>
-   </categories>
-  </category>
-  <category>
-   <name>poly.1a</name>
-   <description>poly.1a : min. poly width : 0.15um</description>
-   <categories>
-   </categories>
-  </category>
-  <category>
-   <name>poly.2</name>
-   <description>poly.2 : min. poly spacing : 0.21um</description>
-   <categories>
-   </categories>
-  </category>
-  <category>
-   <name>rpm.1a</name>
-   <description>rpm.1a : min. rpm width : 1.27um</description>
-   <categories>
-   </categories>
-  </category>
-  <category>
-   <name>rpm.2</name>
-   <description>rpm.2 : min. rpm spacing : 0.84um</description>
-   <categories>
-   </categories>
-  </category>
-  <category>
-   <name>urpm.1a</name>
-   <description>urpm.1a : min. rpm width : 1.27um</description>
-   <categories>
-   </categories>
-  </category>
-  <category>
-   <name>urpm.2</name>
-   <description>urpm.2 : min. rpm spacing : 0.84um</description>
-   <categories>
-   </categories>
-  </category>
-  <category>
-   <name>npc.1</name>
-   <description>npc.1 : min. npc width : 0.27um</description>
-   <categories>
-   </categories>
-  </category>
-  <category>
-   <name>npc.2</name>
-   <description>npc.2 : min. npc spacing, should be manually merged if less than : 0.27um</description>
-   <categories>
-   </categories>
-  </category>
-  <category>
-   <name>nsd.1</name>
-   <description>nsd.1 : min. nsdm width : 0.38um</description>
-   <categories>
-   </categories>
-  </category>
-  <category>
-   <name>nsd.2</name>
-   <description>nsd.2 : min. nsdm spacing, should be manually merged if less than : 0.38um</description>
-   <categories>
-   </categories>
-  </category>
-  <category>
-   <name>psd.1</name>
-   <description>psd.1 : min. psdm width : 0.38um</description>
-   <categories>
-   </categories>
-  </category>
-  <category>
-   <name>psd.2</name>
-   <description>psd.2 : min. psdm spacing, should be manually merged if less than : 0.38um</description>
-   <categories>
-   </categories>
-  </category>
-  <category>
-   <name>licon.1</name>
-   <description>licon.1 : licon should be rectangle</description>
-   <categories>
-   </categories>
-  </category>
-  <category>
-   <name>licon.1_a/b</name>
-   <description>licon.1_a/b : minimum/maximum width of licon : 0.17um</description>
-   <categories>
-   </categories>
-  </category>
-  <category>
-   <name>licon.13</name>
-   <description>licon.13 : min. difftap licon spacing to npc : 0.09um</description>
-   <categories>
-   </categories>
-  </category>
-  <category>
-   <name>licon.13_a</name>
-   <description>licon.13_a : licon of diffTap in periphery must not overlap npc</description>
-   <categories>
-   </categories>
-  </category>
-  <category>
-   <name>licon.17</name>
-   <description>licon.17 : Licons may not overlap both poly and (diff or tap)</description>
-   <categories>
-   </categories>
-  </category>
-  <category>
-   <name>capm.1</name>
-   <description>capm.1 : min. capm width : 1.0um</description>
-   <categories>
-   </categories>
-  </category>
-  <category>
-   <name>capm.2a</name>
-   <description>capm.2a : min. capm spacing : 0.84um</description>
-   <categories>
-   </categories>
-  </category>
-  <category>
-   <name>capm.2b</name>
-   <description>capm.2b : min. capm spacing : 1.2um</description>
-   <categories>
-   </categories>
-  </category>
-  <category>
-   <name>capm.2b_a</name>
-   <description>capm.2b_a : min. spacing of m3_bot_plate : 1.2um</description>
-   <categories>
-   </categories>
-  </category>
-  <category>
-   <name>capm.3</name>
-   <description>capm.3 : min. capm and m3 enclosure of m3 : 0.14um</description>
-   <categories>
-   </categories>
-  </category>
-  <category>
-   <name>capm.3_a</name>
-   <description>capm.3_a : min. m3 enclosure of capm : 0.14um</description>
-   <categories>
-   </categories>
-  </category>
-  <category>
-   <name>capm.4</name>
-   <description>capm.4 : min. capm enclosure of via3 : 0.14um</description>
-   <categories>
-   </categories>
-  </category>
-  <category>
-   <name>capm.5</name>
-   <description>capm.5 : min. capm spacing to via3 : 0.14um</description>
-   <categories>
-   </categories>
-  </category>
-  <category>
-   <name>cap2m.1</name>
-   <description>cap2m.1 : min. cap2m width : 1.0um</description>
-   <categories>
-   </categories>
-  </category>
-  <category>
-   <name>cap2m.2a</name>
-   <description>cap2m.2a : min. cap2m spacing : 0.84um</description>
-   <categories>
-   </categories>
-  </category>
-  <category>
-   <name>cap2m.2b</name>
-   <description>cap2m.2b : min. cap2m spacing : 1.2um</description>
-   <categories>
-   </categories>
-  </category>
-  <category>
-   <name>cap2m.2b_a</name>
-   <description>cap2m.2b_a : min. spacing of m4_bot_plate : 1.2um</description>
-   <categories>
-   </categories>
-  </category>
-  <category>
-   <name>cap2m.3</name>
-   <description>cap2m.3 : min. m4 enclosure of cap2m : 0.14um</description>
-   <categories>
-   </categories>
-  </category>
-  <category>
-   <name>cap2m.3_a</name>
-   <description>cap2m.3_a : min. m4 enclosure of cap2m : 0.14um</description>
-   <categories>
-   </categories>
-  </category>
-  <category>
-   <name>cap2m.4</name>
-   <description>cap2m.4 : min. cap2m enclosure of via4 : 0.14um</description>
-   <categories>
-   </categories>
-  </category>
-  <category>
-   <name>cap2m.5</name>
-   <description>cap2m.5 : min. cap2m spacing to via4 : 0.14um</description>
-   <categories>
-   </categories>
-  </category>
-  <category>
-   <name>hvi.1</name>
-   <description>hvi.1 : min. hvi width : 0.6um</description>
-   <categories>
-   </categories>
-  </category>
-  <category>
-   <name>hvi.2a</name>
-   <description>hvi.2a : min. hvi spacing : 0.7um</description>
-   <categories>
-   </categories>
-  </category>
-  <category>
-   <name>hvntm.1</name>
-   <description>hvntm.1 : min. hvntm width : 0.7um</description>
-   <categories>
-   </categories>
-  </category>
-  <category>
-   <name>hvntm.2</name>
-   <description>hvntm.2 : min. hvntm spacing : 0.7um</description>
-   <categories>
-   </categories>
-  </category>
- </categories>
- <cells>
-  <cell>
-   <name>user_analog_project_wrapper</name>
-   <variant/>
-   <references>
-   </references>
-  </cell>
- </cells>
- <items>
- </items>
-</report-database>
diff --git a/precheck_results/08_JUN_2022___02_52_56/outputs/reports/klayout_met_min_ca_density_check.xml b/precheck_results/08_JUN_2022___02_52_56/outputs/reports/klayout_met_min_ca_density_check.xml
deleted file mode 100644
index 77c069a..0000000
--- a/precheck_results/08_JUN_2022___02_52_56/outputs/reports/klayout_met_min_ca_density_check.xml
+++ /dev/null
@@ -1,21 +0,0 @@
-<?xml version="1.0" encoding="utf-8"?>
-<report-database>
- <description>Density Checks</description>
- <original-file/>
- <generator>drc: script='/home/wisla/mpw_precheck/checks/drc_checks/klayout/met_min_ca_density.lydrc'</generator>
- <top-cell>user_analog_project_wrapper</top-cell>
- <tags>
- </tags>
- <categories>
- </categories>
- <cells>
-  <cell>
-   <name>user_analog_project_wrapper</name>
-   <variant/>
-   <references>
-   </references>
-  </cell>
- </cells>
- <items>
- </items>
-</report-database>
diff --git a/precheck_results/08_JUN_2022___02_52_56/outputs/reports/klayout_offgrid_check.xml b/precheck_results/08_JUN_2022___02_52_56/outputs/reports/klayout_offgrid_check.xml
deleted file mode 100644
index 725ee1c..0000000
--- a/precheck_results/08_JUN_2022___02_52_56/outputs/reports/klayout_offgrid_check.xml
+++ /dev/null
@@ -1,483 +0,0 @@
-<?xml version="1.0" encoding="utf-8"?>
-<report-database>
- <description>SKY130 DRC runset</description>
- <original-file/>
- <generator>drc: script='/home/wisla/mpw_precheck/checks/tech-files/sky130A_mr.drc'</generator>
- <top-cell>user_analog_project_wrapper</top-cell>
- <tags>
- </tags>
- <categories>
-  <category>
-   <name>dnwell_OFFGRID</name>
-   <description>x.1b : OFFGRID vertex on dnwell</description>
-   <categories>
-   </categories>
-  </category>
-  <category>
-   <name>dnwell_angle</name>
-   <description>x.3a : non 45 degree angle dnwell</description>
-   <categories>
-   </categories>
-  </category>
-  <category>
-   <name>nwell_OFFGRID</name>
-   <description>x.1b : OFFGRID vertex on nwell</description>
-   <categories>
-   </categories>
-  </category>
-  <category>
-   <name>nwell_angle</name>
-   <description>x.3a : non 45 degree angle nwell</description>
-   <categories>
-   </categories>
-  </category>
-  <category>
-   <name>pwbm_OFFGRID</name>
-   <description>x.1b : OFFGRID vertex on pwbm</description>
-   <categories>
-   </categories>
-  </category>
-  <category>
-   <name>pwbm_angle</name>
-   <description>x.3a : non 45 degree angle pwbm</description>
-   <categories>
-   </categories>
-  </category>
-  <category>
-   <name>pwde_OFFGRID</name>
-   <description>x.1b : OFFGRID vertex on pwde</description>
-   <categories>
-   </categories>
-  </category>
-  <category>
-   <name>pwde_angle</name>
-   <description>x.3a : non 45 degree angle pwde</description>
-   <categories>
-   </categories>
-  </category>
-  <category>
-   <name>hvtp_OFFGRID</name>
-   <description>x.1b : OFFGRID vertex on hvtp</description>
-   <categories>
-   </categories>
-  </category>
-  <category>
-   <name>hvtp_angle</name>
-   <description>x.3a : non 45 degree angle hvtp</description>
-   <categories>
-   </categories>
-  </category>
-  <category>
-   <name>hvtr_OFFGRID</name>
-   <description>x.1b : OFFGRID vertex on hvtr</description>
-   <categories>
-   </categories>
-  </category>
-  <category>
-   <name>hvtr_angle</name>
-   <description>x.3a : non 45 degree angle hvtr</description>
-   <categories>
-   </categories>
-  </category>
-  <category>
-   <name>lvtn_OFFGRID</name>
-   <description>x.1b : OFFGRID vertex on lvtn</description>
-   <categories>
-   </categories>
-  </category>
-  <category>
-   <name>lvtn_angle</name>
-   <description>x.3a : non 45 degree angle lvtn</description>
-   <categories>
-   </categories>
-  </category>
-  <category>
-   <name>ncm_OFFGRID</name>
-   <description>x.1b : OFFGRID vertex on ncm</description>
-   <categories>
-   </categories>
-  </category>
-  <category>
-   <name>ncm_angle</name>
-   <description>x.3a : non 45 degree angle ncm</description>
-   <categories>
-   </categories>
-  </category>
-  <category>
-   <name>diff_OFFGRID</name>
-   <description>x.1b : OFFGRID vertex on diff</description>
-   <categories>
-   </categories>
-  </category>
-  <category>
-   <name>tap_OFFGRID</name>
-   <description>x.1b : OFFGRID vertex on tap</description>
-   <categories>
-   </categories>
-  </category>
-  <category>
-   <name>diff_angle</name>
-   <description>x.2 : non 90 degree angle diff</description>
-   <categories>
-   </categories>
-  </category>
-  <category>
-   <name>diff_angle</name>
-   <description>x.2c : non 45 degree angle diff</description>
-   <categories>
-   </categories>
-  </category>
-  <category>
-   <name>tap_angle</name>
-   <description>x.2 : non 90 degree angle tap</description>
-   <categories>
-   </categories>
-  </category>
-  <category>
-   <name>tap_angle</name>
-   <description>x.2c : non 45 degree angle tap</description>
-   <categories>
-   </categories>
-  </category>
-  <category>
-   <name>tunm_OFFGRID</name>
-   <description>x.1b : OFFGRID vertex on tunm</description>
-   <categories>
-   </categories>
-  </category>
-  <category>
-   <name>tunm_angle</name>
-   <description>x.3a : non 45 degree angle tunm</description>
-   <categories>
-   </categories>
-  </category>
-  <category>
-   <name>poly_OFFGRID</name>
-   <description>x.1b : OFFGRID vertex on poly</description>
-   <categories>
-   </categories>
-  </category>
-  <category>
-   <name>poly_angle</name>
-   <description>x.2 : non 90 degree angle poly</description>
-   <categories>
-   </categories>
-  </category>
-  <category>
-   <name>rpm_OFFGRID</name>
-   <description>x.1b : OFFGRID vertex on rpm</description>
-   <categories>
-   </categories>
-  </category>
-  <category>
-   <name>rpm_angle</name>
-   <description>x.3a : non 45 degree angle rpm</description>
-   <categories>
-   </categories>
-  </category>
-  <category>
-   <name>npc_OFFGRID</name>
-   <description>x.1b : OFFGRID vertex on npc</description>
-   <categories>
-   </categories>
-  </category>
-  <category>
-   <name>npc_angle</name>
-   <description>x.3a : non 45 degree angle npc</description>
-   <categories>
-   </categories>
-  </category>
-  <category>
-   <name>nsdm_OFFGRID</name>
-   <description>x.1b : OFFGRID vertex on nsdm</description>
-   <categories>
-   </categories>
-  </category>
-  <category>
-   <name>nsdm_angle</name>
-   <description>x.3a : non 45 degree angle nsdm</description>
-   <categories>
-   </categories>
-  </category>
-  <category>
-   <name>psdm_OFFGRID</name>
-   <description>x.1b : OFFGRID vertex on psdm</description>
-   <categories>
-   </categories>
-  </category>
-  <category>
-   <name>psdm_angle</name>
-   <description>x.3a : non 45 degree angle psdm</description>
-   <categories>
-   </categories>
-  </category>
-  <category>
-   <name>licon_OFFGRID</name>
-   <description>x.1b : OFFGRID vertex on licon</description>
-   <categories>
-   </categories>
-  </category>
-  <category>
-   <name>licon_angle</name>
-   <description>x.2 : non 90 degree angle licon</description>
-   <categories>
-   </categories>
-  </category>
-  <category>
-   <name>li_OFFGRID</name>
-   <description>x.1b : OFFGRID vertex on li</description>
-   <categories>
-   </categories>
-  </category>
-  <category>
-   <name>li_angle</name>
-   <description>x.3a : non 45 degree angle li</description>
-   <categories>
-   </categories>
-  </category>
-  <category>
-   <name>ct_OFFGRID</name>
-   <description>x.1b : OFFGRID vertex on mcon</description>
-   <categories>
-   </categories>
-  </category>
-  <category>
-   <name>ct_angle</name>
-   <description>x.2 : non 90 degree angle mcon</description>
-   <categories>
-   </categories>
-  </category>
-  <category>
-   <name>vpp_OFFGRID</name>
-   <description>x.1b : OFFGRID vertex on vpp</description>
-   <categories>
-   </categories>
-  </category>
-  <category>
-   <name>vpp_angle</name>
-   <description>x.3a : non 45 degree angle vpp</description>
-   <categories>
-   </categories>
-  </category>
-  <category>
-   <name>m1_OFFGRID</name>
-   <description>x.1b : OFFGRID vertex on m1</description>
-   <categories>
-   </categories>
-  </category>
-  <category>
-   <name>m1_angle</name>
-   <description>x.3a : non 45 degree angle m1</description>
-   <categories>
-   </categories>
-  </category>
-  <category>
-   <name>via_OFFGRID</name>
-   <description>x.1b : OFFGRID vertex on via</description>
-   <categories>
-   </categories>
-  </category>
-  <category>
-   <name>via_angle</name>
-   <description>x.2 : non 90 degree angle via</description>
-   <categories>
-   </categories>
-  </category>
-  <category>
-   <name>m2_OFFGRID</name>
-   <description>x.1b : OFFGRID vertex on m2</description>
-   <categories>
-   </categories>
-  </category>
-  <category>
-   <name>m2_angle</name>
-   <description>x.3a : non 45 degree angle m2</description>
-   <categories>
-   </categories>
-  </category>
-  <category>
-   <name>via2_OFFGRID</name>
-   <description>x.1b : OFFGRID vertex on via2</description>
-   <categories>
-   </categories>
-  </category>
-  <category>
-   <name>via2_angle</name>
-   <description>x.2 : non 90 degree angle via2</description>
-   <categories>
-   </categories>
-  </category>
-  <category>
-   <name>m3_OFFGRID</name>
-   <description>x.1b : OFFGRID vertex on m3</description>
-   <categories>
-   </categories>
-  </category>
-  <category>
-   <name>m3_angle</name>
-   <description>x.3a : non 45 degree angle m3</description>
-   <categories>
-   </categories>
-  </category>
-  <category>
-   <name>via3_OFFGRID</name>
-   <description>x.1b : OFFGRID vertex on via3</description>
-   <categories>
-   </categories>
-  </category>
-  <category>
-   <name>via3_angle</name>
-   <description>x.2 : non 90 degree angle via3</description>
-   <categories>
-   </categories>
-  </category>
-  <category>
-   <name>nsm_OFFGRID</name>
-   <description>x.1b : OFFGRID vertex on nsm</description>
-   <categories>
-   </categories>
-  </category>
-  <category>
-   <name>nsm_angle</name>
-   <description>x.3a : non 45 degree angle nsm</description>
-   <categories>
-   </categories>
-  </category>
-  <category>
-   <name>m4_OFFGRID</name>
-   <description>x.1b : OFFGRID vertex on m4</description>
-   <categories>
-   </categories>
-  </category>
-  <category>
-   <name>m4_angle</name>
-   <description>x.3a : non 45 degree angle m4</description>
-   <categories>
-   </categories>
-  </category>
-  <category>
-   <name>via4_OFFGRID</name>
-   <description>x.1b : OFFGRID vertex on via4</description>
-   <categories>
-   </categories>
-  </category>
-  <category>
-   <name>via4_angle</name>
-   <description>x.2 : non 90 degree angle via4</description>
-   <categories>
-   </categories>
-  </category>
-  <category>
-   <name>m5_OFFGRID</name>
-   <description>x.1b : OFFGRID vertex on m5</description>
-   <categories>
-   </categories>
-  </category>
-  <category>
-   <name>m5_angle</name>
-   <description>x.3a : non 45 degree angle m5</description>
-   <categories>
-   </categories>
-  </category>
-  <category>
-   <name>pad_OFFGRID</name>
-   <description>x.1b : OFFGRID vertex on pad</description>
-   <categories>
-   </categories>
-  </category>
-  <category>
-   <name>pad_angle</name>
-   <description>x.3a : non 45 degree angle pad</description>
-   <categories>
-   </categories>
-  </category>
-  <category>
-   <name>mf_OFFGRID</name>
-   <description>x.1b : OFFGRID vertex on mf</description>
-   <categories>
-   </categories>
-  </category>
-  <category>
-   <name>mf_angle</name>
-   <description>x.2 : non 90 degree angle mf</description>
-   <categories>
-   </categories>
-  </category>
-  <category>
-   <name>hvi_OFFGRID</name>
-   <description>x.1b : OFFGRID vertex on hvi</description>
-   <categories>
-   </categories>
-  </category>
-  <category>
-   <name>hvi_angle</name>
-   <description>x.3a : non 45 degree angle hvi</description>
-   <categories>
-   </categories>
-  </category>
-  <category>
-   <name>hvntm_OFFGRID</name>
-   <description>x.1b : OFFGRID vertex on hvntm</description>
-   <categories>
-   </categories>
-  </category>
-  <category>
-   <name>hvntm_angle</name>
-   <description>x.3a : non 45 degree angle hvntm</description>
-   <categories>
-   </categories>
-  </category>
-  <category>
-   <name>vhvi_OFFGRID</name>
-   <description>x.1b : OFFGRID vertex on vhvi</description>
-   <categories>
-   </categories>
-  </category>
-  <category>
-   <name>vhvi_angle</name>
-   <description>x.3a : non 45 degree angle vhvi</description>
-   <categories>
-   </categories>
-  </category>
-  <category>
-   <name>uhvi_OFFGRID</name>
-   <description>x.1b : OFFGRID vertex on uhvi</description>
-   <categories>
-   </categories>
-  </category>
-  <category>
-   <name>uhvi_angle</name>
-   <description>x.3a : non 45 degree angle uhvi</description>
-   <categories>
-   </categories>
-  </category>
-  <category>
-   <name>pwell_rs_OFFGRID</name>
-   <description>x.1b : OFFGRID vertex on pwell_rs</description>
-   <categories>
-   </categories>
-  </category>
-  <category>
-   <name>pwell_rs_angle</name>
-   <description>x.3a : non 45 degree angle pwell_rs</description>
-   <categories>
-   </categories>
-  </category>
-  <category>
-   <name>areaid_re_OFFGRID</name>
-   <description>x.1b : OFFGRID vertex on areaid.re</description>
-   <categories>
-   </categories>
-  </category>
- </categories>
- <cells>
-  <cell>
-   <name>user_analog_project_wrapper</name>
-   <variant/>
-   <references>
-   </references>
-  </cell>
- </cells>
- <items>
- </items>
-</report-database>
diff --git a/precheck_results/08_JUN_2022___02_52_56/outputs/reports/klayout_pin_label_purposes_overlapping_drawing_check.xml b/precheck_results/08_JUN_2022___02_52_56/outputs/reports/klayout_pin_label_purposes_overlapping_drawing_check.xml
deleted file mode 100644
index 46cbaa4..0000000
--- a/precheck_results/08_JUN_2022___02_52_56/outputs/reports/klayout_pin_label_purposes_overlapping_drawing_check.xml
+++ /dev/null
@@ -1,21 +0,0 @@
-<?xml version="1.0" encoding="utf-8"?>
-<report-database>
- <description>pin_label_purposes_overlapping_drawing.rb.drc, input=/home/wisla/sky130_skel/Myschematics/Receptor/receiver/gds/user_analog_project_wrapper.gds, topcell=user_analog_project_wrapper</description>
- <original-file/>
- <generator>drc: script='/home/wisla/mpw_precheck/checks/drc_checks/klayout/pin_label_purposes_overlapping_drawing.rb.drc'</generator>
- <top-cell>user_analog_project_wrapper</top-cell>
- <tags>
- </tags>
- <categories>
- </categories>
- <cells>
-  <cell>
-   <name>user_analog_project_wrapper</name>
-   <variant/>
-   <references>
-   </references>
-  </cell>
- </cells>
- <items>
- </items>
-</report-database>
diff --git a/precheck_results/08_JUN_2022___02_52_56/outputs/reports/klayout_zeroarea_check.xml b/precheck_results/08_JUN_2022___02_52_56/outputs/reports/klayout_zeroarea_check.xml
deleted file mode 100644
index bd39db2..0000000
--- a/precheck_results/08_JUN_2022___02_52_56/outputs/reports/klayout_zeroarea_check.xml
+++ /dev/null
@@ -1,21 +0,0 @@
-<?xml version="1.0" encoding="utf-8"?>
-<report-database>
- <description>zero area check</description>
- <original-file/>
- <generator>drc: script='/home/wisla/mpw_precheck/checks/drc_checks/klayout/zeroarea.rb.drc'</generator>
- <top-cell>user_analog_project_wrapper</top-cell>
- <tags>
- </tags>
- <categories>
- </categories>
- <cells>
-  <cell>
-   <name>user_analog_project_wrapper</name>
-   <variant/>
-   <references>
-   </references>
-  </cell>
- </cells>
- <items>
- </items>
-</report-database>
diff --git a/precheck_results/08_JUN_2022___02_52_56/outputs/reports/magic_drc_check.drc.report b/precheck_results/08_JUN_2022___02_52_56/outputs/reports/magic_drc_check.drc.report
deleted file mode 100644
index 829b9d5..0000000
--- a/precheck_results/08_JUN_2022___02_52_56/outputs/reports/magic_drc_check.drc.report
+++ /dev/null
@@ -1,5 +0,0 @@
-user_analog_project_wrapper
-----------------------------------------
-[INFO]: COUNT: 0
-[INFO]: Should be divided by 3 or 4
-
diff --git a/precheck_results/08_JUN_2022___02_52_56/outputs/reports/magic_drc_check.rdb b/precheck_results/08_JUN_2022___02_52_56/outputs/reports/magic_drc_check.rdb
deleted file mode 100644
index 8a882f3..0000000
--- a/precheck_results/08_JUN_2022___02_52_56/outputs/reports/magic_drc_check.rdb
+++ /dev/null
@@ -1,2 +0,0 @@
-$user_analog_project_wrapper
- 100
diff --git a/precheck_results/08_JUN_2022___02_52_56/outputs/reports/magic_drc_check.tcl b/precheck_results/08_JUN_2022___02_52_56/outputs/reports/magic_drc_check.tcl
deleted file mode 100644
index e69de29..0000000
--- a/precheck_results/08_JUN_2022___02_52_56/outputs/reports/magic_drc_check.tcl
+++ /dev/null
diff --git a/precheck_results/08_JUN_2022___02_52_56/outputs/reports/magic_drc_check.tr b/precheck_results/08_JUN_2022___02_52_56/outputs/reports/magic_drc_check.tr
deleted file mode 100644
index e69de29..0000000
--- a/precheck_results/08_JUN_2022___02_52_56/outputs/reports/magic_drc_check.tr
+++ /dev/null
diff --git a/precheck_results/08_JUN_2022___02_52_56/outputs/reports/magic_drc_check.xml b/precheck_results/08_JUN_2022___02_52_56/outputs/reports/magic_drc_check.xml
deleted file mode 100644
index 7161d7c..0000000
--- a/precheck_results/08_JUN_2022___02_52_56/outputs/reports/magic_drc_check.xml
+++ /dev/null
@@ -1,10 +0,0 @@
-<?xml version="1.0" ?>
-<report-database>
-    <categories/>
-    <cells>
-        <cell>
-            <name>user_analog_project_wrapper</name>
-        </cell>
-    </cells>
-    <items/>
-</report-database>
diff --git a/precheck_results/08_JUN_2022___02_52_56/outputs/user_analog_project_wrapper.magic.drc.mag b/precheck_results/08_JUN_2022___02_52_56/outputs/user_analog_project_wrapper.magic.drc.mag
deleted file mode 100644
index 9150d3e..0000000
--- a/precheck_results/08_JUN_2022___02_52_56/outputs/user_analog_project_wrapper.magic.drc.mag
+++ /dev/null
@@ -1,2358 +0,0 @@
-magic
-tech sky130A
-magscale 1 2
-timestamp 1654656786
-<< checkpaint >>
-rect -4732 -4732 588732 708732
-<< metal2 >>
-rect 524 -800 636 480
-rect 1706 -800 1818 480
-rect 2888 -800 3000 480
-rect 4070 -800 4182 480
-rect 5252 -800 5364 480
-rect 6434 -800 6546 480
-rect 7616 -800 7728 480
-rect 8798 -800 8910 480
-rect 9980 -800 10092 480
-rect 11162 -800 11274 480
-rect 12344 -800 12456 480
-rect 13526 -800 13638 480
-rect 14708 -800 14820 480
-rect 15890 -800 16002 480
-rect 17072 -800 17184 480
-rect 18254 -800 18366 480
-rect 19436 -800 19548 480
-rect 20618 -800 20730 480
-rect 21800 -800 21912 480
-rect 22982 -800 23094 480
-rect 24164 -800 24276 480
-rect 25346 -800 25458 480
-rect 26528 -800 26640 480
-rect 27710 -800 27822 480
-rect 28892 -800 29004 480
-rect 30074 -800 30186 480
-rect 31256 -800 31368 480
-rect 32438 -800 32550 480
-rect 33620 -800 33732 480
-rect 34802 -800 34914 480
-rect 35984 -800 36096 480
-rect 37166 -800 37278 480
-rect 38348 -800 38460 480
-rect 39530 -800 39642 480
-rect 40712 -800 40824 480
-rect 41894 -800 42006 480
-rect 43076 -800 43188 480
-rect 44258 -800 44370 480
-rect 45440 -800 45552 480
-rect 46622 -800 46734 480
-rect 47804 -800 47916 480
-rect 48986 -800 49098 480
-rect 50168 -800 50280 480
-rect 51350 -800 51462 480
-rect 52532 -800 52644 480
-rect 53714 -800 53826 480
-rect 54896 -800 55008 480
-rect 56078 -800 56190 480
-rect 57260 -800 57372 480
-rect 58442 -800 58554 480
-rect 59624 -800 59736 480
-rect 60806 -800 60918 480
-rect 61988 -800 62100 480
-rect 63170 -800 63282 480
-rect 64352 -800 64464 480
-rect 65534 -800 65646 480
-rect 66716 -800 66828 480
-rect 67898 -800 68010 480
-rect 69080 -800 69192 480
-rect 70262 -800 70374 480
-rect 71444 -800 71556 480
-rect 72626 -800 72738 480
-rect 73808 -800 73920 480
-rect 74990 -800 75102 480
-rect 76172 -800 76284 480
-rect 77354 -800 77466 480
-rect 78536 -800 78648 480
-rect 79718 -800 79830 480
-rect 80900 -800 81012 480
-rect 82082 -800 82194 480
-rect 83264 -800 83376 480
-rect 84446 -800 84558 480
-rect 85628 -800 85740 480
-rect 86810 -800 86922 480
-rect 87992 -800 88104 480
-rect 89174 -800 89286 480
-rect 90356 -800 90468 480
-rect 91538 -800 91650 480
-rect 92720 -800 92832 480
-rect 93902 -800 94014 480
-rect 95084 -800 95196 480
-rect 96266 -800 96378 480
-rect 97448 -800 97560 480
-rect 98630 -800 98742 480
-rect 99812 -800 99924 480
-rect 100994 -800 101106 480
-rect 102176 -800 102288 480
-rect 103358 -800 103470 480
-rect 104540 -800 104652 480
-rect 105722 -800 105834 480
-rect 106904 -800 107016 480
-rect 108086 -800 108198 480
-rect 109268 -800 109380 480
-rect 110450 -800 110562 480
-rect 111632 -800 111744 480
-rect 112814 -800 112926 480
-rect 113996 -800 114108 480
-rect 115178 -800 115290 480
-rect 116360 -800 116472 480
-rect 117542 -800 117654 480
-rect 118724 -800 118836 480
-rect 119906 -800 120018 480
-rect 121088 -800 121200 480
-rect 122270 -800 122382 480
-rect 123452 -800 123564 480
-rect 124634 -800 124746 480
-rect 125816 -800 125928 480
-rect 126998 -800 127110 480
-rect 128180 -800 128292 480
-rect 129362 -800 129474 480
-rect 130544 -800 130656 480
-rect 131726 -800 131838 480
-rect 132908 -800 133020 480
-rect 134090 -800 134202 480
-rect 135272 -800 135384 480
-rect 136454 -800 136566 480
-rect 137636 -800 137748 480
-rect 138818 -800 138930 480
-rect 140000 -800 140112 480
-rect 141182 -800 141294 480
-rect 142364 -800 142476 480
-rect 143546 -800 143658 480
-rect 144728 -800 144840 480
-rect 145910 -800 146022 480
-rect 147092 -800 147204 480
-rect 148274 -800 148386 480
-rect 149456 -800 149568 480
-rect 150638 -800 150750 480
-rect 151820 -800 151932 480
-rect 153002 -800 153114 480
-rect 154184 -800 154296 480
-rect 155366 -800 155478 480
-rect 156548 -800 156660 480
-rect 157730 -800 157842 480
-rect 158912 -800 159024 480
-rect 160094 -800 160206 480
-rect 161276 -800 161388 480
-rect 162458 -800 162570 480
-rect 163640 -800 163752 480
-rect 164822 -800 164934 480
-rect 166004 -800 166116 480
-rect 167186 -800 167298 480
-rect 168368 -800 168480 480
-rect 169550 -800 169662 480
-rect 170732 -800 170844 480
-rect 171914 -800 172026 480
-rect 173096 -800 173208 480
-rect 174278 -800 174390 480
-rect 175460 -800 175572 480
-rect 176642 -800 176754 480
-rect 177824 -800 177936 480
-rect 179006 -800 179118 480
-rect 180188 -800 180300 480
-rect 181370 -800 181482 480
-rect 182552 -800 182664 480
-rect 183734 -800 183846 480
-rect 184916 -800 185028 480
-rect 186098 -800 186210 480
-rect 187280 -800 187392 480
-rect 188462 -800 188574 480
-rect 189644 -800 189756 480
-rect 190826 -800 190938 480
-rect 192008 -800 192120 480
-rect 193190 -800 193302 480
-rect 194372 -800 194484 480
-rect 195554 -800 195666 480
-rect 196736 -800 196848 480
-rect 197918 -800 198030 480
-rect 199100 -800 199212 480
-rect 200282 -800 200394 480
-rect 201464 -800 201576 480
-rect 202646 -800 202758 480
-rect 203828 -800 203940 480
-rect 205010 -800 205122 480
-rect 206192 -800 206304 480
-rect 207374 -800 207486 480
-rect 208556 -800 208668 480
-rect 209738 -800 209850 480
-rect 210920 -800 211032 480
-rect 212102 -800 212214 480
-rect 213284 -800 213396 480
-rect 214466 -800 214578 480
-rect 215648 -800 215760 480
-rect 216830 -800 216942 480
-rect 218012 -800 218124 480
-rect 219194 -800 219306 480
-rect 220376 -800 220488 480
-rect 221558 -800 221670 480
-rect 222740 -800 222852 480
-rect 223922 -800 224034 480
-rect 225104 -800 225216 480
-rect 226286 -800 226398 480
-rect 227468 -800 227580 480
-rect 228650 -800 228762 480
-rect 229832 -800 229944 480
-rect 231014 -800 231126 480
-rect 232196 -800 232308 480
-rect 233378 -800 233490 480
-rect 234560 -800 234672 480
-rect 235742 -800 235854 480
-rect 236924 -800 237036 480
-rect 238106 -800 238218 480
-rect 239288 -800 239400 480
-rect 240470 -800 240582 480
-rect 241652 -800 241764 480
-rect 242834 -800 242946 480
-rect 244016 -800 244128 480
-rect 245198 -800 245310 480
-rect 246380 -800 246492 480
-rect 247562 -800 247674 480
-rect 248744 -800 248856 480
-rect 249926 -800 250038 480
-rect 251108 -800 251220 480
-rect 252290 -800 252402 480
-rect 253472 -800 253584 480
-rect 254654 -800 254766 480
-rect 255836 -800 255948 480
-rect 257018 -800 257130 480
-rect 258200 -800 258312 480
-rect 259382 -800 259494 480
-rect 260564 -800 260676 480
-rect 261746 -800 261858 480
-rect 262928 -800 263040 480
-rect 264110 -800 264222 480
-rect 265292 -800 265404 480
-rect 266474 -800 266586 480
-rect 267656 -800 267768 480
-rect 268838 -800 268950 480
-rect 270020 -800 270132 480
-rect 271202 -800 271314 480
-rect 272384 -800 272496 480
-rect 273566 -800 273678 480
-rect 274748 -800 274860 480
-rect 275930 -800 276042 480
-rect 277112 -800 277224 480
-rect 278294 -800 278406 480
-rect 279476 -800 279588 480
-rect 280658 -800 280770 480
-rect 281840 -800 281952 480
-rect 283022 -800 283134 480
-rect 284204 -800 284316 480
-rect 285386 -800 285498 480
-rect 286568 -800 286680 480
-rect 287750 -800 287862 480
-rect 288932 -800 289044 480
-rect 290114 -800 290226 480
-rect 291296 -800 291408 480
-rect 292478 -800 292590 480
-rect 293660 -800 293772 480
-rect 294842 -800 294954 480
-rect 296024 -800 296136 480
-rect 297206 -800 297318 480
-rect 298388 -800 298500 480
-rect 299570 -800 299682 480
-rect 300752 -800 300864 480
-rect 301934 -800 302046 480
-rect 303116 -800 303228 480
-rect 304298 -800 304410 480
-rect 305480 -800 305592 480
-rect 306662 -800 306774 480
-rect 307844 -800 307956 480
-rect 309026 -800 309138 480
-rect 310208 -800 310320 480
-rect 311390 -800 311502 480
-rect 312572 -800 312684 480
-rect 313754 -800 313866 480
-rect 314936 -800 315048 480
-rect 316118 -800 316230 480
-rect 317300 -800 317412 480
-rect 318482 -800 318594 480
-rect 319664 -800 319776 480
-rect 320846 -800 320958 480
-rect 322028 -800 322140 480
-rect 323210 -800 323322 480
-rect 324392 -800 324504 480
-rect 325574 -800 325686 480
-rect 326756 -800 326868 480
-rect 327938 -800 328050 480
-rect 329120 -800 329232 480
-rect 330302 -800 330414 480
-rect 331484 -800 331596 480
-rect 332666 -800 332778 480
-rect 333848 -800 333960 480
-rect 335030 -800 335142 480
-rect 336212 -800 336324 480
-rect 337394 -800 337506 480
-rect 338576 -800 338688 480
-rect 339758 -800 339870 480
-rect 340940 -800 341052 480
-rect 342122 -800 342234 480
-rect 343304 -800 343416 480
-rect 344486 -800 344598 480
-rect 345668 -800 345780 480
-rect 346850 -800 346962 480
-rect 348032 -800 348144 480
-rect 349214 -800 349326 480
-rect 350396 -800 350508 480
-rect 351578 -800 351690 480
-rect 352760 -800 352872 480
-rect 353942 -800 354054 480
-rect 355124 -800 355236 480
-rect 356306 -800 356418 480
-rect 357488 -800 357600 480
-rect 358670 -800 358782 480
-rect 359852 -800 359964 480
-rect 361034 -800 361146 480
-rect 362216 -800 362328 480
-rect 363398 -800 363510 480
-rect 364580 -800 364692 480
-rect 365762 -800 365874 480
-rect 366944 -800 367056 480
-rect 368126 -800 368238 480
-rect 369308 -800 369420 480
-rect 370490 -800 370602 480
-rect 371672 -800 371784 480
-rect 372854 -800 372966 480
-rect 374036 -800 374148 480
-rect 375218 -800 375330 480
-rect 376400 -800 376512 480
-rect 377582 -800 377694 480
-rect 378764 -800 378876 480
-rect 379946 -800 380058 480
-rect 381128 -800 381240 480
-rect 382310 -800 382422 480
-rect 383492 -800 383604 480
-rect 384674 -800 384786 480
-rect 385856 -800 385968 480
-rect 387038 -800 387150 480
-rect 388220 -800 388332 480
-rect 389402 -800 389514 480
-rect 390584 -800 390696 480
-rect 391766 -800 391878 480
-rect 392948 -800 393060 480
-rect 394130 -800 394242 480
-rect 395312 -800 395424 480
-rect 396494 -800 396606 480
-rect 397676 -800 397788 480
-rect 398858 -800 398970 480
-rect 400040 -800 400152 480
-rect 401222 -800 401334 480
-rect 402404 -800 402516 480
-rect 403586 -800 403698 480
-rect 404768 -800 404880 480
-rect 405950 -800 406062 480
-rect 407132 -800 407244 480
-rect 408314 -800 408426 480
-rect 409496 -800 409608 480
-rect 410678 -800 410790 480
-rect 411860 -800 411972 480
-rect 413042 -800 413154 480
-rect 414224 -800 414336 480
-rect 415406 -800 415518 480
-rect 416588 -800 416700 480
-rect 417770 -800 417882 480
-rect 418952 -800 419064 480
-rect 420134 -800 420246 480
-rect 421316 -800 421428 480
-rect 422498 -800 422610 480
-rect 423680 -800 423792 480
-rect 424862 -800 424974 480
-rect 426044 -800 426156 480
-rect 427226 -800 427338 480
-rect 428408 -800 428520 480
-rect 429590 -800 429702 480
-rect 430772 -800 430884 480
-rect 431954 -800 432066 480
-rect 433136 -800 433248 480
-rect 434318 -800 434430 480
-rect 435500 -800 435612 480
-rect 436682 -800 436794 480
-rect 437864 -800 437976 480
-rect 439046 -800 439158 480
-rect 440228 -800 440340 480
-rect 441410 -800 441522 480
-rect 442592 -800 442704 480
-rect 443774 -800 443886 480
-rect 444956 -800 445068 480
-rect 446138 -800 446250 480
-rect 447320 -800 447432 480
-rect 448502 -800 448614 480
-rect 449684 -800 449796 480
-rect 450866 -800 450978 480
-rect 452048 -800 452160 480
-rect 453230 -800 453342 480
-rect 454412 -800 454524 480
-rect 455594 -800 455706 480
-rect 456776 -800 456888 480
-rect 457958 -800 458070 480
-rect 459140 -800 459252 480
-rect 460322 -800 460434 480
-rect 461504 -800 461616 480
-rect 462686 -800 462798 480
-rect 463868 -800 463980 480
-rect 465050 -800 465162 480
-rect 466232 -800 466344 480
-rect 467414 -800 467526 480
-rect 468596 -800 468708 480
-rect 469778 -800 469890 480
-rect 470960 -800 471072 480
-rect 472142 -800 472254 480
-rect 473324 -800 473436 480
-rect 474506 -800 474618 480
-rect 475688 -800 475800 480
-rect 476870 -800 476982 480
-rect 478052 -800 478164 480
-rect 479234 -800 479346 480
-rect 480416 -800 480528 480
-rect 481598 -800 481710 480
-rect 482780 -800 482892 480
-rect 483962 -800 484074 480
-rect 485144 -800 485256 480
-rect 486326 -800 486438 480
-rect 487508 -800 487620 480
-rect 488690 -800 488802 480
-rect 489872 -800 489984 480
-rect 491054 -800 491166 480
-rect 492236 -800 492348 480
-rect 493418 -800 493530 480
-rect 494600 -800 494712 480
-rect 495782 -800 495894 480
-rect 496964 -800 497076 480
-rect 498146 -800 498258 480
-rect 499328 -800 499440 480
-rect 500510 -800 500622 480
-rect 501692 -800 501804 480
-rect 502874 -800 502986 480
-rect 504056 -800 504168 480
-rect 505238 -800 505350 480
-rect 506420 -800 506532 480
-rect 507602 -800 507714 480
-rect 508784 -800 508896 480
-rect 509966 -800 510078 480
-rect 511148 -800 511260 480
-rect 512330 -800 512442 480
-rect 513512 -800 513624 480
-rect 514694 -800 514806 480
-rect 515876 -800 515988 480
-rect 517058 -800 517170 480
-rect 518240 -800 518352 480
-rect 519422 -800 519534 480
-rect 520604 -800 520716 480
-rect 521786 -800 521898 480
-rect 522968 -800 523080 480
-rect 524150 -800 524262 480
-rect 525332 -800 525444 480
-rect 526514 -800 526626 480
-rect 527696 -800 527808 480
-rect 528878 -800 528990 480
-rect 530060 -800 530172 480
-rect 531242 -800 531354 480
-rect 532424 -800 532536 480
-rect 533606 -800 533718 480
-rect 534788 -800 534900 480
-rect 535970 -800 536082 480
-rect 537152 -800 537264 480
-rect 538334 -800 538446 480
-rect 539516 -800 539628 480
-rect 540698 -800 540810 480
-rect 541880 -800 541992 480
-rect 543062 -800 543174 480
-rect 544244 -800 544356 480
-rect 545426 -800 545538 480
-rect 546608 -800 546720 480
-rect 547790 -800 547902 480
-rect 548972 -800 549084 480
-rect 550154 -800 550266 480
-rect 551336 -800 551448 480
-rect 552518 -800 552630 480
-rect 553700 -800 553812 480
-rect 554882 -800 554994 480
-rect 556064 -800 556176 480
-rect 557246 -800 557358 480
-rect 558428 -800 558540 480
-rect 559610 -800 559722 480
-rect 560792 -800 560904 480
-rect 561974 -800 562086 480
-rect 563156 -800 563268 480
-rect 564338 -800 564450 480
-rect 565520 -800 565632 480
-rect 566702 -800 566814 480
-rect 567884 -800 567996 480
-rect 569066 -800 569178 480
-rect 570248 -800 570360 480
-rect 571430 -800 571542 480
-rect 572612 -800 572724 480
-rect 573794 -800 573906 480
-rect 574976 -800 575088 480
-rect 576158 -800 576270 480
-rect 577340 -800 577452 480
-rect 578522 -800 578634 480
-rect 579704 -800 579816 480
-rect 580886 -800 580998 480
-rect 582068 -800 582180 480
-rect 583250 -800 583362 480
-<< metal3 >>
-rect 16194 702302 21194 704800
-rect 16188 694260 21194 702302
-rect 68194 702300 73194 704800
-rect 120194 702304 125194 704800
-rect 120182 702300 125194 702304
-rect 165594 702300 170594 704800
-rect 170894 702300 173094 704800
-rect 173394 702300 175594 704800
-rect 175894 702300 180894 704800
-rect 217294 702300 222294 704800
-rect 222594 702300 224794 704800
-rect 225094 702300 227294 704800
-rect 227594 702300 232594 704800
-rect 318994 702300 323994 704800
-rect 324294 702300 326494 704800
-rect 326794 702300 328994 704800
-rect 329294 702300 334294 704800
-rect 413394 702300 418394 704800
-rect 465394 702300 470394 704800
-rect 510594 702340 515394 704800
-rect 520594 702340 525394 704800
-rect 566594 702300 571594 704800
-rect 16188 694248 24038 694260
-rect 16160 693467 24038 694248
-rect 68192 693486 73198 702300
-rect 120182 693674 125188 702300
-rect 120182 693490 120940 693674
-rect 16160 690763 17007 693467
-rect 20271 690763 24038 693467
-rect 16160 689964 24038 690763
-rect 16160 689962 21208 689964
-rect -800 685228 1700 685242
-rect 11948 685228 18002 685246
-rect -800 684990 18002 685228
-rect -800 680260 18006 684990
-rect -800 680246 13010 680260
-rect -800 680242 1700 680246
-rect 1660 648642 7004 648644
-rect -800 648640 7004 648642
-rect -800 643842 8998 648640
-rect 5004 638990 8998 643842
-rect 3938 638974 8998 638990
-rect -800 638640 1660 638642
-rect 3938 638640 9980 638974
-rect -800 637948 9980 638640
-rect -800 634444 4727 637948
-rect 9191 634444 9980 637948
-rect -800 633842 9980 634444
-rect 1650 633838 9980 633842
-rect 3938 633818 9980 633838
-rect 4956 633802 9980 633818
-rect 13994 615084 18006 680260
-rect 68298 640648 73198 693486
-rect 120190 690970 120940 693490
-rect 124364 693490 125188 693674
-rect 124364 690970 125182 693490
-rect 120190 690518 125182 690970
-rect 582300 677984 584800 682984
-rect 68298 640548 120004 640648
-rect 68296 639438 120004 640548
-rect 582340 639784 584800 644584
-rect 68296 639338 120002 639438
-rect 69978 615084 77406 615116
-rect 13986 614079 77406 615084
-rect 13986 610655 71331 614079
-rect 75955 610655 77406 614079
-rect 13986 609996 77406 610655
-rect 119076 610364 119990 639338
-rect 582340 629784 584800 634584
-rect 129404 625032 131996 625242
-rect 129404 624048 129798 625032
-rect 123098 623476 129798 624048
-rect 123098 613374 123450 623476
-rect 129404 622168 129798 623476
-rect 131142 622168 131996 625032
-rect 129404 621996 131996 622168
-rect 124896 614424 125922 614564
-rect 124896 613800 125033 614424
-rect 125737 613800 125922 614424
-rect 124896 613682 125922 613800
-rect 123098 613276 123852 613374
-rect 121860 610906 122772 611004
-rect 121860 610522 122016 610906
-rect 122640 610534 122772 610906
-rect 122640 610522 123848 610534
-rect 121860 610444 123848 610522
-rect 119076 610274 123852 610364
-rect 69978 609988 77406 609996
-rect 123682 609246 123958 609418
-rect 123682 609182 123750 609246
-rect 123814 609182 123830 609246
-rect 123894 609182 123958 609246
-rect 123682 609074 123958 609182
-rect 583520 589472 584800 589584
-rect 583520 588290 584800 588402
-rect 583520 587108 584800 587220
-rect 583520 585926 584800 586038
-rect 583520 584744 584800 584856
-rect 583520 583562 584800 583674
-rect 6898 564244 9022 564250
-rect 1660 564242 9022 564244
-rect -800 559442 9022 564242
-rect 6898 554244 9022 559442
-rect 12996 560456 17038 561378
-rect 12996 556952 14109 560456
-rect 16013 556952 17038 560456
-rect 12996 555988 17038 556952
-rect 1660 554242 9022 554244
-rect -800 552642 9022 554242
-rect 13996 552642 16032 555988
-rect -800 550274 16034 552642
-rect 582340 550562 584800 555362
-rect -800 549442 9022 550274
-rect 13996 550264 16032 550274
-rect 6898 549438 9022 549442
-rect 582340 540562 584800 545362
-rect 20996 512554 23872 512998
-rect 20996 511848 21505 512554
-rect 480 511642 21505 511848
-rect -800 511530 21505 511642
-rect 480 511442 21505 511530
-rect 20996 510650 21505 511442
-rect 23329 511848 23872 512554
-rect 23329 511442 23876 511848
-rect 23329 510650 23872 511442
-rect 20996 510492 23872 510650
-rect -800 510348 480 510460
-rect -800 509166 480 509278
-rect -800 507984 480 508096
-rect -800 506802 480 506914
-rect -800 505620 480 505732
-rect 583520 500050 584800 500162
-rect 583520 498868 584800 498980
-rect 583520 497686 584800 497798
-rect 583520 496504 584800 496616
-rect 583520 495322 584800 495434
-rect 583520 494140 584800 494252
-rect -800 468308 480 468420
-rect -800 467126 480 467238
-rect -800 465944 480 466056
-rect -800 464762 480 464874
-rect -800 463580 480 463692
-rect -800 462398 480 462510
-rect 583520 455628 584800 455740
-rect 583520 454446 584800 454558
-rect 583520 453264 584800 453376
-rect 583520 452082 584800 452194
-rect 583520 450900 584800 451012
-rect 583520 449718 584800 449830
-rect -800 425086 480 425198
-rect -800 423904 480 424016
-rect -800 422722 480 422834
-rect -800 421540 480 421652
-rect -800 420358 480 420470
-rect -800 419176 480 419288
-rect 583520 411206 584800 411318
-rect 583520 410024 584800 410136
-rect 583520 408842 584800 408954
-rect 583520 407660 584800 407772
-rect 583520 406478 584800 406590
-rect 583520 405296 584800 405408
-rect -800 381864 480 381976
-rect -800 380682 480 380794
-rect -800 379500 480 379612
-rect -800 378318 480 378430
-rect -800 377136 480 377248
-rect -800 375954 480 376066
-rect 583520 364784 584800 364896
-rect 583520 363602 584800 363714
-rect 583520 362420 584800 362532
-rect 583520 361238 584800 361350
-rect 583520 360056 584800 360168
-rect 583520 358874 584800 358986
-rect -800 338642 480 338754
-rect -800 337460 480 337572
-rect -800 336278 480 336390
-rect -800 335096 480 335208
-rect -800 333914 480 334026
-rect -800 332732 480 332844
-rect 583520 319562 584800 319674
-rect 583520 318380 584800 318492
-rect 583520 317198 584800 317310
-rect 583520 316016 584800 316128
-rect 583520 314834 584800 314946
-rect 583520 313652 584800 313764
-rect -800 295420 480 295532
-rect -800 294238 480 294350
-rect -800 293056 480 293168
-rect -800 291874 480 291986
-rect -800 290692 480 290804
-rect -800 289510 480 289622
-rect 583520 275140 584800 275252
-rect 583520 273958 584800 274070
-rect 583520 272776 584800 272888
-rect 583520 271594 584800 271706
-rect 583520 270412 584800 270524
-rect 583520 269230 584800 269342
-rect -800 252398 480 252510
-rect -800 251216 480 251328
-rect -800 250034 480 250146
-rect -800 248852 480 248964
-rect -800 247670 480 247782
-rect -800 246488 480 246600
-rect 582340 235230 584800 240030
-rect 582340 225230 584800 230030
-rect -800 214888 1660 219688
-rect -800 204888 1660 209688
-rect 582340 191430 584800 196230
-rect 582340 181430 584800 186230
-rect -800 172888 1660 177688
-rect -800 162888 1660 167688
-rect 582340 146830 584800 151630
-rect 582340 136830 584800 141630
-rect -800 124776 480 124888
-rect -800 123594 480 123706
-rect -800 122412 480 122524
-rect -800 121230 480 121342
-rect -800 120048 480 120160
-rect -800 118866 480 118978
-rect 583520 95118 584800 95230
-rect 583520 93936 584800 94048
-rect 583520 92754 584800 92866
-rect 583520 91572 584800 91684
-rect -800 81554 480 81666
-rect -800 80372 480 80484
-rect -800 79190 480 79302
-rect -800 78008 480 78120
-rect -800 76826 480 76938
-rect -800 75644 480 75756
-rect 583520 50460 584800 50572
-rect 583520 49278 584800 49390
-rect 583520 48096 584800 48208
-rect 583520 46914 584800 47026
-rect -800 38332 480 38444
-rect -800 37150 480 37262
-rect -800 35968 480 36080
-rect -800 34786 480 34898
-rect -800 33604 480 33716
-rect -800 32422 480 32534
-rect 583520 24002 584800 24114
-rect 583520 22820 584800 22932
-rect 583520 21638 584800 21750
-rect 583520 20456 584800 20568
-rect 583520 19274 584800 19386
-rect 583520 18092 584800 18204
-rect -800 16910 480 17022
-rect 583520 16910 584800 17022
-rect -800 15728 480 15840
-rect 583520 15728 584800 15840
-rect -800 14546 480 14658
-rect 583520 14546 584800 14658
-rect -800 13364 480 13476
-rect 583520 13364 584800 13476
-rect -800 12182 480 12294
-rect 583520 12182 584800 12294
-rect -800 11000 480 11112
-rect 583520 11000 584800 11112
-rect -800 9818 480 9930
-rect 583520 9818 584800 9930
-rect -800 8636 480 8748
-rect 583520 8636 584800 8748
-rect -800 7454 480 7566
-rect 583520 7454 584800 7566
-rect -800 6272 480 6384
-rect 583520 6272 584800 6384
-rect -800 5090 480 5202
-rect 583520 5090 584800 5202
-rect -800 3908 480 4020
-rect 583520 3908 584800 4020
-rect -800 2726 480 2838
-rect 583520 2726 584800 2838
-rect -800 1544 480 1656
-rect 583520 1544 584800 1656
-<< via3 >>
-rect 17007 690763 20271 693467
-rect 4727 634444 9191 637948
-rect 120940 690970 124364 693674
-rect 71331 610655 75955 614079
-rect 129798 622168 131142 625032
-rect 125033 613800 125737 614424
-rect 122016 610522 122640 610906
-rect 123750 609182 123814 609246
-rect 123830 609182 123894 609246
-rect 14109 556952 16013 560456
-rect 21505 510650 23329 512554
-<< metal4 >>
-rect 165594 702300 170594 704800
-rect 175894 702300 180894 704800
-rect 217294 702300 222294 704800
-rect 227594 702300 232594 704800
-rect 318994 702300 323994 704800
-rect 329294 702300 334294 704800
-rect 19994 694248 24038 694260
-rect 16160 693467 24038 694248
-rect 16160 690763 17007 693467
-rect 20271 690763 24038 693467
-rect 16160 689964 24038 690763
-rect 120190 693674 125182 694370
-rect 120190 690970 120940 693674
-rect 124364 690970 125182 693674
-rect 120190 690518 125182 690970
-rect 16160 689962 21208 689964
-rect 3938 638974 8962 638990
-rect 3938 637948 9980 638974
-rect 3938 634444 4727 637948
-rect 9191 635954 9980 637948
-rect 9191 634756 125922 635954
-rect 9191 634444 9980 634756
-rect 3938 633818 9980 634444
-rect 4956 633802 9980 633818
-rect 69978 614085 77406 615116
-rect 69978 614079 71445 614085
-rect 75841 614079 77406 614085
-rect 69978 610655 71331 614079
-rect 75955 610655 77406 614079
-rect 124896 614424 125922 634756
-rect 129404 625032 131996 625242
-rect 129404 622168 129798 625032
-rect 131142 622168 131996 625032
-rect 129404 621996 131996 622168
-rect 124896 613800 125033 614424
-rect 125737 613800 125922 614424
-rect 124896 613682 125922 613800
-rect 105730 612882 108414 613140
-rect 69978 610649 71445 610655
-rect 75841 610649 77406 610655
-rect 69978 609988 77406 610649
-rect 107414 609382 108414 612882
-rect 121860 610906 122772 611004
-rect 121860 610522 122016 610906
-rect 122640 610522 122772 610906
-rect 121860 610444 122772 610522
-rect 126898 610918 127278 610920
-rect 126898 610739 222290 610918
-rect 126898 610503 126950 610739
-rect 127186 610552 222290 610739
-rect 127186 610503 127278 610552
-rect 126898 610484 127278 610503
-rect 126840 610419 127278 610484
-rect 126840 610384 126950 610419
-rect 126898 610183 126950 610384
-rect 127186 610183 127278 610419
-rect 126898 610000 127278 610183
-rect 123682 609382 123958 609418
-rect 107414 609252 123958 609382
-rect 107412 609246 123958 609252
-rect 107412 609182 123750 609246
-rect 123814 609182 123830 609246
-rect 123894 609182 123958 609246
-rect 107412 609104 123958 609182
-rect 105682 605362 105872 607358
-rect 105680 604788 105872 605362
-rect 105680 602878 105874 604788
-rect 105682 602426 105874 602878
-rect 105050 602140 106580 602426
-rect 105050 601264 105554 602140
-rect 106110 601264 106580 602140
-rect 105050 600994 106580 601264
-rect 107414 596200 108414 609104
-rect 123682 609074 123958 609104
-rect 13982 594920 108418 596200
-rect 13986 561378 15996 594920
-rect 12996 560456 17038 561378
-rect 12996 556952 14109 560456
-rect 16013 556952 17038 560456
-rect 12996 555988 17038 556952
-rect 20996 512554 23872 512998
-rect 20996 512520 21505 512554
-rect 23329 512520 23872 512554
-rect 20996 510684 21499 512520
-rect 23335 510684 23872 512520
-rect 20996 510650 21505 510684
-rect 23329 510650 23872 510684
-rect 20996 510492 23872 510650
-<< via4 >>
-rect 17081 690877 20197 693353
-rect 121094 691084 124210 693560
-rect 71445 614079 75841 614085
-rect 71445 610655 75841 614079
-rect 129872 622202 131068 624998
-rect 71445 610649 75841 610655
-rect 122050 610596 122286 610832
-rect 122370 610596 122606 610832
-rect 126950 610503 127186 610739
-rect 126950 610183 127186 610419
-rect 105554 601264 106110 602140
-rect 21499 510684 21505 512520
-rect 21505 510684 23329 512520
-rect 23329 510684 23335 512520
-<< metal5 >>
-rect 165594 702300 170594 704800
-rect 175894 702300 180894 704800
-rect 217294 702364 222294 704800
-rect 19994 694256 24038 694260
-rect 19982 694248 24038 694256
-rect 16160 693353 24038 694248
-rect 16160 690877 17081 693353
-rect 20197 690877 24038 693353
-rect 16160 689964 24038 690877
-rect 120190 693560 125182 694370
-rect 165566 694116 170572 702300
-rect 217288 694546 222294 702364
-rect 227594 702300 232594 704800
-rect 318994 702300 323994 704800
-rect 329294 702300 334294 704800
-rect 120190 691084 121094 693560
-rect 124210 691084 125182 693560
-rect 120190 690518 125182 691084
-rect 16160 689962 24024 689964
-rect 19982 689956 24024 689962
-rect 21004 629886 24024 689956
-rect 121848 670612 122758 690518
-rect 165558 673678 170574 694116
-rect 217288 693734 222308 694546
-rect 121848 670216 122776 670612
-rect 121862 640818 122776 670216
-rect 121860 640718 122776 640818
-rect 21004 627026 112008 629886
-rect 110984 623990 112006 627026
-rect 69978 614085 77406 615116
-rect 69978 610649 71445 614085
-rect 75841 610649 77406 614085
-rect 69978 609988 77406 610649
-rect 110982 608536 112008 623990
-rect 121860 610832 122774 640718
-rect 165538 631052 170574 673678
-rect 217292 669860 222308 693734
-rect 217250 643518 222308 669860
-rect 131898 625242 132742 625256
-rect 165538 625242 170554 631052
-rect 129404 624998 170556 625242
-rect 129404 622202 129872 624998
-rect 131068 622202 170556 624998
-rect 129404 621996 170556 622202
-rect 217250 620260 222266 643518
-rect 217250 618832 222286 620260
-rect 121860 610596 122050 610832
-rect 122286 610596 122370 610832
-rect 122606 610596 122774 610832
-rect 121860 610444 122774 610596
-rect 126898 610918 127278 610920
-rect 217264 610918 222286 618832
-rect 126898 610739 222290 610918
-rect 126898 610503 126950 610739
-rect 127186 610686 222290 610739
-rect 127186 610503 222292 610686
-rect 126898 610419 222292 610503
-rect 126898 610183 126950 610419
-rect 127186 610183 222292 610419
-rect 126898 610000 222292 610183
-rect 105794 607982 112008 608536
-rect 110982 607976 112008 607982
-rect 20998 602420 23878 602438
-rect 105050 602420 106580 602426
-rect 20996 602140 106580 602420
-rect 20996 601264 105554 602140
-rect 106110 601264 106580 602140
-rect 20996 600994 106580 601264
-rect 20998 512998 23878 600994
-rect 20996 512520 23878 512998
-rect 20996 510684 21499 512520
-rect 23335 511988 23878 512520
-rect 23335 510684 23872 511988
-rect 20996 510492 23872 510684
-use detector  detector_0
-timestamp 1654518213
-transform 1 0 97354 0 1 608134
-box -20000 -4976 8518 14998
-use ota  ota_0
-timestamp 1654525827
-transform 1 0 117166 0 1 608754
-box 6680 352 9686 4954
-<< labels >>
-flabel metal3 s 583520 269230 584800 269342 0 FreeSans 1400 0 0 0 gpio_analog[0]
-port 1 nsew
-flabel metal3 s -800 381864 480 381976 0 FreeSans 1400 0 0 0 gpio_analog[10]
-port 2 nsew
-flabel metal3 s -800 338642 480 338754 0 FreeSans 1400 0 0 0 gpio_analog[11]
-port 3 nsew
-flabel metal3 s -800 295420 480 295532 0 FreeSans 1400 0 0 0 gpio_analog[12]
-port 4 nsew
-flabel metal3 s -800 252398 480 252510 0 FreeSans 1400 0 0 0 gpio_analog[13]
-port 5 nsew
-flabel metal3 s -800 124776 480 124888 0 FreeSans 1400 0 0 0 gpio_analog[14]
-port 6 nsew
-flabel metal3 s -800 81554 480 81666 0 FreeSans 1400 0 0 0 gpio_analog[15]
-port 7 nsew
-flabel metal3 s -800 38332 480 38444 0 FreeSans 1400 0 0 0 gpio_analog[16]
-port 8 nsew
-flabel metal3 s -800 16910 480 17022 0 FreeSans 1400 0 0 0 gpio_analog[17]
-port 9 nsew
-flabel metal3 s 583520 313652 584800 313764 0 FreeSans 1400 0 0 0 gpio_analog[1]
-port 10 nsew
-flabel metal3 s 583520 358874 584800 358986 0 FreeSans 1400 0 0 0 gpio_analog[2]
-port 11 nsew
-flabel metal3 s 583520 405296 584800 405408 0 FreeSans 1400 0 0 0 gpio_analog[3]
-port 12 nsew
-flabel metal3 s 583520 449718 584800 449830 0 FreeSans 1400 0 0 0 gpio_analog[4]
-port 13 nsew
-flabel metal3 s 583520 494140 584800 494252 0 FreeSans 1400 0 0 0 gpio_analog[5]
-port 14 nsew
-flabel metal3 s 583520 583562 584800 583674 0 FreeSans 1400 0 0 0 gpio_analog[6]
-port 15 nsew
-flabel metal3 s -800 511530 480 511642 0 FreeSans 1400 0 0 0 gpio_analog[7]
-port 16 nsew
-flabel metal3 s -800 468308 480 468420 0 FreeSans 1400 0 0 0 gpio_analog[8]
-port 17 nsew
-flabel metal3 s -800 425086 480 425198 0 FreeSans 1400 0 0 0 gpio_analog[9]
-port 18 nsew
-flabel metal3 s 583520 270412 584800 270524 0 FreeSans 1400 0 0 0 gpio_noesd[0]
-port 19 nsew
-flabel metal3 s -800 380682 480 380794 0 FreeSans 1400 0 0 0 gpio_noesd[10]
-port 20 nsew
-flabel metal3 s -800 337460 480 337572 0 FreeSans 1400 0 0 0 gpio_noesd[11]
-port 21 nsew
-flabel metal3 s -800 294238 480 294350 0 FreeSans 1400 0 0 0 gpio_noesd[12]
-port 22 nsew
-flabel metal3 s -800 251216 480 251328 0 FreeSans 1400 0 0 0 gpio_noesd[13]
-port 23 nsew
-flabel metal3 s -800 123594 480 123706 0 FreeSans 1400 0 0 0 gpio_noesd[14]
-port 24 nsew
-flabel metal3 s -800 80372 480 80484 0 FreeSans 1400 0 0 0 gpio_noesd[15]
-port 25 nsew
-flabel metal3 s -800 37150 480 37262 0 FreeSans 1400 0 0 0 gpio_noesd[16]
-port 26 nsew
-flabel metal3 s -800 15728 480 15840 0 FreeSans 1400 0 0 0 gpio_noesd[17]
-port 27 nsew
-flabel metal3 s 583520 314834 584800 314946 0 FreeSans 1400 0 0 0 gpio_noesd[1]
-port 28 nsew
-flabel metal3 s 583520 360056 584800 360168 0 FreeSans 1400 0 0 0 gpio_noesd[2]
-port 29 nsew
-flabel metal3 s 583520 406478 584800 406590 0 FreeSans 1400 0 0 0 gpio_noesd[3]
-port 30 nsew
-flabel metal3 s 583520 450900 584800 451012 0 FreeSans 1400 0 0 0 gpio_noesd[4]
-port 31 nsew
-flabel metal3 s 583520 495322 584800 495434 0 FreeSans 1400 0 0 0 gpio_noesd[5]
-port 32 nsew
-flabel metal3 s 583520 584744 584800 584856 0 FreeSans 1400 0 0 0 gpio_noesd[6]
-port 33 nsew
-flabel metal3 s -800 510348 480 510460 0 FreeSans 1400 0 0 0 gpio_noesd[7]
-port 34 nsew
-flabel metal3 s -800 467126 480 467238 0 FreeSans 1400 0 0 0 gpio_noesd[8]
-port 35 nsew
-flabel metal3 s -800 423904 480 424016 0 FreeSans 1400 0 0 0 gpio_noesd[9]
-port 36 nsew
-flabel metal3 s 582300 677984 584800 682984 0 FreeSans 1400 0 0 0 io_analog[0]
-port 37 nsew
-flabel metal3 s 0 680242 1700 685242 0 FreeSans 1400 0 0 0 io_analog[10]
-port 38 nsew
-flabel metal3 s 566594 702300 571594 704800 0 FreeSans 2400 180 0 0 io_analog[1]
-port 39 nsew
-flabel metal3 s 465394 702300 470394 704800 0 FreeSans 2400 180 0 0 io_analog[2]
-port 40 nsew
-flabel metal3 s 413394 702300 418394 704800 0 FreeSans 2400 180 0 0 io_analog[3]
-port 41 nsew
-flabel metal3 s 329294 702300 334294 704800 0 FreeSans 2400 180 0 0 io_analog[4]
-port 42 nsew
-flabel metal4 s 329294 702300 334294 704800 0 FreeSans 2400 180 0 0 io_analog[4]
-port 42 nsew
-flabel metal5 s 329294 702300 334294 704800 0 FreeSans 2400 180 0 0 io_analog[4]
-port 42 nsew
-flabel metal3 s 227594 702300 232594 704800 0 FreeSans 2400 180 0 0 io_analog[5]
-port 43 nsew
-flabel metal4 s 227594 702300 232594 704800 0 FreeSans 2400 180 0 0 io_analog[5]
-port 43 nsew
-flabel metal5 s 227594 702300 232594 704800 0 FreeSans 2400 180 0 0 io_analog[5]
-port 43 nsew
-flabel metal3 s 175894 702300 180894 704800 0 FreeSans 2400 180 0 0 io_analog[6]
-port 44 nsew
-flabel metal4 s 175894 702300 180894 704800 0 FreeSans 2400 180 0 0 io_analog[6]
-port 44 nsew
-flabel metal5 s 175894 702300 180894 704800 0 FreeSans 2400 180 0 0 io_analog[6]
-port 44 nsew
-flabel metal3 s 120194 702300 125194 704800 0 FreeSans 2400 180 0 0 io_analog[7]
-port 45 nsew
-flabel metal3 s 68194 702300 73194 704800 0 FreeSans 2400 180 0 0 io_analog[8]
-port 46 nsew
-flabel metal3 s 16194 702300 21194 704800 0 FreeSans 2400 180 0 0 io_analog[9]
-port 47 nsew
-flabel metal3 s 318994 702300 323994 704800 0 FreeSans 2400 180 0 0 io_analog[4]
-port 42 nsew
-flabel metal4 s 318994 702300 323994 704800 0 FreeSans 2400 180 0 0 io_analog[4]
-port 42 nsew
-flabel metal5 s 318994 702300 323994 704800 0 FreeSans 2400 180 0 0 io_analog[4]
-port 42 nsew
-flabel metal3 s 217294 702300 222294 704800 0 FreeSans 2400 180 0 0 io_analog[5]
-port 43 nsew
-flabel metal4 s 217294 702300 222294 704800 0 FreeSans 2400 180 0 0 io_analog[5]
-port 43 nsew
-flabel metal5 s 217294 702300 222294 704800 0 FreeSans 2400 180 0 0 io_analog[5]
-port 43 nsew
-flabel metal3 s 165594 702300 170594 704800 0 FreeSans 2400 180 0 0 io_analog[6]
-port 44 nsew
-flabel metal4 s 165594 702300 170594 704800 0 FreeSans 2400 180 0 0 io_analog[6]
-port 44 nsew
-flabel metal5 s 165594 702300 170594 704800 0 FreeSans 2400 180 0 0 io_analog[6]
-port 44 nsew
-flabel metal3 s 326794 702300 328994 704800 0 FreeSans 2400 180 0 0 io_clamp_high[0]
-port 48 nsew
-flabel metal3 s 225094 702300 227294 704800 0 FreeSans 2400 180 0 0 io_clamp_high[1]
-port 49 nsew
-flabel metal3 s 173394 702300 175594 704800 0 FreeSans 2400 180 0 0 io_clamp_high[2]
-port 50 nsew
-flabel metal3 s 324294 702300 326494 704800 0 FreeSans 2400 180 0 0 io_clamp_low[0]
-port 51 nsew
-flabel metal3 s 222594 702300 224794 704800 0 FreeSans 2400 180 0 0 io_clamp_low[1]
-port 52 nsew
-flabel metal3 s 170894 702300 173094 704800 0 FreeSans 2400 180 0 0 io_clamp_low[2]
-port 53 nsew
-flabel metal3 s 583520 2726 584800 2838 0 FreeSans 1400 0 0 0 io_in[0]
-port 54 nsew
-flabel metal3 s 583520 408842 584800 408954 0 FreeSans 1400 0 0 0 io_in[10]
-port 55 nsew
-flabel metal3 s 583520 453264 584800 453376 0 FreeSans 1400 0 0 0 io_in[11]
-port 56 nsew
-flabel metal3 s 583520 497686 584800 497798 0 FreeSans 1400 0 0 0 io_in[12]
-port 57 nsew
-flabel metal3 s 583520 587108 584800 587220 0 FreeSans 1400 0 0 0 io_in[13]
-port 58 nsew
-flabel metal3 s -800 507984 480 508096 0 FreeSans 1400 0 0 0 io_in[14]
-port 59 nsew
-flabel metal3 s -800 464762 480 464874 0 FreeSans 1400 0 0 0 io_in[15]
-port 60 nsew
-flabel metal3 s -800 421540 480 421652 0 FreeSans 1400 0 0 0 io_in[16]
-port 61 nsew
-flabel metal3 s -800 378318 480 378430 0 FreeSans 1400 0 0 0 io_in[17]
-port 62 nsew
-flabel metal3 s -800 335096 480 335208 0 FreeSans 1400 0 0 0 io_in[18]
-port 63 nsew
-flabel metal3 s -800 291874 480 291986 0 FreeSans 1400 0 0 0 io_in[19]
-port 64 nsew
-flabel metal3 s 583520 7454 584800 7566 0 FreeSans 1400 0 0 0 io_in[1]
-port 65 nsew
-flabel metal3 s -800 248852 480 248964 0 FreeSans 1400 0 0 0 io_in[20]
-port 66 nsew
-flabel metal3 s -800 121230 480 121342 0 FreeSans 1400 0 0 0 io_in[21]
-port 67 nsew
-flabel metal3 s -800 78008 480 78120 0 FreeSans 1400 0 0 0 io_in[22]
-port 68 nsew
-flabel metal3 s -800 34786 480 34898 0 FreeSans 1400 0 0 0 io_in[23]
-port 69 nsew
-flabel metal3 s -800 13364 480 13476 0 FreeSans 1400 0 0 0 io_in[24]
-port 70 nsew
-flabel metal3 s -800 8636 480 8748 0 FreeSans 1400 0 0 0 io_in[25]
-port 71 nsew
-flabel metal3 s -800 3908 480 4020 0 FreeSans 1400 0 0 0 io_in[26]
-port 72 nsew
-flabel metal3 s 583520 12182 584800 12294 0 FreeSans 1400 0 0 0 io_in[2]
-port 73 nsew
-flabel metal3 s 583520 16910 584800 17022 0 FreeSans 1400 0 0 0 io_in[3]
-port 74 nsew
-flabel metal3 s 583520 21638 584800 21750 0 FreeSans 1400 0 0 0 io_in[4]
-port 75 nsew
-flabel metal3 s 583520 48096 584800 48208 0 FreeSans 1400 0 0 0 io_in[5]
-port 76 nsew
-flabel metal3 s 583520 92754 584800 92866 0 FreeSans 1400 0 0 0 io_in[6]
-port 77 nsew
-flabel metal3 s 583520 272776 584800 272888 0 FreeSans 1400 0 0 0 io_in[7]
-port 78 nsew
-flabel metal3 s 583520 317198 584800 317310 0 FreeSans 1400 0 0 0 io_in[8]
-port 79 nsew
-flabel metal3 s 583520 362420 584800 362532 0 FreeSans 1400 0 0 0 io_in[9]
-port 80 nsew
-flabel metal3 s 583520 1544 584800 1656 0 FreeSans 1400 0 0 0 io_in_3v3[0]
-port 81 nsew
-flabel metal3 s 583520 407660 584800 407772 0 FreeSans 1400 0 0 0 io_in_3v3[10]
-port 82 nsew
-flabel metal3 s 583520 452082 584800 452194 0 FreeSans 1400 0 0 0 io_in_3v3[11]
-port 83 nsew
-flabel metal3 s 583520 496504 584800 496616 0 FreeSans 1400 0 0 0 io_in_3v3[12]
-port 84 nsew
-flabel metal3 s 583520 585926 584800 586038 0 FreeSans 1400 0 0 0 io_in_3v3[13]
-port 85 nsew
-flabel metal3 s -800 509166 480 509278 0 FreeSans 1400 0 0 0 io_in_3v3[14]
-port 86 nsew
-flabel metal3 s -800 465944 480 466056 0 FreeSans 1400 0 0 0 io_in_3v3[15]
-port 87 nsew
-flabel metal3 s -800 422722 480 422834 0 FreeSans 1400 0 0 0 io_in_3v3[16]
-port 88 nsew
-flabel metal3 s -800 379500 480 379612 0 FreeSans 1400 0 0 0 io_in_3v3[17]
-port 89 nsew
-flabel metal3 s -800 336278 480 336390 0 FreeSans 1400 0 0 0 io_in_3v3[18]
-port 90 nsew
-flabel metal3 s -800 293056 480 293168 0 FreeSans 1400 0 0 0 io_in_3v3[19]
-port 91 nsew
-flabel metal3 s 583520 6272 584800 6384 0 FreeSans 1400 0 0 0 io_in_3v3[1]
-port 92 nsew
-flabel metal3 s -800 250034 480 250146 0 FreeSans 1400 0 0 0 io_in_3v3[20]
-port 93 nsew
-flabel metal3 s -800 122412 480 122524 0 FreeSans 1400 0 0 0 io_in_3v3[21]
-port 94 nsew
-flabel metal3 s -800 79190 480 79302 0 FreeSans 1400 0 0 0 io_in_3v3[22]
-port 95 nsew
-flabel metal3 s -800 35968 480 36080 0 FreeSans 1400 0 0 0 io_in_3v3[23]
-port 96 nsew
-flabel metal3 s -800 14546 480 14658 0 FreeSans 1400 0 0 0 io_in_3v3[24]
-port 97 nsew
-flabel metal3 s -800 9818 480 9930 0 FreeSans 1400 0 0 0 io_in_3v3[25]
-port 98 nsew
-flabel metal3 s -800 5090 480 5202 0 FreeSans 1400 0 0 0 io_in_3v3[26]
-port 99 nsew
-flabel metal3 s 583520 11000 584800 11112 0 FreeSans 1400 0 0 0 io_in_3v3[2]
-port 100 nsew
-flabel metal3 s 583520 15728 584800 15840 0 FreeSans 1400 0 0 0 io_in_3v3[3]
-port 101 nsew
-flabel metal3 s 583520 20456 584800 20568 0 FreeSans 1400 0 0 0 io_in_3v3[4]
-port 102 nsew
-flabel metal3 s 583520 46914 584800 47026 0 FreeSans 1400 0 0 0 io_in_3v3[5]
-port 103 nsew
-flabel metal3 s 583520 91572 584800 91684 0 FreeSans 1400 0 0 0 io_in_3v3[6]
-port 104 nsew
-flabel metal3 s 583520 271594 584800 271706 0 FreeSans 1400 0 0 0 io_in_3v3[7]
-port 105 nsew
-flabel metal3 s 583520 316016 584800 316128 0 FreeSans 1400 0 0 0 io_in_3v3[8]
-port 106 nsew
-flabel metal3 s 583520 361238 584800 361350 0 FreeSans 1400 0 0 0 io_in_3v3[9]
-port 107 nsew
-flabel metal3 s 583520 5090 584800 5202 0 FreeSans 1400 0 0 0 io_oeb[0]
-port 108 nsew
-flabel metal3 s 583520 411206 584800 411318 0 FreeSans 1400 0 0 0 io_oeb[10]
-port 109 nsew
-flabel metal3 s 583520 455628 584800 455740 0 FreeSans 1400 0 0 0 io_oeb[11]
-port 110 nsew
-flabel metal3 s 583520 500050 584800 500162 0 FreeSans 1400 0 0 0 io_oeb[12]
-port 111 nsew
-flabel metal3 s 583520 589472 584800 589584 0 FreeSans 1400 0 0 0 io_oeb[13]
-port 112 nsew
-flabel metal3 s -800 505620 480 505732 0 FreeSans 1400 0 0 0 io_oeb[14]
-port 113 nsew
-flabel metal3 s -800 462398 480 462510 0 FreeSans 1400 0 0 0 io_oeb[15]
-port 114 nsew
-flabel metal3 s -800 419176 480 419288 0 FreeSans 1400 0 0 0 io_oeb[16]
-port 115 nsew
-flabel metal3 s -800 375954 480 376066 0 FreeSans 1400 0 0 0 io_oeb[17]
-port 116 nsew
-flabel metal3 s -800 332732 480 332844 0 FreeSans 1400 0 0 0 io_oeb[18]
-port 117 nsew
-flabel metal3 s -800 289510 480 289622 0 FreeSans 1400 0 0 0 io_oeb[19]
-port 118 nsew
-flabel metal3 s 583520 9818 584800 9930 0 FreeSans 1400 0 0 0 io_oeb[1]
-port 119 nsew
-flabel metal3 s -800 246488 480 246600 0 FreeSans 1400 0 0 0 io_oeb[20]
-port 120 nsew
-flabel metal3 s -800 118866 480 118978 0 FreeSans 1400 0 0 0 io_oeb[21]
-port 121 nsew
-flabel metal3 s -800 75644 480 75756 0 FreeSans 1400 0 0 0 io_oeb[22]
-port 122 nsew
-flabel metal3 s -800 32422 480 32534 0 FreeSans 1400 0 0 0 io_oeb[23]
-port 123 nsew
-flabel metal3 s -800 11000 480 11112 0 FreeSans 1400 0 0 0 io_oeb[24]
-port 124 nsew
-flabel metal3 s -800 6272 480 6384 0 FreeSans 1400 0 0 0 io_oeb[25]
-port 125 nsew
-flabel metal3 s -800 1544 480 1656 0 FreeSans 1400 0 0 0 io_oeb[26]
-port 126 nsew
-flabel metal3 s 583520 14546 584800 14658 0 FreeSans 1400 0 0 0 io_oeb[2]
-port 127 nsew
-flabel metal3 s 583520 19274 584800 19386 0 FreeSans 1400 0 0 0 io_oeb[3]
-port 128 nsew
-flabel metal3 s 583520 24002 584800 24114 0 FreeSans 1400 0 0 0 io_oeb[4]
-port 129 nsew
-flabel metal3 s 583520 50460 584800 50572 0 FreeSans 1400 0 0 0 io_oeb[5]
-port 130 nsew
-flabel metal3 s 583520 95118 584800 95230 0 FreeSans 1400 0 0 0 io_oeb[6]
-port 131 nsew
-flabel metal3 s 583520 275140 584800 275252 0 FreeSans 1400 0 0 0 io_oeb[7]
-port 132 nsew
-flabel metal3 s 583520 319562 584800 319674 0 FreeSans 1400 0 0 0 io_oeb[8]
-port 133 nsew
-flabel metal3 s 583520 364784 584800 364896 0 FreeSans 1400 0 0 0 io_oeb[9]
-port 134 nsew
-flabel metal3 s 583520 3908 584800 4020 0 FreeSans 1400 0 0 0 io_out[0]
-port 135 nsew
-flabel metal3 s 583520 410024 584800 410136 0 FreeSans 1400 0 0 0 io_out[10]
-port 136 nsew
-flabel metal3 s 583520 454446 584800 454558 0 FreeSans 1400 0 0 0 io_out[11]
-port 137 nsew
-flabel metal3 s 583520 498868 584800 498980 0 FreeSans 1400 0 0 0 io_out[12]
-port 138 nsew
-flabel metal3 s 583520 588290 584800 588402 0 FreeSans 1400 0 0 0 io_out[13]
-port 139 nsew
-flabel metal3 s -800 506802 480 506914 0 FreeSans 1400 0 0 0 io_out[14]
-port 140 nsew
-flabel metal3 s -800 463580 480 463692 0 FreeSans 1400 0 0 0 io_out[15]
-port 141 nsew
-flabel metal3 s -800 420358 480 420470 0 FreeSans 1400 0 0 0 io_out[16]
-port 142 nsew
-flabel metal3 s -800 377136 480 377248 0 FreeSans 1400 0 0 0 io_out[17]
-port 143 nsew
-flabel metal3 s -800 333914 480 334026 0 FreeSans 1400 0 0 0 io_out[18]
-port 144 nsew
-flabel metal3 s -800 290692 480 290804 0 FreeSans 1400 0 0 0 io_out[19]
-port 145 nsew
-flabel metal3 s 583520 8636 584800 8748 0 FreeSans 1400 0 0 0 io_out[1]
-port 146 nsew
-flabel metal3 s -800 247670 480 247782 0 FreeSans 1400 0 0 0 io_out[20]
-port 147 nsew
-flabel metal3 s -800 120048 480 120160 0 FreeSans 1400 0 0 0 io_out[21]
-port 148 nsew
-flabel metal3 s -800 76826 480 76938 0 FreeSans 1400 0 0 0 io_out[22]
-port 149 nsew
-flabel metal3 s -800 33604 480 33716 0 FreeSans 1400 0 0 0 io_out[23]
-port 150 nsew
-flabel metal3 s -800 12182 480 12294 0 FreeSans 1400 0 0 0 io_out[24]
-port 151 nsew
-flabel metal3 s -800 7454 480 7566 0 FreeSans 1400 0 0 0 io_out[25]
-port 152 nsew
-flabel metal3 s -800 2726 480 2838 0 FreeSans 1400 0 0 0 io_out[26]
-port 153 nsew
-flabel metal3 s 583520 13364 584800 13476 0 FreeSans 1400 0 0 0 io_out[2]
-port 154 nsew
-flabel metal3 s 583520 18092 584800 18204 0 FreeSans 1400 0 0 0 io_out[3]
-port 155 nsew
-flabel metal3 s 583520 22820 584800 22932 0 FreeSans 1400 0 0 0 io_out[4]
-port 156 nsew
-flabel metal3 s 583520 49278 584800 49390 0 FreeSans 1400 0 0 0 io_out[5]
-port 157 nsew
-flabel metal3 s 583520 93936 584800 94048 0 FreeSans 1400 0 0 0 io_out[6]
-port 158 nsew
-flabel metal3 s 583520 273958 584800 274070 0 FreeSans 1400 0 0 0 io_out[7]
-port 159 nsew
-flabel metal3 s 583520 318380 584800 318492 0 FreeSans 1400 0 0 0 io_out[8]
-port 160 nsew
-flabel metal3 s 583520 363602 584800 363714 0 FreeSans 1400 0 0 0 io_out[9]
-port 161 nsew
-flabel metal2 s 125816 -800 125928 480 0 FreeSans 1400 90 0 0 la_data_in[0]
-port 162 nsew
-flabel metal2 s 480416 -800 480528 480 0 FreeSans 1400 90 0 0 la_data_in[100]
-port 163 nsew
-flabel metal2 s 483962 -800 484074 480 0 FreeSans 1400 90 0 0 la_data_in[101]
-port 164 nsew
-flabel metal2 s 487508 -800 487620 480 0 FreeSans 1400 90 0 0 la_data_in[102]
-port 165 nsew
-flabel metal2 s 491054 -800 491166 480 0 FreeSans 1400 90 0 0 la_data_in[103]
-port 166 nsew
-flabel metal2 s 494600 -800 494712 480 0 FreeSans 1400 90 0 0 la_data_in[104]
-port 167 nsew
-flabel metal2 s 498146 -800 498258 480 0 FreeSans 1400 90 0 0 la_data_in[105]
-port 168 nsew
-flabel metal2 s 501692 -800 501804 480 0 FreeSans 1400 90 0 0 la_data_in[106]
-port 169 nsew
-flabel metal2 s 505238 -800 505350 480 0 FreeSans 1400 90 0 0 la_data_in[107]
-port 170 nsew
-flabel metal2 s 508784 -800 508896 480 0 FreeSans 1400 90 0 0 la_data_in[108]
-port 171 nsew
-flabel metal2 s 512330 -800 512442 480 0 FreeSans 1400 90 0 0 la_data_in[109]
-port 172 nsew
-flabel metal2 s 161276 -800 161388 480 0 FreeSans 1400 90 0 0 la_data_in[10]
-port 173 nsew
-flabel metal2 s 515876 -800 515988 480 0 FreeSans 1400 90 0 0 la_data_in[110]
-port 174 nsew
-flabel metal2 s 519422 -800 519534 480 0 FreeSans 1400 90 0 0 la_data_in[111]
-port 175 nsew
-flabel metal2 s 522968 -800 523080 480 0 FreeSans 1400 90 0 0 la_data_in[112]
-port 176 nsew
-flabel metal2 s 526514 -800 526626 480 0 FreeSans 1400 90 0 0 la_data_in[113]
-port 177 nsew
-flabel metal2 s 530060 -800 530172 480 0 FreeSans 1400 90 0 0 la_data_in[114]
-port 178 nsew
-flabel metal2 s 533606 -800 533718 480 0 FreeSans 1400 90 0 0 la_data_in[115]
-port 179 nsew
-flabel metal2 s 537152 -800 537264 480 0 FreeSans 1400 90 0 0 la_data_in[116]
-port 180 nsew
-flabel metal2 s 540698 -800 540810 480 0 FreeSans 1400 90 0 0 la_data_in[117]
-port 181 nsew
-flabel metal2 s 544244 -800 544356 480 0 FreeSans 1400 90 0 0 la_data_in[118]
-port 182 nsew
-flabel metal2 s 547790 -800 547902 480 0 FreeSans 1400 90 0 0 la_data_in[119]
-port 183 nsew
-flabel metal2 s 164822 -800 164934 480 0 FreeSans 1400 90 0 0 la_data_in[11]
-port 184 nsew
-flabel metal2 s 551336 -800 551448 480 0 FreeSans 1400 90 0 0 la_data_in[120]
-port 185 nsew
-flabel metal2 s 554882 -800 554994 480 0 FreeSans 1400 90 0 0 la_data_in[121]
-port 186 nsew
-flabel metal2 s 558428 -800 558540 480 0 FreeSans 1400 90 0 0 la_data_in[122]
-port 187 nsew
-flabel metal2 s 561974 -800 562086 480 0 FreeSans 1400 90 0 0 la_data_in[123]
-port 188 nsew
-flabel metal2 s 565520 -800 565632 480 0 FreeSans 1400 90 0 0 la_data_in[124]
-port 189 nsew
-flabel metal2 s 569066 -800 569178 480 0 FreeSans 1400 90 0 0 la_data_in[125]
-port 190 nsew
-flabel metal2 s 572612 -800 572724 480 0 FreeSans 1400 90 0 0 la_data_in[126]
-port 191 nsew
-flabel metal2 s 576158 -800 576270 480 0 FreeSans 1400 90 0 0 la_data_in[127]
-port 192 nsew
-flabel metal2 s 168368 -800 168480 480 0 FreeSans 1400 90 0 0 la_data_in[12]
-port 193 nsew
-flabel metal2 s 171914 -800 172026 480 0 FreeSans 1400 90 0 0 la_data_in[13]
-port 194 nsew
-flabel metal2 s 175460 -800 175572 480 0 FreeSans 1400 90 0 0 la_data_in[14]
-port 195 nsew
-flabel metal2 s 179006 -800 179118 480 0 FreeSans 1400 90 0 0 la_data_in[15]
-port 196 nsew
-flabel metal2 s 182552 -800 182664 480 0 FreeSans 1400 90 0 0 la_data_in[16]
-port 197 nsew
-flabel metal2 s 186098 -800 186210 480 0 FreeSans 1400 90 0 0 la_data_in[17]
-port 198 nsew
-flabel metal2 s 189644 -800 189756 480 0 FreeSans 1400 90 0 0 la_data_in[18]
-port 199 nsew
-flabel metal2 s 193190 -800 193302 480 0 FreeSans 1400 90 0 0 la_data_in[19]
-port 200 nsew
-flabel metal2 s 129362 -800 129474 480 0 FreeSans 1400 90 0 0 la_data_in[1]
-port 201 nsew
-flabel metal2 s 196736 -800 196848 480 0 FreeSans 1400 90 0 0 la_data_in[20]
-port 202 nsew
-flabel metal2 s 200282 -800 200394 480 0 FreeSans 1400 90 0 0 la_data_in[21]
-port 203 nsew
-flabel metal2 s 203828 -800 203940 480 0 FreeSans 1400 90 0 0 la_data_in[22]
-port 204 nsew
-flabel metal2 s 207374 -800 207486 480 0 FreeSans 1400 90 0 0 la_data_in[23]
-port 205 nsew
-flabel metal2 s 210920 -800 211032 480 0 FreeSans 1400 90 0 0 la_data_in[24]
-port 206 nsew
-flabel metal2 s 214466 -800 214578 480 0 FreeSans 1400 90 0 0 la_data_in[25]
-port 207 nsew
-flabel metal2 s 218012 -800 218124 480 0 FreeSans 1400 90 0 0 la_data_in[26]
-port 208 nsew
-flabel metal2 s 221558 -800 221670 480 0 FreeSans 1400 90 0 0 la_data_in[27]
-port 209 nsew
-flabel metal2 s 225104 -800 225216 480 0 FreeSans 1400 90 0 0 la_data_in[28]
-port 210 nsew
-flabel metal2 s 228650 -800 228762 480 0 FreeSans 1400 90 0 0 la_data_in[29]
-port 211 nsew
-flabel metal2 s 132908 -800 133020 480 0 FreeSans 1400 90 0 0 la_data_in[2]
-port 212 nsew
-flabel metal2 s 232196 -800 232308 480 0 FreeSans 1400 90 0 0 la_data_in[30]
-port 213 nsew
-flabel metal2 s 235742 -800 235854 480 0 FreeSans 1400 90 0 0 la_data_in[31]
-port 214 nsew
-flabel metal2 s 239288 -800 239400 480 0 FreeSans 1400 90 0 0 la_data_in[32]
-port 215 nsew
-flabel metal2 s 242834 -800 242946 480 0 FreeSans 1400 90 0 0 la_data_in[33]
-port 216 nsew
-flabel metal2 s 246380 -800 246492 480 0 FreeSans 1400 90 0 0 la_data_in[34]
-port 217 nsew
-flabel metal2 s 249926 -800 250038 480 0 FreeSans 1400 90 0 0 la_data_in[35]
-port 218 nsew
-flabel metal2 s 253472 -800 253584 480 0 FreeSans 1400 90 0 0 la_data_in[36]
-port 219 nsew
-flabel metal2 s 257018 -800 257130 480 0 FreeSans 1400 90 0 0 la_data_in[37]
-port 220 nsew
-flabel metal2 s 260564 -800 260676 480 0 FreeSans 1400 90 0 0 la_data_in[38]
-port 221 nsew
-flabel metal2 s 264110 -800 264222 480 0 FreeSans 1400 90 0 0 la_data_in[39]
-port 222 nsew
-flabel metal2 s 136454 -800 136566 480 0 FreeSans 1400 90 0 0 la_data_in[3]
-port 223 nsew
-flabel metal2 s 267656 -800 267768 480 0 FreeSans 1400 90 0 0 la_data_in[40]
-port 224 nsew
-flabel metal2 s 271202 -800 271314 480 0 FreeSans 1400 90 0 0 la_data_in[41]
-port 225 nsew
-flabel metal2 s 274748 -800 274860 480 0 FreeSans 1400 90 0 0 la_data_in[42]
-port 226 nsew
-flabel metal2 s 278294 -800 278406 480 0 FreeSans 1400 90 0 0 la_data_in[43]
-port 227 nsew
-flabel metal2 s 281840 -800 281952 480 0 FreeSans 1400 90 0 0 la_data_in[44]
-port 228 nsew
-flabel metal2 s 285386 -800 285498 480 0 FreeSans 1400 90 0 0 la_data_in[45]
-port 229 nsew
-flabel metal2 s 288932 -800 289044 480 0 FreeSans 1400 90 0 0 la_data_in[46]
-port 230 nsew
-flabel metal2 s 292478 -800 292590 480 0 FreeSans 1400 90 0 0 la_data_in[47]
-port 231 nsew
-flabel metal2 s 296024 -800 296136 480 0 FreeSans 1400 90 0 0 la_data_in[48]
-port 232 nsew
-flabel metal2 s 299570 -800 299682 480 0 FreeSans 1400 90 0 0 la_data_in[49]
-port 233 nsew
-flabel metal2 s 140000 -800 140112 480 0 FreeSans 1400 90 0 0 la_data_in[4]
-port 234 nsew
-flabel metal2 s 303116 -800 303228 480 0 FreeSans 1400 90 0 0 la_data_in[50]
-port 235 nsew
-flabel metal2 s 306662 -800 306774 480 0 FreeSans 1400 90 0 0 la_data_in[51]
-port 236 nsew
-flabel metal2 s 310208 -800 310320 480 0 FreeSans 1400 90 0 0 la_data_in[52]
-port 237 nsew
-flabel metal2 s 313754 -800 313866 480 0 FreeSans 1400 90 0 0 la_data_in[53]
-port 238 nsew
-flabel metal2 s 317300 -800 317412 480 0 FreeSans 1400 90 0 0 la_data_in[54]
-port 239 nsew
-flabel metal2 s 320846 -800 320958 480 0 FreeSans 1400 90 0 0 la_data_in[55]
-port 240 nsew
-flabel metal2 s 324392 -800 324504 480 0 FreeSans 1400 90 0 0 la_data_in[56]
-port 241 nsew
-flabel metal2 s 327938 -800 328050 480 0 FreeSans 1400 90 0 0 la_data_in[57]
-port 242 nsew
-flabel metal2 s 331484 -800 331596 480 0 FreeSans 1400 90 0 0 la_data_in[58]
-port 243 nsew
-flabel metal2 s 335030 -800 335142 480 0 FreeSans 1400 90 0 0 la_data_in[59]
-port 244 nsew
-flabel metal2 s 143546 -800 143658 480 0 FreeSans 1400 90 0 0 la_data_in[5]
-port 245 nsew
-flabel metal2 s 338576 -800 338688 480 0 FreeSans 1400 90 0 0 la_data_in[60]
-port 246 nsew
-flabel metal2 s 342122 -800 342234 480 0 FreeSans 1400 90 0 0 la_data_in[61]
-port 247 nsew
-flabel metal2 s 345668 -800 345780 480 0 FreeSans 1400 90 0 0 la_data_in[62]
-port 248 nsew
-flabel metal2 s 349214 -800 349326 480 0 FreeSans 1400 90 0 0 la_data_in[63]
-port 249 nsew
-flabel metal2 s 352760 -800 352872 480 0 FreeSans 1400 90 0 0 la_data_in[64]
-port 250 nsew
-flabel metal2 s 356306 -800 356418 480 0 FreeSans 1400 90 0 0 la_data_in[65]
-port 251 nsew
-flabel metal2 s 359852 -800 359964 480 0 FreeSans 1400 90 0 0 la_data_in[66]
-port 252 nsew
-flabel metal2 s 363398 -800 363510 480 0 FreeSans 1400 90 0 0 la_data_in[67]
-port 253 nsew
-flabel metal2 s 366944 -800 367056 480 0 FreeSans 1400 90 0 0 la_data_in[68]
-port 254 nsew
-flabel metal2 s 370490 -800 370602 480 0 FreeSans 1400 90 0 0 la_data_in[69]
-port 255 nsew
-flabel metal2 s 147092 -800 147204 480 0 FreeSans 1400 90 0 0 la_data_in[6]
-port 256 nsew
-flabel metal2 s 374036 -800 374148 480 0 FreeSans 1400 90 0 0 la_data_in[70]
-port 257 nsew
-flabel metal2 s 377582 -800 377694 480 0 FreeSans 1400 90 0 0 la_data_in[71]
-port 258 nsew
-flabel metal2 s 381128 -800 381240 480 0 FreeSans 1400 90 0 0 la_data_in[72]
-port 259 nsew
-flabel metal2 s 384674 -800 384786 480 0 FreeSans 1400 90 0 0 la_data_in[73]
-port 260 nsew
-flabel metal2 s 388220 -800 388332 480 0 FreeSans 1400 90 0 0 la_data_in[74]
-port 261 nsew
-flabel metal2 s 391766 -800 391878 480 0 FreeSans 1400 90 0 0 la_data_in[75]
-port 262 nsew
-flabel metal2 s 395312 -800 395424 480 0 FreeSans 1400 90 0 0 la_data_in[76]
-port 263 nsew
-flabel metal2 s 398858 -800 398970 480 0 FreeSans 1400 90 0 0 la_data_in[77]
-port 264 nsew
-flabel metal2 s 402404 -800 402516 480 0 FreeSans 1400 90 0 0 la_data_in[78]
-port 265 nsew
-flabel metal2 s 405950 -800 406062 480 0 FreeSans 1400 90 0 0 la_data_in[79]
-port 266 nsew
-flabel metal2 s 150638 -800 150750 480 0 FreeSans 1400 90 0 0 la_data_in[7]
-port 267 nsew
-flabel metal2 s 409496 -800 409608 480 0 FreeSans 1400 90 0 0 la_data_in[80]
-port 268 nsew
-flabel metal2 s 413042 -800 413154 480 0 FreeSans 1400 90 0 0 la_data_in[81]
-port 269 nsew
-flabel metal2 s 416588 -800 416700 480 0 FreeSans 1400 90 0 0 la_data_in[82]
-port 270 nsew
-flabel metal2 s 420134 -800 420246 480 0 FreeSans 1400 90 0 0 la_data_in[83]
-port 271 nsew
-flabel metal2 s 423680 -800 423792 480 0 FreeSans 1400 90 0 0 la_data_in[84]
-port 272 nsew
-flabel metal2 s 427226 -800 427338 480 0 FreeSans 1400 90 0 0 la_data_in[85]
-port 273 nsew
-flabel metal2 s 430772 -800 430884 480 0 FreeSans 1400 90 0 0 la_data_in[86]
-port 274 nsew
-flabel metal2 s 434318 -800 434430 480 0 FreeSans 1400 90 0 0 la_data_in[87]
-port 275 nsew
-flabel metal2 s 437864 -800 437976 480 0 FreeSans 1400 90 0 0 la_data_in[88]
-port 276 nsew
-flabel metal2 s 441410 -800 441522 480 0 FreeSans 1400 90 0 0 la_data_in[89]
-port 277 nsew
-flabel metal2 s 154184 -800 154296 480 0 FreeSans 1400 90 0 0 la_data_in[8]
-port 278 nsew
-flabel metal2 s 444956 -800 445068 480 0 FreeSans 1400 90 0 0 la_data_in[90]
-port 279 nsew
-flabel metal2 s 448502 -800 448614 480 0 FreeSans 1400 90 0 0 la_data_in[91]
-port 280 nsew
-flabel metal2 s 452048 -800 452160 480 0 FreeSans 1400 90 0 0 la_data_in[92]
-port 281 nsew
-flabel metal2 s 455594 -800 455706 480 0 FreeSans 1400 90 0 0 la_data_in[93]
-port 282 nsew
-flabel metal2 s 459140 -800 459252 480 0 FreeSans 1400 90 0 0 la_data_in[94]
-port 283 nsew
-flabel metal2 s 462686 -800 462798 480 0 FreeSans 1400 90 0 0 la_data_in[95]
-port 284 nsew
-flabel metal2 s 466232 -800 466344 480 0 FreeSans 1400 90 0 0 la_data_in[96]
-port 285 nsew
-flabel metal2 s 469778 -800 469890 480 0 FreeSans 1400 90 0 0 la_data_in[97]
-port 286 nsew
-flabel metal2 s 473324 -800 473436 480 0 FreeSans 1400 90 0 0 la_data_in[98]
-port 287 nsew
-flabel metal2 s 476870 -800 476982 480 0 FreeSans 1400 90 0 0 la_data_in[99]
-port 288 nsew
-flabel metal2 s 157730 -800 157842 480 0 FreeSans 1400 90 0 0 la_data_in[9]
-port 289 nsew
-flabel metal2 s 126998 -800 127110 480 0 FreeSans 1400 90 0 0 la_data_out[0]
-port 290 nsew
-flabel metal2 s 481598 -800 481710 480 0 FreeSans 1400 90 0 0 la_data_out[100]
-port 291 nsew
-flabel metal2 s 485144 -800 485256 480 0 FreeSans 1400 90 0 0 la_data_out[101]
-port 292 nsew
-flabel metal2 s 488690 -800 488802 480 0 FreeSans 1400 90 0 0 la_data_out[102]
-port 293 nsew
-flabel metal2 s 492236 -800 492348 480 0 FreeSans 1400 90 0 0 la_data_out[103]
-port 294 nsew
-flabel metal2 s 495782 -800 495894 480 0 FreeSans 1400 90 0 0 la_data_out[104]
-port 295 nsew
-flabel metal2 s 499328 -800 499440 480 0 FreeSans 1400 90 0 0 la_data_out[105]
-port 296 nsew
-flabel metal2 s 502874 -800 502986 480 0 FreeSans 1400 90 0 0 la_data_out[106]
-port 297 nsew
-flabel metal2 s 506420 -800 506532 480 0 FreeSans 1400 90 0 0 la_data_out[107]
-port 298 nsew
-flabel metal2 s 509966 -800 510078 480 0 FreeSans 1400 90 0 0 la_data_out[108]
-port 299 nsew
-flabel metal2 s 513512 -800 513624 480 0 FreeSans 1400 90 0 0 la_data_out[109]
-port 300 nsew
-flabel metal2 s 162458 -800 162570 480 0 FreeSans 1400 90 0 0 la_data_out[10]
-port 301 nsew
-flabel metal2 s 517058 -800 517170 480 0 FreeSans 1400 90 0 0 la_data_out[110]
-port 302 nsew
-flabel metal2 s 520604 -800 520716 480 0 FreeSans 1400 90 0 0 la_data_out[111]
-port 303 nsew
-flabel metal2 s 524150 -800 524262 480 0 FreeSans 1400 90 0 0 la_data_out[112]
-port 304 nsew
-flabel metal2 s 527696 -800 527808 480 0 FreeSans 1400 90 0 0 la_data_out[113]
-port 305 nsew
-flabel metal2 s 531242 -800 531354 480 0 FreeSans 1400 90 0 0 la_data_out[114]
-port 306 nsew
-flabel metal2 s 534788 -800 534900 480 0 FreeSans 1400 90 0 0 la_data_out[115]
-port 307 nsew
-flabel metal2 s 538334 -800 538446 480 0 FreeSans 1400 90 0 0 la_data_out[116]
-port 308 nsew
-flabel metal2 s 541880 -800 541992 480 0 FreeSans 1400 90 0 0 la_data_out[117]
-port 309 nsew
-flabel metal2 s 545426 -800 545538 480 0 FreeSans 1400 90 0 0 la_data_out[118]
-port 310 nsew
-flabel metal2 s 548972 -800 549084 480 0 FreeSans 1400 90 0 0 la_data_out[119]
-port 311 nsew
-flabel metal2 s 166004 -800 166116 480 0 FreeSans 1400 90 0 0 la_data_out[11]
-port 312 nsew
-flabel metal2 s 552518 -800 552630 480 0 FreeSans 1400 90 0 0 la_data_out[120]
-port 313 nsew
-flabel metal2 s 556064 -800 556176 480 0 FreeSans 1400 90 0 0 la_data_out[121]
-port 314 nsew
-flabel metal2 s 559610 -800 559722 480 0 FreeSans 1400 90 0 0 la_data_out[122]
-port 315 nsew
-flabel metal2 s 563156 -800 563268 480 0 FreeSans 1400 90 0 0 la_data_out[123]
-port 316 nsew
-flabel metal2 s 566702 -800 566814 480 0 FreeSans 1400 90 0 0 la_data_out[124]
-port 317 nsew
-flabel metal2 s 570248 -800 570360 480 0 FreeSans 1400 90 0 0 la_data_out[125]
-port 318 nsew
-flabel metal2 s 573794 -800 573906 480 0 FreeSans 1400 90 0 0 la_data_out[126]
-port 319 nsew
-flabel metal2 s 577340 -800 577452 480 0 FreeSans 1400 90 0 0 la_data_out[127]
-port 320 nsew
-flabel metal2 s 169550 -800 169662 480 0 FreeSans 1400 90 0 0 la_data_out[12]
-port 321 nsew
-flabel metal2 s 173096 -800 173208 480 0 FreeSans 1400 90 0 0 la_data_out[13]
-port 322 nsew
-flabel metal2 s 176642 -800 176754 480 0 FreeSans 1400 90 0 0 la_data_out[14]
-port 323 nsew
-flabel metal2 s 180188 -800 180300 480 0 FreeSans 1400 90 0 0 la_data_out[15]
-port 324 nsew
-flabel metal2 s 183734 -800 183846 480 0 FreeSans 1400 90 0 0 la_data_out[16]
-port 325 nsew
-flabel metal2 s 187280 -800 187392 480 0 FreeSans 1400 90 0 0 la_data_out[17]
-port 326 nsew
-flabel metal2 s 190826 -800 190938 480 0 FreeSans 1400 90 0 0 la_data_out[18]
-port 327 nsew
-flabel metal2 s 194372 -800 194484 480 0 FreeSans 1400 90 0 0 la_data_out[19]
-port 328 nsew
-flabel metal2 s 130544 -800 130656 480 0 FreeSans 1400 90 0 0 la_data_out[1]
-port 329 nsew
-flabel metal2 s 197918 -800 198030 480 0 FreeSans 1400 90 0 0 la_data_out[20]
-port 330 nsew
-flabel metal2 s 201464 -800 201576 480 0 FreeSans 1400 90 0 0 la_data_out[21]
-port 331 nsew
-flabel metal2 s 205010 -800 205122 480 0 FreeSans 1400 90 0 0 la_data_out[22]
-port 332 nsew
-flabel metal2 s 208556 -800 208668 480 0 FreeSans 1400 90 0 0 la_data_out[23]
-port 333 nsew
-flabel metal2 s 212102 -800 212214 480 0 FreeSans 1400 90 0 0 la_data_out[24]
-port 334 nsew
-flabel metal2 s 215648 -800 215760 480 0 FreeSans 1400 90 0 0 la_data_out[25]
-port 335 nsew
-flabel metal2 s 219194 -800 219306 480 0 FreeSans 1400 90 0 0 la_data_out[26]
-port 336 nsew
-flabel metal2 s 222740 -800 222852 480 0 FreeSans 1400 90 0 0 la_data_out[27]
-port 337 nsew
-flabel metal2 s 226286 -800 226398 480 0 FreeSans 1400 90 0 0 la_data_out[28]
-port 338 nsew
-flabel metal2 s 229832 -800 229944 480 0 FreeSans 1400 90 0 0 la_data_out[29]
-port 339 nsew
-flabel metal2 s 134090 -800 134202 480 0 FreeSans 1400 90 0 0 la_data_out[2]
-port 340 nsew
-flabel metal2 s 233378 -800 233490 480 0 FreeSans 1400 90 0 0 la_data_out[30]
-port 341 nsew
-flabel metal2 s 236924 -800 237036 480 0 FreeSans 1400 90 0 0 la_data_out[31]
-port 342 nsew
-flabel metal2 s 240470 -800 240582 480 0 FreeSans 1400 90 0 0 la_data_out[32]
-port 343 nsew
-flabel metal2 s 244016 -800 244128 480 0 FreeSans 1400 90 0 0 la_data_out[33]
-port 344 nsew
-flabel metal2 s 247562 -800 247674 480 0 FreeSans 1400 90 0 0 la_data_out[34]
-port 345 nsew
-flabel metal2 s 251108 -800 251220 480 0 FreeSans 1400 90 0 0 la_data_out[35]
-port 346 nsew
-flabel metal2 s 254654 -800 254766 480 0 FreeSans 1400 90 0 0 la_data_out[36]
-port 347 nsew
-flabel metal2 s 258200 -800 258312 480 0 FreeSans 1400 90 0 0 la_data_out[37]
-port 348 nsew
-flabel metal2 s 261746 -800 261858 480 0 FreeSans 1400 90 0 0 la_data_out[38]
-port 349 nsew
-flabel metal2 s 265292 -800 265404 480 0 FreeSans 1400 90 0 0 la_data_out[39]
-port 350 nsew
-flabel metal2 s 137636 -800 137748 480 0 FreeSans 1400 90 0 0 la_data_out[3]
-port 351 nsew
-flabel metal2 s 268838 -800 268950 480 0 FreeSans 1400 90 0 0 la_data_out[40]
-port 352 nsew
-flabel metal2 s 272384 -800 272496 480 0 FreeSans 1400 90 0 0 la_data_out[41]
-port 353 nsew
-flabel metal2 s 275930 -800 276042 480 0 FreeSans 1400 90 0 0 la_data_out[42]
-port 354 nsew
-flabel metal2 s 279476 -800 279588 480 0 FreeSans 1400 90 0 0 la_data_out[43]
-port 355 nsew
-flabel metal2 s 283022 -800 283134 480 0 FreeSans 1400 90 0 0 la_data_out[44]
-port 356 nsew
-flabel metal2 s 286568 -800 286680 480 0 FreeSans 1400 90 0 0 la_data_out[45]
-port 357 nsew
-flabel metal2 s 290114 -800 290226 480 0 FreeSans 1400 90 0 0 la_data_out[46]
-port 358 nsew
-flabel metal2 s 293660 -800 293772 480 0 FreeSans 1400 90 0 0 la_data_out[47]
-port 359 nsew
-flabel metal2 s 297206 -800 297318 480 0 FreeSans 1400 90 0 0 la_data_out[48]
-port 360 nsew
-flabel metal2 s 300752 -800 300864 480 0 FreeSans 1400 90 0 0 la_data_out[49]
-port 361 nsew
-flabel metal2 s 141182 -800 141294 480 0 FreeSans 1400 90 0 0 la_data_out[4]
-port 362 nsew
-flabel metal2 s 304298 -800 304410 480 0 FreeSans 1400 90 0 0 la_data_out[50]
-port 363 nsew
-flabel metal2 s 307844 -800 307956 480 0 FreeSans 1400 90 0 0 la_data_out[51]
-port 364 nsew
-flabel metal2 s 311390 -800 311502 480 0 FreeSans 1400 90 0 0 la_data_out[52]
-port 365 nsew
-flabel metal2 s 314936 -800 315048 480 0 FreeSans 1400 90 0 0 la_data_out[53]
-port 366 nsew
-flabel metal2 s 318482 -800 318594 480 0 FreeSans 1400 90 0 0 la_data_out[54]
-port 367 nsew
-flabel metal2 s 322028 -800 322140 480 0 FreeSans 1400 90 0 0 la_data_out[55]
-port 368 nsew
-flabel metal2 s 325574 -800 325686 480 0 FreeSans 1400 90 0 0 la_data_out[56]
-port 369 nsew
-flabel metal2 s 329120 -800 329232 480 0 FreeSans 1400 90 0 0 la_data_out[57]
-port 370 nsew
-flabel metal2 s 332666 -800 332778 480 0 FreeSans 1400 90 0 0 la_data_out[58]
-port 371 nsew
-flabel metal2 s 336212 -800 336324 480 0 FreeSans 1400 90 0 0 la_data_out[59]
-port 372 nsew
-flabel metal2 s 144728 -800 144840 480 0 FreeSans 1400 90 0 0 la_data_out[5]
-port 373 nsew
-flabel metal2 s 339758 -800 339870 480 0 FreeSans 1400 90 0 0 la_data_out[60]
-port 374 nsew
-flabel metal2 s 343304 -800 343416 480 0 FreeSans 1400 90 0 0 la_data_out[61]
-port 375 nsew
-flabel metal2 s 346850 -800 346962 480 0 FreeSans 1400 90 0 0 la_data_out[62]
-port 376 nsew
-flabel metal2 s 350396 -800 350508 480 0 FreeSans 1400 90 0 0 la_data_out[63]
-port 377 nsew
-flabel metal2 s 353942 -800 354054 480 0 FreeSans 1400 90 0 0 la_data_out[64]
-port 378 nsew
-flabel metal2 s 357488 -800 357600 480 0 FreeSans 1400 90 0 0 la_data_out[65]
-port 379 nsew
-flabel metal2 s 361034 -800 361146 480 0 FreeSans 1400 90 0 0 la_data_out[66]
-port 380 nsew
-flabel metal2 s 364580 -800 364692 480 0 FreeSans 1400 90 0 0 la_data_out[67]
-port 381 nsew
-flabel metal2 s 368126 -800 368238 480 0 FreeSans 1400 90 0 0 la_data_out[68]
-port 382 nsew
-flabel metal2 s 371672 -800 371784 480 0 FreeSans 1400 90 0 0 la_data_out[69]
-port 383 nsew
-flabel metal2 s 148274 -800 148386 480 0 FreeSans 1400 90 0 0 la_data_out[6]
-port 384 nsew
-flabel metal2 s 375218 -800 375330 480 0 FreeSans 1400 90 0 0 la_data_out[70]
-port 385 nsew
-flabel metal2 s 378764 -800 378876 480 0 FreeSans 1400 90 0 0 la_data_out[71]
-port 386 nsew
-flabel metal2 s 382310 -800 382422 480 0 FreeSans 1400 90 0 0 la_data_out[72]
-port 387 nsew
-flabel metal2 s 385856 -800 385968 480 0 FreeSans 1400 90 0 0 la_data_out[73]
-port 388 nsew
-flabel metal2 s 389402 -800 389514 480 0 FreeSans 1400 90 0 0 la_data_out[74]
-port 389 nsew
-flabel metal2 s 392948 -800 393060 480 0 FreeSans 1400 90 0 0 la_data_out[75]
-port 390 nsew
-flabel metal2 s 396494 -800 396606 480 0 FreeSans 1400 90 0 0 la_data_out[76]
-port 391 nsew
-flabel metal2 s 400040 -800 400152 480 0 FreeSans 1400 90 0 0 la_data_out[77]
-port 392 nsew
-flabel metal2 s 403586 -800 403698 480 0 FreeSans 1400 90 0 0 la_data_out[78]
-port 393 nsew
-flabel metal2 s 407132 -800 407244 480 0 FreeSans 1400 90 0 0 la_data_out[79]
-port 394 nsew
-flabel metal2 s 151820 -800 151932 480 0 FreeSans 1400 90 0 0 la_data_out[7]
-port 395 nsew
-flabel metal2 s 410678 -800 410790 480 0 FreeSans 1400 90 0 0 la_data_out[80]
-port 396 nsew
-flabel metal2 s 414224 -800 414336 480 0 FreeSans 1400 90 0 0 la_data_out[81]
-port 397 nsew
-flabel metal2 s 417770 -800 417882 480 0 FreeSans 1400 90 0 0 la_data_out[82]
-port 398 nsew
-flabel metal2 s 421316 -800 421428 480 0 FreeSans 1400 90 0 0 la_data_out[83]
-port 399 nsew
-flabel metal2 s 424862 -800 424974 480 0 FreeSans 1400 90 0 0 la_data_out[84]
-port 400 nsew
-flabel metal2 s 428408 -800 428520 480 0 FreeSans 1400 90 0 0 la_data_out[85]
-port 401 nsew
-flabel metal2 s 431954 -800 432066 480 0 FreeSans 1400 90 0 0 la_data_out[86]
-port 402 nsew
-flabel metal2 s 435500 -800 435612 480 0 FreeSans 1400 90 0 0 la_data_out[87]
-port 403 nsew
-flabel metal2 s 439046 -800 439158 480 0 FreeSans 1400 90 0 0 la_data_out[88]
-port 404 nsew
-flabel metal2 s 442592 -800 442704 480 0 FreeSans 1400 90 0 0 la_data_out[89]
-port 405 nsew
-flabel metal2 s 155366 -800 155478 480 0 FreeSans 1400 90 0 0 la_data_out[8]
-port 406 nsew
-flabel metal2 s 446138 -800 446250 480 0 FreeSans 1400 90 0 0 la_data_out[90]
-port 407 nsew
-flabel metal2 s 449684 -800 449796 480 0 FreeSans 1400 90 0 0 la_data_out[91]
-port 408 nsew
-flabel metal2 s 453230 -800 453342 480 0 FreeSans 1400 90 0 0 la_data_out[92]
-port 409 nsew
-flabel metal2 s 456776 -800 456888 480 0 FreeSans 1400 90 0 0 la_data_out[93]
-port 410 nsew
-flabel metal2 s 460322 -800 460434 480 0 FreeSans 1400 90 0 0 la_data_out[94]
-port 411 nsew
-flabel metal2 s 463868 -800 463980 480 0 FreeSans 1400 90 0 0 la_data_out[95]
-port 412 nsew
-flabel metal2 s 467414 -800 467526 480 0 FreeSans 1400 90 0 0 la_data_out[96]
-port 413 nsew
-flabel metal2 s 470960 -800 471072 480 0 FreeSans 1400 90 0 0 la_data_out[97]
-port 414 nsew
-flabel metal2 s 474506 -800 474618 480 0 FreeSans 1400 90 0 0 la_data_out[98]
-port 415 nsew
-flabel metal2 s 478052 -800 478164 480 0 FreeSans 1400 90 0 0 la_data_out[99]
-port 416 nsew
-flabel metal2 s 158912 -800 159024 480 0 FreeSans 1400 90 0 0 la_data_out[9]
-port 417 nsew
-flabel metal2 s 128180 -800 128292 480 0 FreeSans 1400 90 0 0 la_oenb[0]
-port 418 nsew
-flabel metal2 s 482780 -800 482892 480 0 FreeSans 1400 90 0 0 la_oenb[100]
-port 419 nsew
-flabel metal2 s 486326 -800 486438 480 0 FreeSans 1400 90 0 0 la_oenb[101]
-port 420 nsew
-flabel metal2 s 489872 -800 489984 480 0 FreeSans 1400 90 0 0 la_oenb[102]
-port 421 nsew
-flabel metal2 s 493418 -800 493530 480 0 FreeSans 1400 90 0 0 la_oenb[103]
-port 422 nsew
-flabel metal2 s 496964 -800 497076 480 0 FreeSans 1400 90 0 0 la_oenb[104]
-port 423 nsew
-flabel metal2 s 500510 -800 500622 480 0 FreeSans 1400 90 0 0 la_oenb[105]
-port 424 nsew
-flabel metal2 s 504056 -800 504168 480 0 FreeSans 1400 90 0 0 la_oenb[106]
-port 425 nsew
-flabel metal2 s 507602 -800 507714 480 0 FreeSans 1400 90 0 0 la_oenb[107]
-port 426 nsew
-flabel metal2 s 511148 -800 511260 480 0 FreeSans 1400 90 0 0 la_oenb[108]
-port 427 nsew
-flabel metal2 s 514694 -800 514806 480 0 FreeSans 1400 90 0 0 la_oenb[109]
-port 428 nsew
-flabel metal2 s 163640 -800 163752 480 0 FreeSans 1400 90 0 0 la_oenb[10]
-port 429 nsew
-flabel metal2 s 518240 -800 518352 480 0 FreeSans 1400 90 0 0 la_oenb[110]
-port 430 nsew
-flabel metal2 s 521786 -800 521898 480 0 FreeSans 1400 90 0 0 la_oenb[111]
-port 431 nsew
-flabel metal2 s 525332 -800 525444 480 0 FreeSans 1400 90 0 0 la_oenb[112]
-port 432 nsew
-flabel metal2 s 528878 -800 528990 480 0 FreeSans 1400 90 0 0 la_oenb[113]
-port 433 nsew
-flabel metal2 s 532424 -800 532536 480 0 FreeSans 1400 90 0 0 la_oenb[114]
-port 434 nsew
-flabel metal2 s 535970 -800 536082 480 0 FreeSans 1400 90 0 0 la_oenb[115]
-port 435 nsew
-flabel metal2 s 539516 -800 539628 480 0 FreeSans 1400 90 0 0 la_oenb[116]
-port 436 nsew
-flabel metal2 s 543062 -800 543174 480 0 FreeSans 1400 90 0 0 la_oenb[117]
-port 437 nsew
-flabel metal2 s 546608 -800 546720 480 0 FreeSans 1400 90 0 0 la_oenb[118]
-port 438 nsew
-flabel metal2 s 550154 -800 550266 480 0 FreeSans 1400 90 0 0 la_oenb[119]
-port 439 nsew
-flabel metal2 s 167186 -800 167298 480 0 FreeSans 1400 90 0 0 la_oenb[11]
-port 440 nsew
-flabel metal2 s 553700 -800 553812 480 0 FreeSans 1400 90 0 0 la_oenb[120]
-port 441 nsew
-flabel metal2 s 557246 -800 557358 480 0 FreeSans 1400 90 0 0 la_oenb[121]
-port 442 nsew
-flabel metal2 s 560792 -800 560904 480 0 FreeSans 1400 90 0 0 la_oenb[122]
-port 443 nsew
-flabel metal2 s 564338 -800 564450 480 0 FreeSans 1400 90 0 0 la_oenb[123]
-port 444 nsew
-flabel metal2 s 567884 -800 567996 480 0 FreeSans 1400 90 0 0 la_oenb[124]
-port 445 nsew
-flabel metal2 s 571430 -800 571542 480 0 FreeSans 1400 90 0 0 la_oenb[125]
-port 446 nsew
-flabel metal2 s 574976 -800 575088 480 0 FreeSans 1400 90 0 0 la_oenb[126]
-port 447 nsew
-flabel metal2 s 578522 -800 578634 480 0 FreeSans 1400 90 0 0 la_oenb[127]
-port 448 nsew
-flabel metal2 s 170732 -800 170844 480 0 FreeSans 1400 90 0 0 la_oenb[12]
-port 449 nsew
-flabel metal2 s 174278 -800 174390 480 0 FreeSans 1400 90 0 0 la_oenb[13]
-port 450 nsew
-flabel metal2 s 177824 -800 177936 480 0 FreeSans 1400 90 0 0 la_oenb[14]
-port 451 nsew
-flabel metal2 s 181370 -800 181482 480 0 FreeSans 1400 90 0 0 la_oenb[15]
-port 452 nsew
-flabel metal2 s 184916 -800 185028 480 0 FreeSans 1400 90 0 0 la_oenb[16]
-port 453 nsew
-flabel metal2 s 188462 -800 188574 480 0 FreeSans 1400 90 0 0 la_oenb[17]
-port 454 nsew
-flabel metal2 s 192008 -800 192120 480 0 FreeSans 1400 90 0 0 la_oenb[18]
-port 455 nsew
-flabel metal2 s 195554 -800 195666 480 0 FreeSans 1400 90 0 0 la_oenb[19]
-port 456 nsew
-flabel metal2 s 131726 -800 131838 480 0 FreeSans 1400 90 0 0 la_oenb[1]
-port 457 nsew
-flabel metal2 s 199100 -800 199212 480 0 FreeSans 1400 90 0 0 la_oenb[20]
-port 458 nsew
-flabel metal2 s 202646 -800 202758 480 0 FreeSans 1400 90 0 0 la_oenb[21]
-port 459 nsew
-flabel metal2 s 206192 -800 206304 480 0 FreeSans 1400 90 0 0 la_oenb[22]
-port 460 nsew
-flabel metal2 s 209738 -800 209850 480 0 FreeSans 1400 90 0 0 la_oenb[23]
-port 461 nsew
-flabel metal2 s 213284 -800 213396 480 0 FreeSans 1400 90 0 0 la_oenb[24]
-port 462 nsew
-flabel metal2 s 216830 -800 216942 480 0 FreeSans 1400 90 0 0 la_oenb[25]
-port 463 nsew
-flabel metal2 s 220376 -800 220488 480 0 FreeSans 1400 90 0 0 la_oenb[26]
-port 464 nsew
-flabel metal2 s 223922 -800 224034 480 0 FreeSans 1400 90 0 0 la_oenb[27]
-port 465 nsew
-flabel metal2 s 227468 -800 227580 480 0 FreeSans 1400 90 0 0 la_oenb[28]
-port 466 nsew
-flabel metal2 s 231014 -800 231126 480 0 FreeSans 1400 90 0 0 la_oenb[29]
-port 467 nsew
-flabel metal2 s 135272 -800 135384 480 0 FreeSans 1400 90 0 0 la_oenb[2]
-port 468 nsew
-flabel metal2 s 234560 -800 234672 480 0 FreeSans 1400 90 0 0 la_oenb[30]
-port 469 nsew
-flabel metal2 s 238106 -800 238218 480 0 FreeSans 1400 90 0 0 la_oenb[31]
-port 470 nsew
-flabel metal2 s 241652 -800 241764 480 0 FreeSans 1400 90 0 0 la_oenb[32]
-port 471 nsew
-flabel metal2 s 245198 -800 245310 480 0 FreeSans 1400 90 0 0 la_oenb[33]
-port 472 nsew
-flabel metal2 s 248744 -800 248856 480 0 FreeSans 1400 90 0 0 la_oenb[34]
-port 473 nsew
-flabel metal2 s 252290 -800 252402 480 0 FreeSans 1400 90 0 0 la_oenb[35]
-port 474 nsew
-flabel metal2 s 255836 -800 255948 480 0 FreeSans 1400 90 0 0 la_oenb[36]
-port 475 nsew
-flabel metal2 s 259382 -800 259494 480 0 FreeSans 1400 90 0 0 la_oenb[37]
-port 476 nsew
-flabel metal2 s 262928 -800 263040 480 0 FreeSans 1400 90 0 0 la_oenb[38]
-port 477 nsew
-flabel metal2 s 266474 -800 266586 480 0 FreeSans 1400 90 0 0 la_oenb[39]
-port 478 nsew
-flabel metal2 s 138818 -800 138930 480 0 FreeSans 1400 90 0 0 la_oenb[3]
-port 479 nsew
-flabel metal2 s 270020 -800 270132 480 0 FreeSans 1400 90 0 0 la_oenb[40]
-port 480 nsew
-flabel metal2 s 273566 -800 273678 480 0 FreeSans 1400 90 0 0 la_oenb[41]
-port 481 nsew
-flabel metal2 s 277112 -800 277224 480 0 FreeSans 1400 90 0 0 la_oenb[42]
-port 482 nsew
-flabel metal2 s 280658 -800 280770 480 0 FreeSans 1400 90 0 0 la_oenb[43]
-port 483 nsew
-flabel metal2 s 284204 -800 284316 480 0 FreeSans 1400 90 0 0 la_oenb[44]
-port 484 nsew
-flabel metal2 s 287750 -800 287862 480 0 FreeSans 1400 90 0 0 la_oenb[45]
-port 485 nsew
-flabel metal2 s 291296 -800 291408 480 0 FreeSans 1400 90 0 0 la_oenb[46]
-port 486 nsew
-flabel metal2 s 294842 -800 294954 480 0 FreeSans 1400 90 0 0 la_oenb[47]
-port 487 nsew
-flabel metal2 s 298388 -800 298500 480 0 FreeSans 1400 90 0 0 la_oenb[48]
-port 488 nsew
-flabel metal2 s 301934 -800 302046 480 0 FreeSans 1400 90 0 0 la_oenb[49]
-port 489 nsew
-flabel metal2 s 142364 -800 142476 480 0 FreeSans 1400 90 0 0 la_oenb[4]
-port 490 nsew
-flabel metal2 s 305480 -800 305592 480 0 FreeSans 1400 90 0 0 la_oenb[50]
-port 491 nsew
-flabel metal2 s 309026 -800 309138 480 0 FreeSans 1400 90 0 0 la_oenb[51]
-port 492 nsew
-flabel metal2 s 312572 -800 312684 480 0 FreeSans 1400 90 0 0 la_oenb[52]
-port 493 nsew
-flabel metal2 s 316118 -800 316230 480 0 FreeSans 1400 90 0 0 la_oenb[53]
-port 494 nsew
-flabel metal2 s 319664 -800 319776 480 0 FreeSans 1400 90 0 0 la_oenb[54]
-port 495 nsew
-flabel metal2 s 323210 -800 323322 480 0 FreeSans 1400 90 0 0 la_oenb[55]
-port 496 nsew
-flabel metal2 s 326756 -800 326868 480 0 FreeSans 1400 90 0 0 la_oenb[56]
-port 497 nsew
-flabel metal2 s 330302 -800 330414 480 0 FreeSans 1400 90 0 0 la_oenb[57]
-port 498 nsew
-flabel metal2 s 333848 -800 333960 480 0 FreeSans 1400 90 0 0 la_oenb[58]
-port 499 nsew
-flabel metal2 s 337394 -800 337506 480 0 FreeSans 1400 90 0 0 la_oenb[59]
-port 500 nsew
-flabel metal2 s 145910 -800 146022 480 0 FreeSans 1400 90 0 0 la_oenb[5]
-port 501 nsew
-flabel metal2 s 340940 -800 341052 480 0 FreeSans 1400 90 0 0 la_oenb[60]
-port 502 nsew
-flabel metal2 s 344486 -800 344598 480 0 FreeSans 1400 90 0 0 la_oenb[61]
-port 503 nsew
-flabel metal2 s 348032 -800 348144 480 0 FreeSans 1400 90 0 0 la_oenb[62]
-port 504 nsew
-flabel metal2 s 351578 -800 351690 480 0 FreeSans 1400 90 0 0 la_oenb[63]
-port 505 nsew
-flabel metal2 s 355124 -800 355236 480 0 FreeSans 1400 90 0 0 la_oenb[64]
-port 506 nsew
-flabel metal2 s 358670 -800 358782 480 0 FreeSans 1400 90 0 0 la_oenb[65]
-port 507 nsew
-flabel metal2 s 362216 -800 362328 480 0 FreeSans 1400 90 0 0 la_oenb[66]
-port 508 nsew
-flabel metal2 s 365762 -800 365874 480 0 FreeSans 1400 90 0 0 la_oenb[67]
-port 509 nsew
-flabel metal2 s 369308 -800 369420 480 0 FreeSans 1400 90 0 0 la_oenb[68]
-port 510 nsew
-flabel metal2 s 372854 -800 372966 480 0 FreeSans 1400 90 0 0 la_oenb[69]
-port 511 nsew
-flabel metal2 s 149456 -800 149568 480 0 FreeSans 1400 90 0 0 la_oenb[6]
-port 512 nsew
-flabel metal2 s 376400 -800 376512 480 0 FreeSans 1400 90 0 0 la_oenb[70]
-port 513 nsew
-flabel metal2 s 379946 -800 380058 480 0 FreeSans 1400 90 0 0 la_oenb[71]
-port 514 nsew
-flabel metal2 s 383492 -800 383604 480 0 FreeSans 1400 90 0 0 la_oenb[72]
-port 515 nsew
-flabel metal2 s 387038 -800 387150 480 0 FreeSans 1400 90 0 0 la_oenb[73]
-port 516 nsew
-flabel metal2 s 390584 -800 390696 480 0 FreeSans 1400 90 0 0 la_oenb[74]
-port 517 nsew
-flabel metal2 s 394130 -800 394242 480 0 FreeSans 1400 90 0 0 la_oenb[75]
-port 518 nsew
-flabel metal2 s 397676 -800 397788 480 0 FreeSans 1400 90 0 0 la_oenb[76]
-port 519 nsew
-flabel metal2 s 401222 -800 401334 480 0 FreeSans 1400 90 0 0 la_oenb[77]
-port 520 nsew
-flabel metal2 s 404768 -800 404880 480 0 FreeSans 1400 90 0 0 la_oenb[78]
-port 521 nsew
-flabel metal2 s 408314 -800 408426 480 0 FreeSans 1400 90 0 0 la_oenb[79]
-port 522 nsew
-flabel metal2 s 153002 -800 153114 480 0 FreeSans 1400 90 0 0 la_oenb[7]
-port 523 nsew
-flabel metal2 s 411860 -800 411972 480 0 FreeSans 1400 90 0 0 la_oenb[80]
-port 524 nsew
-flabel metal2 s 415406 -800 415518 480 0 FreeSans 1400 90 0 0 la_oenb[81]
-port 525 nsew
-flabel metal2 s 418952 -800 419064 480 0 FreeSans 1400 90 0 0 la_oenb[82]
-port 526 nsew
-flabel metal2 s 422498 -800 422610 480 0 FreeSans 1400 90 0 0 la_oenb[83]
-port 527 nsew
-flabel metal2 s 426044 -800 426156 480 0 FreeSans 1400 90 0 0 la_oenb[84]
-port 528 nsew
-flabel metal2 s 429590 -800 429702 480 0 FreeSans 1400 90 0 0 la_oenb[85]
-port 529 nsew
-flabel metal2 s 433136 -800 433248 480 0 FreeSans 1400 90 0 0 la_oenb[86]
-port 530 nsew
-flabel metal2 s 436682 -800 436794 480 0 FreeSans 1400 90 0 0 la_oenb[87]
-port 531 nsew
-flabel metal2 s 440228 -800 440340 480 0 FreeSans 1400 90 0 0 la_oenb[88]
-port 532 nsew
-flabel metal2 s 443774 -800 443886 480 0 FreeSans 1400 90 0 0 la_oenb[89]
-port 533 nsew
-flabel metal2 s 156548 -800 156660 480 0 FreeSans 1400 90 0 0 la_oenb[8]
-port 534 nsew
-flabel metal2 s 447320 -800 447432 480 0 FreeSans 1400 90 0 0 la_oenb[90]
-port 535 nsew
-flabel metal2 s 450866 -800 450978 480 0 FreeSans 1400 90 0 0 la_oenb[91]
-port 536 nsew
-flabel metal2 s 454412 -800 454524 480 0 FreeSans 1400 90 0 0 la_oenb[92]
-port 537 nsew
-flabel metal2 s 457958 -800 458070 480 0 FreeSans 1400 90 0 0 la_oenb[93]
-port 538 nsew
-flabel metal2 s 461504 -800 461616 480 0 FreeSans 1400 90 0 0 la_oenb[94]
-port 539 nsew
-flabel metal2 s 465050 -800 465162 480 0 FreeSans 1400 90 0 0 la_oenb[95]
-port 540 nsew
-flabel metal2 s 468596 -800 468708 480 0 FreeSans 1400 90 0 0 la_oenb[96]
-port 541 nsew
-flabel metal2 s 472142 -800 472254 480 0 FreeSans 1400 90 0 0 la_oenb[97]
-port 542 nsew
-flabel metal2 s 475688 -800 475800 480 0 FreeSans 1400 90 0 0 la_oenb[98]
-port 543 nsew
-flabel metal2 s 479234 -800 479346 480 0 FreeSans 1400 90 0 0 la_oenb[99]
-port 544 nsew
-flabel metal2 s 160094 -800 160206 480 0 FreeSans 1400 90 0 0 la_oenb[9]
-port 545 nsew
-flabel metal2 s 579704 -800 579816 480 0 FreeSans 1400 90 0 0 user_clock2
-port 546 nsew
-flabel metal2 s 580886 -800 580998 480 0 FreeSans 1400 90 0 0 user_irq[0]
-port 547 nsew
-flabel metal2 s 582068 -800 582180 480 0 FreeSans 1400 90 0 0 user_irq[1]
-port 548 nsew
-flabel metal2 s 583250 -800 583362 480 0 FreeSans 1400 90 0 0 user_irq[2]
-port 549 nsew
-flabel metal3 s 582340 639784 584800 644584 0 FreeSans 1400 0 0 0 vccd1
-port 550 nsew
-flabel metal3 s 582340 629784 584800 634584 0 FreeSans 1400 0 0 0 vccd1
-port 550 nsew
-flabel metal3 s 0 643842 1660 648642 0 FreeSans 1400 0 0 0 vccd2
-port 551 nsew
-flabel metal3 s 0 633842 1660 638642 0 FreeSans 1400 0 0 0 vccd2
-port 551 nsew
-flabel metal3 s 582340 540562 584800 545362 0 FreeSans 1400 0 0 0 vdda1
-port 552 nsew
-flabel metal3 s 582340 550562 584800 555362 0 FreeSans 1400 0 0 0 vdda1
-port 552 nsew
-flabel metal3 s 582340 235230 584800 240030 0 FreeSans 1400 0 0 0 vdda1
-port 552 nsew
-flabel metal3 s 582340 225230 584800 230030 0 FreeSans 1400 0 0 0 vdda1
-port 552 nsew
-flabel metal3 s 0 204888 1660 209688 0 FreeSans 1400 0 0 0 vdda2
-port 553 nsew
-flabel metal3 s 0 214888 1660 219688 0 FreeSans 1400 0 0 0 vdda2
-port 553 nsew
-flabel metal3 s 520594 702340 525394 704800 0 FreeSans 2400 180 0 0 vssa1
-port 554 nsew
-flabel metal3 s 510594 702340 515394 704800 0 FreeSans 2400 180 0 0 vssa1
-port 554 nsew
-flabel metal3 s 582340 146830 584800 151630 0 FreeSans 1400 0 0 0 vssa1
-port 554 nsew
-flabel metal3 s 582340 136830 584800 141630 0 FreeSans 1400 0 0 0 vssa1
-port 554 nsew
-flabel metal3 s 0 559442 1660 564242 0 FreeSans 1400 0 0 0 vssa2
-port 555 nsew
-flabel metal3 s 0 549442 1660 554242 0 FreeSans 1400 0 0 0 vssa2
-port 555 nsew
-flabel metal3 s 582340 191430 584800 196230 0 FreeSans 1400 0 0 0 vssd1
-port 556 nsew
-flabel metal3 s 582340 181430 584800 186230 0 FreeSans 1400 0 0 0 vssd1
-port 556 nsew
-flabel metal3 s 0 172888 1660 177688 0 FreeSans 1400 0 0 0 vssd2
-port 557 nsew
-flabel metal3 s 0 162888 1660 167688 0 FreeSans 1400 0 0 0 vssd2
-port 557 nsew
-flabel metal2 s 524 -800 636 480 0 FreeSans 1400 90 0 0 wb_clk_i
-port 558 nsew
-flabel metal2 s 1706 -800 1818 480 0 FreeSans 1400 90 0 0 wb_rst_i
-port 559 nsew
-flabel metal2 s 2888 -800 3000 480 0 FreeSans 1400 90 0 0 wbs_ack_o
-port 560 nsew
-flabel metal2 s 7616 -800 7728 480 0 FreeSans 1400 90 0 0 wbs_adr_i[0]
-port 561 nsew
-flabel metal2 s 47804 -800 47916 480 0 FreeSans 1400 90 0 0 wbs_adr_i[10]
-port 562 nsew
-flabel metal2 s 51350 -800 51462 480 0 FreeSans 1400 90 0 0 wbs_adr_i[11]
-port 563 nsew
-flabel metal2 s 54896 -800 55008 480 0 FreeSans 1400 90 0 0 wbs_adr_i[12]
-port 564 nsew
-flabel metal2 s 58442 -800 58554 480 0 FreeSans 1400 90 0 0 wbs_adr_i[13]
-port 565 nsew
-flabel metal2 s 61988 -800 62100 480 0 FreeSans 1400 90 0 0 wbs_adr_i[14]
-port 566 nsew
-flabel metal2 s 65534 -800 65646 480 0 FreeSans 1400 90 0 0 wbs_adr_i[15]
-port 567 nsew
-flabel metal2 s 69080 -800 69192 480 0 FreeSans 1400 90 0 0 wbs_adr_i[16]
-port 568 nsew
-flabel metal2 s 72626 -800 72738 480 0 FreeSans 1400 90 0 0 wbs_adr_i[17]
-port 569 nsew
-flabel metal2 s 76172 -800 76284 480 0 FreeSans 1400 90 0 0 wbs_adr_i[18]
-port 570 nsew
-flabel metal2 s 79718 -800 79830 480 0 FreeSans 1400 90 0 0 wbs_adr_i[19]
-port 571 nsew
-flabel metal2 s 12344 -800 12456 480 0 FreeSans 1400 90 0 0 wbs_adr_i[1]
-port 572 nsew
-flabel metal2 s 83264 -800 83376 480 0 FreeSans 1400 90 0 0 wbs_adr_i[20]
-port 573 nsew
-flabel metal2 s 86810 -800 86922 480 0 FreeSans 1400 90 0 0 wbs_adr_i[21]
-port 574 nsew
-flabel metal2 s 90356 -800 90468 480 0 FreeSans 1400 90 0 0 wbs_adr_i[22]
-port 575 nsew
-flabel metal2 s 93902 -800 94014 480 0 FreeSans 1400 90 0 0 wbs_adr_i[23]
-port 576 nsew
-flabel metal2 s 97448 -800 97560 480 0 FreeSans 1400 90 0 0 wbs_adr_i[24]
-port 577 nsew
-flabel metal2 s 100994 -800 101106 480 0 FreeSans 1400 90 0 0 wbs_adr_i[25]
-port 578 nsew
-flabel metal2 s 104540 -800 104652 480 0 FreeSans 1400 90 0 0 wbs_adr_i[26]
-port 579 nsew
-flabel metal2 s 108086 -800 108198 480 0 FreeSans 1400 90 0 0 wbs_adr_i[27]
-port 580 nsew
-flabel metal2 s 111632 -800 111744 480 0 FreeSans 1400 90 0 0 wbs_adr_i[28]
-port 581 nsew
-flabel metal2 s 115178 -800 115290 480 0 FreeSans 1400 90 0 0 wbs_adr_i[29]
-port 582 nsew
-flabel metal2 s 17072 -800 17184 480 0 FreeSans 1400 90 0 0 wbs_adr_i[2]
-port 583 nsew
-flabel metal2 s 118724 -800 118836 480 0 FreeSans 1400 90 0 0 wbs_adr_i[30]
-port 584 nsew
-flabel metal2 s 122270 -800 122382 480 0 FreeSans 1400 90 0 0 wbs_adr_i[31]
-port 585 nsew
-flabel metal2 s 21800 -800 21912 480 0 FreeSans 1400 90 0 0 wbs_adr_i[3]
-port 586 nsew
-flabel metal2 s 26528 -800 26640 480 0 FreeSans 1400 90 0 0 wbs_adr_i[4]
-port 587 nsew
-flabel metal2 s 30074 -800 30186 480 0 FreeSans 1400 90 0 0 wbs_adr_i[5]
-port 588 nsew
-flabel metal2 s 33620 -800 33732 480 0 FreeSans 1400 90 0 0 wbs_adr_i[6]
-port 589 nsew
-flabel metal2 s 37166 -800 37278 480 0 FreeSans 1400 90 0 0 wbs_adr_i[7]
-port 590 nsew
-flabel metal2 s 40712 -800 40824 480 0 FreeSans 1400 90 0 0 wbs_adr_i[8]
-port 591 nsew
-flabel metal2 s 44258 -800 44370 480 0 FreeSans 1400 90 0 0 wbs_adr_i[9]
-port 592 nsew
-flabel metal2 s 4070 -800 4182 480 0 FreeSans 1400 90 0 0 wbs_cyc_i
-port 593 nsew
-flabel metal2 s 8798 -800 8910 480 0 FreeSans 1400 90 0 0 wbs_dat_i[0]
-port 594 nsew
-flabel metal2 s 48986 -800 49098 480 0 FreeSans 1400 90 0 0 wbs_dat_i[10]
-port 595 nsew
-flabel metal2 s 52532 -800 52644 480 0 FreeSans 1400 90 0 0 wbs_dat_i[11]
-port 596 nsew
-flabel metal2 s 56078 -800 56190 480 0 FreeSans 1400 90 0 0 wbs_dat_i[12]
-port 597 nsew
-flabel metal2 s 59624 -800 59736 480 0 FreeSans 1400 90 0 0 wbs_dat_i[13]
-port 598 nsew
-flabel metal2 s 63170 -800 63282 480 0 FreeSans 1400 90 0 0 wbs_dat_i[14]
-port 599 nsew
-flabel metal2 s 66716 -800 66828 480 0 FreeSans 1400 90 0 0 wbs_dat_i[15]
-port 600 nsew
-flabel metal2 s 70262 -800 70374 480 0 FreeSans 1400 90 0 0 wbs_dat_i[16]
-port 601 nsew
-flabel metal2 s 73808 -800 73920 480 0 FreeSans 1400 90 0 0 wbs_dat_i[17]
-port 602 nsew
-flabel metal2 s 77354 -800 77466 480 0 FreeSans 1400 90 0 0 wbs_dat_i[18]
-port 603 nsew
-flabel metal2 s 80900 -800 81012 480 0 FreeSans 1400 90 0 0 wbs_dat_i[19]
-port 604 nsew
-flabel metal2 s 13526 -800 13638 480 0 FreeSans 1400 90 0 0 wbs_dat_i[1]
-port 605 nsew
-flabel metal2 s 84446 -800 84558 480 0 FreeSans 1400 90 0 0 wbs_dat_i[20]
-port 606 nsew
-flabel metal2 s 87992 -800 88104 480 0 FreeSans 1400 90 0 0 wbs_dat_i[21]
-port 607 nsew
-flabel metal2 s 91538 -800 91650 480 0 FreeSans 1400 90 0 0 wbs_dat_i[22]
-port 608 nsew
-flabel metal2 s 95084 -800 95196 480 0 FreeSans 1400 90 0 0 wbs_dat_i[23]
-port 609 nsew
-flabel metal2 s 98630 -800 98742 480 0 FreeSans 1400 90 0 0 wbs_dat_i[24]
-port 610 nsew
-flabel metal2 s 102176 -800 102288 480 0 FreeSans 1400 90 0 0 wbs_dat_i[25]
-port 611 nsew
-flabel metal2 s 105722 -800 105834 480 0 FreeSans 1400 90 0 0 wbs_dat_i[26]
-port 612 nsew
-flabel metal2 s 109268 -800 109380 480 0 FreeSans 1400 90 0 0 wbs_dat_i[27]
-port 613 nsew
-flabel metal2 s 112814 -800 112926 480 0 FreeSans 1400 90 0 0 wbs_dat_i[28]
-port 614 nsew
-flabel metal2 s 116360 -800 116472 480 0 FreeSans 1400 90 0 0 wbs_dat_i[29]
-port 615 nsew
-flabel metal2 s 18254 -800 18366 480 0 FreeSans 1400 90 0 0 wbs_dat_i[2]
-port 616 nsew
-flabel metal2 s 119906 -800 120018 480 0 FreeSans 1400 90 0 0 wbs_dat_i[30]
-port 617 nsew
-flabel metal2 s 123452 -800 123564 480 0 FreeSans 1400 90 0 0 wbs_dat_i[31]
-port 618 nsew
-flabel metal2 s 22982 -800 23094 480 0 FreeSans 1400 90 0 0 wbs_dat_i[3]
-port 619 nsew
-flabel metal2 s 27710 -800 27822 480 0 FreeSans 1400 90 0 0 wbs_dat_i[4]
-port 620 nsew
-flabel metal2 s 31256 -800 31368 480 0 FreeSans 1400 90 0 0 wbs_dat_i[5]
-port 621 nsew
-flabel metal2 s 34802 -800 34914 480 0 FreeSans 1400 90 0 0 wbs_dat_i[6]
-port 622 nsew
-flabel metal2 s 38348 -800 38460 480 0 FreeSans 1400 90 0 0 wbs_dat_i[7]
-port 623 nsew
-flabel metal2 s 41894 -800 42006 480 0 FreeSans 1400 90 0 0 wbs_dat_i[8]
-port 624 nsew
-flabel metal2 s 45440 -800 45552 480 0 FreeSans 1400 90 0 0 wbs_dat_i[9]
-port 625 nsew
-flabel metal2 s 9980 -800 10092 480 0 FreeSans 1400 90 0 0 wbs_dat_o[0]
-port 626 nsew
-flabel metal2 s 50168 -800 50280 480 0 FreeSans 1400 90 0 0 wbs_dat_o[10]
-port 627 nsew
-flabel metal2 s 53714 -800 53826 480 0 FreeSans 1400 90 0 0 wbs_dat_o[11]
-port 628 nsew
-flabel metal2 s 57260 -800 57372 480 0 FreeSans 1400 90 0 0 wbs_dat_o[12]
-port 629 nsew
-flabel metal2 s 60806 -800 60918 480 0 FreeSans 1400 90 0 0 wbs_dat_o[13]
-port 630 nsew
-flabel metal2 s 64352 -800 64464 480 0 FreeSans 1400 90 0 0 wbs_dat_o[14]
-port 631 nsew
-flabel metal2 s 67898 -800 68010 480 0 FreeSans 1400 90 0 0 wbs_dat_o[15]
-port 632 nsew
-flabel metal2 s 71444 -800 71556 480 0 FreeSans 1400 90 0 0 wbs_dat_o[16]
-port 633 nsew
-flabel metal2 s 74990 -800 75102 480 0 FreeSans 1400 90 0 0 wbs_dat_o[17]
-port 634 nsew
-flabel metal2 s 78536 -800 78648 480 0 FreeSans 1400 90 0 0 wbs_dat_o[18]
-port 635 nsew
-flabel metal2 s 82082 -800 82194 480 0 FreeSans 1400 90 0 0 wbs_dat_o[19]
-port 636 nsew
-flabel metal2 s 14708 -800 14820 480 0 FreeSans 1400 90 0 0 wbs_dat_o[1]
-port 637 nsew
-flabel metal2 s 85628 -800 85740 480 0 FreeSans 1400 90 0 0 wbs_dat_o[20]
-port 638 nsew
-flabel metal2 s 89174 -800 89286 480 0 FreeSans 1400 90 0 0 wbs_dat_o[21]
-port 639 nsew
-flabel metal2 s 92720 -800 92832 480 0 FreeSans 1400 90 0 0 wbs_dat_o[22]
-port 640 nsew
-flabel metal2 s 96266 -800 96378 480 0 FreeSans 1400 90 0 0 wbs_dat_o[23]
-port 641 nsew
-flabel metal2 s 99812 -800 99924 480 0 FreeSans 1400 90 0 0 wbs_dat_o[24]
-port 642 nsew
-flabel metal2 s 103358 -800 103470 480 0 FreeSans 1400 90 0 0 wbs_dat_o[25]
-port 643 nsew
-flabel metal2 s 106904 -800 107016 480 0 FreeSans 1400 90 0 0 wbs_dat_o[26]
-port 644 nsew
-flabel metal2 s 110450 -800 110562 480 0 FreeSans 1400 90 0 0 wbs_dat_o[27]
-port 645 nsew
-flabel metal2 s 113996 -800 114108 480 0 FreeSans 1400 90 0 0 wbs_dat_o[28]
-port 646 nsew
-flabel metal2 s 117542 -800 117654 480 0 FreeSans 1400 90 0 0 wbs_dat_o[29]
-port 647 nsew
-flabel metal2 s 19436 -800 19548 480 0 FreeSans 1400 90 0 0 wbs_dat_o[2]
-port 648 nsew
-flabel metal2 s 121088 -800 121200 480 0 FreeSans 1400 90 0 0 wbs_dat_o[30]
-port 649 nsew
-flabel metal2 s 124634 -800 124746 480 0 FreeSans 1400 90 0 0 wbs_dat_o[31]
-port 650 nsew
-flabel metal2 s 24164 -800 24276 480 0 FreeSans 1400 90 0 0 wbs_dat_o[3]
-port 651 nsew
-flabel metal2 s 28892 -800 29004 480 0 FreeSans 1400 90 0 0 wbs_dat_o[4]
-port 652 nsew
-flabel metal2 s 32438 -800 32550 480 0 FreeSans 1400 90 0 0 wbs_dat_o[5]
-port 653 nsew
-flabel metal2 s 35984 -800 36096 480 0 FreeSans 1400 90 0 0 wbs_dat_o[6]
-port 654 nsew
-flabel metal2 s 39530 -800 39642 480 0 FreeSans 1400 90 0 0 wbs_dat_o[7]
-port 655 nsew
-flabel metal2 s 43076 -800 43188 480 0 FreeSans 1400 90 0 0 wbs_dat_o[8]
-port 656 nsew
-flabel metal2 s 46622 -800 46734 480 0 FreeSans 1400 90 0 0 wbs_dat_o[9]
-port 657 nsew
-flabel metal2 s 11162 -800 11274 480 0 FreeSans 1400 90 0 0 wbs_sel_i[0]
-port 658 nsew
-flabel metal2 s 15890 -800 16002 480 0 FreeSans 1400 90 0 0 wbs_sel_i[1]
-port 659 nsew
-flabel metal2 s 20618 -800 20730 480 0 FreeSans 1400 90 0 0 wbs_sel_i[2]
-port 660 nsew
-flabel metal2 s 25346 -800 25458 480 0 FreeSans 1400 90 0 0 wbs_sel_i[3]
-port 661 nsew
-flabel metal2 s 5252 -800 5364 480 0 FreeSans 1400 90 0 0 wbs_stb_i
-port 662 nsew
-flabel metal2 s 6434 -800 6546 480 0 FreeSans 1400 90 0 0 wbs_we_i
-port 663 nsew
-<< properties >>
-string FIXED_BBOX 0 0 584000 704000
-<< end >>
diff --git a/precheck_results/08_JUN_2022___02_52_56/outputs/user_analog_project_wrapper.xor.gds b/precheck_results/08_JUN_2022___02_52_56/outputs/user_analog_project_wrapper.xor.gds
deleted file mode 100644
index f01c0db..0000000
--- a/precheck_results/08_JUN_2022___02_52_56/outputs/user_analog_project_wrapper.xor.gds
+++ /dev/null
Binary files differ
diff --git a/precheck_results/08_JUN_2022___02_52_56/outputs/user_analog_project_wrapper_empty_erased.gds b/precheck_results/08_JUN_2022___02_52_56/outputs/user_analog_project_wrapper_empty_erased.gds
deleted file mode 100644
index 2451148..0000000
--- a/precheck_results/08_JUN_2022___02_52_56/outputs/user_analog_project_wrapper_empty_erased.gds
+++ /dev/null
Binary files differ
diff --git a/precheck_results/08_JUN_2022___02_52_56/outputs/user_analog_project_wrapper_erased.gds b/precheck_results/08_JUN_2022___02_52_56/outputs/user_analog_project_wrapper_erased.gds
deleted file mode 100644
index 2451148..0000000
--- a/precheck_results/08_JUN_2022___02_52_56/outputs/user_analog_project_wrapper_erased.gds
+++ /dev/null
Binary files differ
diff --git a/precheck_results/08_JUN_2022___02_52_56/outputs/user_analog_project_wrapper_no_zero_areas.gds b/precheck_results/08_JUN_2022___02_52_56/outputs/user_analog_project_wrapper_no_zero_areas.gds
deleted file mode 100644
index 2fb156c..0000000
--- a/precheck_results/08_JUN_2022___02_52_56/outputs/user_analog_project_wrapper_no_zero_areas.gds
+++ /dev/null
Binary files differ
diff --git a/xschem/analog_wrapper_tb.sch b/xschem/analog_wrapper_tb.sch
index 57d89aa..c2d260f 100644
--- a/xschem/analog_wrapper_tb.sch
+++ b/xschem/analog_wrapper_tb.sch
@@ -4,78 +4,155 @@
 V {}
 S {}
 E {}
-N 590 -290 590 -250 { lab=#net1}
-N 300 -250 430 -250 { lab=GND}
-N 510 -250 510 -150 { lab=GND}
-N 690 -190 690 -150 { lab=#net2}
-N 590 -190 590 -150 { lab=GND}
-N 300 -210 400 -210 { lab=#net3}
-N 690 -270 690 -250 { lab=#net4}
+N 300 -210 400 -210 { lab=#net1}
 N 300 10 450 10 { lab=io_analog[10:0]}
-N 850 -270 850 0 { lab=io_analog[3]}
-N 780 -270 850 -270 { lab=io_analog[3]}
-N 780 -270 780 -250 { lab=io_analog[3]}
-N 300 30 470 30 { lab=#net5}
-N 300 50 410 50 { lab=#net6}
+N 850 -270 850 0 { lab=io_analog[7]}
+N 780 -270 850 -270 { lab=io_analog[7]}
+N 780 -270 780 -250 { lab=io_analog[7]}
+N 300 30 470 30 { lab=#net2}
+N 300 50 410 50 { lab=#net3}
 N 530 10 660 10 { lab=io_analog[10:0]}
-N 430 -250 510 -250 { lab=GND}
-N 400 -210 480 -210 { lab=#net3}
 N 460 10 530 10 { lab=io_analog[10:0]}
-N 670 0 850 -0 { lab=io_analog[3]}
-N 300 -270 400 -270 { lab=#net7}
-N 300 -230 400 -230 { lab=#net8}
-N 300 -190 400 -190 { lab=#net9}
-N 300 -190 400 -190 { lab=#net9}
-N 300 -170 400 -170 { lab=#net10}
-N 290 -150 390 -150 { lab=#net11}
-N 290 -130 390 -130 { lab=#net12}
-N 290 -110 390 -110 { lab=#net13}
-N 300 -90 400 -90 { lab=#net14}
-N 300 -10 400 -10 { lab=#net15}
-N 300 70 400 70 { lab=#net16}
-N -60 -290 -0 -290 { lab=#net17}
-N -60 -270 0 -270 { lab=#net18}
-N -60 -250 0 -250 { lab=#net19}
-N -60 -230 0 -230 { lab=#net20}
-N -60 -210 0 -210 { lab=#net21}
-N -60 -210 0 -210 { lab=#net21}
-N -60 -190 0 -190 { lab=#net22}
-N -60 -190 0 -190 { lab=#net22}
-N -60 -170 0 -170 { lab=#net23}
-N -60 -150 0 -150 { lab=#net24}
-N -60 -130 0 -130 { lab=#net25}
-N -60 -110 0 -110 { lab=#net26}
-N -60 -90 0 -90 { lab=#net27}
-N -60 -70 0 -70 { lab=#net28}
-N -60 -50 0 -50 { lab=#net29}
+N 670 0 850 -0 { lab=io_analog[7]}
+N 300 -270 400 -270 { lab=#net4}
+N 300 -230 400 -230 { lab=GND}
+N 300 -190 400 -190 { lab=#net5}
+N 300 -170 400 -170 { lab=#net6}
+N 290 -150 390 -150 { lab=#net7}
+N 290 -130 390 -130 { lab=#net8}
+N 290 -110 390 -110 { lab=#net9}
+N 300 -90 400 -90 { lab=#net10}
+N 300 -10 400 -10 { lab=#net11}
+N 300 70 400 70 { lab=#net12}
+N -60 -290 -0 -290 { lab=#net13}
+N -60 -270 0 -270 { lab=#net14}
+N -60 -250 0 -250 { lab=#net15}
+N -60 -230 0 -230 { lab=#net16}
+N -60 -210 0 -210 { lab=#net17}
+N -60 -210 0 -210 { lab=#net17}
+N -60 -190 0 -190 { lab=#net18}
+N -60 -190 0 -190 { lab=#net18}
+N -60 -170 0 -170 { lab=#net19}
+N -60 -150 0 -150 { lab=#net20}
+N -60 -130 0 -130 { lab=#net21}
+N -60 -110 0 -110 { lab=#net22}
+N -60 -90 0 -90 { lab=#net23}
+N -60 -70 0 -70 { lab=#net24}
+N -60 -50 0 -50 { lab=#net25}
 N 300 -70 400 -70 {
-lab=#net30}
+lab=#net26}
 N 300 -50 400 -50 {
-lab=#net31}
+lab=#net27}
 N 300 -30 400 -30 {
-lab=#net32}
+lab=gpio_analog[17:0]}
 N 450 10 460 10 {
 lab=io_analog[10:0]}
-N 510 -150 590 -150 {
-lab=GND}
 N 510 -150 510 -130 {
 lab=GND}
-N 590 -290 620 -290 {}
-N 620 -290 620 10 {}
+N 510 -230 510 -150 {
+lab=GND}
+N 400 -230 510 -230 {
+lab=GND}
+N 400 -190 540 -190 {
+lab=#net5}
+N 540 -280 540 -190 {
+lab=#net5}
+N 540 -280 590 -280 {
+lab=#net5}
+N 590 -280 590 -250 {
+lab=#net5}
+N 590 -190 590 -160 {
+lab=GND}
+N 510 -160 590 -160 {
+lab=GND}
+N 680 -180 680 -160 {
+lab=GND}
+N 590 -160 680 -160 {
+lab=GND}
+N 780 -190 780 -160 {
+lab=GND}
+N 680 -160 780 -160 {
+lab=GND}
+N 910 -270 910 -250 { lab=io_analog[8]}
+N 910 -190 910 -160 {
+lab=GND}
+N 780 -160 910 -160 {
+lab=GND}
+N 640 -10 640 10 {
+lab=io_analog[10:0]}
+N 660 10 660 40 {
+lab=io_analog[10:0]}
+N 670 50 960 50 {
+lab=io_analog[8]}
+N 960 -170 960 50 {
+lab=io_analog[8]}
+N 960 -280 960 -170 {
+lab=io_analog[8]}
+N 910 -280 960 -280 {
+lab=io_analog[8]}
+N 910 -280 910 -270 {
+lab=io_analog[8]}
+N 680 -280 680 -240 {
+lab=io_analog[6]}
+N 650 -280 680 -280 {
+lab=io_analog[6]}
+N 650 -280 650 -20 {
+lab=io_analog[6]}
+N 640 10 640 90 {
+lab=io_analog[10:0]}
+N 650 100 1010 100 {
+lab=io_analog[10]}
+N 910 -160 1050 -160 {
+lab=GND}
+N 1050 -190 1050 -160 {
+lab=GND}
+N 1050 -160 1150 -160 {
+lab=GND}
+N 1150 -190 1150 -160 {
+lab=GND}
+N 1150 -280 1150 -250 {
+lab=gpio_analog[7]}
+N 640 90 640 140 {
+lab=io_analog[10:0]}
+N 400 -30 430 -30 {
+lab=gpio_analog[17:0]}
+N 440 -40 1130 -40 {
+lab=gpio_analog[7]}
+N 1130 -280 1130 -40 {
+lab=gpio_analog[7]}
+N 1130 -280 1150 -280 {
+lab=gpio_analog[7]}
+N 1010 -240 1010 100 {
+lab=io_analog[10]}
+N 1050 -210 1050 -190 {
+lab=GND}
 C {user_analog_project_wrapper.sym} 150 -110 0 0 {name=x1}
-C {devices/vsource.sym} 590 -220 0 0 {name=V1 value=1}
-C {devices/vsource.sym} 690 -220 0 0 {name=V2 value="PWL(0.0 0 300u 0 5.3 1.8)"}
-C {devices/vsource.sym} 780 -220 0 0 {name=V3 value="PWL(0.0 0 100u 0 5m 3.3)"}
-C {devices/bus_connect.sym} 660 10 1 1 {name=l1 lab=io_analog[3]}
+C {devices/vsource.sym} 590 -220 0 0 {name=V1 value=1.8}
+C {devices/vsource.sym} 780 -220 0 0 {name=V3 value="dc 0.9 ac 1 sin(0 15m 200)"}
+C {devices/bus_connect.sym} 660 10 1 1 {name=l1 lab=io_analog[7]}
 C {devices/gnd.sym} 510 -130 0 0 {name=l2 lab=GND}
 C {devices/lab_pin.sym} 570 10 0 0 {name=l12 sig_type=std_logic lab=io_analog[10:0]}
-C {devices/code.sym} 920 -130 0 0 {name=TT_MODELS only_toplevel=false
-format="tcleval(@value )" value=".lib \\\\$::SKYWATER_MODELS\\\\/sky130.lib.spice tt
-.include \\\\$::PDKPATH\\\\/libs.ref/sky130_fd_sc_hvl/spice/sky130_fd_sc_hvl.spice"}
-C {devices/code_shown.sym} 1100 -130 0 0 {name=s1
+C {devices/code.sym} 30 -490 0 0 {name=TT_MODELS only_toplevel=false
+format="tcleval(@value )" value=".lib /edatools/pdks/skywater-pdk/libraries/sky130_fd_pr_ngspice/latest/models/sky130.lib.spice tt"}
+C {devices/code_shown.sym} 190 -450 0 0 {name=s1
 only_toplevel=false
-value=".control
-tran 10u 20m
-plot V(\\"io_out[11]\\") V(\\"io_out[12]\\") V(\\"io_out[15]\\") V(\\"io_out[16]\\")
-+ V(\\"gpio_analog[3]\\") V(\\"gpio_analog[7]\\")
-.endc"}
+value="
+.control
+ac dec 20 1e-3 1e9
+ plot db(\\"io_analog[5]\\")
+ plot db(\\"io_analog[9]\\")
+.endc"
+
+
+}
+C {devices/isource.sym} 680 -210 0 0 {name=I0 value=1.5u}
+C {devices/bus_connect.sym} 640 -10 1 1 {name=l3 lab=io_analog[6]}
+C {devices/bus_connect.sym} 660 10 1 0 {name=l4 lab=io_analog[5]}
+C {devices/bus_connect.sym} 660 40 1 0 {name=l5 lab=io_analog[8]}
+C {devices/vsource.sym} 910 -220 0 0 {name=V2 value=0.9}
+C {devices/vsource.sym} 1150 -220 0 0 {name=V5 value=0.9}
+C {devices/bus_connect.sym} 640 90 1 0 {name=l6 lab=io_analog[10]}
+C {devices/bus_connect.sym} 640 140 1 0 {name=l7 lab=io_analog[9]}
+C {devices/lab_pin.sym} 430 -30 0 1 {name=l8 sig_type=std_logic lab=gpio_analog[17:0]}
+C {devices/bus_connect.sym} 430 -30 1 1 {name=l9 lab=gpio_analog[7]}
+C {/home/wisla/sky130_skel/Myschematics/Receptor/EnvelopeDetector/askMod.sym} 1070 -210 0 1 {name=X1}
diff --git a/xschem/detectorPassivo.sch b/xschem/detectorPassivo.sch
index 613284e..8c881b3 100644
--- a/xschem/detectorPassivo.sch
+++ b/xschem/detectorPassivo.sch
@@ -4,11 +4,6 @@
 V {}
 S {}
 E {}
-T {Resistencia de 250K considerando um resistor de largura fixa de 0,35um;
-O capacitor do filtro passa baixas possui um valor de 4pF; 
-Logo, a frequencia de corte é 150KHz.
-O filtro capacitivo na entrada possui uma capacitancia de 590fF. 
-E para uma frequencia abaixo de 150K, possui uma impedancia na ordem de M ohm.} -50 -210 0 0 0.2 0.2 {}
 N 130 90 130 110 { lab=gnd}
 N 130 110 130 140 { lab=gnd}
 N 130 60 220 60 { lab=gnd}
@@ -47,11 +42,10 @@
 C {/edatools/opentools/xschem/xschem_library/devices/ipin.sym} 60 60 0 0 {name=p3 lab=dB}
 C {/edatools/opentools/xschem/xschem_library/devices/opin.sym} 410 -10 0 0 {name=p2 lab=do}
 C {/edatools/opentools/xschem/xschem_library/devices/iopin.sym} 240 150 1 0 {name=p4 lab=gnd}
-C {/home/wisla/sky130_skel/xschem_sky130/sky130_fd_pr/cap_mim_m3_2.sym} 350 80 0 0 {name=C3 model=cap_mim_m3_2 W=22 L=22 MF=1 spiceprefix=X}
 C {/home/wisla/sky130_skel/xschem_sky130/sky130_fd_pr/res_xhigh_po.sym} 230 -10 3 0 {name=R2
 W=0.35
 L=17.5
 model=res_xhigh_po
 spiceprefix=X
 mult=1}
-C {/home/wisla/sky130_skel/xschem_sky130/sky130_fd_pr/cap_mim_m3_2.sym} 20 -10 3 0 {name=C1 model=cap_mim_m3_2 W=87 L=87 MF=1 spiceprefix=X}
+C {/home/wisla/sky130_skel/xschem_sky130/sky130_fd_pr/cap_mim_m3_2.sym} 20 -10 3 0 {name=C2 model=cap_mim_m3_2 W=87 L=87 MF=1 spiceprefix=X}