updated C4 - lvs passing
diff --git a/mag/C4.mag b/mag/C4.mag
index fbc2138..cc480b0 100644
--- a/mag/C4.mag
+++ b/mag/C4.mag
@@ -1,10 +1,9 @@
 magic
 tech sky130B
 magscale 1 2
-timestamp 1654546037
+timestamp 1654575415
 << nwell >>
-rect 8 432 212 603
-rect 8 166 210 432
+rect 8 166 210 596
 << nmos >>
 rect -144 400 -60 430
 rect -133 -27 -49 3
@@ -194,23 +193,17 @@
 rect -107 -199 -73 -165
 rect 93 -199 127 -165
 << metal1 >>
-rect 23 862 185 871
-rect 23 756 32 862
-rect 176 756 185 862
-rect 23 589 185 756
-rect 5688 680 5784 690
-rect 5688 608 5698 680
-rect 5774 608 5784 680
-rect 5688 598 5784 608
-rect 23 528 946 589
-rect 23 527 185 528
+rect -202 662 143 672
+rect -202 594 -192 662
+rect -124 594 143 662
+rect -202 584 143 594
+rect 23 578 143 584
+rect 23 527 185 578
 rect 77 524 138 527
 rect 77 490 91 524
 rect 125 490 138 524
 rect 77 478 138 490
-rect -15 448 51 449
 rect -325 433 51 448
-rect 885 434 946 528
 rect -325 397 -309 433
 rect -273 397 51 433
 rect -325 382 51 397
@@ -236,15 +229,17 @@
 rect 291 90 297 150
 rect -5 89 297 90
 rect 225 84 297 89
-rect 946 -157 1062 -15
-rect -178 -165 1062 -157
+rect 229 -157 315 -155
+rect -178 -164 315 -157
+rect -178 -165 238 -164
 rect -178 -199 -107 -165
 rect -73 -199 93 -165
-rect 127 -199 1062 -165
-rect -178 -253 1062 -199
+rect 127 -199 238 -165
+rect -178 -244 238 -199
+rect 306 -244 315 -164
+rect -178 -253 315 -244
 << via1 >>
-rect 32 756 176 862
-rect 5698 608 5774 680
+rect -192 594 -124 662
 rect -318 231 -261 242
 rect -318 197 -309 231
 rect -309 197 -273 231
@@ -254,96 +249,153 @@
 rect 231 101 262 137
 rect 262 101 291 137
 rect 231 90 291 101
-rect 5713 -207 5768 -151
+rect 238 -244 306 -164
 << metal2 >>
-rect 23 862 185 871
-rect 23 756 32 862
-rect 176 756 185 862
-rect 23 747 185 756
-rect 5688 680 5784 690
-rect 5688 608 5698 680
-rect 5774 608 5784 680
-rect 5688 598 5784 608
-rect -2570 319 -2390 329
-rect -2570 173 -2560 319
-rect -2400 173 -2390 319
+rect -202 662 -114 672
+rect -202 594 -192 662
+rect -124 594 -114 662
+rect -202 584 -114 594
+rect 1174 286 1272 295
 rect -329 242 -251 251
 rect -329 185 -320 242
 rect -260 185 -251 242
+rect 1174 206 1183 286
+rect 1263 206 1272 286
+rect 1174 197 1272 206
 rect -329 176 -251 185
-rect -2570 163 -2390 173
 rect 222 150 300 159
-rect 7602 151 7970 218
+rect -2031 87 -1953 96
+rect -2031 31 -2020 87
+rect -1963 31 -1953 87
 rect 222 90 231 150
 rect 291 90 300 150
 rect 222 81 300 90
-rect 5707 -151 5774 -145
-rect 5707 -207 5713 -151
-rect 5768 -207 5774 -151
-rect 5707 -209 5774 -207
-rect 7903 -209 7970 151
-rect 5707 -276 7970 -209
+rect -2031 21 -1953 31
+rect 229 -164 315 -155
+rect 229 -244 238 -164
+rect 306 -244 315 -164
+rect 229 -253 315 -244
 << via2 >>
-rect 32 756 176 862
-rect 5698 608 5774 680
-rect -2560 173 -2400 319
+rect -192 594 -124 662
 rect -320 187 -318 242
 rect -318 187 -261 242
 rect -261 187 -260 242
 rect -320 185 -260 187
+rect 1183 206 1263 286
+rect -2020 31 -1963 87
 rect 231 90 291 150
+rect 238 -244 306 -164
 << metal3 >>
-rect 23 862 185 871
-rect 23 756 32 862
-rect 176 756 185 862
-rect 23 747 185 756
-rect 5678 680 5794 700
-rect 5678 608 5698 680
-rect 5774 608 5794 680
-rect 5678 588 5794 608
-rect -2570 319 -2390 329
-rect -2570 173 -2560 319
-rect -2400 173 -2390 319
-rect -2570 163 -2390 173
-rect -363 242 -243 278
-rect -363 185 -320 242
-rect -260 185 -243 242
-rect -363 158 -243 185
-rect 211 150 311 170
-rect 211 90 231 150
-rect 291 90 311 150
-rect 211 70 311 90
+rect -2028 470 -283 678
+rect -208 662 -108 678
+rect -208 594 -192 662
+rect -124 594 -108 662
+rect -208 578 -108 594
+rect -2028 242 -98 470
+rect -2028 185 -320 242
+rect -260 185 -98 242
+rect -2028 157 -98 185
+rect 211 160 311 170
+rect -339 147 -239 157
+rect -494 96 -403 97
+rect -2031 87 -403 96
+rect -2031 31 -2020 87
+rect -1963 31 -403 87
+rect -339 67 -329 147
+rect -249 67 -239 147
+rect 211 80 221 160
+rect 301 80 311 160
+rect 211 70 311 80
+rect -339 57 -239 67
+rect -2031 -256 -403 31
+rect 393 -154 1093 677
+rect 1173 286 1273 296
+rect 1173 206 1183 286
+rect 1263 206 1273 286
+rect 1173 196 1273 206
+rect 228 -164 1093 -154
+rect 228 -244 238 -164
+rect 306 -244 1093 -164
+rect 228 -254 1093 -244
+rect -2031 -257 -409 -256
 << via3 >>
-rect 32 756 176 862
-rect 5698 608 5774 680
-rect -2560 173 -2400 319
+rect -192 594 -124 662
+rect -329 67 -249 147
+rect 221 150 301 160
+rect 221 90 231 150
+rect 231 90 291 150
+rect 291 90 301 150
+rect 221 80 301 90
+rect 1183 206 1263 286
+<< mimcap >>
+rect -2000 627 -412 648
+rect -2000 205 -1979 627
+rect -433 205 -412 627
+rect -2000 185 -412 205
+rect 422 630 1065 649
+rect -2003 -27 -437 -7
+rect -2003 -209 -1982 -27
+rect -458 -209 -437 -27
+rect -2003 -229 -437 -209
+rect 422 -207 442 630
+rect 1045 -207 1065 630
+rect 422 -226 1065 -207
+<< mimcapcontact >>
+rect -1979 205 -433 627
+rect -1982 -209 -458 -27
+rect 442 -207 1045 630
 << metal4 >>
-rect 5648 680 5824 897
-rect 5648 608 5698 680
-rect 5774 608 5824 680
-rect 5648 558 5824 608
-rect -2580 319 -1528 339
-rect -2580 173 -2560 319
-rect -2400 173 -1528 319
-rect -2580 153 -1528 173
+rect -211 677 -108 678
+rect -756 662 -108 677
+rect -756 628 -192 662
+rect -1980 627 -192 628
+rect -1980 205 -1979 627
+rect -433 594 -192 627
+rect -124 594 -108 662
+rect -433 578 -108 594
+rect 441 630 1046 631
+rect -433 205 -432 578
+rect -1980 204 -432 205
+rect 441 170 442 630
+rect 211 160 442 170
+rect -339 147 -239 157
+rect -339 67 -329 147
+rect -249 67 -239 147
+rect 211 80 221 160
+rect 301 80 442 160
+rect 211 70 442 80
+rect -1983 -27 -457 -26
+rect -339 -27 -239 67
+rect -1983 -209 -1982 -27
+rect -458 -209 -237 -27
+rect 441 -207 442 70
+rect 1045 296 1046 630
+rect 1045 286 1273 296
+rect 1045 206 1183 286
+rect 1263 206 1273 286
+rect 1045 196 1273 206
+rect 1045 -207 1046 196
+rect 441 -208 1046 -207
+rect -1983 -210 -457 -209
 use sky130_fd_pr__cap_mim_m3_1_5NP42Z  sky130_fd_pr__cap_mim_m3_1_5NP42Z_0
-timestamp 1654543395
+timestamp 1654570513
 transform 1 0 -1036 0 1 178
-box -120 -430 1243 714
-use sky130_fd_pr__cap_mim_m3_1_6NP8EJ  sky130_fd_pr__cap_mim_m3_1_6NP8EJ_0
-timestamp 1654544985
-transform 1 0 -3351 0 1 247
-box 1200 -500 2200 399
+box 0 0 1 1
 use sky130_fd_pr__cap_mim_m3_1_AJA79V  sky130_fd_pr__cap_mim_m3_1_AJA79V_0
-timestamp 1654540275
+timestamp 1654570513
 transform 0 1 653 -1 0 227
-box -450 -350 449 350
-use sky130_sc_ams__ota_1  sky130_sc_ams__ota_1_0
-timestamp 1654541479
-transform 1 0 1306 0 1 -63
-box -543 -150 6394 960
+box 0 0 1 1
 << labels >>
-rlabel space -2580 152 -2383 340 1 vin
-rlabel poly -263 -45 -197 21 1 vtl
-rlabel poly 184 268 250 334 1 vth
+flabel poly -263 -45 -197 21 7 FreeSans 400 0 0 0 vtl
+port 5 w
+flabel poly 184 268 250 334 7 FreeSans 400 0 0 0 vth
+port 6 w
+flabel space -178 -254 226 -157 5 FreeSans 400 0 0 0 vss
+port 7 s
+flabel metal1 -23 614 20 662 1 FreeSans 400 0 0 0 vdd
+port 8 n
+flabel metal2 -2031 21 -1953 96 7 FreeSans 400 0 0 0 vin
+port 9 w
+flabel metal2 1174 197 1272 295 3 FreeSans 320 0 0 0 vout
+port 10 e
 << end >>
diff --git a/xschem/C4.sch b/xschem/C4.sch
index 5017b73..2d3aa0c 100644
--- a/xschem/C4.sch
+++ b/xschem/C4.sch
@@ -39,7 +39,7 @@
 N 220 -330 250 -330 {
 lab=vssa}
 N 430 -360 430 -220 {
-lab=#net2}
+lab=vout}
 N 430 -460 430 -420 {
 lab=1v8}
 N 430 -390 460 -390 {
@@ -49,7 +49,7 @@
 N 430 -440 460 -440 {
 lab=1v8}
 N 290 -330 430 -330 {
-lab=#net2}
+lab=vout}
 N 370 -390 390 -390 {
 lab=vth}
 N 290 -120 320 -120 {
@@ -73,7 +73,7 @@
 N 250 -460 430 -460 {
 lab=1v8}
 N 430 -330 650 -330 {
-lab=#net2}
+lab=vout}
 N -160 -410 -110 -410 {
 lab=1v8}
 N -160 -380 -110 -380 {
@@ -92,34 +92,8 @@
 lab=1v8}
 N 570 -270 570 -180 {
 lab=vssa}
-N 650 -330 760 -330 {
-lab=#net2}
-N 920 -310 1080 -310 {
+N 630 -330 790 -330 {
 lab=vout}
-N 680 -290 760 -290 {
-lab=vout}
-N 680 -290 680 -60 {
-lab=vout}
-N 680 -60 1000 -60 {
-lab=vout}
-N 1000 -310 1000 -60 {
-lab=vout}
-N 830 -250 830 -180 {
-lab=vssa}
-N 830 -180 850 -180 {
-lab=vssa}
-N 850 -250 850 -180 {
-lab=vssa}
-N 830 -440 830 -370 {
-lab=1v8}
-N 830 -440 850 -440 {
-lab=1v8}
-N 850 -440 850 -370 {
-lab=1v8}
-N 840 -180 840 -140 {
-lab=vssa}
-N 840 -490 840 -440 {
-lab=1v8}
 C {sky130_fd_pr/nfet_01v8.sym} 270 -120 0 1 {name=M2
 L=0.15
 W=0.42  
@@ -151,7 +125,7 @@
 C {devices/lab_pin.sym} 370 -390 0 0 {name=l10 sig_type=std_logic lab=vth}
 C {devices/lab_pin.sym} 320 -120 0 1 {name=l11 sig_type=std_logic lab=vtl}
 C {devices/lab_pin.sym} -20 -190 0 0 {name=l12 sig_type=std_logic lab=vin}
-C {devices/lab_pin.sym} 1080 -310 0 1 {name=l13 sig_type=std_logic lab=vout}
+C {devices/lab_pin.sym} 790 -330 0 1 {name=l13 sig_type=std_logic lab=vout}
 C {devices/ipin.sym} -100 -540 0 0 {name=p1 lab=vth}
 C {devices/ipin.sym} -100 -510 0 0 {name=p2 lab=vtl}
 C {devices/ipin.sym} -100 -480 0 0 {name=p3 lab=vin}
@@ -162,15 +136,12 @@
 C {devices/lab_pin.sym} -120 -450 0 0 {name=l15 sig_type=std_logic lab=vout}
 C {devices/iopin.sym} -120 -380 0 0 {name=p5 lab=vssa}
 C {devices/iopin.sym} -120 -410 0 0 {name=p6 lab=1v8}
-C {sky130_fd_pr/cap_mim_m3_1.sym} 50 -190 1 0 {name=C2 model=cap_mim_m3_1 W=3 L=3 MF=100 spiceprefix=X}
+C {sky130_fd_pr/cap_mim_m3_1.sym} 50 -190 1 0 {name=C2 model=cap_mim_m3_1 W=1.11 L=7.83 MF=1 spiceprefix=X}
 C {devices/lab_pin.sym} -160 -410 0 0 {name=l1 sig_type=std_logic lab=1v8}
 C {devices/lab_pin.sym} -160 -380 0 0 {name=l2 sig_type=std_logic lab=vssa}
 C {devices/lab_pin.sym} 340 -500 0 0 {name=l3 sig_type=std_logic lab=1v8}
 C {devices/lab_pin.sym} 340 0 0 0 {name=l6 sig_type=std_logic lab=vssa}
 C {devices/lab_pin.sym} 220 -330 0 0 {name=l7 sig_type=std_logic lab=vssa}
-C {sky130_fd_pr/cap_mim_m3_1.sym} 130 -280 0 0 {name=C1 model=cap_mim_m3_1 W=3 L=3 MF=100 spiceprefix=X}
-C {sky130_fd_pr/cap_mim_m3_1.sym} 570 -300 0 0 {name=C3 model=cap_mim_m3_1 W=3 L=3 MF=100 spiceprefix=X}
+C {sky130_fd_pr/cap_mim_m3_1.sym} 130 -280 0 0 {name=C1 model=cap_mim_m3_1 W=8 L=2.3 MF=1 spiceprefix=X}
+C {sky130_fd_pr/cap_mim_m3_1.sym} 570 -300 0 0 {name=C3 model=cap_mim_m3_1 W=7.94 L=2.32 MF=100 spiceprefix=X}
 C {devices/lab_pin.sym} 570 -180 0 0 {name=l4 sig_type=std_logic lab=vssa}
-C {sky130_sc_ams__ota_1.sym} 840 -310 0 0 {name=x1}
-C {devices/lab_pin.sym} 840 -490 0 0 {name=l5 sig_type=std_logic lab=1v8}
-C {devices/lab_pin.sym} 840 -140 0 0 {name=l16 sig_type=std_logic lab=vssa}