Add clk_skew_adjust for RAM clocks
diff --git a/openlane/marmot/base.sdc b/openlane/marmot/base.sdc
index 82783e2..c764d40 100644
--- a/openlane/marmot/base.sdc
+++ b/openlane/marmot/base.sdc
@@ -1,24 +1,44 @@
-create_clock [get_ports $::env(CLOCK_PORT)]  -name $::env(CLOCK_PORT)  -period $::env(CLOCK_PERIOD)
-create_clock [get_pins MarmotCaravelChip/MarmotCaravelPlatform/io_pins_jtag_TCK_i_ival] -name jtag_TCK -period $::env(CLOCK_PERIOD)
+# JTAG port
+set TDO_port io_out[1]
+set TDI_port io_in[2]
+set TMS_port io_in[3]
+set TCK_port io_in[4]
+
+# create clock
+create_clock [get_ports wb_clk_i] -name wb_clk_i -period $::env(CLOCK_PERIOD)
+
+create_generated_clock -name slow_clock -add \
+  -source [get_ports wb_clk_i] -master_clock [get_clocks wb_clk_i] \
+  -divide_by 4 [get_nets \MarmotCaravelChip.MarmotCaravelPlatform.io_pins_aon_lfextclk_i_ival ]
+
+create_clock [get_ports $TCK_port] -name jtag_TCK -period 100.0
+
+# clock groups
+set_clock_groups -name async_clock -asynchronous \
+ -group [get_clocks {wb_clk_i slow_clock}] \
+ -group [get_clocks {jtag_TCK}]\
 
 set input_delay_value [expr $::env(CLOCK_PERIOD) * $::env(IO_PCT)]
 set output_delay_value [expr $::env(CLOCK_PERIOD) * $::env(IO_PCT)]
 puts "\[INFO\]: Setting output delay to: $output_delay_value"
 puts "\[INFO\]: Setting input delay to: $input_delay_value"
 
+# max fanout
 set_max_fanout $::env(SYNTH_MAX_FANOUT) [current_design]
 
-set clk_indx [lsearch [all_inputs] [get_port $::env(CLOCK_PORT)]]
-#set rst_indx [lsearch [all_inputs] [get_port resetn]]
+set clk_indx [lsearch [all_inputs] [get_port wb_clk_i]]
+set tck_indx [lsearch [all_inputs] [get_port $TCK_port]]
 set all_inputs_wo_clk [lreplace [all_inputs] $clk_indx $clk_indx]
-#set all_inputs_wo_clk_rst [lreplace $all_inputs_wo_clk $rst_indx $rst_indx]
-set all_inputs_wo_clk_rst $all_inputs_wo_clk
+set all_inputs_wo_clk [lreplace $all_inputs_wo_clk $tck_indx $tck_indx]
 
+# input/output delay
+set_input_delay  $input_delay_value  -clock [get_clocks wb_clk_i] $all_inputs_wo_clk
+set_output_delay $output_delay_value -clock [get_clocks wb_clk_i] [all_outputs]
 
-# correct resetn
-set_input_delay $input_delay_value  -clock [get_clocks $::env(CLOCK_PORT)] $all_inputs_wo_clk_rst
-#set_input_delay 0.0 -clock [get_clocks $::env(CLOCK_PORT)] {resetn}
-set_output_delay $output_delay_value  -clock [get_clocks $::env(CLOCK_PORT)] [all_outputs]
+# input/output delay of JTAG port
+set_input_delay  $input_delay_value  -clock [get_clocks jtag_TCK] [get_ports $TMS_port]
+set_input_delay  $input_delay_value  -clock [get_clocks jtag_TCK] [get_ports $TDI_port]
+set_output_delay $output_delay_value -clock [get_clocks jtag_TCK] [get_ports $TDO_port]
 
 # TODO set this as parameter
 set_driving_cell -lib_cell $::env(SYNTH_DRIVING_CELL) -pin $::env(SYNTH_DRIVING_CELL_PIN) [all_inputs]
@@ -27,10 +47,12 @@
 set_load  $cap_load [all_outputs]
 
 puts "\[INFO\]: Setting clock uncertainity to: $::env(SYNTH_CLOCK_UNCERTAINITY)"
-set_clock_uncertainty $::env(SYNTH_CLOCK_UNCERTAINITY) [get_clocks $::env(CLOCK_PORT)]
+set_clock_uncertainty $::env(SYNTH_CLOCK_UNCERTAINITY) [all_clocks]
 
 puts "\[INFO\]: Setting clock transition to: $::env(SYNTH_CLOCK_TRANSITION)"
-set_clock_transition $::env(SYNTH_CLOCK_TRANSITION) [get_clocks $::env(CLOCK_PORT)]
+set_clock_transition $::env(SYNTH_CLOCK_TRANSITION) [all_clocks]
+
+set_propagated_clock [all_clocks]
 
 puts "\[INFO\]: Setting timing derate to: [expr {$::env(SYNTH_TIMING_DERATE) * 10}] %"
 set_timing_derate -early [expr {1-$::env(SYNTH_TIMING_DERATE)}]
diff --git a/openlane/marmot/config.tcl b/openlane/marmot/config.tcl
index 6e7a32b..2c298aa 100644
--- a/openlane/marmot/config.tcl
+++ b/openlane/marmot/config.tcl
@@ -22,24 +22,38 @@
 
 # Define
 set ::env(SYNTH_DEFINES) "SYNTHESIS"
+set ::env(SYNTH_READ_BLACKBOX_LIB) 1
 
 set ::env(DESIGN_NAME) Marmot
 
+set ::env(VERILOG_INCLUDE_DIRS) [glob $script_dir/../../verilog/rtl/marmot]
+
 set ::env(VERILOG_FILES) "\
 	$::env(CARAVEL_ROOT)/verilog/rtl/defines.v \
+  $script_dir/../../verilog/lib/clk_skew_adjust.gv \
+  $script_dir/../../verilog/lib/ctech_cells.sv \
 	[glob $script_dir/../../verilog/rtl/marmot/*.v]"
 
 set ::env(DESIGN_IS_CORE) 0
 
 set ::env(CLOCK_PORT) "wb_clk_i"
-#set ::env(CLOCK_NET)  "clk"
 set ::env(CLOCK_PERIOD) "40"
 
+#set ::env(SYNTH_MAX_FANOUT) 4
+
+set ::env(CTS_TOLERANCE) 70
+#set ::env(CTS_CLK_BUFFER_LIST) "sky130_fd_sc_hd__clkbuf_4 sky130_fd_sc_hd__clkbuf_8"
+#set ::env(CTS_SINK_CLUSTERING_SIZE) "16"
+#set ::env(CLOCK_BUFFER_FANOUT) "8"
+
+set ::env(SYNTH_CAP_LOAD) 70
+
 set ::env(PL_RESIZER_HOLD_SLACK_MARGIN) 0.2
 
 set ::env(BASE_SDC_FILE) $script_dir/base.sdc
 
 #set ::env(SYNTH_STRATEGY) "AREA 0"
+#set ::env(SYNTH_NO_FLAT) 1
 
 set ::env(FP_CORE_UTIL) 30
 
diff --git a/openlane/user_project_wrapper/base.sdc b/openlane/user_project_wrapper/base.sdc
new file mode 100644
index 0000000..185db85
--- /dev/null
+++ b/openlane/user_project_wrapper/base.sdc
@@ -0,0 +1,1361 @@
+###############################################################################
+# Created by write_sdc
+# Fri May 27 09:47:52 2022
+###############################################################################
+current_design user_project_wrapper
+###############################################################################
+# Timing Constraints
+###############################################################################
+create_clock -name wb_clk_i -period 40.0000 [get_ports {wb_clk_i}]
+set_clock_transition 0.1500 [get_clocks {wb_clk_i}]
+set_clock_uncertainty 0.2500 wb_clk_i
+set_propagated_clock [get_clocks {wb_clk_i}]
+
+create_clock -name jtag_TCK -period 100.0 [get_ports {io_in[4]}]
+set_clock_transition 0.1500 [get_clocks {jtag_TCK}]
+set_clock_uncertainty 0.2500 [get_clocks {jtag_TCK}]
+set_propagated_clock [get_clocks {jtag_TCK}]
+
+set_false_path -from [get_clocks {wb_clk_i}] -to [get_clocks {jtag_TCK}]
+set_false_path -from [get_clocks {jtag_TCK}] -to [get_clocks {wb_clk_i}]
+
+set_input_delay 8.0000 -clock [get_clocks {wb_clk_i}] -add_delay [get_ports {analog_io[0]}]
+set_input_delay 8.0000 -clock [get_clocks {wb_clk_i}] -add_delay [get_ports {analog_io[10]}]
+set_input_delay 8.0000 -clock [get_clocks {wb_clk_i}] -add_delay [get_ports {analog_io[11]}]
+set_input_delay 8.0000 -clock [get_clocks {wb_clk_i}] -add_delay [get_ports {analog_io[12]}]
+set_input_delay 8.0000 -clock [get_clocks {wb_clk_i}] -add_delay [get_ports {analog_io[13]}]
+set_input_delay 8.0000 -clock [get_clocks {wb_clk_i}] -add_delay [get_ports {analog_io[14]}]
+set_input_delay 8.0000 -clock [get_clocks {wb_clk_i}] -add_delay [get_ports {analog_io[15]}]
+set_input_delay 8.0000 -clock [get_clocks {wb_clk_i}] -add_delay [get_ports {analog_io[16]}]
+set_input_delay 8.0000 -clock [get_clocks {wb_clk_i}] -add_delay [get_ports {analog_io[17]}]
+set_input_delay 8.0000 -clock [get_clocks {wb_clk_i}] -add_delay [get_ports {analog_io[18]}]
+set_input_delay 8.0000 -clock [get_clocks {wb_clk_i}] -add_delay [get_ports {analog_io[19]}]
+set_input_delay 8.0000 -clock [get_clocks {wb_clk_i}] -add_delay [get_ports {analog_io[1]}]
+set_input_delay 8.0000 -clock [get_clocks {wb_clk_i}] -add_delay [get_ports {analog_io[20]}]
+set_input_delay 8.0000 -clock [get_clocks {wb_clk_i}] -add_delay [get_ports {analog_io[21]}]
+set_input_delay 8.0000 -clock [get_clocks {wb_clk_i}] -add_delay [get_ports {analog_io[22]}]
+set_input_delay 8.0000 -clock [get_clocks {wb_clk_i}] -add_delay [get_ports {analog_io[23]}]
+set_input_delay 8.0000 -clock [get_clocks {wb_clk_i}] -add_delay [get_ports {analog_io[24]}]
+set_input_delay 8.0000 -clock [get_clocks {wb_clk_i}] -add_delay [get_ports {analog_io[25]}]
+set_input_delay 8.0000 -clock [get_clocks {wb_clk_i}] -add_delay [get_ports {analog_io[26]}]
+set_input_delay 8.0000 -clock [get_clocks {wb_clk_i}] -add_delay [get_ports {analog_io[27]}]
+set_input_delay 8.0000 -clock [get_clocks {wb_clk_i}] -add_delay [get_ports {analog_io[28]}]
+set_input_delay 8.0000 -clock [get_clocks {wb_clk_i}] -add_delay [get_ports {analog_io[2]}]
+set_input_delay 8.0000 -clock [get_clocks {wb_clk_i}] -add_delay [get_ports {analog_io[3]}]
+set_input_delay 8.0000 -clock [get_clocks {wb_clk_i}] -add_delay [get_ports {analog_io[4]}]
+set_input_delay 8.0000 -clock [get_clocks {wb_clk_i}] -add_delay [get_ports {analog_io[5]}]
+set_input_delay 8.0000 -clock [get_clocks {wb_clk_i}] -add_delay [get_ports {analog_io[6]}]
+set_input_delay 8.0000 -clock [get_clocks {wb_clk_i}] -add_delay [get_ports {analog_io[7]}]
+set_input_delay 8.0000 -clock [get_clocks {wb_clk_i}] -add_delay [get_ports {analog_io[8]}]
+set_input_delay 8.0000 -clock [get_clocks {wb_clk_i}] -add_delay [get_ports {analog_io[9]}]
+set_input_delay 8.0000 -clock [get_clocks {wb_clk_i}] -add_delay [get_ports {io_in[0]}]
+set_input_delay 8.0000 -clock [get_clocks {wb_clk_i}] -add_delay [get_ports {io_in[10]}]
+set_input_delay 8.0000 -clock [get_clocks {wb_clk_i}] -add_delay [get_ports {io_in[11]}]
+set_input_delay 8.0000 -clock [get_clocks {wb_clk_i}] -add_delay [get_ports {io_in[12]}]
+set_input_delay 8.0000 -clock [get_clocks {wb_clk_i}] -add_delay [get_ports {io_in[13]}]
+set_input_delay 8.0000 -clock [get_clocks {wb_clk_i}] -add_delay [get_ports {io_in[14]}]
+set_input_delay 8.0000 -clock [get_clocks {wb_clk_i}] -add_delay [get_ports {io_in[15]}]
+set_input_delay 8.0000 -clock [get_clocks {wb_clk_i}] -add_delay [get_ports {io_in[16]}]
+set_input_delay 8.0000 -clock [get_clocks {wb_clk_i}] -add_delay [get_ports {io_in[17]}]
+set_input_delay 8.0000 -clock [get_clocks {wb_clk_i}] -add_delay [get_ports {io_in[18]}]
+set_input_delay 8.0000 -clock [get_clocks {wb_clk_i}] -add_delay [get_ports {io_in[19]}]
+set_input_delay 8.0000 -clock [get_clocks {wb_clk_i}] -add_delay [get_ports {io_in[1]}]
+set_input_delay 8.0000 -clock [get_clocks {wb_clk_i}] -add_delay [get_ports {io_in[20]}]
+set_input_delay 8.0000 -clock [get_clocks {wb_clk_i}] -add_delay [get_ports {io_in[21]}]
+set_input_delay 8.0000 -clock [get_clocks {wb_clk_i}] -add_delay [get_ports {io_in[22]}]
+set_input_delay 8.0000 -clock [get_clocks {wb_clk_i}] -add_delay [get_ports {io_in[23]}]
+set_input_delay 8.0000 -clock [get_clocks {wb_clk_i}] -add_delay [get_ports {io_in[24]}]
+set_input_delay 8.0000 -clock [get_clocks {wb_clk_i}] -add_delay [get_ports {io_in[25]}]
+set_input_delay 8.0000 -clock [get_clocks {wb_clk_i}] -add_delay [get_ports {io_in[26]}]
+set_input_delay 8.0000 -clock [get_clocks {wb_clk_i}] -add_delay [get_ports {io_in[27]}]
+set_input_delay 8.0000 -clock [get_clocks {wb_clk_i}] -add_delay [get_ports {io_in[28]}]
+set_input_delay 8.0000 -clock [get_clocks {wb_clk_i}] -add_delay [get_ports {io_in[29]}]
+set_input_delay 8.0000 -clock [get_clocks {jtag_TCK}] -add_delay [get_ports {io_in[2]}]
+set_input_delay 8.0000 -clock [get_clocks {wb_clk_i}] -add_delay [get_ports {io_in[30]}]
+set_input_delay 8.0000 -clock [get_clocks {wb_clk_i}] -add_delay [get_ports {io_in[31]}]
+set_input_delay 8.0000 -clock [get_clocks {wb_clk_i}] -add_delay [get_ports {io_in[32]}]
+set_input_delay 8.0000 -clock [get_clocks {wb_clk_i}] -add_delay [get_ports {io_in[33]}]
+set_input_delay 8.0000 -clock [get_clocks {wb_clk_i}] -add_delay [get_ports {io_in[34]}]
+set_input_delay 8.0000 -clock [get_clocks {wb_clk_i}] -add_delay [get_ports {io_in[35]}]
+set_input_delay 8.0000 -clock [get_clocks {wb_clk_i}] -add_delay [get_ports {io_in[36]}]
+set_input_delay 8.0000 -clock [get_clocks {wb_clk_i}] -add_delay [get_ports {io_in[37]}]
+set_input_delay 8.0000 -clock [get_clocks {jtag_TCK}] -add_delay [get_ports {io_in[3]}]
+set_input_delay 8.0000 -clock [get_clocks {wb_clk_i}] -add_delay [get_ports {io_in[4]}]
+set_input_delay 8.0000 -clock [get_clocks {wb_clk_i}] -add_delay [get_ports {io_in[5]}]
+set_input_delay 8.0000 -clock [get_clocks {wb_clk_i}] -add_delay [get_ports {io_in[6]}]
+set_input_delay 8.0000 -clock [get_clocks {wb_clk_i}] -add_delay [get_ports {io_in[7]}]
+set_input_delay 8.0000 -clock [get_clocks {wb_clk_i}] -add_delay [get_ports {io_in[8]}]
+set_input_delay 8.0000 -clock [get_clocks {wb_clk_i}] -add_delay [get_ports {io_in[9]}]
+set_input_delay 8.0000 -clock [get_clocks {wb_clk_i}] -add_delay [get_ports {la_data_in[0]}]
+set_input_delay 8.0000 -clock [get_clocks {wb_clk_i}] -add_delay [get_ports {la_data_in[100]}]
+set_input_delay 8.0000 -clock [get_clocks {wb_clk_i}] -add_delay [get_ports {la_data_in[101]}]
+set_input_delay 8.0000 -clock [get_clocks {wb_clk_i}] -add_delay [get_ports {la_data_in[102]}]
+set_input_delay 8.0000 -clock [get_clocks {wb_clk_i}] -add_delay [get_ports {la_data_in[103]}]
+set_input_delay 8.0000 -clock [get_clocks {wb_clk_i}] -add_delay [get_ports {la_data_in[104]}]
+set_input_delay 8.0000 -clock [get_clocks {wb_clk_i}] -add_delay [get_ports {la_data_in[105]}]
+set_input_delay 8.0000 -clock [get_clocks {wb_clk_i}] -add_delay [get_ports {la_data_in[106]}]
+set_input_delay 8.0000 -clock [get_clocks {wb_clk_i}] -add_delay [get_ports {la_data_in[107]}]
+set_input_delay 8.0000 -clock [get_clocks {wb_clk_i}] -add_delay [get_ports {la_data_in[108]}]
+set_input_delay 8.0000 -clock [get_clocks {wb_clk_i}] -add_delay [get_ports {la_data_in[109]}]
+set_input_delay 8.0000 -clock [get_clocks {wb_clk_i}] -add_delay [get_ports {la_data_in[10]}]
+set_input_delay 8.0000 -clock [get_clocks {wb_clk_i}] -add_delay [get_ports {la_data_in[110]}]
+set_input_delay 8.0000 -clock [get_clocks {wb_clk_i}] -add_delay [get_ports {la_data_in[111]}]
+set_input_delay 8.0000 -clock [get_clocks {wb_clk_i}] -add_delay [get_ports {la_data_in[112]}]
+set_input_delay 8.0000 -clock [get_clocks {wb_clk_i}] -add_delay [get_ports {la_data_in[113]}]
+set_input_delay 8.0000 -clock [get_clocks {wb_clk_i}] -add_delay [get_ports {la_data_in[114]}]
+set_input_delay 8.0000 -clock [get_clocks {wb_clk_i}] -add_delay [get_ports {la_data_in[115]}]
+set_input_delay 8.0000 -clock [get_clocks {wb_clk_i}] -add_delay [get_ports {la_data_in[116]}]
+set_input_delay 8.0000 -clock [get_clocks {wb_clk_i}] -add_delay [get_ports {la_data_in[117]}]
+set_input_delay 8.0000 -clock [get_clocks {wb_clk_i}] -add_delay [get_ports {la_data_in[118]}]
+set_input_delay 8.0000 -clock [get_clocks {wb_clk_i}] -add_delay [get_ports {la_data_in[119]}]
+set_input_delay 8.0000 -clock [get_clocks {wb_clk_i}] -add_delay [get_ports {la_data_in[11]}]
+set_input_delay 8.0000 -clock [get_clocks {wb_clk_i}] -add_delay [get_ports {la_data_in[120]}]
+set_input_delay 8.0000 -clock [get_clocks {wb_clk_i}] -add_delay [get_ports {la_data_in[121]}]
+set_input_delay 8.0000 -clock [get_clocks {wb_clk_i}] -add_delay [get_ports {la_data_in[122]}]
+set_input_delay 8.0000 -clock [get_clocks {wb_clk_i}] -add_delay [get_ports {la_data_in[123]}]
+set_input_delay 8.0000 -clock [get_clocks {wb_clk_i}] -add_delay [get_ports {la_data_in[124]}]
+set_input_delay 8.0000 -clock [get_clocks {wb_clk_i}] -add_delay [get_ports {la_data_in[125]}]
+set_input_delay 8.0000 -clock [get_clocks {wb_clk_i}] -add_delay [get_ports {la_data_in[126]}]
+set_input_delay 8.0000 -clock [get_clocks {wb_clk_i}] -add_delay [get_ports {la_data_in[127]}]
+set_input_delay 8.0000 -clock [get_clocks {wb_clk_i}] -add_delay [get_ports {la_data_in[12]}]
+set_input_delay 8.0000 -clock [get_clocks {wb_clk_i}] -add_delay [get_ports {la_data_in[13]}]
+set_input_delay 8.0000 -clock [get_clocks {wb_clk_i}] -add_delay [get_ports {la_data_in[14]}]
+set_input_delay 8.0000 -clock [get_clocks {wb_clk_i}] -add_delay [get_ports {la_data_in[15]}]
+set_input_delay 8.0000 -clock [get_clocks {wb_clk_i}] -add_delay [get_ports {la_data_in[16]}]
+set_input_delay 8.0000 -clock [get_clocks {wb_clk_i}] -add_delay [get_ports {la_data_in[17]}]
+set_input_delay 8.0000 -clock [get_clocks {wb_clk_i}] -add_delay [get_ports {la_data_in[18]}]
+set_input_delay 8.0000 -clock [get_clocks {wb_clk_i}] -add_delay [get_ports {la_data_in[19]}]
+set_input_delay 8.0000 -clock [get_clocks {wb_clk_i}] -add_delay [get_ports {la_data_in[1]}]
+set_input_delay 8.0000 -clock [get_clocks {wb_clk_i}] -add_delay [get_ports {la_data_in[20]}]
+set_input_delay 8.0000 -clock [get_clocks {wb_clk_i}] -add_delay [get_ports {la_data_in[21]}]
+set_input_delay 8.0000 -clock [get_clocks {wb_clk_i}] -add_delay [get_ports {la_data_in[22]}]
+set_input_delay 8.0000 -clock [get_clocks {wb_clk_i}] -add_delay [get_ports {la_data_in[23]}]
+set_input_delay 8.0000 -clock [get_clocks {wb_clk_i}] -add_delay [get_ports {la_data_in[24]}]
+set_input_delay 8.0000 -clock [get_clocks {wb_clk_i}] -add_delay [get_ports {la_data_in[25]}]
+set_input_delay 8.0000 -clock [get_clocks {wb_clk_i}] -add_delay [get_ports {la_data_in[26]}]
+set_input_delay 8.0000 -clock [get_clocks {wb_clk_i}] -add_delay [get_ports {la_data_in[27]}]
+set_input_delay 8.0000 -clock [get_clocks {wb_clk_i}] -add_delay [get_ports {la_data_in[28]}]
+set_input_delay 8.0000 -clock [get_clocks {wb_clk_i}] -add_delay [get_ports {la_data_in[29]}]
+set_input_delay 8.0000 -clock [get_clocks {wb_clk_i}] -add_delay [get_ports {la_data_in[2]}]
+set_input_delay 8.0000 -clock [get_clocks {wb_clk_i}] -add_delay [get_ports {la_data_in[30]}]
+set_input_delay 8.0000 -clock [get_clocks {wb_clk_i}] -add_delay [get_ports {la_data_in[31]}]
+set_input_delay 8.0000 -clock [get_clocks {wb_clk_i}] -add_delay [get_ports {la_data_in[32]}]
+set_input_delay 8.0000 -clock [get_clocks {wb_clk_i}] -add_delay [get_ports {la_data_in[33]}]
+set_input_delay 8.0000 -clock [get_clocks {wb_clk_i}] -add_delay [get_ports {la_data_in[34]}]
+set_input_delay 8.0000 -clock [get_clocks {wb_clk_i}] -add_delay [get_ports {la_data_in[35]}]
+set_input_delay 8.0000 -clock [get_clocks {wb_clk_i}] -add_delay [get_ports {la_data_in[36]}]
+set_input_delay 8.0000 -clock [get_clocks {wb_clk_i}] -add_delay [get_ports {la_data_in[37]}]
+set_input_delay 8.0000 -clock [get_clocks {wb_clk_i}] -add_delay [get_ports {la_data_in[38]}]
+set_input_delay 8.0000 -clock [get_clocks {wb_clk_i}] -add_delay [get_ports {la_data_in[39]}]
+set_input_delay 8.0000 -clock [get_clocks {wb_clk_i}] -add_delay [get_ports {la_data_in[3]}]
+set_input_delay 8.0000 -clock [get_clocks {wb_clk_i}] -add_delay [get_ports {la_data_in[40]}]
+set_input_delay 8.0000 -clock [get_clocks {wb_clk_i}] -add_delay [get_ports {la_data_in[41]}]
+set_input_delay 8.0000 -clock [get_clocks {wb_clk_i}] -add_delay [get_ports {la_data_in[42]}]
+set_input_delay 8.0000 -clock [get_clocks {wb_clk_i}] -add_delay [get_ports {la_data_in[43]}]
+set_input_delay 8.0000 -clock [get_clocks {wb_clk_i}] -add_delay [get_ports {la_data_in[44]}]
+set_input_delay 8.0000 -clock [get_clocks {wb_clk_i}] -add_delay [get_ports {la_data_in[45]}]
+set_input_delay 8.0000 -clock [get_clocks {wb_clk_i}] -add_delay [get_ports {la_data_in[46]}]
+set_input_delay 8.0000 -clock [get_clocks {wb_clk_i}] -add_delay [get_ports {la_data_in[47]}]
+set_input_delay 8.0000 -clock [get_clocks {wb_clk_i}] -add_delay [get_ports {la_data_in[48]}]
+set_input_delay 8.0000 -clock [get_clocks {wb_clk_i}] -add_delay [get_ports {la_data_in[49]}]
+set_input_delay 8.0000 -clock [get_clocks {wb_clk_i}] -add_delay [get_ports {la_data_in[4]}]
+set_input_delay 8.0000 -clock [get_clocks {wb_clk_i}] -add_delay [get_ports {la_data_in[50]}]
+set_input_delay 8.0000 -clock [get_clocks {wb_clk_i}] -add_delay [get_ports {la_data_in[51]}]
+set_input_delay 8.0000 -clock [get_clocks {wb_clk_i}] -add_delay [get_ports {la_data_in[52]}]
+set_input_delay 8.0000 -clock [get_clocks {wb_clk_i}] -add_delay [get_ports {la_data_in[53]}]
+set_input_delay 8.0000 -clock [get_clocks {wb_clk_i}] -add_delay [get_ports {la_data_in[54]}]
+set_input_delay 8.0000 -clock [get_clocks {wb_clk_i}] -add_delay [get_ports {la_data_in[55]}]
+set_input_delay 8.0000 -clock [get_clocks {wb_clk_i}] -add_delay [get_ports {la_data_in[56]}]
+set_input_delay 8.0000 -clock [get_clocks {wb_clk_i}] -add_delay [get_ports {la_data_in[57]}]
+set_input_delay 8.0000 -clock [get_clocks {wb_clk_i}] -add_delay [get_ports {la_data_in[58]}]
+set_input_delay 8.0000 -clock [get_clocks {wb_clk_i}] -add_delay [get_ports {la_data_in[59]}]
+set_input_delay 8.0000 -clock [get_clocks {wb_clk_i}] -add_delay [get_ports {la_data_in[5]}]
+set_input_delay 8.0000 -clock [get_clocks {wb_clk_i}] -add_delay [get_ports {la_data_in[60]}]
+set_input_delay 8.0000 -clock [get_clocks {wb_clk_i}] -add_delay [get_ports {la_data_in[61]}]
+set_input_delay 8.0000 -clock [get_clocks {wb_clk_i}] -add_delay [get_ports {la_data_in[62]}]
+set_input_delay 8.0000 -clock [get_clocks {wb_clk_i}] -add_delay [get_ports {la_data_in[63]}]
+set_input_delay 8.0000 -clock [get_clocks {wb_clk_i}] -add_delay [get_ports {la_data_in[64]}]
+set_input_delay 8.0000 -clock [get_clocks {wb_clk_i}] -add_delay [get_ports {la_data_in[65]}]
+set_input_delay 8.0000 -clock [get_clocks {wb_clk_i}] -add_delay [get_ports {la_data_in[66]}]
+set_input_delay 8.0000 -clock [get_clocks {wb_clk_i}] -add_delay [get_ports {la_data_in[67]}]
+set_input_delay 8.0000 -clock [get_clocks {wb_clk_i}] -add_delay [get_ports {la_data_in[68]}]
+set_input_delay 8.0000 -clock [get_clocks {wb_clk_i}] -add_delay [get_ports {la_data_in[69]}]
+set_input_delay 8.0000 -clock [get_clocks {wb_clk_i}] -add_delay [get_ports {la_data_in[6]}]
+set_input_delay 8.0000 -clock [get_clocks {wb_clk_i}] -add_delay [get_ports {la_data_in[70]}]
+set_input_delay 8.0000 -clock [get_clocks {wb_clk_i}] -add_delay [get_ports {la_data_in[71]}]
+set_input_delay 8.0000 -clock [get_clocks {wb_clk_i}] -add_delay [get_ports {la_data_in[72]}]
+set_input_delay 8.0000 -clock [get_clocks {wb_clk_i}] -add_delay [get_ports {la_data_in[73]}]
+set_input_delay 8.0000 -clock [get_clocks {wb_clk_i}] -add_delay [get_ports {la_data_in[74]}]
+set_input_delay 8.0000 -clock [get_clocks {wb_clk_i}] -add_delay [get_ports {la_data_in[75]}]
+set_input_delay 8.0000 -clock [get_clocks {wb_clk_i}] -add_delay [get_ports {la_data_in[76]}]
+set_input_delay 8.0000 -clock [get_clocks {wb_clk_i}] -add_delay [get_ports {la_data_in[77]}]
+set_input_delay 8.0000 -clock [get_clocks {wb_clk_i}] -add_delay [get_ports {la_data_in[78]}]
+set_input_delay 8.0000 -clock [get_clocks {wb_clk_i}] -add_delay [get_ports {la_data_in[79]}]
+set_input_delay 8.0000 -clock [get_clocks {wb_clk_i}] -add_delay [get_ports {la_data_in[7]}]
+set_input_delay 8.0000 -clock [get_clocks {wb_clk_i}] -add_delay [get_ports {la_data_in[80]}]
+set_input_delay 8.0000 -clock [get_clocks {wb_clk_i}] -add_delay [get_ports {la_data_in[81]}]
+set_input_delay 8.0000 -clock [get_clocks {wb_clk_i}] -add_delay [get_ports {la_data_in[82]}]
+set_input_delay 8.0000 -clock [get_clocks {wb_clk_i}] -add_delay [get_ports {la_data_in[83]}]
+set_input_delay 8.0000 -clock [get_clocks {wb_clk_i}] -add_delay [get_ports {la_data_in[84]}]
+set_input_delay 8.0000 -clock [get_clocks {wb_clk_i}] -add_delay [get_ports {la_data_in[85]}]
+set_input_delay 8.0000 -clock [get_clocks {wb_clk_i}] -add_delay [get_ports {la_data_in[86]}]
+set_input_delay 8.0000 -clock [get_clocks {wb_clk_i}] -add_delay [get_ports {la_data_in[87]}]
+set_input_delay 8.0000 -clock [get_clocks {wb_clk_i}] -add_delay [get_ports {la_data_in[88]}]
+set_input_delay 8.0000 -clock [get_clocks {wb_clk_i}] -add_delay [get_ports {la_data_in[89]}]
+set_input_delay 8.0000 -clock [get_clocks {wb_clk_i}] -add_delay [get_ports {la_data_in[8]}]
+set_input_delay 8.0000 -clock [get_clocks {wb_clk_i}] -add_delay [get_ports {la_data_in[90]}]
+set_input_delay 8.0000 -clock [get_clocks {wb_clk_i}] -add_delay [get_ports {la_data_in[91]}]
+set_input_delay 8.0000 -clock [get_clocks {wb_clk_i}] -add_delay [get_ports {la_data_in[92]}]
+set_input_delay 8.0000 -clock [get_clocks {wb_clk_i}] -add_delay [get_ports {la_data_in[93]}]
+set_input_delay 8.0000 -clock [get_clocks {wb_clk_i}] -add_delay [get_ports {la_data_in[94]}]
+set_input_delay 8.0000 -clock [get_clocks {wb_clk_i}] -add_delay [get_ports {la_data_in[95]}]
+set_input_delay 8.0000 -clock [get_clocks {wb_clk_i}] -add_delay [get_ports {la_data_in[96]}]
+set_input_delay 8.0000 -clock [get_clocks {wb_clk_i}] -add_delay [get_ports {la_data_in[97]}]
+set_input_delay 8.0000 -clock [get_clocks {wb_clk_i}] -add_delay [get_ports {la_data_in[98]}]
+set_input_delay 8.0000 -clock [get_clocks {wb_clk_i}] -add_delay [get_ports {la_data_in[99]}]
+set_input_delay 8.0000 -clock [get_clocks {wb_clk_i}] -add_delay [get_ports {la_data_in[9]}]
+set_input_delay 8.0000 -clock [get_clocks {wb_clk_i}] -add_delay [get_ports {la_oenb[0]}]
+set_input_delay 8.0000 -clock [get_clocks {wb_clk_i}] -add_delay [get_ports {la_oenb[100]}]
+set_input_delay 8.0000 -clock [get_clocks {wb_clk_i}] -add_delay [get_ports {la_oenb[101]}]
+set_input_delay 8.0000 -clock [get_clocks {wb_clk_i}] -add_delay [get_ports {la_oenb[102]}]
+set_input_delay 8.0000 -clock [get_clocks {wb_clk_i}] -add_delay [get_ports {la_oenb[103]}]
+set_input_delay 8.0000 -clock [get_clocks {wb_clk_i}] -add_delay [get_ports {la_oenb[104]}]
+set_input_delay 8.0000 -clock [get_clocks {wb_clk_i}] -add_delay [get_ports {la_oenb[105]}]
+set_input_delay 8.0000 -clock [get_clocks {wb_clk_i}] -add_delay [get_ports {la_oenb[106]}]
+set_input_delay 8.0000 -clock [get_clocks {wb_clk_i}] -add_delay [get_ports {la_oenb[107]}]
+set_input_delay 8.0000 -clock [get_clocks {wb_clk_i}] -add_delay [get_ports {la_oenb[108]}]
+set_input_delay 8.0000 -clock [get_clocks {wb_clk_i}] -add_delay [get_ports {la_oenb[109]}]
+set_input_delay 8.0000 -clock [get_clocks {wb_clk_i}] -add_delay [get_ports {la_oenb[10]}]
+set_input_delay 8.0000 -clock [get_clocks {wb_clk_i}] -add_delay [get_ports {la_oenb[110]}]
+set_input_delay 8.0000 -clock [get_clocks {wb_clk_i}] -add_delay [get_ports {la_oenb[111]}]
+set_input_delay 8.0000 -clock [get_clocks {wb_clk_i}] -add_delay [get_ports {la_oenb[112]}]
+set_input_delay 8.0000 -clock [get_clocks {wb_clk_i}] -add_delay [get_ports {la_oenb[113]}]
+set_input_delay 8.0000 -clock [get_clocks {wb_clk_i}] -add_delay [get_ports {la_oenb[114]}]
+set_input_delay 8.0000 -clock [get_clocks {wb_clk_i}] -add_delay [get_ports {la_oenb[115]}]
+set_input_delay 8.0000 -clock [get_clocks {wb_clk_i}] -add_delay [get_ports {la_oenb[116]}]
+set_input_delay 8.0000 -clock [get_clocks {wb_clk_i}] -add_delay [get_ports {la_oenb[117]}]
+set_input_delay 8.0000 -clock [get_clocks {wb_clk_i}] -add_delay [get_ports {la_oenb[118]}]
+set_input_delay 8.0000 -clock [get_clocks {wb_clk_i}] -add_delay [get_ports {la_oenb[119]}]
+set_input_delay 8.0000 -clock [get_clocks {wb_clk_i}] -add_delay [get_ports {la_oenb[11]}]
+set_input_delay 8.0000 -clock [get_clocks {wb_clk_i}] -add_delay [get_ports {la_oenb[120]}]
+set_input_delay 8.0000 -clock [get_clocks {wb_clk_i}] -add_delay [get_ports {la_oenb[121]}]
+set_input_delay 8.0000 -clock [get_clocks {wb_clk_i}] -add_delay [get_ports {la_oenb[122]}]
+set_input_delay 8.0000 -clock [get_clocks {wb_clk_i}] -add_delay [get_ports {la_oenb[123]}]
+set_input_delay 8.0000 -clock [get_clocks {wb_clk_i}] -add_delay [get_ports {la_oenb[124]}]
+set_input_delay 8.0000 -clock [get_clocks {wb_clk_i}] -add_delay [get_ports {la_oenb[125]}]
+set_input_delay 8.0000 -clock [get_clocks {wb_clk_i}] -add_delay [get_ports {la_oenb[126]}]
+set_input_delay 8.0000 -clock [get_clocks {wb_clk_i}] -add_delay [get_ports {la_oenb[127]}]
+set_input_delay 8.0000 -clock [get_clocks {wb_clk_i}] -add_delay [get_ports {la_oenb[12]}]
+set_input_delay 8.0000 -clock [get_clocks {wb_clk_i}] -add_delay [get_ports {la_oenb[13]}]
+set_input_delay 8.0000 -clock [get_clocks {wb_clk_i}] -add_delay [get_ports {la_oenb[14]}]
+set_input_delay 8.0000 -clock [get_clocks {wb_clk_i}] -add_delay [get_ports {la_oenb[15]}]
+set_input_delay 8.0000 -clock [get_clocks {wb_clk_i}] -add_delay [get_ports {la_oenb[16]}]
+set_input_delay 8.0000 -clock [get_clocks {wb_clk_i}] -add_delay [get_ports {la_oenb[17]}]
+set_input_delay 8.0000 -clock [get_clocks {wb_clk_i}] -add_delay [get_ports {la_oenb[18]}]
+set_input_delay 8.0000 -clock [get_clocks {wb_clk_i}] -add_delay [get_ports {la_oenb[19]}]
+set_input_delay 8.0000 -clock [get_clocks {wb_clk_i}] -add_delay [get_ports {la_oenb[1]}]
+set_input_delay 8.0000 -clock [get_clocks {wb_clk_i}] -add_delay [get_ports {la_oenb[20]}]
+set_input_delay 8.0000 -clock [get_clocks {wb_clk_i}] -add_delay [get_ports {la_oenb[21]}]
+set_input_delay 8.0000 -clock [get_clocks {wb_clk_i}] -add_delay [get_ports {la_oenb[22]}]
+set_input_delay 8.0000 -clock [get_clocks {wb_clk_i}] -add_delay [get_ports {la_oenb[23]}]
+set_input_delay 8.0000 -clock [get_clocks {wb_clk_i}] -add_delay [get_ports {la_oenb[24]}]
+set_input_delay 8.0000 -clock [get_clocks {wb_clk_i}] -add_delay [get_ports {la_oenb[25]}]
+set_input_delay 8.0000 -clock [get_clocks {wb_clk_i}] -add_delay [get_ports {la_oenb[26]}]
+set_input_delay 8.0000 -clock [get_clocks {wb_clk_i}] -add_delay [get_ports {la_oenb[27]}]
+set_input_delay 8.0000 -clock [get_clocks {wb_clk_i}] -add_delay [get_ports {la_oenb[28]}]
+set_input_delay 8.0000 -clock [get_clocks {wb_clk_i}] -add_delay [get_ports {la_oenb[29]}]
+set_input_delay 8.0000 -clock [get_clocks {wb_clk_i}] -add_delay [get_ports {la_oenb[2]}]
+set_input_delay 8.0000 -clock [get_clocks {wb_clk_i}] -add_delay [get_ports {la_oenb[30]}]
+set_input_delay 8.0000 -clock [get_clocks {wb_clk_i}] -add_delay [get_ports {la_oenb[31]}]
+set_input_delay 8.0000 -clock [get_clocks {wb_clk_i}] -add_delay [get_ports {la_oenb[32]}]
+set_input_delay 8.0000 -clock [get_clocks {wb_clk_i}] -add_delay [get_ports {la_oenb[33]}]
+set_input_delay 8.0000 -clock [get_clocks {wb_clk_i}] -add_delay [get_ports {la_oenb[34]}]
+set_input_delay 8.0000 -clock [get_clocks {wb_clk_i}] -add_delay [get_ports {la_oenb[35]}]
+set_input_delay 8.0000 -clock [get_clocks {wb_clk_i}] -add_delay [get_ports {la_oenb[36]}]
+set_input_delay 8.0000 -clock [get_clocks {wb_clk_i}] -add_delay [get_ports {la_oenb[37]}]
+set_input_delay 8.0000 -clock [get_clocks {wb_clk_i}] -add_delay [get_ports {la_oenb[38]}]
+set_input_delay 8.0000 -clock [get_clocks {wb_clk_i}] -add_delay [get_ports {la_oenb[39]}]
+set_input_delay 8.0000 -clock [get_clocks {wb_clk_i}] -add_delay [get_ports {la_oenb[3]}]
+set_input_delay 8.0000 -clock [get_clocks {wb_clk_i}] -add_delay [get_ports {la_oenb[40]}]
+set_input_delay 8.0000 -clock [get_clocks {wb_clk_i}] -add_delay [get_ports {la_oenb[41]}]
+set_input_delay 8.0000 -clock [get_clocks {wb_clk_i}] -add_delay [get_ports {la_oenb[42]}]
+set_input_delay 8.0000 -clock [get_clocks {wb_clk_i}] -add_delay [get_ports {la_oenb[43]}]
+set_input_delay 8.0000 -clock [get_clocks {wb_clk_i}] -add_delay [get_ports {la_oenb[44]}]
+set_input_delay 8.0000 -clock [get_clocks {wb_clk_i}] -add_delay [get_ports {la_oenb[45]}]
+set_input_delay 8.0000 -clock [get_clocks {wb_clk_i}] -add_delay [get_ports {la_oenb[46]}]
+set_input_delay 8.0000 -clock [get_clocks {wb_clk_i}] -add_delay [get_ports {la_oenb[47]}]
+set_input_delay 8.0000 -clock [get_clocks {wb_clk_i}] -add_delay [get_ports {la_oenb[48]}]
+set_input_delay 8.0000 -clock [get_clocks {wb_clk_i}] -add_delay [get_ports {la_oenb[49]}]
+set_input_delay 8.0000 -clock [get_clocks {wb_clk_i}] -add_delay [get_ports {la_oenb[4]}]
+set_input_delay 8.0000 -clock [get_clocks {wb_clk_i}] -add_delay [get_ports {la_oenb[50]}]
+set_input_delay 8.0000 -clock [get_clocks {wb_clk_i}] -add_delay [get_ports {la_oenb[51]}]
+set_input_delay 8.0000 -clock [get_clocks {wb_clk_i}] -add_delay [get_ports {la_oenb[52]}]
+set_input_delay 8.0000 -clock [get_clocks {wb_clk_i}] -add_delay [get_ports {la_oenb[53]}]
+set_input_delay 8.0000 -clock [get_clocks {wb_clk_i}] -add_delay [get_ports {la_oenb[54]}]
+set_input_delay 8.0000 -clock [get_clocks {wb_clk_i}] -add_delay [get_ports {la_oenb[55]}]
+set_input_delay 8.0000 -clock [get_clocks {wb_clk_i}] -add_delay [get_ports {la_oenb[56]}]
+set_input_delay 8.0000 -clock [get_clocks {wb_clk_i}] -add_delay [get_ports {la_oenb[57]}]
+set_input_delay 8.0000 -clock [get_clocks {wb_clk_i}] -add_delay [get_ports {la_oenb[58]}]
+set_input_delay 8.0000 -clock [get_clocks {wb_clk_i}] -add_delay [get_ports {la_oenb[59]}]
+set_input_delay 8.0000 -clock [get_clocks {wb_clk_i}] -add_delay [get_ports {la_oenb[5]}]
+set_input_delay 8.0000 -clock [get_clocks {wb_clk_i}] -add_delay [get_ports {la_oenb[60]}]
+set_input_delay 8.0000 -clock [get_clocks {wb_clk_i}] -add_delay [get_ports {la_oenb[61]}]
+set_input_delay 8.0000 -clock [get_clocks {wb_clk_i}] -add_delay [get_ports {la_oenb[62]}]
+set_input_delay 8.0000 -clock [get_clocks {wb_clk_i}] -add_delay [get_ports {la_oenb[63]}]
+set_input_delay 8.0000 -clock [get_clocks {wb_clk_i}] -add_delay [get_ports {la_oenb[64]}]
+set_input_delay 8.0000 -clock [get_clocks {wb_clk_i}] -add_delay [get_ports {la_oenb[65]}]
+set_input_delay 8.0000 -clock [get_clocks {wb_clk_i}] -add_delay [get_ports {la_oenb[66]}]
+set_input_delay 8.0000 -clock [get_clocks {wb_clk_i}] -add_delay [get_ports {la_oenb[67]}]
+set_input_delay 8.0000 -clock [get_clocks {wb_clk_i}] -add_delay [get_ports {la_oenb[68]}]
+set_input_delay 8.0000 -clock [get_clocks {wb_clk_i}] -add_delay [get_ports {la_oenb[69]}]
+set_input_delay 8.0000 -clock [get_clocks {wb_clk_i}] -add_delay [get_ports {la_oenb[6]}]
+set_input_delay 8.0000 -clock [get_clocks {wb_clk_i}] -add_delay [get_ports {la_oenb[70]}]
+set_input_delay 8.0000 -clock [get_clocks {wb_clk_i}] -add_delay [get_ports {la_oenb[71]}]
+set_input_delay 8.0000 -clock [get_clocks {wb_clk_i}] -add_delay [get_ports {la_oenb[72]}]
+set_input_delay 8.0000 -clock [get_clocks {wb_clk_i}] -add_delay [get_ports {la_oenb[73]}]
+set_input_delay 8.0000 -clock [get_clocks {wb_clk_i}] -add_delay [get_ports {la_oenb[74]}]
+set_input_delay 8.0000 -clock [get_clocks {wb_clk_i}] -add_delay [get_ports {la_oenb[75]}]
+set_input_delay 8.0000 -clock [get_clocks {wb_clk_i}] -add_delay [get_ports {la_oenb[76]}]
+set_input_delay 8.0000 -clock [get_clocks {wb_clk_i}] -add_delay [get_ports {la_oenb[77]}]
+set_input_delay 8.0000 -clock [get_clocks {wb_clk_i}] -add_delay [get_ports {la_oenb[78]}]
+set_input_delay 8.0000 -clock [get_clocks {wb_clk_i}] -add_delay [get_ports {la_oenb[79]}]
+set_input_delay 8.0000 -clock [get_clocks {wb_clk_i}] -add_delay [get_ports {la_oenb[7]}]
+set_input_delay 8.0000 -clock [get_clocks {wb_clk_i}] -add_delay [get_ports {la_oenb[80]}]
+set_input_delay 8.0000 -clock [get_clocks {wb_clk_i}] -add_delay [get_ports {la_oenb[81]}]
+set_input_delay 8.0000 -clock [get_clocks {wb_clk_i}] -add_delay [get_ports {la_oenb[82]}]
+set_input_delay 8.0000 -clock [get_clocks {wb_clk_i}] -add_delay [get_ports {la_oenb[83]}]
+set_input_delay 8.0000 -clock [get_clocks {wb_clk_i}] -add_delay [get_ports {la_oenb[84]}]
+set_input_delay 8.0000 -clock [get_clocks {wb_clk_i}] -add_delay [get_ports {la_oenb[85]}]
+set_input_delay 8.0000 -clock [get_clocks {wb_clk_i}] -add_delay [get_ports {la_oenb[86]}]
+set_input_delay 8.0000 -clock [get_clocks {wb_clk_i}] -add_delay [get_ports {la_oenb[87]}]
+set_input_delay 8.0000 -clock [get_clocks {wb_clk_i}] -add_delay [get_ports {la_oenb[88]}]
+set_input_delay 8.0000 -clock [get_clocks {wb_clk_i}] -add_delay [get_ports {la_oenb[89]}]
+set_input_delay 8.0000 -clock [get_clocks {wb_clk_i}] -add_delay [get_ports {la_oenb[8]}]
+set_input_delay 8.0000 -clock [get_clocks {wb_clk_i}] -add_delay [get_ports {la_oenb[90]}]
+set_input_delay 8.0000 -clock [get_clocks {wb_clk_i}] -add_delay [get_ports {la_oenb[91]}]
+set_input_delay 8.0000 -clock [get_clocks {wb_clk_i}] -add_delay [get_ports {la_oenb[92]}]
+set_input_delay 8.0000 -clock [get_clocks {wb_clk_i}] -add_delay [get_ports {la_oenb[93]}]
+set_input_delay 8.0000 -clock [get_clocks {wb_clk_i}] -add_delay [get_ports {la_oenb[94]}]
+set_input_delay 8.0000 -clock [get_clocks {wb_clk_i}] -add_delay [get_ports {la_oenb[95]}]
+set_input_delay 8.0000 -clock [get_clocks {wb_clk_i}] -add_delay [get_ports {la_oenb[96]}]
+set_input_delay 8.0000 -clock [get_clocks {wb_clk_i}] -add_delay [get_ports {la_oenb[97]}]
+set_input_delay 8.0000 -clock [get_clocks {wb_clk_i}] -add_delay [get_ports {la_oenb[98]}]
+set_input_delay 8.0000 -clock [get_clocks {wb_clk_i}] -add_delay [get_ports {la_oenb[99]}]
+set_input_delay 8.0000 -clock [get_clocks {wb_clk_i}] -add_delay [get_ports {la_oenb[9]}]
+set_input_delay 8.0000 -clock [get_clocks {wb_clk_i}] -add_delay [get_ports {user_clock2}]
+set_input_delay 8.0000 -clock [get_clocks {wb_clk_i}] -add_delay [get_ports {wb_rst_i}]
+set_input_delay 8.0000 -clock [get_clocks {wb_clk_i}] -add_delay [get_ports {wbs_adr_i[0]}]
+set_input_delay 8.0000 -clock [get_clocks {wb_clk_i}] -add_delay [get_ports {wbs_adr_i[10]}]
+set_input_delay 8.0000 -clock [get_clocks {wb_clk_i}] -add_delay [get_ports {wbs_adr_i[11]}]
+set_input_delay 8.0000 -clock [get_clocks {wb_clk_i}] -add_delay [get_ports {wbs_adr_i[12]}]
+set_input_delay 8.0000 -clock [get_clocks {wb_clk_i}] -add_delay [get_ports {wbs_adr_i[13]}]
+set_input_delay 8.0000 -clock [get_clocks {wb_clk_i}] -add_delay [get_ports {wbs_adr_i[14]}]
+set_input_delay 8.0000 -clock [get_clocks {wb_clk_i}] -add_delay [get_ports {wbs_adr_i[15]}]
+set_input_delay 8.0000 -clock [get_clocks {wb_clk_i}] -add_delay [get_ports {wbs_adr_i[16]}]
+set_input_delay 8.0000 -clock [get_clocks {wb_clk_i}] -add_delay [get_ports {wbs_adr_i[17]}]
+set_input_delay 8.0000 -clock [get_clocks {wb_clk_i}] -add_delay [get_ports {wbs_adr_i[18]}]
+set_input_delay 8.0000 -clock [get_clocks {wb_clk_i}] -add_delay [get_ports {wbs_adr_i[19]}]
+set_input_delay 8.0000 -clock [get_clocks {wb_clk_i}] -add_delay [get_ports {wbs_adr_i[1]}]
+set_input_delay 8.0000 -clock [get_clocks {wb_clk_i}] -add_delay [get_ports {wbs_adr_i[20]}]
+set_input_delay 8.0000 -clock [get_clocks {wb_clk_i}] -add_delay [get_ports {wbs_adr_i[21]}]
+set_input_delay 8.0000 -clock [get_clocks {wb_clk_i}] -add_delay [get_ports {wbs_adr_i[22]}]
+set_input_delay 8.0000 -clock [get_clocks {wb_clk_i}] -add_delay [get_ports {wbs_adr_i[23]}]
+set_input_delay 8.0000 -clock [get_clocks {wb_clk_i}] -add_delay [get_ports {wbs_adr_i[24]}]
+set_input_delay 8.0000 -clock [get_clocks {wb_clk_i}] -add_delay [get_ports {wbs_adr_i[25]}]
+set_input_delay 8.0000 -clock [get_clocks {wb_clk_i}] -add_delay [get_ports {wbs_adr_i[26]}]
+set_input_delay 8.0000 -clock [get_clocks {wb_clk_i}] -add_delay [get_ports {wbs_adr_i[27]}]
+set_input_delay 8.0000 -clock [get_clocks {wb_clk_i}] -add_delay [get_ports {wbs_adr_i[28]}]
+set_input_delay 8.0000 -clock [get_clocks {wb_clk_i}] -add_delay [get_ports {wbs_adr_i[29]}]
+set_input_delay 8.0000 -clock [get_clocks {wb_clk_i}] -add_delay [get_ports {wbs_adr_i[2]}]
+set_input_delay 8.0000 -clock [get_clocks {wb_clk_i}] -add_delay [get_ports {wbs_adr_i[30]}]
+set_input_delay 8.0000 -clock [get_clocks {wb_clk_i}] -add_delay [get_ports {wbs_adr_i[31]}]
+set_input_delay 8.0000 -clock [get_clocks {wb_clk_i}] -add_delay [get_ports {wbs_adr_i[3]}]
+set_input_delay 8.0000 -clock [get_clocks {wb_clk_i}] -add_delay [get_ports {wbs_adr_i[4]}]
+set_input_delay 8.0000 -clock [get_clocks {wb_clk_i}] -add_delay [get_ports {wbs_adr_i[5]}]
+set_input_delay 8.0000 -clock [get_clocks {wb_clk_i}] -add_delay [get_ports {wbs_adr_i[6]}]
+set_input_delay 8.0000 -clock [get_clocks {wb_clk_i}] -add_delay [get_ports {wbs_adr_i[7]}]
+set_input_delay 8.0000 -clock [get_clocks {wb_clk_i}] -add_delay [get_ports {wbs_adr_i[8]}]
+set_input_delay 8.0000 -clock [get_clocks {wb_clk_i}] -add_delay [get_ports {wbs_adr_i[9]}]
+set_input_delay 8.0000 -clock [get_clocks {wb_clk_i}] -add_delay [get_ports {wbs_cyc_i}]
+set_input_delay 8.0000 -clock [get_clocks {wb_clk_i}] -add_delay [get_ports {wbs_dat_i[0]}]
+set_input_delay 8.0000 -clock [get_clocks {wb_clk_i}] -add_delay [get_ports {wbs_dat_i[10]}]
+set_input_delay 8.0000 -clock [get_clocks {wb_clk_i}] -add_delay [get_ports {wbs_dat_i[11]}]
+set_input_delay 8.0000 -clock [get_clocks {wb_clk_i}] -add_delay [get_ports {wbs_dat_i[12]}]
+set_input_delay 8.0000 -clock [get_clocks {wb_clk_i}] -add_delay [get_ports {wbs_dat_i[13]}]
+set_input_delay 8.0000 -clock [get_clocks {wb_clk_i}] -add_delay [get_ports {wbs_dat_i[14]}]
+set_input_delay 8.0000 -clock [get_clocks {wb_clk_i}] -add_delay [get_ports {wbs_dat_i[15]}]
+set_input_delay 8.0000 -clock [get_clocks {wb_clk_i}] -add_delay [get_ports {wbs_dat_i[16]}]
+set_input_delay 8.0000 -clock [get_clocks {wb_clk_i}] -add_delay [get_ports {wbs_dat_i[17]}]
+set_input_delay 8.0000 -clock [get_clocks {wb_clk_i}] -add_delay [get_ports {wbs_dat_i[18]}]
+set_input_delay 8.0000 -clock [get_clocks {wb_clk_i}] -add_delay [get_ports {wbs_dat_i[19]}]
+set_input_delay 8.0000 -clock [get_clocks {wb_clk_i}] -add_delay [get_ports {wbs_dat_i[1]}]
+set_input_delay 8.0000 -clock [get_clocks {wb_clk_i}] -add_delay [get_ports {wbs_dat_i[20]}]
+set_input_delay 8.0000 -clock [get_clocks {wb_clk_i}] -add_delay [get_ports {wbs_dat_i[21]}]
+set_input_delay 8.0000 -clock [get_clocks {wb_clk_i}] -add_delay [get_ports {wbs_dat_i[22]}]
+set_input_delay 8.0000 -clock [get_clocks {wb_clk_i}] -add_delay [get_ports {wbs_dat_i[23]}]
+set_input_delay 8.0000 -clock [get_clocks {wb_clk_i}] -add_delay [get_ports {wbs_dat_i[24]}]
+set_input_delay 8.0000 -clock [get_clocks {wb_clk_i}] -add_delay [get_ports {wbs_dat_i[25]}]
+set_input_delay 8.0000 -clock [get_clocks {wb_clk_i}] -add_delay [get_ports {wbs_dat_i[26]}]
+set_input_delay 8.0000 -clock [get_clocks {wb_clk_i}] -add_delay [get_ports {wbs_dat_i[27]}]
+set_input_delay 8.0000 -clock [get_clocks {wb_clk_i}] -add_delay [get_ports {wbs_dat_i[28]}]
+set_input_delay 8.0000 -clock [get_clocks {wb_clk_i}] -add_delay [get_ports {wbs_dat_i[29]}]
+set_input_delay 8.0000 -clock [get_clocks {wb_clk_i}] -add_delay [get_ports {wbs_dat_i[2]}]
+set_input_delay 8.0000 -clock [get_clocks {wb_clk_i}] -add_delay [get_ports {wbs_dat_i[30]}]
+set_input_delay 8.0000 -clock [get_clocks {wb_clk_i}] -add_delay [get_ports {wbs_dat_i[31]}]
+set_input_delay 8.0000 -clock [get_clocks {wb_clk_i}] -add_delay [get_ports {wbs_dat_i[3]}]
+set_input_delay 8.0000 -clock [get_clocks {wb_clk_i}] -add_delay [get_ports {wbs_dat_i[4]}]
+set_input_delay 8.0000 -clock [get_clocks {wb_clk_i}] -add_delay [get_ports {wbs_dat_i[5]}]
+set_input_delay 8.0000 -clock [get_clocks {wb_clk_i}] -add_delay [get_ports {wbs_dat_i[6]}]
+set_input_delay 8.0000 -clock [get_clocks {wb_clk_i}] -add_delay [get_ports {wbs_dat_i[7]}]
+set_input_delay 8.0000 -clock [get_clocks {wb_clk_i}] -add_delay [get_ports {wbs_dat_i[8]}]
+set_input_delay 8.0000 -clock [get_clocks {wb_clk_i}] -add_delay [get_ports {wbs_dat_i[9]}]
+set_input_delay 8.0000 -clock [get_clocks {wb_clk_i}] -add_delay [get_ports {wbs_sel_i[0]}]
+set_input_delay 8.0000 -clock [get_clocks {wb_clk_i}] -add_delay [get_ports {wbs_sel_i[1]}]
+set_input_delay 8.0000 -clock [get_clocks {wb_clk_i}] -add_delay [get_ports {wbs_sel_i[2]}]
+set_input_delay 8.0000 -clock [get_clocks {wb_clk_i}] -add_delay [get_ports {wbs_sel_i[3]}]
+set_input_delay 8.0000 -clock [get_clocks {wb_clk_i}] -add_delay [get_ports {wbs_stb_i}]
+set_input_delay 8.0000 -clock [get_clocks {wb_clk_i}] -add_delay [get_ports {wbs_we_i}]
+set_output_delay 8.0000 -clock [get_clocks {wb_clk_i}] -add_delay [get_ports {analog_io[0]}]
+set_output_delay 8.0000 -clock [get_clocks {wb_clk_i}] -add_delay [get_ports {analog_io[10]}]
+set_output_delay 8.0000 -clock [get_clocks {wb_clk_i}] -add_delay [get_ports {analog_io[11]}]
+set_output_delay 8.0000 -clock [get_clocks {wb_clk_i}] -add_delay [get_ports {analog_io[12]}]
+set_output_delay 8.0000 -clock [get_clocks {wb_clk_i}] -add_delay [get_ports {analog_io[13]}]
+set_output_delay 8.0000 -clock [get_clocks {wb_clk_i}] -add_delay [get_ports {analog_io[14]}]
+set_output_delay 8.0000 -clock [get_clocks {wb_clk_i}] -add_delay [get_ports {analog_io[15]}]
+set_output_delay 8.0000 -clock [get_clocks {wb_clk_i}] -add_delay [get_ports {analog_io[16]}]
+set_output_delay 8.0000 -clock [get_clocks {wb_clk_i}] -add_delay [get_ports {analog_io[17]}]
+set_output_delay 8.0000 -clock [get_clocks {wb_clk_i}] -add_delay [get_ports {analog_io[18]}]
+set_output_delay 8.0000 -clock [get_clocks {wb_clk_i}] -add_delay [get_ports {analog_io[19]}]
+set_output_delay 8.0000 -clock [get_clocks {wb_clk_i}] -add_delay [get_ports {analog_io[1]}]
+set_output_delay 8.0000 -clock [get_clocks {wb_clk_i}] -add_delay [get_ports {analog_io[20]}]
+set_output_delay 8.0000 -clock [get_clocks {wb_clk_i}] -add_delay [get_ports {analog_io[21]}]
+set_output_delay 8.0000 -clock [get_clocks {wb_clk_i}] -add_delay [get_ports {analog_io[22]}]
+set_output_delay 8.0000 -clock [get_clocks {wb_clk_i}] -add_delay [get_ports {analog_io[23]}]
+set_output_delay 8.0000 -clock [get_clocks {wb_clk_i}] -add_delay [get_ports {analog_io[24]}]
+set_output_delay 8.0000 -clock [get_clocks {wb_clk_i}] -add_delay [get_ports {analog_io[25]}]
+set_output_delay 8.0000 -clock [get_clocks {wb_clk_i}] -add_delay [get_ports {analog_io[26]}]
+set_output_delay 8.0000 -clock [get_clocks {wb_clk_i}] -add_delay [get_ports {analog_io[27]}]
+set_output_delay 8.0000 -clock [get_clocks {wb_clk_i}] -add_delay [get_ports {analog_io[28]}]
+set_output_delay 8.0000 -clock [get_clocks {wb_clk_i}] -add_delay [get_ports {analog_io[2]}]
+set_output_delay 8.0000 -clock [get_clocks {wb_clk_i}] -add_delay [get_ports {analog_io[3]}]
+set_output_delay 8.0000 -clock [get_clocks {wb_clk_i}] -add_delay [get_ports {analog_io[4]}]
+set_output_delay 8.0000 -clock [get_clocks {wb_clk_i}] -add_delay [get_ports {analog_io[5]}]
+set_output_delay 8.0000 -clock [get_clocks {wb_clk_i}] -add_delay [get_ports {analog_io[6]}]
+set_output_delay 8.0000 -clock [get_clocks {wb_clk_i}] -add_delay [get_ports {analog_io[7]}]
+set_output_delay 8.0000 -clock [get_clocks {wb_clk_i}] -add_delay [get_ports {analog_io[8]}]
+set_output_delay 8.0000 -clock [get_clocks {wb_clk_i}] -add_delay [get_ports {analog_io[9]}]
+set_output_delay 8.0000 -clock [get_clocks {wb_clk_i}] -add_delay [get_ports {io_oeb[0]}]
+set_output_delay 8.0000 -clock [get_clocks {wb_clk_i}] -add_delay [get_ports {io_oeb[10]}]
+set_output_delay 8.0000 -clock [get_clocks {wb_clk_i}] -add_delay [get_ports {io_oeb[11]}]
+set_output_delay 8.0000 -clock [get_clocks {wb_clk_i}] -add_delay [get_ports {io_oeb[12]}]
+set_output_delay 8.0000 -clock [get_clocks {wb_clk_i}] -add_delay [get_ports {io_oeb[13]}]
+set_output_delay 8.0000 -clock [get_clocks {wb_clk_i}] -add_delay [get_ports {io_oeb[14]}]
+set_output_delay 8.0000 -clock [get_clocks {wb_clk_i}] -add_delay [get_ports {io_oeb[15]}]
+set_output_delay 8.0000 -clock [get_clocks {wb_clk_i}] -add_delay [get_ports {io_oeb[16]}]
+set_output_delay 8.0000 -clock [get_clocks {wb_clk_i}] -add_delay [get_ports {io_oeb[17]}]
+set_output_delay 8.0000 -clock [get_clocks {wb_clk_i}] -add_delay [get_ports {io_oeb[18]}]
+set_output_delay 8.0000 -clock [get_clocks {wb_clk_i}] -add_delay [get_ports {io_oeb[19]}]
+set_output_delay 8.0000 -clock [get_clocks {wb_clk_i}] -add_delay [get_ports {io_oeb[1]}]
+set_output_delay 8.0000 -clock [get_clocks {wb_clk_i}] -add_delay [get_ports {io_oeb[20]}]
+set_output_delay 8.0000 -clock [get_clocks {wb_clk_i}] -add_delay [get_ports {io_oeb[21]}]
+set_output_delay 8.0000 -clock [get_clocks {wb_clk_i}] -add_delay [get_ports {io_oeb[22]}]
+set_output_delay 8.0000 -clock [get_clocks {wb_clk_i}] -add_delay [get_ports {io_oeb[23]}]
+set_output_delay 8.0000 -clock [get_clocks {wb_clk_i}] -add_delay [get_ports {io_oeb[24]}]
+set_output_delay 8.0000 -clock [get_clocks {wb_clk_i}] -add_delay [get_ports {io_oeb[25]}]
+set_output_delay 8.0000 -clock [get_clocks {wb_clk_i}] -add_delay [get_ports {io_oeb[26]}]
+set_output_delay 8.0000 -clock [get_clocks {wb_clk_i}] -add_delay [get_ports {io_oeb[27]}]
+set_output_delay 8.0000 -clock [get_clocks {wb_clk_i}] -add_delay [get_ports {io_oeb[28]}]
+set_output_delay 8.0000 -clock [get_clocks {wb_clk_i}] -add_delay [get_ports {io_oeb[29]}]
+set_output_delay 8.0000 -clock [get_clocks {wb_clk_i}] -add_delay [get_ports {io_oeb[2]}]
+set_output_delay 8.0000 -clock [get_clocks {wb_clk_i}] -add_delay [get_ports {io_oeb[30]}]
+set_output_delay 8.0000 -clock [get_clocks {wb_clk_i}] -add_delay [get_ports {io_oeb[31]}]
+set_output_delay 8.0000 -clock [get_clocks {wb_clk_i}] -add_delay [get_ports {io_oeb[32]}]
+set_output_delay 8.0000 -clock [get_clocks {wb_clk_i}] -add_delay [get_ports {io_oeb[33]}]
+set_output_delay 8.0000 -clock [get_clocks {wb_clk_i}] -add_delay [get_ports {io_oeb[34]}]
+set_output_delay 8.0000 -clock [get_clocks {wb_clk_i}] -add_delay [get_ports {io_oeb[35]}]
+set_output_delay 8.0000 -clock [get_clocks {wb_clk_i}] -add_delay [get_ports {io_oeb[36]}]
+set_output_delay 8.0000 -clock [get_clocks {wb_clk_i}] -add_delay [get_ports {io_oeb[37]}]
+set_output_delay 8.0000 -clock [get_clocks {wb_clk_i}] -add_delay [get_ports {io_oeb[3]}]
+set_output_delay 8.0000 -clock [get_clocks {wb_clk_i}] -add_delay [get_ports {io_oeb[4]}]
+set_output_delay 8.0000 -clock [get_clocks {wb_clk_i}] -add_delay [get_ports {io_oeb[5]}]
+set_output_delay 8.0000 -clock [get_clocks {wb_clk_i}] -add_delay [get_ports {io_oeb[6]}]
+set_output_delay 8.0000 -clock [get_clocks {wb_clk_i}] -add_delay [get_ports {io_oeb[7]}]
+set_output_delay 8.0000 -clock [get_clocks {wb_clk_i}] -add_delay [get_ports {io_oeb[8]}]
+set_output_delay 8.0000 -clock [get_clocks {wb_clk_i}] -add_delay [get_ports {io_oeb[9]}]
+set_output_delay 8.0000 -clock [get_clocks {wb_clk_i}] -add_delay [get_ports {io_out[0]}]
+set_output_delay 8.0000 -clock [get_clocks {wb_clk_i}] -add_delay [get_ports {io_out[10]}]
+set_output_delay 8.0000 -clock [get_clocks {wb_clk_i}] -add_delay [get_ports {io_out[11]}]
+set_output_delay 8.0000 -clock [get_clocks {wb_clk_i}] -add_delay [get_ports {io_out[12]}]
+set_output_delay 8.0000 -clock [get_clocks {wb_clk_i}] -add_delay [get_ports {io_out[13]}]
+set_output_delay 8.0000 -clock [get_clocks {wb_clk_i}] -add_delay [get_ports {io_out[14]}]
+set_output_delay 8.0000 -clock [get_clocks {wb_clk_i}] -add_delay [get_ports {io_out[15]}]
+set_output_delay 8.0000 -clock [get_clocks {wb_clk_i}] -add_delay [get_ports {io_out[16]}]
+set_output_delay 8.0000 -clock [get_clocks {wb_clk_i}] -add_delay [get_ports {io_out[17]}]
+set_output_delay 8.0000 -clock [get_clocks {wb_clk_i}] -add_delay [get_ports {io_out[18]}]
+set_output_delay 8.0000 -clock [get_clocks {wb_clk_i}] -add_delay [get_ports {io_out[19]}]
+set_output_delay 8.0000 -clock [get_clocks {jtag_TCK}] -add_delay [get_ports {io_out[1]}]
+set_output_delay 8.0000 -clock [get_clocks {wb_clk_i}] -add_delay [get_ports {io_out[20]}]
+set_output_delay 8.0000 -clock [get_clocks {wb_clk_i}] -add_delay [get_ports {io_out[21]}]
+set_output_delay 8.0000 -clock [get_clocks {wb_clk_i}] -add_delay [get_ports {io_out[22]}]
+set_output_delay 8.0000 -clock [get_clocks {wb_clk_i}] -add_delay [get_ports {io_out[23]}]
+set_output_delay 8.0000 -clock [get_clocks {wb_clk_i}] -add_delay [get_ports {io_out[24]}]
+set_output_delay 8.0000 -clock [get_clocks {wb_clk_i}] -add_delay [get_ports {io_out[25]}]
+set_output_delay 8.0000 -clock [get_clocks {wb_clk_i}] -add_delay [get_ports {io_out[26]}]
+set_output_delay 8.0000 -clock [get_clocks {wb_clk_i}] -add_delay [get_ports {io_out[27]}]
+set_output_delay 8.0000 -clock [get_clocks {wb_clk_i}] -add_delay [get_ports {io_out[28]}]
+set_output_delay 8.0000 -clock [get_clocks {wb_clk_i}] -add_delay [get_ports {io_out[29]}]
+set_output_delay 8.0000 -clock [get_clocks {wb_clk_i}] -add_delay [get_ports {io_out[2]}]
+set_output_delay 8.0000 -clock [get_clocks {wb_clk_i}] -add_delay [get_ports {io_out[30]}]
+set_output_delay 8.0000 -clock [get_clocks {wb_clk_i}] -add_delay [get_ports {io_out[31]}]
+set_output_delay 8.0000 -clock [get_clocks {wb_clk_i}] -add_delay [get_ports {io_out[32]}]
+set_output_delay 8.0000 -clock [get_clocks {wb_clk_i}] -add_delay [get_ports {io_out[33]}]
+set_output_delay 8.0000 -clock [get_clocks {wb_clk_i}] -add_delay [get_ports {io_out[34]}]
+set_output_delay 8.0000 -clock [get_clocks {wb_clk_i}] -add_delay [get_ports {io_out[35]}]
+set_output_delay 8.0000 -clock [get_clocks {wb_clk_i}] -add_delay [get_ports {io_out[36]}]
+set_output_delay 8.0000 -clock [get_clocks {wb_clk_i}] -add_delay [get_ports {io_out[37]}]
+set_output_delay 8.0000 -clock [get_clocks {wb_clk_i}] -add_delay [get_ports {io_out[3]}]
+set_output_delay 8.0000 -clock [get_clocks {wb_clk_i}] -add_delay [get_ports {io_out[4]}]
+set_output_delay 8.0000 -clock [get_clocks {wb_clk_i}] -add_delay [get_ports {io_out[5]}]
+set_output_delay 8.0000 -clock [get_clocks {wb_clk_i}] -add_delay [get_ports {io_out[6]}]
+set_output_delay 8.0000 -clock [get_clocks {wb_clk_i}] -add_delay [get_ports {io_out[7]}]
+set_output_delay 8.0000 -clock [get_clocks {wb_clk_i}] -add_delay [get_ports {io_out[8]}]
+set_output_delay 8.0000 -clock [get_clocks {wb_clk_i}] -add_delay [get_ports {io_out[9]}]
+set_output_delay 8.0000 -clock [get_clocks {wb_clk_i}] -add_delay [get_ports {la_data_out[0]}]
+set_output_delay 8.0000 -clock [get_clocks {wb_clk_i}] -add_delay [get_ports {la_data_out[100]}]
+set_output_delay 8.0000 -clock [get_clocks {wb_clk_i}] -add_delay [get_ports {la_data_out[101]}]
+set_output_delay 8.0000 -clock [get_clocks {wb_clk_i}] -add_delay [get_ports {la_data_out[102]}]
+set_output_delay 8.0000 -clock [get_clocks {wb_clk_i}] -add_delay [get_ports {la_data_out[103]}]
+set_output_delay 8.0000 -clock [get_clocks {wb_clk_i}] -add_delay [get_ports {la_data_out[104]}]
+set_output_delay 8.0000 -clock [get_clocks {wb_clk_i}] -add_delay [get_ports {la_data_out[105]}]
+set_output_delay 8.0000 -clock [get_clocks {wb_clk_i}] -add_delay [get_ports {la_data_out[106]}]
+set_output_delay 8.0000 -clock [get_clocks {wb_clk_i}] -add_delay [get_ports {la_data_out[107]}]
+set_output_delay 8.0000 -clock [get_clocks {wb_clk_i}] -add_delay [get_ports {la_data_out[108]}]
+set_output_delay 8.0000 -clock [get_clocks {wb_clk_i}] -add_delay [get_ports {la_data_out[109]}]
+set_output_delay 8.0000 -clock [get_clocks {wb_clk_i}] -add_delay [get_ports {la_data_out[10]}]
+set_output_delay 8.0000 -clock [get_clocks {wb_clk_i}] -add_delay [get_ports {la_data_out[110]}]
+set_output_delay 8.0000 -clock [get_clocks {wb_clk_i}] -add_delay [get_ports {la_data_out[111]}]
+set_output_delay 8.0000 -clock [get_clocks {wb_clk_i}] -add_delay [get_ports {la_data_out[112]}]
+set_output_delay 8.0000 -clock [get_clocks {wb_clk_i}] -add_delay [get_ports {la_data_out[113]}]
+set_output_delay 8.0000 -clock [get_clocks {wb_clk_i}] -add_delay [get_ports {la_data_out[114]}]
+set_output_delay 8.0000 -clock [get_clocks {wb_clk_i}] -add_delay [get_ports {la_data_out[115]}]
+set_output_delay 8.0000 -clock [get_clocks {wb_clk_i}] -add_delay [get_ports {la_data_out[116]}]
+set_output_delay 8.0000 -clock [get_clocks {wb_clk_i}] -add_delay [get_ports {la_data_out[117]}]
+set_output_delay 8.0000 -clock [get_clocks {wb_clk_i}] -add_delay [get_ports {la_data_out[118]}]
+set_output_delay 8.0000 -clock [get_clocks {wb_clk_i}] -add_delay [get_ports {la_data_out[119]}]
+set_output_delay 8.0000 -clock [get_clocks {wb_clk_i}] -add_delay [get_ports {la_data_out[11]}]
+set_output_delay 8.0000 -clock [get_clocks {wb_clk_i}] -add_delay [get_ports {la_data_out[120]}]
+set_output_delay 8.0000 -clock [get_clocks {wb_clk_i}] -add_delay [get_ports {la_data_out[121]}]
+set_output_delay 8.0000 -clock [get_clocks {wb_clk_i}] -add_delay [get_ports {la_data_out[122]}]
+set_output_delay 8.0000 -clock [get_clocks {wb_clk_i}] -add_delay [get_ports {la_data_out[123]}]
+set_output_delay 8.0000 -clock [get_clocks {wb_clk_i}] -add_delay [get_ports {la_data_out[124]}]
+set_output_delay 8.0000 -clock [get_clocks {wb_clk_i}] -add_delay [get_ports {la_data_out[125]}]
+set_output_delay 8.0000 -clock [get_clocks {wb_clk_i}] -add_delay [get_ports {la_data_out[126]}]
+set_output_delay 8.0000 -clock [get_clocks {wb_clk_i}] -add_delay [get_ports {la_data_out[127]}]
+set_output_delay 8.0000 -clock [get_clocks {wb_clk_i}] -add_delay [get_ports {la_data_out[12]}]
+set_output_delay 8.0000 -clock [get_clocks {wb_clk_i}] -add_delay [get_ports {la_data_out[13]}]
+set_output_delay 8.0000 -clock [get_clocks {wb_clk_i}] -add_delay [get_ports {la_data_out[14]}]
+set_output_delay 8.0000 -clock [get_clocks {wb_clk_i}] -add_delay [get_ports {la_data_out[15]}]
+set_output_delay 8.0000 -clock [get_clocks {wb_clk_i}] -add_delay [get_ports {la_data_out[16]}]
+set_output_delay 8.0000 -clock [get_clocks {wb_clk_i}] -add_delay [get_ports {la_data_out[17]}]
+set_output_delay 8.0000 -clock [get_clocks {wb_clk_i}] -add_delay [get_ports {la_data_out[18]}]
+set_output_delay 8.0000 -clock [get_clocks {wb_clk_i}] -add_delay [get_ports {la_data_out[19]}]
+set_output_delay 8.0000 -clock [get_clocks {wb_clk_i}] -add_delay [get_ports {la_data_out[1]}]
+set_output_delay 8.0000 -clock [get_clocks {wb_clk_i}] -add_delay [get_ports {la_data_out[20]}]
+set_output_delay 8.0000 -clock [get_clocks {wb_clk_i}] -add_delay [get_ports {la_data_out[21]}]
+set_output_delay 8.0000 -clock [get_clocks {wb_clk_i}] -add_delay [get_ports {la_data_out[22]}]
+set_output_delay 8.0000 -clock [get_clocks {wb_clk_i}] -add_delay [get_ports {la_data_out[23]}]
+set_output_delay 8.0000 -clock [get_clocks {wb_clk_i}] -add_delay [get_ports {la_data_out[24]}]
+set_output_delay 8.0000 -clock [get_clocks {wb_clk_i}] -add_delay [get_ports {la_data_out[25]}]
+set_output_delay 8.0000 -clock [get_clocks {wb_clk_i}] -add_delay [get_ports {la_data_out[26]}]
+set_output_delay 8.0000 -clock [get_clocks {wb_clk_i}] -add_delay [get_ports {la_data_out[27]}]
+set_output_delay 8.0000 -clock [get_clocks {wb_clk_i}] -add_delay [get_ports {la_data_out[28]}]
+set_output_delay 8.0000 -clock [get_clocks {wb_clk_i}] -add_delay [get_ports {la_data_out[29]}]
+set_output_delay 8.0000 -clock [get_clocks {wb_clk_i}] -add_delay [get_ports {la_data_out[2]}]
+set_output_delay 8.0000 -clock [get_clocks {wb_clk_i}] -add_delay [get_ports {la_data_out[30]}]
+set_output_delay 8.0000 -clock [get_clocks {wb_clk_i}] -add_delay [get_ports {la_data_out[31]}]
+set_output_delay 8.0000 -clock [get_clocks {wb_clk_i}] -add_delay [get_ports {la_data_out[32]}]
+set_output_delay 8.0000 -clock [get_clocks {wb_clk_i}] -add_delay [get_ports {la_data_out[33]}]
+set_output_delay 8.0000 -clock [get_clocks {wb_clk_i}] -add_delay [get_ports {la_data_out[34]}]
+set_output_delay 8.0000 -clock [get_clocks {wb_clk_i}] -add_delay [get_ports {la_data_out[35]}]
+set_output_delay 8.0000 -clock [get_clocks {wb_clk_i}] -add_delay [get_ports {la_data_out[36]}]
+set_output_delay 8.0000 -clock [get_clocks {wb_clk_i}] -add_delay [get_ports {la_data_out[37]}]
+set_output_delay 8.0000 -clock [get_clocks {wb_clk_i}] -add_delay [get_ports {la_data_out[38]}]
+set_output_delay 8.0000 -clock [get_clocks {wb_clk_i}] -add_delay [get_ports {la_data_out[39]}]
+set_output_delay 8.0000 -clock [get_clocks {wb_clk_i}] -add_delay [get_ports {la_data_out[3]}]
+set_output_delay 8.0000 -clock [get_clocks {wb_clk_i}] -add_delay [get_ports {la_data_out[40]}]
+set_output_delay 8.0000 -clock [get_clocks {wb_clk_i}] -add_delay [get_ports {la_data_out[41]}]
+set_output_delay 8.0000 -clock [get_clocks {wb_clk_i}] -add_delay [get_ports {la_data_out[42]}]
+set_output_delay 8.0000 -clock [get_clocks {wb_clk_i}] -add_delay [get_ports {la_data_out[43]}]
+set_output_delay 8.0000 -clock [get_clocks {wb_clk_i}] -add_delay [get_ports {la_data_out[44]}]
+set_output_delay 8.0000 -clock [get_clocks {wb_clk_i}] -add_delay [get_ports {la_data_out[45]}]
+set_output_delay 8.0000 -clock [get_clocks {wb_clk_i}] -add_delay [get_ports {la_data_out[46]}]
+set_output_delay 8.0000 -clock [get_clocks {wb_clk_i}] -add_delay [get_ports {la_data_out[47]}]
+set_output_delay 8.0000 -clock [get_clocks {wb_clk_i}] -add_delay [get_ports {la_data_out[48]}]
+set_output_delay 8.0000 -clock [get_clocks {wb_clk_i}] -add_delay [get_ports {la_data_out[49]}]
+set_output_delay 8.0000 -clock [get_clocks {wb_clk_i}] -add_delay [get_ports {la_data_out[4]}]
+set_output_delay 8.0000 -clock [get_clocks {wb_clk_i}] -add_delay [get_ports {la_data_out[50]}]
+set_output_delay 8.0000 -clock [get_clocks {wb_clk_i}] -add_delay [get_ports {la_data_out[51]}]
+set_output_delay 8.0000 -clock [get_clocks {wb_clk_i}] -add_delay [get_ports {la_data_out[52]}]
+set_output_delay 8.0000 -clock [get_clocks {wb_clk_i}] -add_delay [get_ports {la_data_out[53]}]
+set_output_delay 8.0000 -clock [get_clocks {wb_clk_i}] -add_delay [get_ports {la_data_out[54]}]
+set_output_delay 8.0000 -clock [get_clocks {wb_clk_i}] -add_delay [get_ports {la_data_out[55]}]
+set_output_delay 8.0000 -clock [get_clocks {wb_clk_i}] -add_delay [get_ports {la_data_out[56]}]
+set_output_delay 8.0000 -clock [get_clocks {wb_clk_i}] -add_delay [get_ports {la_data_out[57]}]
+set_output_delay 8.0000 -clock [get_clocks {wb_clk_i}] -add_delay [get_ports {la_data_out[58]}]
+set_output_delay 8.0000 -clock [get_clocks {wb_clk_i}] -add_delay [get_ports {la_data_out[59]}]
+set_output_delay 8.0000 -clock [get_clocks {wb_clk_i}] -add_delay [get_ports {la_data_out[5]}]
+set_output_delay 8.0000 -clock [get_clocks {wb_clk_i}] -add_delay [get_ports {la_data_out[60]}]
+set_output_delay 8.0000 -clock [get_clocks {wb_clk_i}] -add_delay [get_ports {la_data_out[61]}]
+set_output_delay 8.0000 -clock [get_clocks {wb_clk_i}] -add_delay [get_ports {la_data_out[62]}]
+set_output_delay 8.0000 -clock [get_clocks {wb_clk_i}] -add_delay [get_ports {la_data_out[63]}]
+set_output_delay 8.0000 -clock [get_clocks {wb_clk_i}] -add_delay [get_ports {la_data_out[64]}]
+set_output_delay 8.0000 -clock [get_clocks {wb_clk_i}] -add_delay [get_ports {la_data_out[65]}]
+set_output_delay 8.0000 -clock [get_clocks {wb_clk_i}] -add_delay [get_ports {la_data_out[66]}]
+set_output_delay 8.0000 -clock [get_clocks {wb_clk_i}] -add_delay [get_ports {la_data_out[67]}]
+set_output_delay 8.0000 -clock [get_clocks {wb_clk_i}] -add_delay [get_ports {la_data_out[68]}]
+set_output_delay 8.0000 -clock [get_clocks {wb_clk_i}] -add_delay [get_ports {la_data_out[69]}]
+set_output_delay 8.0000 -clock [get_clocks {wb_clk_i}] -add_delay [get_ports {la_data_out[6]}]
+set_output_delay 8.0000 -clock [get_clocks {wb_clk_i}] -add_delay [get_ports {la_data_out[70]}]
+set_output_delay 8.0000 -clock [get_clocks {wb_clk_i}] -add_delay [get_ports {la_data_out[71]}]
+set_output_delay 8.0000 -clock [get_clocks {wb_clk_i}] -add_delay [get_ports {la_data_out[72]}]
+set_output_delay 8.0000 -clock [get_clocks {wb_clk_i}] -add_delay [get_ports {la_data_out[73]}]
+set_output_delay 8.0000 -clock [get_clocks {wb_clk_i}] -add_delay [get_ports {la_data_out[74]}]
+set_output_delay 8.0000 -clock [get_clocks {wb_clk_i}] -add_delay [get_ports {la_data_out[75]}]
+set_output_delay 8.0000 -clock [get_clocks {wb_clk_i}] -add_delay [get_ports {la_data_out[76]}]
+set_output_delay 8.0000 -clock [get_clocks {wb_clk_i}] -add_delay [get_ports {la_data_out[77]}]
+set_output_delay 8.0000 -clock [get_clocks {wb_clk_i}] -add_delay [get_ports {la_data_out[78]}]
+set_output_delay 8.0000 -clock [get_clocks {wb_clk_i}] -add_delay [get_ports {la_data_out[79]}]
+set_output_delay 8.0000 -clock [get_clocks {wb_clk_i}] -add_delay [get_ports {la_data_out[7]}]
+set_output_delay 8.0000 -clock [get_clocks {wb_clk_i}] -add_delay [get_ports {la_data_out[80]}]
+set_output_delay 8.0000 -clock [get_clocks {wb_clk_i}] -add_delay [get_ports {la_data_out[81]}]
+set_output_delay 8.0000 -clock [get_clocks {wb_clk_i}] -add_delay [get_ports {la_data_out[82]}]
+set_output_delay 8.0000 -clock [get_clocks {wb_clk_i}] -add_delay [get_ports {la_data_out[83]}]
+set_output_delay 8.0000 -clock [get_clocks {wb_clk_i}] -add_delay [get_ports {la_data_out[84]}]
+set_output_delay 8.0000 -clock [get_clocks {wb_clk_i}] -add_delay [get_ports {la_data_out[85]}]
+set_output_delay 8.0000 -clock [get_clocks {wb_clk_i}] -add_delay [get_ports {la_data_out[86]}]
+set_output_delay 8.0000 -clock [get_clocks {wb_clk_i}] -add_delay [get_ports {la_data_out[87]}]
+set_output_delay 8.0000 -clock [get_clocks {wb_clk_i}] -add_delay [get_ports {la_data_out[88]}]
+set_output_delay 8.0000 -clock [get_clocks {wb_clk_i}] -add_delay [get_ports {la_data_out[89]}]
+set_output_delay 8.0000 -clock [get_clocks {wb_clk_i}] -add_delay [get_ports {la_data_out[8]}]
+set_output_delay 8.0000 -clock [get_clocks {wb_clk_i}] -add_delay [get_ports {la_data_out[90]}]
+set_output_delay 8.0000 -clock [get_clocks {wb_clk_i}] -add_delay [get_ports {la_data_out[91]}]
+set_output_delay 8.0000 -clock [get_clocks {wb_clk_i}] -add_delay [get_ports {la_data_out[92]}]
+set_output_delay 8.0000 -clock [get_clocks {wb_clk_i}] -add_delay [get_ports {la_data_out[93]}]
+set_output_delay 8.0000 -clock [get_clocks {wb_clk_i}] -add_delay [get_ports {la_data_out[94]}]
+set_output_delay 8.0000 -clock [get_clocks {wb_clk_i}] -add_delay [get_ports {la_data_out[95]}]
+set_output_delay 8.0000 -clock [get_clocks {wb_clk_i}] -add_delay [get_ports {la_data_out[96]}]
+set_output_delay 8.0000 -clock [get_clocks {wb_clk_i}] -add_delay [get_ports {la_data_out[97]}]
+set_output_delay 8.0000 -clock [get_clocks {wb_clk_i}] -add_delay [get_ports {la_data_out[98]}]
+set_output_delay 8.0000 -clock [get_clocks {wb_clk_i}] -add_delay [get_ports {la_data_out[99]}]
+set_output_delay 8.0000 -clock [get_clocks {wb_clk_i}] -add_delay [get_ports {la_data_out[9]}]
+set_output_delay 8.0000 -clock [get_clocks {wb_clk_i}] -add_delay [get_ports {user_irq[0]}]
+set_output_delay 8.0000 -clock [get_clocks {wb_clk_i}] -add_delay [get_ports {user_irq[1]}]
+set_output_delay 8.0000 -clock [get_clocks {wb_clk_i}] -add_delay [get_ports {user_irq[2]}]
+set_output_delay 8.0000 -clock [get_clocks {wb_clk_i}] -add_delay [get_ports {wbs_ack_o}]
+set_output_delay 8.0000 -clock [get_clocks {wb_clk_i}] -add_delay [get_ports {wbs_dat_o[0]}]
+set_output_delay 8.0000 -clock [get_clocks {wb_clk_i}] -add_delay [get_ports {wbs_dat_o[10]}]
+set_output_delay 8.0000 -clock [get_clocks {wb_clk_i}] -add_delay [get_ports {wbs_dat_o[11]}]
+set_output_delay 8.0000 -clock [get_clocks {wb_clk_i}] -add_delay [get_ports {wbs_dat_o[12]}]
+set_output_delay 8.0000 -clock [get_clocks {wb_clk_i}] -add_delay [get_ports {wbs_dat_o[13]}]
+set_output_delay 8.0000 -clock [get_clocks {wb_clk_i}] -add_delay [get_ports {wbs_dat_o[14]}]
+set_output_delay 8.0000 -clock [get_clocks {wb_clk_i}] -add_delay [get_ports {wbs_dat_o[15]}]
+set_output_delay 8.0000 -clock [get_clocks {wb_clk_i}] -add_delay [get_ports {wbs_dat_o[16]}]
+set_output_delay 8.0000 -clock [get_clocks {wb_clk_i}] -add_delay [get_ports {wbs_dat_o[17]}]
+set_output_delay 8.0000 -clock [get_clocks {wb_clk_i}] -add_delay [get_ports {wbs_dat_o[18]}]
+set_output_delay 8.0000 -clock [get_clocks {wb_clk_i}] -add_delay [get_ports {wbs_dat_o[19]}]
+set_output_delay 8.0000 -clock [get_clocks {wb_clk_i}] -add_delay [get_ports {wbs_dat_o[1]}]
+set_output_delay 8.0000 -clock [get_clocks {wb_clk_i}] -add_delay [get_ports {wbs_dat_o[20]}]
+set_output_delay 8.0000 -clock [get_clocks {wb_clk_i}] -add_delay [get_ports {wbs_dat_o[21]}]
+set_output_delay 8.0000 -clock [get_clocks {wb_clk_i}] -add_delay [get_ports {wbs_dat_o[22]}]
+set_output_delay 8.0000 -clock [get_clocks {wb_clk_i}] -add_delay [get_ports {wbs_dat_o[23]}]
+set_output_delay 8.0000 -clock [get_clocks {wb_clk_i}] -add_delay [get_ports {wbs_dat_o[24]}]
+set_output_delay 8.0000 -clock [get_clocks {wb_clk_i}] -add_delay [get_ports {wbs_dat_o[25]}]
+set_output_delay 8.0000 -clock [get_clocks {wb_clk_i}] -add_delay [get_ports {wbs_dat_o[26]}]
+set_output_delay 8.0000 -clock [get_clocks {wb_clk_i}] -add_delay [get_ports {wbs_dat_o[27]}]
+set_output_delay 8.0000 -clock [get_clocks {wb_clk_i}] -add_delay [get_ports {wbs_dat_o[28]}]
+set_output_delay 8.0000 -clock [get_clocks {wb_clk_i}] -add_delay [get_ports {wbs_dat_o[29]}]
+set_output_delay 8.0000 -clock [get_clocks {wb_clk_i}] -add_delay [get_ports {wbs_dat_o[2]}]
+set_output_delay 8.0000 -clock [get_clocks {wb_clk_i}] -add_delay [get_ports {wbs_dat_o[30]}]
+set_output_delay 8.0000 -clock [get_clocks {wb_clk_i}] -add_delay [get_ports {wbs_dat_o[31]}]
+set_output_delay 8.0000 -clock [get_clocks {wb_clk_i}] -add_delay [get_ports {wbs_dat_o[3]}]
+set_output_delay 8.0000 -clock [get_clocks {wb_clk_i}] -add_delay [get_ports {wbs_dat_o[4]}]
+set_output_delay 8.0000 -clock [get_clocks {wb_clk_i}] -add_delay [get_ports {wbs_dat_o[5]}]
+set_output_delay 8.0000 -clock [get_clocks {wb_clk_i}] -add_delay [get_ports {wbs_dat_o[6]}]
+set_output_delay 8.0000 -clock [get_clocks {wb_clk_i}] -add_delay [get_ports {wbs_dat_o[7]}]
+set_output_delay 8.0000 -clock [get_clocks {wb_clk_i}] -add_delay [get_ports {wbs_dat_o[8]}]
+set_output_delay 8.0000 -clock [get_clocks {wb_clk_i}] -add_delay [get_ports {wbs_dat_o[9]}]
+###############################################################################
+# Environment
+###############################################################################
+set_load -pin_load 0.0334 [get_ports {wbs_ack_o}]
+set_load -pin_load 0.0334 [get_ports {analog_io[28]}]
+set_load -pin_load 0.0334 [get_ports {analog_io[27]}]
+set_load -pin_load 0.0334 [get_ports {analog_io[26]}]
+set_load -pin_load 0.0334 [get_ports {analog_io[25]}]
+set_load -pin_load 0.0334 [get_ports {analog_io[24]}]
+set_load -pin_load 0.0334 [get_ports {analog_io[23]}]
+set_load -pin_load 0.0334 [get_ports {analog_io[22]}]
+set_load -pin_load 0.0334 [get_ports {analog_io[21]}]
+set_load -pin_load 0.0334 [get_ports {analog_io[20]}]
+set_load -pin_load 0.0334 [get_ports {analog_io[19]}]
+set_load -pin_load 0.0334 [get_ports {analog_io[18]}]
+set_load -pin_load 0.0334 [get_ports {analog_io[17]}]
+set_load -pin_load 0.0334 [get_ports {analog_io[16]}]
+set_load -pin_load 0.0334 [get_ports {analog_io[15]}]
+set_load -pin_load 0.0334 [get_ports {analog_io[14]}]
+set_load -pin_load 0.0334 [get_ports {analog_io[13]}]
+set_load -pin_load 0.0334 [get_ports {analog_io[12]}]
+set_load -pin_load 0.0334 [get_ports {analog_io[11]}]
+set_load -pin_load 0.0334 [get_ports {analog_io[10]}]
+set_load -pin_load 0.0334 [get_ports {analog_io[9]}]
+set_load -pin_load 0.0334 [get_ports {analog_io[8]}]
+set_load -pin_load 0.0334 [get_ports {analog_io[7]}]
+set_load -pin_load 0.0334 [get_ports {analog_io[6]}]
+set_load -pin_load 0.0334 [get_ports {analog_io[5]}]
+set_load -pin_load 0.0334 [get_ports {analog_io[4]}]
+set_load -pin_load 0.0334 [get_ports {analog_io[3]}]
+set_load -pin_load 0.0334 [get_ports {analog_io[2]}]
+set_load -pin_load 0.0334 [get_ports {analog_io[1]}]
+set_load -pin_load 0.0334 [get_ports {analog_io[0]}]
+set_load -pin_load 0.0334 [get_ports {io_oeb[37]}]
+set_load -pin_load 0.0334 [get_ports {io_oeb[36]}]
+set_load -pin_load 0.0334 [get_ports {io_oeb[35]}]
+set_load -pin_load 0.0334 [get_ports {io_oeb[34]}]
+set_load -pin_load 0.0334 [get_ports {io_oeb[33]}]
+set_load -pin_load 0.0334 [get_ports {io_oeb[32]}]
+set_load -pin_load 0.0334 [get_ports {io_oeb[31]}]
+set_load -pin_load 0.0334 [get_ports {io_oeb[30]}]
+set_load -pin_load 0.0334 [get_ports {io_oeb[29]}]
+set_load -pin_load 0.0334 [get_ports {io_oeb[28]}]
+set_load -pin_load 0.0334 [get_ports {io_oeb[27]}]
+set_load -pin_load 0.0334 [get_ports {io_oeb[26]}]
+set_load -pin_load 0.0334 [get_ports {io_oeb[25]}]
+set_load -pin_load 0.0334 [get_ports {io_oeb[24]}]
+set_load -pin_load 0.0334 [get_ports {io_oeb[23]}]
+set_load -pin_load 0.0334 [get_ports {io_oeb[22]}]
+set_load -pin_load 0.0334 [get_ports {io_oeb[21]}]
+set_load -pin_load 0.0334 [get_ports {io_oeb[20]}]
+set_load -pin_load 0.0334 [get_ports {io_oeb[19]}]
+set_load -pin_load 0.0334 [get_ports {io_oeb[18]}]
+set_load -pin_load 0.0334 [get_ports {io_oeb[17]}]
+set_load -pin_load 0.0334 [get_ports {io_oeb[16]}]
+set_load -pin_load 0.0334 [get_ports {io_oeb[15]}]
+set_load -pin_load 0.0334 [get_ports {io_oeb[14]}]
+set_load -pin_load 0.0334 [get_ports {io_oeb[13]}]
+set_load -pin_load 0.0334 [get_ports {io_oeb[12]}]
+set_load -pin_load 0.0334 [get_ports {io_oeb[11]}]
+set_load -pin_load 0.0334 [get_ports {io_oeb[10]}]
+set_load -pin_load 0.0334 [get_ports {io_oeb[9]}]
+set_load -pin_load 0.0334 [get_ports {io_oeb[8]}]
+set_load -pin_load 0.0334 [get_ports {io_oeb[7]}]
+set_load -pin_load 0.0334 [get_ports {io_oeb[6]}]
+set_load -pin_load 0.0334 [get_ports {io_oeb[5]}]
+set_load -pin_load 0.0334 [get_ports {io_oeb[4]}]
+set_load -pin_load 0.0334 [get_ports {io_oeb[3]}]
+set_load -pin_load 0.0334 [get_ports {io_oeb[2]}]
+set_load -pin_load 0.0334 [get_ports {io_oeb[1]}]
+set_load -pin_load 0.0334 [get_ports {io_oeb[0]}]
+set_load -pin_load 0.0334 [get_ports {io_out[37]}]
+set_load -pin_load 0.0334 [get_ports {io_out[36]}]
+set_load -pin_load 0.0334 [get_ports {io_out[35]}]
+set_load -pin_load 0.0334 [get_ports {io_out[34]}]
+set_load -pin_load 0.0334 [get_ports {io_out[33]}]
+set_load -pin_load 0.0334 [get_ports {io_out[32]}]
+set_load -pin_load 0.0334 [get_ports {io_out[31]}]
+set_load -pin_load 0.0334 [get_ports {io_out[30]}]
+set_load -pin_load 0.0334 [get_ports {io_out[29]}]
+set_load -pin_load 0.0334 [get_ports {io_out[28]}]
+set_load -pin_load 0.0334 [get_ports {io_out[27]}]
+set_load -pin_load 0.0334 [get_ports {io_out[26]}]
+set_load -pin_load 0.0334 [get_ports {io_out[25]}]
+set_load -pin_load 0.0334 [get_ports {io_out[24]}]
+set_load -pin_load 0.0334 [get_ports {io_out[23]}]
+set_load -pin_load 0.0334 [get_ports {io_out[22]}]
+set_load -pin_load 0.0334 [get_ports {io_out[21]}]
+set_load -pin_load 0.0334 [get_ports {io_out[20]}]
+set_load -pin_load 0.0334 [get_ports {io_out[19]}]
+set_load -pin_load 0.0334 [get_ports {io_out[18]}]
+set_load -pin_load 0.0334 [get_ports {io_out[17]}]
+set_load -pin_load 0.0334 [get_ports {io_out[16]}]
+set_load -pin_load 0.0334 [get_ports {io_out[15]}]
+set_load -pin_load 0.0334 [get_ports {io_out[14]}]
+set_load -pin_load 0.0334 [get_ports {io_out[13]}]
+set_load -pin_load 0.0334 [get_ports {io_out[12]}]
+set_load -pin_load 0.0334 [get_ports {io_out[11]}]
+set_load -pin_load 0.0334 [get_ports {io_out[10]}]
+set_load -pin_load 0.0334 [get_ports {io_out[9]}]
+set_load -pin_load 0.0334 [get_ports {io_out[8]}]
+set_load -pin_load 0.0334 [get_ports {io_out[7]}]
+set_load -pin_load 0.0334 [get_ports {io_out[6]}]
+set_load -pin_load 0.0334 [get_ports {io_out[5]}]
+set_load -pin_load 0.0334 [get_ports {io_out[4]}]
+set_load -pin_load 0.0334 [get_ports {io_out[3]}]
+set_load -pin_load 0.0334 [get_ports {io_out[2]}]
+set_load -pin_load 0.0334 [get_ports {io_out[1]}]
+set_load -pin_load 0.0334 [get_ports {io_out[0]}]
+set_load -pin_load 0.0334 [get_ports {la_data_out[127]}]
+set_load -pin_load 0.0334 [get_ports {la_data_out[126]}]
+set_load -pin_load 0.0334 [get_ports {la_data_out[125]}]
+set_load -pin_load 0.0334 [get_ports {la_data_out[124]}]
+set_load -pin_load 0.0334 [get_ports {la_data_out[123]}]
+set_load -pin_load 0.0334 [get_ports {la_data_out[122]}]
+set_load -pin_load 0.0334 [get_ports {la_data_out[121]}]
+set_load -pin_load 0.0334 [get_ports {la_data_out[120]}]
+set_load -pin_load 0.0334 [get_ports {la_data_out[119]}]
+set_load -pin_load 0.0334 [get_ports {la_data_out[118]}]
+set_load -pin_load 0.0334 [get_ports {la_data_out[117]}]
+set_load -pin_load 0.0334 [get_ports {la_data_out[116]}]
+set_load -pin_load 0.0334 [get_ports {la_data_out[115]}]
+set_load -pin_load 0.0334 [get_ports {la_data_out[114]}]
+set_load -pin_load 0.0334 [get_ports {la_data_out[113]}]
+set_load -pin_load 0.0334 [get_ports {la_data_out[112]}]
+set_load -pin_load 0.0334 [get_ports {la_data_out[111]}]
+set_load -pin_load 0.0334 [get_ports {la_data_out[110]}]
+set_load -pin_load 0.0334 [get_ports {la_data_out[109]}]
+set_load -pin_load 0.0334 [get_ports {la_data_out[108]}]
+set_load -pin_load 0.0334 [get_ports {la_data_out[107]}]
+set_load -pin_load 0.0334 [get_ports {la_data_out[106]}]
+set_load -pin_load 0.0334 [get_ports {la_data_out[105]}]
+set_load -pin_load 0.0334 [get_ports {la_data_out[104]}]
+set_load -pin_load 0.0334 [get_ports {la_data_out[103]}]
+set_load -pin_load 0.0334 [get_ports {la_data_out[102]}]
+set_load -pin_load 0.0334 [get_ports {la_data_out[101]}]
+set_load -pin_load 0.0334 [get_ports {la_data_out[100]}]
+set_load -pin_load 0.0334 [get_ports {la_data_out[99]}]
+set_load -pin_load 0.0334 [get_ports {la_data_out[98]}]
+set_load -pin_load 0.0334 [get_ports {la_data_out[97]}]
+set_load -pin_load 0.0334 [get_ports {la_data_out[96]}]
+set_load -pin_load 0.0334 [get_ports {la_data_out[95]}]
+set_load -pin_load 0.0334 [get_ports {la_data_out[94]}]
+set_load -pin_load 0.0334 [get_ports {la_data_out[93]}]
+set_load -pin_load 0.0334 [get_ports {la_data_out[92]}]
+set_load -pin_load 0.0334 [get_ports {la_data_out[91]}]
+set_load -pin_load 0.0334 [get_ports {la_data_out[90]}]
+set_load -pin_load 0.0334 [get_ports {la_data_out[89]}]
+set_load -pin_load 0.0334 [get_ports {la_data_out[88]}]
+set_load -pin_load 0.0334 [get_ports {la_data_out[87]}]
+set_load -pin_load 0.0334 [get_ports {la_data_out[86]}]
+set_load -pin_load 0.0334 [get_ports {la_data_out[85]}]
+set_load -pin_load 0.0334 [get_ports {la_data_out[84]}]
+set_load -pin_load 0.0334 [get_ports {la_data_out[83]}]
+set_load -pin_load 0.0334 [get_ports {la_data_out[82]}]
+set_load -pin_load 0.0334 [get_ports {la_data_out[81]}]
+set_load -pin_load 0.0334 [get_ports {la_data_out[80]}]
+set_load -pin_load 0.0334 [get_ports {la_data_out[79]}]
+set_load -pin_load 0.0334 [get_ports {la_data_out[78]}]
+set_load -pin_load 0.0334 [get_ports {la_data_out[77]}]
+set_load -pin_load 0.0334 [get_ports {la_data_out[76]}]
+set_load -pin_load 0.0334 [get_ports {la_data_out[75]}]
+set_load -pin_load 0.0334 [get_ports {la_data_out[74]}]
+set_load -pin_load 0.0334 [get_ports {la_data_out[73]}]
+set_load -pin_load 0.0334 [get_ports {la_data_out[72]}]
+set_load -pin_load 0.0334 [get_ports {la_data_out[71]}]
+set_load -pin_load 0.0334 [get_ports {la_data_out[70]}]
+set_load -pin_load 0.0334 [get_ports {la_data_out[69]}]
+set_load -pin_load 0.0334 [get_ports {la_data_out[68]}]
+set_load -pin_load 0.0334 [get_ports {la_data_out[67]}]
+set_load -pin_load 0.0334 [get_ports {la_data_out[66]}]
+set_load -pin_load 0.0334 [get_ports {la_data_out[65]}]
+set_load -pin_load 0.0334 [get_ports {la_data_out[64]}]
+set_load -pin_load 0.0334 [get_ports {la_data_out[63]}]
+set_load -pin_load 0.0334 [get_ports {la_data_out[62]}]
+set_load -pin_load 0.0334 [get_ports {la_data_out[61]}]
+set_load -pin_load 0.0334 [get_ports {la_data_out[60]}]
+set_load -pin_load 0.0334 [get_ports {la_data_out[59]}]
+set_load -pin_load 0.0334 [get_ports {la_data_out[58]}]
+set_load -pin_load 0.0334 [get_ports {la_data_out[57]}]
+set_load -pin_load 0.0334 [get_ports {la_data_out[56]}]
+set_load -pin_load 0.0334 [get_ports {la_data_out[55]}]
+set_load -pin_load 0.0334 [get_ports {la_data_out[54]}]
+set_load -pin_load 0.0334 [get_ports {la_data_out[53]}]
+set_load -pin_load 0.0334 [get_ports {la_data_out[52]}]
+set_load -pin_load 0.0334 [get_ports {la_data_out[51]}]
+set_load -pin_load 0.0334 [get_ports {la_data_out[50]}]
+set_load -pin_load 0.0334 [get_ports {la_data_out[49]}]
+set_load -pin_load 0.0334 [get_ports {la_data_out[48]}]
+set_load -pin_load 0.0334 [get_ports {la_data_out[47]}]
+set_load -pin_load 0.0334 [get_ports {la_data_out[46]}]
+set_load -pin_load 0.0334 [get_ports {la_data_out[45]}]
+set_load -pin_load 0.0334 [get_ports {la_data_out[44]}]
+set_load -pin_load 0.0334 [get_ports {la_data_out[43]}]
+set_load -pin_load 0.0334 [get_ports {la_data_out[42]}]
+set_load -pin_load 0.0334 [get_ports {la_data_out[41]}]
+set_load -pin_load 0.0334 [get_ports {la_data_out[40]}]
+set_load -pin_load 0.0334 [get_ports {la_data_out[39]}]
+set_load -pin_load 0.0334 [get_ports {la_data_out[38]}]
+set_load -pin_load 0.0334 [get_ports {la_data_out[37]}]
+set_load -pin_load 0.0334 [get_ports {la_data_out[36]}]
+set_load -pin_load 0.0334 [get_ports {la_data_out[35]}]
+set_load -pin_load 0.0334 [get_ports {la_data_out[34]}]
+set_load -pin_load 0.0334 [get_ports {la_data_out[33]}]
+set_load -pin_load 0.0334 [get_ports {la_data_out[32]}]
+set_load -pin_load 0.0334 [get_ports {la_data_out[31]}]
+set_load -pin_load 0.0334 [get_ports {la_data_out[30]}]
+set_load -pin_load 0.0334 [get_ports {la_data_out[29]}]
+set_load -pin_load 0.0334 [get_ports {la_data_out[28]}]
+set_load -pin_load 0.0334 [get_ports {la_data_out[27]}]
+set_load -pin_load 0.0334 [get_ports {la_data_out[26]}]
+set_load -pin_load 0.0334 [get_ports {la_data_out[25]}]
+set_load -pin_load 0.0334 [get_ports {la_data_out[24]}]
+set_load -pin_load 0.0334 [get_ports {la_data_out[23]}]
+set_load -pin_load 0.0334 [get_ports {la_data_out[22]}]
+set_load -pin_load 0.0334 [get_ports {la_data_out[21]}]
+set_load -pin_load 0.0334 [get_ports {la_data_out[20]}]
+set_load -pin_load 0.0334 [get_ports {la_data_out[19]}]
+set_load -pin_load 0.0334 [get_ports {la_data_out[18]}]
+set_load -pin_load 0.0334 [get_ports {la_data_out[17]}]
+set_load -pin_load 0.0334 [get_ports {la_data_out[16]}]
+set_load -pin_load 0.0334 [get_ports {la_data_out[15]}]
+set_load -pin_load 0.0334 [get_ports {la_data_out[14]}]
+set_load -pin_load 0.0334 [get_ports {la_data_out[13]}]
+set_load -pin_load 0.0334 [get_ports {la_data_out[12]}]
+set_load -pin_load 0.0334 [get_ports {la_data_out[11]}]
+set_load -pin_load 0.0334 [get_ports {la_data_out[10]}]
+set_load -pin_load 0.0334 [get_ports {la_data_out[9]}]
+set_load -pin_load 0.0334 [get_ports {la_data_out[8]}]
+set_load -pin_load 0.0334 [get_ports {la_data_out[7]}]
+set_load -pin_load 0.0334 [get_ports {la_data_out[6]}]
+set_load -pin_load 0.0334 [get_ports {la_data_out[5]}]
+set_load -pin_load 0.0334 [get_ports {la_data_out[4]}]
+set_load -pin_load 0.0334 [get_ports {la_data_out[3]}]
+set_load -pin_load 0.0334 [get_ports {la_data_out[2]}]
+set_load -pin_load 0.0334 [get_ports {la_data_out[1]}]
+set_load -pin_load 0.0334 [get_ports {la_data_out[0]}]
+set_load -pin_load 0.0334 [get_ports {user_irq[2]}]
+set_load -pin_load 0.0334 [get_ports {user_irq[1]}]
+set_load -pin_load 0.0334 [get_ports {user_irq[0]}]
+set_load -pin_load 0.0334 [get_ports {wbs_dat_o[31]}]
+set_load -pin_load 0.0334 [get_ports {wbs_dat_o[30]}]
+set_load -pin_load 0.0334 [get_ports {wbs_dat_o[29]}]
+set_load -pin_load 0.0334 [get_ports {wbs_dat_o[28]}]
+set_load -pin_load 0.0334 [get_ports {wbs_dat_o[27]}]
+set_load -pin_load 0.0334 [get_ports {wbs_dat_o[26]}]
+set_load -pin_load 0.0334 [get_ports {wbs_dat_o[25]}]
+set_load -pin_load 0.0334 [get_ports {wbs_dat_o[24]}]
+set_load -pin_load 0.0334 [get_ports {wbs_dat_o[23]}]
+set_load -pin_load 0.0334 [get_ports {wbs_dat_o[22]}]
+set_load -pin_load 0.0334 [get_ports {wbs_dat_o[21]}]
+set_load -pin_load 0.0334 [get_ports {wbs_dat_o[20]}]
+set_load -pin_load 0.0334 [get_ports {wbs_dat_o[19]}]
+set_load -pin_load 0.0334 [get_ports {wbs_dat_o[18]}]
+set_load -pin_load 0.0334 [get_ports {wbs_dat_o[17]}]
+set_load -pin_load 0.0334 [get_ports {wbs_dat_o[16]}]
+set_load -pin_load 0.0334 [get_ports {wbs_dat_o[15]}]
+set_load -pin_load 0.0334 [get_ports {wbs_dat_o[14]}]
+set_load -pin_load 0.0334 [get_ports {wbs_dat_o[13]}]
+set_load -pin_load 0.0334 [get_ports {wbs_dat_o[12]}]
+set_load -pin_load 0.0334 [get_ports {wbs_dat_o[11]}]
+set_load -pin_load 0.0334 [get_ports {wbs_dat_o[10]}]
+set_load -pin_load 0.0334 [get_ports {wbs_dat_o[9]}]
+set_load -pin_load 0.0334 [get_ports {wbs_dat_o[8]}]
+set_load -pin_load 0.0334 [get_ports {wbs_dat_o[7]}]
+set_load -pin_load 0.0334 [get_ports {wbs_dat_o[6]}]
+set_load -pin_load 0.0334 [get_ports {wbs_dat_o[5]}]
+set_load -pin_load 0.0334 [get_ports {wbs_dat_o[4]}]
+set_load -pin_load 0.0334 [get_ports {wbs_dat_o[3]}]
+set_load -pin_load 0.0334 [get_ports {wbs_dat_o[2]}]
+set_load -pin_load 0.0334 [get_ports {wbs_dat_o[1]}]
+set_load -pin_load 0.0334 [get_ports {wbs_dat_o[0]}]
+set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {user_clock2}]
+set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {wb_clk_i}]
+set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {wb_rst_i}]
+set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {wbs_cyc_i}]
+set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {wbs_stb_i}]
+set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {wbs_we_i}]
+set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {analog_io[28]}]
+set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {analog_io[27]}]
+set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {analog_io[26]}]
+set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {analog_io[25]}]
+set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {analog_io[24]}]
+set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {analog_io[23]}]
+set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {analog_io[22]}]
+set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {analog_io[21]}]
+set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {analog_io[20]}]
+set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {analog_io[19]}]
+set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {analog_io[18]}]
+set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {analog_io[17]}]
+set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {analog_io[16]}]
+set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {analog_io[15]}]
+set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {analog_io[14]}]
+set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {analog_io[13]}]
+set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {analog_io[12]}]
+set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {analog_io[11]}]
+set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {analog_io[10]}]
+set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {analog_io[9]}]
+set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {analog_io[8]}]
+set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {analog_io[7]}]
+set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {analog_io[6]}]
+set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {analog_io[5]}]
+set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {analog_io[4]}]
+set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {analog_io[3]}]
+set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {analog_io[2]}]
+set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {analog_io[1]}]
+set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {analog_io[0]}]
+set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {io_in[37]}]
+set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {io_in[36]}]
+set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {io_in[35]}]
+set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {io_in[34]}]
+set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {io_in[33]}]
+set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {io_in[32]}]
+set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {io_in[31]}]
+set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {io_in[30]}]
+set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {io_in[29]}]
+set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {io_in[28]}]
+set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {io_in[27]}]
+set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {io_in[26]}]
+set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {io_in[25]}]
+set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {io_in[24]}]
+set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {io_in[23]}]
+set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {io_in[22]}]
+set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {io_in[21]}]
+set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {io_in[20]}]
+set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {io_in[19]}]
+set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {io_in[18]}]
+set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {io_in[17]}]
+set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {io_in[16]}]
+set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {io_in[15]}]
+set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {io_in[14]}]
+set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {io_in[13]}]
+set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {io_in[12]}]
+set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {io_in[11]}]
+set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {io_in[10]}]
+set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {io_in[9]}]
+set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {io_in[8]}]
+set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {io_in[7]}]
+set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {io_in[6]}]
+set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {io_in[5]}]
+set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {io_in[4]}]
+set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {io_in[3]}]
+set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {io_in[2]}]
+set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {io_in[1]}]
+set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {io_in[0]}]
+set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {la_data_in[127]}]
+set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {la_data_in[126]}]
+set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {la_data_in[125]}]
+set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {la_data_in[124]}]
+set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {la_data_in[123]}]
+set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {la_data_in[122]}]
+set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {la_data_in[121]}]
+set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {la_data_in[120]}]
+set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {la_data_in[119]}]
+set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {la_data_in[118]}]
+set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {la_data_in[117]}]
+set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {la_data_in[116]}]
+set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {la_data_in[115]}]
+set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {la_data_in[114]}]
+set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {la_data_in[113]}]
+set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {la_data_in[112]}]
+set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {la_data_in[111]}]
+set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {la_data_in[110]}]
+set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {la_data_in[109]}]
+set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {la_data_in[108]}]
+set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {la_data_in[107]}]
+set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {la_data_in[106]}]
+set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {la_data_in[105]}]
+set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {la_data_in[104]}]
+set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {la_data_in[103]}]
+set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {la_data_in[102]}]
+set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {la_data_in[101]}]
+set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {la_data_in[100]}]
+set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {la_data_in[99]}]
+set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {la_data_in[98]}]
+set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {la_data_in[97]}]
+set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {la_data_in[96]}]
+set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {la_data_in[95]}]
+set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {la_data_in[94]}]
+set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {la_data_in[93]}]
+set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {la_data_in[92]}]
+set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {la_data_in[91]}]
+set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {la_data_in[90]}]
+set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {la_data_in[89]}]
+set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {la_data_in[88]}]
+set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {la_data_in[87]}]
+set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {la_data_in[86]}]
+set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {la_data_in[85]}]
+set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {la_data_in[84]}]
+set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {la_data_in[83]}]
+set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {la_data_in[82]}]
+set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {la_data_in[81]}]
+set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {la_data_in[80]}]
+set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {la_data_in[79]}]
+set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {la_data_in[78]}]
+set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {la_data_in[77]}]
+set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {la_data_in[76]}]
+set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {la_data_in[75]}]
+set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {la_data_in[74]}]
+set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {la_data_in[73]}]
+set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {la_data_in[72]}]
+set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {la_data_in[71]}]
+set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {la_data_in[70]}]
+set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {la_data_in[69]}]
+set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {la_data_in[68]}]
+set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {la_data_in[67]}]
+set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {la_data_in[66]}]
+set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {la_data_in[65]}]
+set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {la_data_in[64]}]
+set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {la_data_in[63]}]
+set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {la_data_in[62]}]
+set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {la_data_in[61]}]
+set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {la_data_in[60]}]
+set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {la_data_in[59]}]
+set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {la_data_in[58]}]
+set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {la_data_in[57]}]
+set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {la_data_in[56]}]
+set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {la_data_in[55]}]
+set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {la_data_in[54]}]
+set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {la_data_in[53]}]
+set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {la_data_in[52]}]
+set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {la_data_in[51]}]
+set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {la_data_in[50]}]
+set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {la_data_in[49]}]
+set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {la_data_in[48]}]
+set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {la_data_in[47]}]
+set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {la_data_in[46]}]
+set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {la_data_in[45]}]
+set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {la_data_in[44]}]
+set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {la_data_in[43]}]
+set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {la_data_in[42]}]
+set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {la_data_in[41]}]
+set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {la_data_in[40]}]
+set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {la_data_in[39]}]
+set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {la_data_in[38]}]
+set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {la_data_in[37]}]
+set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {la_data_in[36]}]
+set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {la_data_in[35]}]
+set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {la_data_in[34]}]
+set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {la_data_in[33]}]
+set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {la_data_in[32]}]
+set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {la_data_in[31]}]
+set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {la_data_in[30]}]
+set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {la_data_in[29]}]
+set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {la_data_in[28]}]
+set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {la_data_in[27]}]
+set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {la_data_in[26]}]
+set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {la_data_in[25]}]
+set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {la_data_in[24]}]
+set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {la_data_in[23]}]
+set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {la_data_in[22]}]
+set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {la_data_in[21]}]
+set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {la_data_in[20]}]
+set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {la_data_in[19]}]
+set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {la_data_in[18]}]
+set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {la_data_in[17]}]
+set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {la_data_in[16]}]
+set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {la_data_in[15]}]
+set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {la_data_in[14]}]
+set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {la_data_in[13]}]
+set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {la_data_in[12]}]
+set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {la_data_in[11]}]
+set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {la_data_in[10]}]
+set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {la_data_in[9]}]
+set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {la_data_in[8]}]
+set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {la_data_in[7]}]
+set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {la_data_in[6]}]
+set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {la_data_in[5]}]
+set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {la_data_in[4]}]
+set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {la_data_in[3]}]
+set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {la_data_in[2]}]
+set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {la_data_in[1]}]
+set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {la_data_in[0]}]
+set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {la_oenb[127]}]
+set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {la_oenb[126]}]
+set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {la_oenb[125]}]
+set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {la_oenb[124]}]
+set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {la_oenb[123]}]
+set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {la_oenb[122]}]
+set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {la_oenb[121]}]
+set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {la_oenb[120]}]
+set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {la_oenb[119]}]
+set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {la_oenb[118]}]
+set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {la_oenb[117]}]
+set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {la_oenb[116]}]
+set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {la_oenb[115]}]
+set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {la_oenb[114]}]
+set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {la_oenb[113]}]
+set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {la_oenb[112]}]
+set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {la_oenb[111]}]
+set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {la_oenb[110]}]
+set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {la_oenb[109]}]
+set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {la_oenb[108]}]
+set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {la_oenb[107]}]
+set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {la_oenb[106]}]
+set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {la_oenb[105]}]
+set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {la_oenb[104]}]
+set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {la_oenb[103]}]
+set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {la_oenb[102]}]
+set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {la_oenb[101]}]
+set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {la_oenb[100]}]
+set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {la_oenb[99]}]
+set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {la_oenb[98]}]
+set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {la_oenb[97]}]
+set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {la_oenb[96]}]
+set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {la_oenb[95]}]
+set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {la_oenb[94]}]
+set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {la_oenb[93]}]
+set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {la_oenb[92]}]
+set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {la_oenb[91]}]
+set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {la_oenb[90]}]
+set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {la_oenb[89]}]
+set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {la_oenb[88]}]
+set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {la_oenb[87]}]
+set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {la_oenb[86]}]
+set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {la_oenb[85]}]
+set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {la_oenb[84]}]
+set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {la_oenb[83]}]
+set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {la_oenb[82]}]
+set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {la_oenb[81]}]
+set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {la_oenb[80]}]
+set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {la_oenb[79]}]
+set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {la_oenb[78]}]
+set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {la_oenb[77]}]
+set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {la_oenb[76]}]
+set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {la_oenb[75]}]
+set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {la_oenb[74]}]
+set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {la_oenb[73]}]
+set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {la_oenb[72]}]
+set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {la_oenb[71]}]
+set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {la_oenb[70]}]
+set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {la_oenb[69]}]
+set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {la_oenb[68]}]
+set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {la_oenb[67]}]
+set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {la_oenb[66]}]
+set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {la_oenb[65]}]
+set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {la_oenb[64]}]
+set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {la_oenb[63]}]
+set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {la_oenb[62]}]
+set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {la_oenb[61]}]
+set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {la_oenb[60]}]
+set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {la_oenb[59]}]
+set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {la_oenb[58]}]
+set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {la_oenb[57]}]
+set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {la_oenb[56]}]
+set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {la_oenb[55]}]
+set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {la_oenb[54]}]
+set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {la_oenb[53]}]
+set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {la_oenb[52]}]
+set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {la_oenb[51]}]
+set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {la_oenb[50]}]
+set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {la_oenb[49]}]
+set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {la_oenb[48]}]
+set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {la_oenb[47]}]
+set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {la_oenb[46]}]
+set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {la_oenb[45]}]
+set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {la_oenb[44]}]
+set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {la_oenb[43]}]
+set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {la_oenb[42]}]
+set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {la_oenb[41]}]
+set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {la_oenb[40]}]
+set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {la_oenb[39]}]
+set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {la_oenb[38]}]
+set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {la_oenb[37]}]
+set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {la_oenb[36]}]
+set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {la_oenb[35]}]
+set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {la_oenb[34]}]
+set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {la_oenb[33]}]
+set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {la_oenb[32]}]
+set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {la_oenb[31]}]
+set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {la_oenb[30]}]
+set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {la_oenb[29]}]
+set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {la_oenb[28]}]
+set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {la_oenb[27]}]
+set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {la_oenb[26]}]
+set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {la_oenb[25]}]
+set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {la_oenb[24]}]
+set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {la_oenb[23]}]
+set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {la_oenb[22]}]
+set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {la_oenb[21]}]
+set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {la_oenb[20]}]
+set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {la_oenb[19]}]
+set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {la_oenb[18]}]
+set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {la_oenb[17]}]
+set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {la_oenb[16]}]
+set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {la_oenb[15]}]
+set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {la_oenb[14]}]
+set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {la_oenb[13]}]
+set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {la_oenb[12]}]
+set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {la_oenb[11]}]
+set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {la_oenb[10]}]
+set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {la_oenb[9]}]
+set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {la_oenb[8]}]
+set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {la_oenb[7]}]
+set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {la_oenb[6]}]
+set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {la_oenb[5]}]
+set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {la_oenb[4]}]
+set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {la_oenb[3]}]
+set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {la_oenb[2]}]
+set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {la_oenb[1]}]
+set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {la_oenb[0]}]
+set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {wbs_adr_i[31]}]
+set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {wbs_adr_i[30]}]
+set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {wbs_adr_i[29]}]
+set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {wbs_adr_i[28]}]
+set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {wbs_adr_i[27]}]
+set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {wbs_adr_i[26]}]
+set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {wbs_adr_i[25]}]
+set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {wbs_adr_i[24]}]
+set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {wbs_adr_i[23]}]
+set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {wbs_adr_i[22]}]
+set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {wbs_adr_i[21]}]
+set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {wbs_adr_i[20]}]
+set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {wbs_adr_i[19]}]
+set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {wbs_adr_i[18]}]
+set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {wbs_adr_i[17]}]
+set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {wbs_adr_i[16]}]
+set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {wbs_adr_i[15]}]
+set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {wbs_adr_i[14]}]
+set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {wbs_adr_i[13]}]
+set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {wbs_adr_i[12]}]
+set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {wbs_adr_i[11]}]
+set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {wbs_adr_i[10]}]
+set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {wbs_adr_i[9]}]
+set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {wbs_adr_i[8]}]
+set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {wbs_adr_i[7]}]
+set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {wbs_adr_i[6]}]
+set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {wbs_adr_i[5]}]
+set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {wbs_adr_i[4]}]
+set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {wbs_adr_i[3]}]
+set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {wbs_adr_i[2]}]
+set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {wbs_adr_i[1]}]
+set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {wbs_adr_i[0]}]
+set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {wbs_dat_i[31]}]
+set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {wbs_dat_i[30]}]
+set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {wbs_dat_i[29]}]
+set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {wbs_dat_i[28]}]
+set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {wbs_dat_i[27]}]
+set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {wbs_dat_i[26]}]
+set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {wbs_dat_i[25]}]
+set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {wbs_dat_i[24]}]
+set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {wbs_dat_i[23]}]
+set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {wbs_dat_i[22]}]
+set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {wbs_dat_i[21]}]
+set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {wbs_dat_i[20]}]
+set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {wbs_dat_i[19]}]
+set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {wbs_dat_i[18]}]
+set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {wbs_dat_i[17]}]
+set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {wbs_dat_i[16]}]
+set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {wbs_dat_i[15]}]
+set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {wbs_dat_i[14]}]
+set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {wbs_dat_i[13]}]
+set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {wbs_dat_i[12]}]
+set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {wbs_dat_i[11]}]
+set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {wbs_dat_i[10]}]
+set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {wbs_dat_i[9]}]
+set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {wbs_dat_i[8]}]
+set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {wbs_dat_i[7]}]
+set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {wbs_dat_i[6]}]
+set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {wbs_dat_i[5]}]
+set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {wbs_dat_i[4]}]
+set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {wbs_dat_i[3]}]
+set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {wbs_dat_i[2]}]
+set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {wbs_dat_i[1]}]
+set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {wbs_dat_i[0]}]
+set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {wbs_sel_i[3]}]
+set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {wbs_sel_i[2]}]
+set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {wbs_sel_i[1]}]
+set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {wbs_sel_i[0]}]
+set_timing_derate -early 0.9500
+set_timing_derate -late 1.0500
+###############################################################################
+# Design Rules
+###############################################################################
+set_max_fanout 5.0000 [current_design]
diff --git a/openlane/user_project_wrapper/config.tcl b/openlane/user_project_wrapper/config.tcl
index 48b29a7..c63b19c 100644
--- a/openlane/user_project_wrapper/config.tcl
+++ b/openlane/user_project_wrapper/config.tcl
@@ -57,6 +57,8 @@
 
 set ::env(CLOCK_PERIOD) "40"
 
+set ::env(BASE_SDC_FILE) $script_dir/base.sdc
+
 set ::env(FP_PDN_HPITCH) 105
 set ::env(FP_PDN_VPITCH) 100
 
diff --git a/verilog/dv/marmot_test1/marmot_test1.c b/verilog/dv/marmot_test1/marmot_test1.c
index 6a2cde0..cb1ba8b 100644
--- a/verilog/dv/marmot_test1/marmot_test1.c
+++ b/verilog/dv/marmot_test1/marmot_test1.c
@@ -136,6 +136,8 @@
 
   // Wait for Marmot to finish and check result
   while (1) {
+    reg_la0_data ^= 0xffffffff;
+
     if ((reg_la0_data_in & 0xc0000000) != 0x0) {
       if ((reg_la0_data_in & 0xc0000000) == 0x80000000) {
         reg_mprj_datal = 0x12340000;  // Pass
diff --git a/verilog/dv/marmot_test1/marmot_test1_tb.v b/verilog/dv/marmot_test1/marmot_test1_tb.v
index ef309b7..1538fb4 100644
--- a/verilog/dv/marmot_test1/marmot_test1_tb.v
+++ b/verilog/dv/marmot_test1/marmot_test1_tb.v
@@ -47,10 +47,15 @@
   wire gpio;
   wire [37:0] mprj_io;
 
+`ifdef RTL
   wire        core_reset = `CORE.reset;
-  wire [31:0] core_valid = `CORE.coreMonitorBundle_valid;
   wire [31:0] core_pc    = `CORE.coreMonitorBundle_pc;
   wire [31:0] core_cycle = `CORE.coreMonitorBundle_time;
+`else
+  wire        core_reset = 1'b0;
+  wire [31:0] core_pc    = 32'd0;
+  wire [31:0] core_cycle = 32'd0;
+`endif
 
 `ifdef PULLUP_IO
   genvar gen_i;
diff --git a/verilog/includes/includes.gl.caravel_user_project b/verilog/includes/includes.gl.caravel_user_project
index f5047d5..a4bdf29 100644
--- a/verilog/includes/includes.gl.caravel_user_project
+++ b/verilog/includes/includes.gl.caravel_user_project
@@ -1,3 +1,25 @@
-# Caravel user project includes	     
--v $(USER_PROJECT_VERILOG)/gl/user_project_wrapper.v	     
--v $(USER_PROJECT_VERILOG)/gl/user_proj_example.v     
++define+PRINTF_COND=1
++define+RANDOMIZE_MEM_INIT
++define+RANDOMIZE_REG_INIT
++define+RANDOMIZE_DELAY=1
++define+UART_HIGH_SPEED
++define+WAVEFORM
+
+# Caravel user project includes
+-v $(USER_PROJECT_VERILOG)/gl/user_project_wrapper.v
+-v $(USER_PROJECT_VERILOG)/gl/Marmot.v
+
+-v $(USER_PROJECT_VERILOG)/lib/sky130_sram_1kbyte_1rw1r_32x256_8.v
+#-v $(USER_PROJECT_VERILOG)/lib/sky130_sram_2kbyte_1rw1r_32x512_8.v
+
+// SPI Flash model
++define+SPEEDSIM
+-v $(USER_PROJECT_VERILOG)/dv/vip/MX25U3235F.v
+
+// SPI RAM model (protected, Questa is needed)
+//-v $(USER_PROJECT_VERILOG)/dv/vip/APM_APS6404L-3SQN_SQPI_PSRAM_model_v2.9_encrypt.vp_modelsim
+
+// UART model
++incdir+$(USER_PROJECT_VERILOG)/dv/vip/uart
+-v $(USER_PROJECT_VERILOG)/dv/vip/uart/uart_tb.v
+
diff --git a/verilog/lib/clk_skew_adjust.gv b/verilog/lib/clk_skew_adjust.gv
new file mode 100644
index 0000000..fc811c0
--- /dev/null
+++ b/verilog/lib/clk_skew_adjust.gv
@@ -0,0 +1,205 @@
+//////////////////////////////////////////////////////////////////////////////
+// SPDX-FileCopyrightText: 2021 , Dinesh Annayya                          
+// 
+// Licensed under the Apache License, Version 2.0 (the "License");
+// you may not use this file except in compliance with the License.
+// You may obtain a copy of the License at
+//
+//      http://www.apache.org/licenses/LICENSE-2.0
+//
+// Unless required by applicable law or agreed to in writing, software
+// distributed under the License is distributed on an "AS IS" BASIS,
+// WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express or implied.
+// See the License for the specific language governing permissions and
+// limitations under the License.
+// SPDX-License-Identifier: Apache-2.0
+// SPDX-FileContributor: Created by Dinesh Annayya <dinesha@opencores.org>
+//
+//////////////////////////////////////////////////////////////////////
+////                                                              ////
+////  clock skew adjust                                          ////
+////                                                              ////
+////  This file is part of the YIFive cores project               ////
+////  https://github.com/dineshannayya/yifive_r0.git              ////
+////  http://www.opencores.org/cores/yifive/                      ////
+////                                                              ////
+////  Description                                                 ////
+////      This block is useful for global clock skew adjustment   ////
+////      logic implementation:                                   ////
+////        clk_out = (sel=0) ? clk_in :                          ////
+////                  (sel=1) ? clk_d1 :                          ////
+////                  (sel=1) ? clk_d2 :                          ////
+////                  .....                                       ////
+////                  (sel=15)? clk_d15 :clk_in                   ////
+////                                                              ////
+////     Note: each d* indicate clk buf delay                     ////
+////                                                              ////
+////                                                              ////
+////  To Do:                                                      ////
+////    nothing                                                   ////
+////                                                              ////
+////  Author(s):                                                  ////
+////      - Dinesh Annayya, dinesha@opencores.org                 ////
+////                                                              ////
+////  Revision :                                                  ////
+////    0.0 - 29th Feb 2021, Dinesh A                             ////
+////          Initial version                                     ////
+///
+////                                                              ////
+//////////////////////////////////////////////////////////////////////
+////                                                              ////
+//// Copyright (C) 2000 Authors and OPENCORES.ORG                 ////
+////                                                              ////
+//// This source file may be used and distributed without         ////
+//// restriction provided that this copyright statement is not    ////
+//// removed from the file and that any derivative work contains  ////
+//// the original copyright notice and the associated disclaimer. ////
+////                                                              ////
+//// This source file is free software; you can redistribute it   ////
+//// and/or modify it under the terms of the GNU Lesser General   ////
+//// Public License as published by the Free Software Foundation; ////
+//// either version 2.1 of the License, or (at your option) any   ////
+//// later version.                                               ////
+////                                                              ////
+//// This source is distributed in the hope that it will be       ////
+//// useful, but WITHOUT ANY WARRANTY; without even the implied   ////
+//// warranty of MERCHANTABILITY or FITNESS FOR A PARTICULAR      ////
+//// PURPOSE.  See the GNU Lesser General Public License for more ////
+//// details.                                                     ////
+////                                                              ////
+//// You should have received a copy of the GNU Lesser General    ////
+//// Public License along with this source; if not, download it   ////
+//// from http://www.opencores.org/lgpl.shtml                     ////
+////                                                              ////
+//////////////////////////////////////////////////////////////////////
+// Clock-in is east pad direction
+// clock out give in other three direction for better placement
+/////////////////////////////////////////////////////////////////////
+module clk_skew_adjust(
+`ifdef USE_POWER_PINS
+     vccd1,// User area 1 1.8V supply
+     vssd1,// User area 1 digital ground
+`endif
+clk_in, sel, clk_out);
+
+
+`ifdef USE_POWER_PINS
+     input vccd1;// User area 1 1.8V supply
+     input vssd1;// User area 1 digital ground
+`endif
+  input  clk_in;
+  output clk_out;
+  input [3:0] sel;
+  wire in0;
+  wire in1;
+  wire in2;
+  wire in3;
+  wire in4;
+  wire in5;
+  wire in6;
+  wire in7;
+  wire in8;
+  wire in9;
+  wire in10;
+  wire in11;
+  wire in12;
+  wire in13;
+  wire in14;
+  wire in15;
+
+  wire clk_d1;
+  wire clk_d2;
+  wire clk_d3;
+  wire clk_d4;
+  wire clk_d5;
+  wire clk_d6;
+  wire clk_d7;
+  wire clk_d8;
+  wire clk_d9;
+  wire clk_d10;
+  wire clk_d11;
+  wire clk_d12;
+  wire clk_d13;
+  wire clk_d14;
+  wire clk_d15;
+
+  wire d00;
+  wire d01;
+  wire d02;
+  wire d03;
+  wire d04;
+  wire d05;
+  wire d06;
+  wire d07;
+  wire d10;
+  wire d11;
+  wire d12;
+  wire d13;
+  wire d20;
+  wire d21;
+  wire d30;
+
+
+  ctech_delay_clkbuf clkbuf_1  (.A(clk_in),    .X(clk_d1));
+  ctech_delay_clkbuf clkbuf_2  (.A(clk_d1),    .X(clk_d2));
+  ctech_delay_clkbuf clkbuf_3  (.A(clk_d2),    .X(clk_d3));
+  ctech_delay_clkbuf clkbuf_4  (.A(clk_d3),    .X(clk_d4));
+  ctech_delay_clkbuf clkbuf_5  (.A(clk_d4),    .X(clk_d5));
+  ctech_delay_clkbuf clkbuf_6  (.A(clk_d5),    .X(clk_d6));
+  ctech_delay_clkbuf clkbuf_7  (.A(clk_d6),    .X(clk_d7));
+  ctech_delay_clkbuf clkbuf_8  (.A(clk_d7),    .X(clk_d8));
+  ctech_delay_clkbuf clkbuf_9  (.A(clk_d8),    .X(clk_d9));
+  ctech_delay_clkbuf clkbuf_10 (.A(clk_d9),    .X(clk_d10));
+  ctech_delay_clkbuf clkbuf_11 (.A(clk_d10),   .X(clk_d11));
+  ctech_delay_clkbuf clkbuf_12 (.A(clk_d11),   .X(clk_d12));
+  ctech_delay_clkbuf clkbuf_13 (.A(clk_d12),   .X(clk_d13));
+  ctech_delay_clkbuf clkbuf_14 (.A(clk_d13),   .X(clk_d14));
+  ctech_delay_clkbuf clkbuf_15 (.A(clk_d14),   .X(clk_d15));
+
+
+  // Tap point selection
+  assign in0  = clk_in;
+  assign in1  = clk_d1;
+  assign in2  = clk_d2;
+  assign in3  = clk_d3;
+  assign in4  = clk_d4;
+  assign in5  = clk_d5;
+  assign in6  = clk_d6;
+  assign in7  = clk_d7;
+  assign in8  = clk_d8;
+  assign in9  = clk_d9;
+  assign in10 = clk_d10;
+  assign in11 = clk_d11;
+  assign in12 = clk_d12;
+  assign in13 = clk_d13;
+  assign in14 = clk_d14;
+  assign in15 = clk_d15;
+
+
+  // first level mux - 8
+  ctech_mux2x1_2 u_mux_level_00 ( .X (d00) , .A0 (in0),  .A1(in1),  .S(sel[0]));
+  ctech_mux2x1_2 u_mux_level_01 ( .X (d01) , .A0 (in2),  .A1(in3),  .S(sel[0]));
+  ctech_mux2x1_2 u_mux_level_02 ( .X (d02) , .A0 (in4),  .A1(in5),  .S(sel[0]));
+  ctech_mux2x1_2 u_mux_level_03 ( .X (d03) , .A0 (in6),  .A1(in7),  .S(sel[0]));
+  ctech_mux2x1_2 u_mux_level_04 ( .X (d04) , .A0 (in8),  .A1(in9),  .S(sel[0]));
+  ctech_mux2x1_2 u_mux_level_05 ( .X (d05) , .A0 (in10), .A1(in11), .S(sel[0]));
+  ctech_mux2x1_2 u_mux_level_06 ( .X (d06) , .A0 (in12), .A1(in13), .S(sel[0]));
+  ctech_mux2x1_2 u_mux_level_07 ( .X (d07) , .A0 (in14), .A1(in15), .S(sel[0]));
+
+  // second level mux - 4
+  ctech_mux2x1_2 u_mux_level_10 ( .X (d10) , .A0 (d00), .A1(d01), .S(sel[1]));
+  ctech_mux2x1_2 u_mux_level_11 ( .X (d11) , .A0 (d02), .A1(d03), .S(sel[1]));
+  ctech_mux2x1_2 u_mux_level_12 ( .X (d12) , .A0 (d04), .A1(d05), .S(sel[1]));
+  ctech_mux2x1_2 u_mux_level_13 ( .X (d13) , .A0 (d06), .A1(d07), .S(sel[1]));
+
+  // third level mux - 2
+  ctech_mux2x1_2 u_mux_level_20 ( .X (d20) , .A0 (d10), .A1(d11), .S(sel[2]));
+  ctech_mux2x1_2 u_mux_level_21 ( .X (d21) , .A0 (d12), .A1(d13), .S(sel[2]));
+
+  // fourth level mux - 1
+  ctech_mux2x1_4 u_mux_level_30 ( .X (d30) , .A0 (d20), .A1(d21), .S(sel[3]));
+
+
+  assign clk_out = d30;
+
+endmodule
diff --git a/verilog/lib/ctech_cells.sv b/verilog/lib/ctech_cells.sv
new file mode 100644
index 0000000..f28fced
--- /dev/null
+++ b/verilog/lib/ctech_cells.sv
@@ -0,0 +1,90 @@
+
+module ctech_mux2x1 #(parameter WB = 1) (
+	input  logic [WB-1:0] A0,
+	input  logic [WB-1:0] A1,
+	input  logic S ,
+	output logic [WB-1:0] X);
+
+`ifndef SYNTHESIS
+assign X = (S) ? A1 : A0;
+`else 
+sky130_fd_sc_hd__mux2_8 u_mux (.A0 (A0), .A1 (A1), .S  (S), .X (X));
+`endif
+
+endmodule
+
+module ctech_mux2x1_2 #(parameter WB = 1) (
+	input  logic [WB-1:0] A0,
+	input  logic [WB-1:0] A1,
+	input  logic S ,
+	output logic [WB-1:0] X);
+
+`ifndef SYNTHESIS
+assign X = (S) ? A1 : A0;
+`else 
+sky130_fd_sc_hd__mux2_2 u_mux (.A0 (A0), .A1 (A1), .S  (S), .X (X));
+`endif
+
+endmodule
+
+module ctech_mux2x1_4 #(parameter WB = 1) (
+	input  logic [WB-1:0] A0,
+	input  logic [WB-1:0] A1,
+	input  logic S ,
+	output logic [WB-1:0] X);
+
+`ifndef SYNTHESIS
+assign X = (S) ? A1 : A0;
+`else 
+sky130_fd_sc_hd__mux2_4 u_mux (.A0 (A0), .A1 (A1), .S  (S), .X (X));
+`endif
+
+endmodule
+
+module ctech_buf (
+	input  logic A,
+	output logic X);
+
+`ifndef SYNTHESIS
+assign X = A;
+`else
+    sky130_fd_sc_hd__bufbuf_8 u_buf  (.A(A),.X(X));
+`endif
+
+endmodule
+
+module ctech_clk_buf (
+	input  logic A,
+	output logic X);
+
+`ifndef SYNTHESIS
+assign X = A;
+`else
+    sky130_fd_sc_hd__clkbuf_8 u_buf  (.A(A),.X(X));
+`endif
+
+endmodule
+
+module ctech_delay_buf (
+	input  logic A,
+	output logic X);
+
+`ifndef SYNTHESIS
+    assign X = A;
+`else
+     sky130_fd_sc_hd__dlygate4sd3_1 u_dly (.X(X),.A(A));
+`endif
+
+endmodule
+
+module ctech_delay_clkbuf (
+	input  logic A,
+	output logic X);
+
+`ifndef SYNTHESIS
+    assign X = A;
+`else
+     sky130_fd_sc_hd__clkdlybuf4s15_2 u_dly (.X(X),.A(A));
+`endif
+
+endmodule
diff --git a/verilog/rtl/marmot/Marmot.v b/verilog/rtl/marmot/Marmot.v
index f015f55..b7f68a9 100644
--- a/verilog/rtl/marmot/Marmot.v
+++ b/verilog/rtl/marmot/Marmot.v
@@ -175,9 +175,17 @@
 
     //------------------------------------------------------------------------------
     // Logic Analyzer Signals
-    wire [127:0] la_data_out;
+    wire [127:0] la_data_out;   // [127:32] <- 0
+                                // [ 31: 0] <- gpio_out[31:0]
+
+    wire [127:0] la_data_input; // [127:44] -> not in use
+                                // [ 43:40] -> clock skew adjust for I-Cache Data RAMs
+                                // [ 39:36] -> clock skew adjust for I-Cache Tag RAMs
+                                // [ 35:32] -> clock skew adjust for DTIM RAMs
+                                // [ 31: 0] -> gpio_in[31:0]
 
     assign la_data_out[127:32] = 96'd0;
+    assign la_data_input = ~la_oenb & la_data_in;
 
     //------------------------------------------------------------------------------
     // IRQ
@@ -336,6 +344,38 @@
      .gpio_out_29(la_data_out[29]),
      .gpio_out_30(la_data_out[30]),
      .gpio_out_31(la_data_out[31]),
+     .gpio_in_0(la_data_input[0]),
+     .gpio_in_1(la_data_input[1]),
+     .gpio_in_2(la_data_input[2]),
+     .gpio_in_3(la_data_input[3]),
+     .gpio_in_4(la_data_input[4]),
+     .gpio_in_5(la_data_input[5]),
+     .gpio_in_6(la_data_input[6]),
+     .gpio_in_7(la_data_input[7]),
+     .gpio_in_8(la_data_input[8]),
+     .gpio_in_9(la_data_input[9]),
+     .gpio_in_10(la_data_input[10]),
+     .gpio_in_11(la_data_input[11]),
+     .gpio_in_12(la_data_input[12]),
+     .gpio_in_13(la_data_input[13]),
+     .gpio_in_14(la_data_input[14]),
+     .gpio_in_15(la_data_input[15]),
+     .gpio_in_16(la_data_input[16]),
+     .gpio_in_17(la_data_input[17]),
+     .gpio_in_18(la_data_input[18]),
+     .gpio_in_19(la_data_input[19]),
+     .gpio_in_20(la_data_input[20]),
+     .gpio_in_21(la_data_input[21]),
+     .gpio_in_22(la_data_input[22]),
+     .gpio_in_23(la_data_input[23]),
+     .gpio_in_24(la_data_input[24]),
+     .gpio_in_25(la_data_input[25]),
+     .gpio_in_26(la_data_input[26]),
+     .gpio_in_27(la_data_input[27]),
+     .gpio_in_28(la_data_input[28]),
+     .gpio_in_29(la_data_input[29]),
+     .gpio_in_30(la_data_input[30]),
+     .gpio_in_31(la_data_input[31]),
      .data_arrays_0_ext_RW0_addr(data_arrays_0_ext_RW0_addr),
      .data_arrays_0_ext_RW0_en(data_arrays_0_ext_RW0_en),
      .data_arrays_0_ext_RW0_clk(data_arrays_0_ext_RW0_clk),
@@ -359,6 +399,7 @@
      .data_arrays_0_0_ext_RW0_wmask(data_arrays_0_0_ext_RW0_wmask)
     );
 
+    // DTIM RAM interfaces
     data_arrays_0_ext data_arrays_0_ext (
       .RW0_addr(data_arrays_0_ext_RW0_addr),
       .RW0_en(data_arrays_0_ext_RW0_en),
@@ -380,12 +421,23 @@
     );
 
     assign data_arrays_0_ext_ram_addr  = data_arrays_0_ext_RW0_addr[8:0];
-    assign data_arrays_0_ext_ram_clk   = data_arrays_0_ext_RW0_clk;
+    //assign data_arrays_0_ext_ram_clk   = data_arrays_0_ext_RW0_clk;
     assign data_arrays_0_ext_ram_wdata = data_arrays_0_ext_RW0_wdata;
     assign data_arrays_0_ext_ram_wmask = data_arrays_0_ext_RW0_wmask;
     assign data_arrays_0_ext_ram_csb1  = 8'hff;
     assign data_arrays_0_ext_ram_addr1 = 9'h000;
 
+    clk_skew_adjust u_clk_skew_adjust_0 (
+      `ifdef USE_POWER_PINS
+         .vccd1(vccd1),
+         .vssd1(vssd1),
+       `endif
+         .clk_in(clk),
+         .sel(la_data_input[35:32]),
+         .clk_out(data_arrays_0_ext_ram_clk)
+    );
+
+    // I-Cache Tag RAM interfaces
     tag_array_ext tag_array_ext (
       .RW0_addr(tag_array_ext_RW0_addr),
       .RW0_en(tag_array_ext_RW0_en),
@@ -401,12 +453,23 @@
     );
 
     assign tag_array_ext_ram_addr  = {1'b0, tag_array_ext_RW0_addr};
-    assign tag_array_ext_ram_clk   = tag_array_ext_RW0_clk;
+    //assign tag_array_ext_ram_clk   = tag_array_ext_RW0_clk;
     assign tag_array_ext_ram_wdata = {12'd0, tag_array_ext_RW0_wdata[39:20], 12'd0, tag_array_ext_RW0_wdata[19:0]};
     assign tag_array_ext_ram_wmask = tag_array_ext_RW0_wmask;
     assign tag_array_ext_ram_csb1  = 2'b11;
     assign tag_array_ext_ram_addr1 = 8'h00;
 
+    clk_skew_adjust u_clk_skew_adjust_1 (
+      `ifdef USE_POWER_PINS
+         .vccd1(vccd1),
+         .vssd1(vssd1),
+       `endif
+         .clk_in(clk),
+         .sel(la_data_input[39:36]),
+         .clk_out(tag_array_ext_ram_clk)
+    );
+
+    // I-Cache Data RAM interfaces
     data_arrays_0_0_ext data_arrays_0_0_ext (
       .RW0_addr(data_arrays_0_0_ext_RW0_addr),
       .RW0_en(data_arrays_0_0_ext_RW0_en),
@@ -424,10 +487,21 @@
     );
 
     assign data_arrays_0_0_ext_ram_addr  = data_arrays_0_0_ext_RW0_addr[8:0];
-    assign data_arrays_0_0_ext_ram_clk   = data_arrays_0_0_ext_RW0_clk;
+    //assign data_arrays_0_0_ext_ram_clk   = data_arrays_0_0_ext_RW0_clk;
     assign data_arrays_0_0_ext_ram_wdata = data_arrays_0_0_ext_RW0_wdata;
     assign data_arrays_0_0_ext_ram_wmask = data_arrays_0_0_ext_RW0_wmask;
     assign data_arrays_0_0_ext_ram_csb1  = 8'hff;
     assign data_arrays_0_0_ext_ram_addr1 = 9'h000;
+
+    clk_skew_adjust u_clk_skew_adjust_2 (
+      `ifdef USE_POWER_PINS
+         .vccd1(vccd1),
+         .vssd1(vssd1),
+       `endif
+         .clk_in(clk),
+         .sel(la_data_input[43:40]),
+         .clk_out(data_arrays_0_0_ext_ram_clk)
+    );
+
 `endif  // MARMOT_EMPTY
 endmodule
diff --git a/verilog/rtl/marmot/shc.marmotcaravel.MarmotCaravelConfig.no_sram.v b/verilog/rtl/marmot/shc.marmotcaravel.MarmotCaravelConfig.no_sram.v
index ea5751a..1edc0e3 100644
--- a/verilog/rtl/marmot/shc.marmotcaravel.MarmotCaravelConfig.no_sram.v
+++ b/verilog/rtl/marmot/shc.marmotcaravel.MarmotCaravelConfig.no_sram.v
@@ -18531,16 +18531,16 @@
   wire [32:0] _T_510; // @[Parameters.scala 121:52:shc.marmotcaravel.MarmotCaravelConfig.fir@11376.4]
   wire [32:0] _T_511; // @[Parameters.scala 121:52:shc.marmotcaravel.MarmotCaravelConfig.fir@11377.4]
   wire  _T_512; // @[Parameters.scala 121:67:shc.marmotcaravel.MarmotCaravelConfig.fir@11378.4]
-  wire [1:0] _T_514; // @[Mux.scala 19:72:shc.marmotcaravel.MarmotCaravelConfig.fir@11379.4]
+  wire [2:0] _T_514; // @[Mux.scala 19:72:shc.marmotcaravel.MarmotCaravelConfig.fir@11379.4]
   wire [2:0] _T_515; // @[Mux.scala 19:72:shc.marmotcaravel.MarmotCaravelConfig.fir@11380.4]
-  wire [1:0] _T_517; // @[Mux.scala 19:72:shc.marmotcaravel.MarmotCaravelConfig.fir@11382.4]
-  wire [2:0] _T_519; // @[Mux.scala 19:72:shc.marmotcaravel.MarmotCaravelConfig.fir@11384.4]
-  wire [2:0] _GEN_39; // @[Mux.scala 19:72:shc.marmotcaravel.MarmotCaravelConfig.fir@11385.4]
+  wire [1:0] _T_516; // @[Mux.scala 19:72:shc.marmotcaravel.MarmotCaravelConfig.fir@11381.4]
+  wire [1:0] _T_518; // @[Mux.scala 19:72:shc.marmotcaravel.MarmotCaravelConfig.fir@11383.4]
   wire [2:0] _T_520; // @[Mux.scala 19:72:shc.marmotcaravel.MarmotCaravelConfig.fir@11385.4]
-  wire [2:0] _GEN_40; // @[Mux.scala 19:72:shc.marmotcaravel.MarmotCaravelConfig.fir@11387.4]
-  wire [2:0] _T_522; // @[Mux.scala 19:72:shc.marmotcaravel.MarmotCaravelConfig.fir@11387.4]
-  wire [2:0] _GEN_41; // @[Mux.scala 19:72:shc.marmotcaravel.MarmotCaravelConfig.fir@11388.4]
+  wire [2:0] _GEN_39; // @[Mux.scala 19:72:shc.marmotcaravel.MarmotCaravelConfig.fir@11386.4]
+  wire [2:0] _T_521; // @[Mux.scala 19:72:shc.marmotcaravel.MarmotCaravelConfig.fir@11386.4]
+  wire [2:0] _GEN_40; // @[Mux.scala 19:72:shc.marmotcaravel.MarmotCaravelConfig.fir@11388.4]
   wire [2:0] _T_523; // @[Mux.scala 19:72:shc.marmotcaravel.MarmotCaravelConfig.fir@11388.4]
+  wire [2:0] _GEN_41; // @[Mux.scala 19:72:shc.marmotcaravel.MarmotCaravelConfig.fir@11389.4]
   wire [2:0] _T_524; // @[Mux.scala 19:72:shc.marmotcaravel.MarmotCaravelConfig.fir@11389.4]
   wire  _T_527; // @[AtomicAutomata.scala 103:60:shc.marmotcaravel.MarmotCaravelConfig.fir@11392.4]
   wire  _T_528; // @[AtomicAutomata.scala 103:96:shc.marmotcaravel.MarmotCaravelConfig.fir@11393.4]
@@ -19058,17 +19058,17 @@
   assign _T_510 = $signed(_T_323) & $signed(33'shfe030000); // @[Parameters.scala 121:52:shc.marmotcaravel.MarmotCaravelConfig.fir@11376.4]
   assign _T_511 = $signed(_T_510); // @[Parameters.scala 121:52:shc.marmotcaravel.MarmotCaravelConfig.fir@11377.4]
   assign _T_512 = $signed(_T_511) == $signed(33'sh0); // @[Parameters.scala 121:67:shc.marmotcaravel.MarmotCaravelConfig.fir@11378.4]
-  assign _T_514 = _T_507 ? 2'h3 : 2'h0; // @[Mux.scala 19:72:shc.marmotcaravel.MarmotCaravelConfig.fir@11379.4]
+  assign _T_514 = _T_512 ? 3'h4 : 3'h0; // @[Mux.scala 19:72:shc.marmotcaravel.MarmotCaravelConfig.fir@11379.4]
   assign _T_515 = _T_492 ? 3'h5 : 3'h0; // @[Mux.scala 19:72:shc.marmotcaravel.MarmotCaravelConfig.fir@11380.4]
-  assign _T_517 = _T_502 ? 2'h2 : 2'h0; // @[Mux.scala 19:72:shc.marmotcaravel.MarmotCaravelConfig.fir@11382.4]
-  assign _T_519 = _T_512 ? 3'h4 : 3'h0; // @[Mux.scala 19:72:shc.marmotcaravel.MarmotCaravelConfig.fir@11384.4]
-  assign _GEN_39 = {{1'd0}, _T_514}; // @[Mux.scala 19:72:shc.marmotcaravel.MarmotCaravelConfig.fir@11385.4]
-  assign _T_520 = _GEN_39 | _T_515; // @[Mux.scala 19:72:shc.marmotcaravel.MarmotCaravelConfig.fir@11385.4]
-  assign _GEN_40 = {{1'd0}, _T_517}; // @[Mux.scala 19:72:shc.marmotcaravel.MarmotCaravelConfig.fir@11387.4]
-  assign _T_522 = _T_520 | _GEN_40; // @[Mux.scala 19:72:shc.marmotcaravel.MarmotCaravelConfig.fir@11387.4]
-  assign _GEN_41 = {{2'd0}, _T_497}; // @[Mux.scala 19:72:shc.marmotcaravel.MarmotCaravelConfig.fir@11388.4]
-  assign _T_523 = _T_522 | _GEN_41; // @[Mux.scala 19:72:shc.marmotcaravel.MarmotCaravelConfig.fir@11388.4]
-  assign _T_524 = _T_523 | _T_519; // @[Mux.scala 19:72:shc.marmotcaravel.MarmotCaravelConfig.fir@11389.4]
+  assign _T_516 = _T_507 ? 2'h3 : 2'h0; // @[Mux.scala 19:72:shc.marmotcaravel.MarmotCaravelConfig.fir@11381.4]
+  assign _T_518 = _T_502 ? 2'h2 : 2'h0; // @[Mux.scala 19:72:shc.marmotcaravel.MarmotCaravelConfig.fir@11383.4]
+  assign _T_520 = _T_514 | _T_515; // @[Mux.scala 19:72:shc.marmotcaravel.MarmotCaravelConfig.fir@11385.4]
+  assign _GEN_39 = {{1'd0}, _T_516}; // @[Mux.scala 19:72:shc.marmotcaravel.MarmotCaravelConfig.fir@11386.4]
+  assign _T_521 = _T_520 | _GEN_39; // @[Mux.scala 19:72:shc.marmotcaravel.MarmotCaravelConfig.fir@11386.4]
+  assign _GEN_40 = {{1'd0}, _T_518}; // @[Mux.scala 19:72:shc.marmotcaravel.MarmotCaravelConfig.fir@11388.4]
+  assign _T_523 = _T_521 | _GEN_40; // @[Mux.scala 19:72:shc.marmotcaravel.MarmotCaravelConfig.fir@11388.4]
+  assign _GEN_41 = {{2'd0}, _T_497}; // @[Mux.scala 19:72:shc.marmotcaravel.MarmotCaravelConfig.fir@11389.4]
+  assign _T_524 = _T_523 | _GEN_41; // @[Mux.scala 19:72:shc.marmotcaravel.MarmotCaravelConfig.fir@11389.4]
   assign _T_527 = _T_269_0_fifoId == _T_524; // @[AtomicAutomata.scala 103:60:shc.marmotcaravel.MarmotCaravelConfig.fir@11392.4]
   assign _T_528 = _T_284 & _T_527; // @[AtomicAutomata.scala 103:96:shc.marmotcaravel.MarmotCaravelConfig.fir@11393.4]
   assign _T_532 = _T_269_0_bits_data[0]; // @[AtomicAutomata.scala 111:63:shc.marmotcaravel.MarmotCaravelConfig.fir@11397.4]
@@ -108751,31 +108751,30 @@
   wire [31:0] _T_421; // @[Parameters.scala 121:52:shc.marmotcaravel.MarmotCaravelConfig.fir@55262.4]
   wire  _T_422; // @[Parameters.scala 121:67:shc.marmotcaravel.MarmotCaravelConfig.fir@55263.4]
   wire [1:0] _T_424; // @[Mux.scala 19:72:shc.marmotcaravel.MarmotCaravelConfig.fir@55264.4]
+  wire [2:0] _T_425; // @[Mux.scala 19:72:shc.marmotcaravel.MarmotCaravelConfig.fir@55265.4]
   wire [2:0] _T_426; // @[Mux.scala 19:72:shc.marmotcaravel.MarmotCaravelConfig.fir@55266.4]
   wire [3:0] _T_427; // @[Mux.scala 19:72:shc.marmotcaravel.MarmotCaravelConfig.fir@55267.4]
-  wire [1:0] _T_428; // @[Mux.scala 19:72:shc.marmotcaravel.MarmotCaravelConfig.fir@55268.4]
-  wire [2:0] _T_429; // @[Mux.scala 19:72:shc.marmotcaravel.MarmotCaravelConfig.fir@55269.4]
-  wire [3:0] _T_430; // @[Mux.scala 19:72:shc.marmotcaravel.MarmotCaravelConfig.fir@55270.4]
-  wire [3:0] _T_432; // @[Mux.scala 19:72:shc.marmotcaravel.MarmotCaravelConfig.fir@55272.4]
-  wire [2:0] _T_433; // @[Mux.scala 19:72:shc.marmotcaravel.MarmotCaravelConfig.fir@55273.4]
-  wire [2:0] _T_434; // @[Mux.scala 19:72:shc.marmotcaravel.MarmotCaravelConfig.fir@55274.4]
-  wire [3:0] _T_435; // @[Mux.scala 19:72:shc.marmotcaravel.MarmotCaravelConfig.fir@55275.4]
-  wire [2:0] _GEN_39; // @[Mux.scala 19:72:shc.marmotcaravel.MarmotCaravelConfig.fir@55277.4]
+  wire [3:0] _T_428; // @[Mux.scala 19:72:shc.marmotcaravel.MarmotCaravelConfig.fir@55268.4]
+  wire [2:0] _T_430; // @[Mux.scala 19:72:shc.marmotcaravel.MarmotCaravelConfig.fir@55270.4]
+  wire [3:0] _T_431; // @[Mux.scala 19:72:shc.marmotcaravel.MarmotCaravelConfig.fir@55271.4]
+  wire [1:0] _T_432; // @[Mux.scala 19:72:shc.marmotcaravel.MarmotCaravelConfig.fir@55272.4]
+  wire [3:0] _T_433; // @[Mux.scala 19:72:shc.marmotcaravel.MarmotCaravelConfig.fir@55273.4]
+  wire [2:0] _T_435; // @[Mux.scala 19:72:shc.marmotcaravel.MarmotCaravelConfig.fir@55275.4]
+  wire [2:0] _GEN_39; // @[Mux.scala 19:72:shc.marmotcaravel.MarmotCaravelConfig.fir@55276.4]
+  wire [2:0] _T_436; // @[Mux.scala 19:72:shc.marmotcaravel.MarmotCaravelConfig.fir@55276.4]
   wire [2:0] _T_437; // @[Mux.scala 19:72:shc.marmotcaravel.MarmotCaravelConfig.fir@55277.4]
   wire [3:0] _GEN_40; // @[Mux.scala 19:72:shc.marmotcaravel.MarmotCaravelConfig.fir@55278.4]
   wire [3:0] _T_438; // @[Mux.scala 19:72:shc.marmotcaravel.MarmotCaravelConfig.fir@55278.4]
-  wire [3:0] _GEN_41; // @[Mux.scala 19:72:shc.marmotcaravel.MarmotCaravelConfig.fir@55279.4]
   wire [3:0] _T_439; // @[Mux.scala 19:72:shc.marmotcaravel.MarmotCaravelConfig.fir@55279.4]
-  wire [3:0] _GEN_42; // @[Mux.scala 19:72:shc.marmotcaravel.MarmotCaravelConfig.fir@55280.4]
+  wire [3:0] _GEN_41; // @[Mux.scala 19:72:shc.marmotcaravel.MarmotCaravelConfig.fir@55280.4]
   wire [3:0] _T_440; // @[Mux.scala 19:72:shc.marmotcaravel.MarmotCaravelConfig.fir@55280.4]
+  wire [3:0] _GEN_42; // @[Mux.scala 19:72:shc.marmotcaravel.MarmotCaravelConfig.fir@55281.4]
   wire [3:0] _T_441; // @[Mux.scala 19:72:shc.marmotcaravel.MarmotCaravelConfig.fir@55281.4]
-  wire [3:0] _GEN_43; // @[Mux.scala 19:72:shc.marmotcaravel.MarmotCaravelConfig.fir@55282.4]
   wire [3:0] _T_442; // @[Mux.scala 19:72:shc.marmotcaravel.MarmotCaravelConfig.fir@55282.4]
+  wire [3:0] _GEN_43; // @[Mux.scala 19:72:shc.marmotcaravel.MarmotCaravelConfig.fir@55283.4]
   wire [3:0] _T_443; // @[Mux.scala 19:72:shc.marmotcaravel.MarmotCaravelConfig.fir@55283.4]
-  wire [3:0] _GEN_44; // @[Mux.scala 19:72:shc.marmotcaravel.MarmotCaravelConfig.fir@55284.4]
   wire [3:0] _T_444; // @[Mux.scala 19:72:shc.marmotcaravel.MarmotCaravelConfig.fir@55284.4]
-  wire [3:0] _GEN_45; // @[Mux.scala 19:72:shc.marmotcaravel.MarmotCaravelConfig.fir@55285.4]
-  wire [3:0] _T_445; // @[Mux.scala 19:72:shc.marmotcaravel.MarmotCaravelConfig.fir@55285.4]
+  wire [3:0] _GEN_44; // @[Mux.scala 19:72:shc.marmotcaravel.MarmotCaravelConfig.fir@55286.4]
   wire [3:0] _T_446; // @[Mux.scala 19:72:shc.marmotcaravel.MarmotCaravelConfig.fir@55286.4]
   wire  _T_449; // @[AtomicAutomata.scala 103:60:shc.marmotcaravel.MarmotCaravelConfig.fir@55289.4]
   wire  _T_450; // @[AtomicAutomata.scala 103:96:shc.marmotcaravel.MarmotCaravelConfig.fir@55290.4]
@@ -108975,7 +108974,7 @@
   wire  _T_647; // @[AtomicAutomata.scala 117:39:shc.marmotcaravel.MarmotCaravelConfig.fir@55487.4]
   wire [3:0] _T_648; // @[AtomicAutomata.scala 119:25:shc.marmotcaravel.MarmotCaravelConfig.fir@55488.4]
   wire [2:0] _T_649; // @[AtomicAutomata.scala 119:39:shc.marmotcaravel.MarmotCaravelConfig.fir@55489.4]
-  wire [3:0] _GEN_46; // @[AtomicAutomata.scala 119:31:shc.marmotcaravel.MarmotCaravelConfig.fir@55490.4]
+  wire [3:0] _GEN_45; // @[AtomicAutomata.scala 119:31:shc.marmotcaravel.MarmotCaravelConfig.fir@55490.4]
   wire [3:0] _T_650; // @[AtomicAutomata.scala 119:31:shc.marmotcaravel.MarmotCaravelConfig.fir@55490.4]
   wire [3:0] _T_651; // @[AtomicAutomata.scala 119:23:shc.marmotcaravel.MarmotCaravelConfig.fir@55491.4]
   wire [1:0] _T_656; // @[Cat.scala 30:58:shc.marmotcaravel.MarmotCaravelConfig.fir@55496.4]
@@ -108985,18 +108984,18 @@
   wire [1:0] _T_664; // @[Cat.scala 30:58:shc.marmotcaravel.MarmotCaravelConfig.fir@55504.4]
   wire [3:0] _T_665; // @[Cat.scala 30:58:shc.marmotcaravel.MarmotCaravelConfig.fir@55505.4]
   wire [3:0] _T_666; // @[AtomicAutomata.scala 123:38:shc.marmotcaravel.MarmotCaravelConfig.fir@55506.4]
-  wire [4:0] _GEN_47; // @[AtomicAutomata.scala 123:49:shc.marmotcaravel.MarmotCaravelConfig.fir@55507.4]
+  wire [4:0] _GEN_46; // @[AtomicAutomata.scala 123:49:shc.marmotcaravel.MarmotCaravelConfig.fir@55507.4]
   wire [4:0] _T_667; // @[AtomicAutomata.scala 123:49:shc.marmotcaravel.MarmotCaravelConfig.fir@55507.4]
   wire [3:0] _T_668; // @[AtomicAutomata.scala 123:54:shc.marmotcaravel.MarmotCaravelConfig.fir@55508.4]
   wire [3:0] _T_669; // @[AtomicAutomata.scala 124:38:shc.marmotcaravel.MarmotCaravelConfig.fir@55509.4]
-  wire [4:0] _GEN_48; // @[AtomicAutomata.scala 124:49:shc.marmotcaravel.MarmotCaravelConfig.fir@55510.4]
+  wire [4:0] _GEN_47; // @[AtomicAutomata.scala 124:49:shc.marmotcaravel.MarmotCaravelConfig.fir@55510.4]
   wire [4:0] _T_670; // @[AtomicAutomata.scala 124:49:shc.marmotcaravel.MarmotCaravelConfig.fir@55510.4]
   wire [3:0] _T_671; // @[AtomicAutomata.scala 124:54:shc.marmotcaravel.MarmotCaravelConfig.fir@55511.4]
-  wire [4:0] _GEN_49; // @[package.scala 194:48:shc.marmotcaravel.MarmotCaravelConfig.fir@55512.4]
+  wire [4:0] _GEN_48; // @[package.scala 194:48:shc.marmotcaravel.MarmotCaravelConfig.fir@55512.4]
   wire [4:0] _T_672; // @[package.scala 194:48:shc.marmotcaravel.MarmotCaravelConfig.fir@55512.4]
   wire [3:0] _T_673; // @[package.scala 194:53:shc.marmotcaravel.MarmotCaravelConfig.fir@55513.4]
   wire [3:0] _T_674; // @[package.scala 194:43:shc.marmotcaravel.MarmotCaravelConfig.fir@55514.4]
-  wire [5:0] _GEN_50; // @[package.scala 194:48:shc.marmotcaravel.MarmotCaravelConfig.fir@55515.4]
+  wire [5:0] _GEN_49; // @[package.scala 194:48:shc.marmotcaravel.MarmotCaravelConfig.fir@55515.4]
   wire [5:0] _T_675; // @[package.scala 194:48:shc.marmotcaravel.MarmotCaravelConfig.fir@55515.4]
   wire [3:0] _T_676; // @[package.scala 194:53:shc.marmotcaravel.MarmotCaravelConfig.fir@55516.4]
   wire [3:0] _T_677; // @[package.scala 194:43:shc.marmotcaravel.MarmotCaravelConfig.fir@55517.4]
@@ -109011,11 +109010,11 @@
   wire [15:0] _T_691; // @[Cat.scala 30:58:shc.marmotcaravel.MarmotCaravelConfig.fir@55531.4]
   wire [15:0] _T_692; // @[Cat.scala 30:58:shc.marmotcaravel.MarmotCaravelConfig.fir@55532.4]
   wire [31:0] _T_693; // @[Cat.scala 30:58:shc.marmotcaravel.MarmotCaravelConfig.fir@55533.4]
-  wire [4:0] _GEN_51; // @[package.scala 194:48:shc.marmotcaravel.MarmotCaravelConfig.fir@55534.4]
+  wire [4:0] _GEN_50; // @[package.scala 194:48:shc.marmotcaravel.MarmotCaravelConfig.fir@55534.4]
   wire [4:0] _T_694; // @[package.scala 194:48:shc.marmotcaravel.MarmotCaravelConfig.fir@55534.4]
   wire [3:0] _T_695; // @[package.scala 194:53:shc.marmotcaravel.MarmotCaravelConfig.fir@55535.4]
   wire [3:0] _T_696; // @[package.scala 194:43:shc.marmotcaravel.MarmotCaravelConfig.fir@55536.4]
-  wire [5:0] _GEN_52; // @[package.scala 194:48:shc.marmotcaravel.MarmotCaravelConfig.fir@55537.4]
+  wire [5:0] _GEN_51; // @[package.scala 194:48:shc.marmotcaravel.MarmotCaravelConfig.fir@55537.4]
   wire [5:0] _T_697; // @[package.scala 194:48:shc.marmotcaravel.MarmotCaravelConfig.fir@55537.4]
   wire [3:0] _T_698; // @[package.scala 194:53:shc.marmotcaravel.MarmotCaravelConfig.fir@55538.4]
   wire [3:0] _T_699; // @[package.scala 194:43:shc.marmotcaravel.MarmotCaravelConfig.fir@55539.4]
@@ -109068,11 +109067,11 @@
   wire  _T_846; // @[Arbiter.scala 54:28:shc.marmotcaravel.MarmotCaravelConfig.fir@55700.4]
   wire  _T_759; // @[AtomicAutomata.scala 149:38:shc.marmotcaravel.MarmotCaravelConfig.fir@55599.4]
   wire [1:0] _T_848; // @[Cat.scala 30:58:shc.marmotcaravel.MarmotCaravelConfig.fir@55702.4]
-  wire [2:0] _GEN_53; // @[package.scala 194:48:shc.marmotcaravel.MarmotCaravelConfig.fir@55703.4]
+  wire [2:0] _GEN_52; // @[package.scala 194:48:shc.marmotcaravel.MarmotCaravelConfig.fir@55703.4]
   wire [2:0] _T_849; // @[package.scala 194:48:shc.marmotcaravel.MarmotCaravelConfig.fir@55703.4]
   wire [1:0] _T_850; // @[package.scala 194:53:shc.marmotcaravel.MarmotCaravelConfig.fir@55704.4]
   wire [1:0] _T_851; // @[package.scala 194:43:shc.marmotcaravel.MarmotCaravelConfig.fir@55705.4]
-  wire [2:0] _GEN_54; // @[Arbiter.scala 15:78:shc.marmotcaravel.MarmotCaravelConfig.fir@55707.4]
+  wire [2:0] _GEN_53; // @[Arbiter.scala 15:78:shc.marmotcaravel.MarmotCaravelConfig.fir@55707.4]
   wire [2:0] _T_853; // @[Arbiter.scala 15:78:shc.marmotcaravel.MarmotCaravelConfig.fir@55707.4]
   wire [1:0] _T_854; // @[Arbiter.scala 15:83:shc.marmotcaravel.MarmotCaravelConfig.fir@55708.4]
   wire [1:0] _T_855; // @[Arbiter.scala 15:61:shc.marmotcaravel.MarmotCaravelConfig.fir@55709.4]
@@ -109142,7 +109141,7 @@
   wire  _T_952; // @[Mux.scala 19:72:shc.marmotcaravel.MarmotCaravelConfig.fir@55773.4]
   wire  _T_955; // @[Arbiter.scala 86:24:shc.marmotcaravel.MarmotCaravelConfig.fir@55776.4]
   wire  _T_898; // @[Decoupled.scala 37:37:shc.marmotcaravel.MarmotCaravelConfig.fir@55752.4]
-  wire [9:0] _GEN_55; // @[Arbiter.scala 75:52:shc.marmotcaravel.MarmotCaravelConfig.fir@55753.4]
+  wire [9:0] _GEN_54; // @[Arbiter.scala 75:52:shc.marmotcaravel.MarmotCaravelConfig.fir@55753.4]
   wire [10:0] _T_899; // @[Arbiter.scala 75:52:shc.marmotcaravel.MarmotCaravelConfig.fir@55753.4]
   wire [10:0] _T_900; // @[Arbiter.scala 75:52:shc.marmotcaravel.MarmotCaravelConfig.fir@55754.4]
   wire [9:0] _T_901; // @[Arbiter.scala 75:52:shc.marmotcaravel.MarmotCaravelConfig.fir@55755.4]
@@ -109169,7 +109168,7 @@
   wire  _T_986; // @[Decoupled.scala 37:37:shc.marmotcaravel.MarmotCaravelConfig.fir@55815.4]
   wire  _T_988; // @[AtomicAutomata.scala 170:31:shc.marmotcaravel.MarmotCaravelConfig.fir@55817.4]
   wire [1:0] _T_989; // @[AtomicAutomata.scala 175:52:shc.marmotcaravel.MarmotCaravelConfig.fir@55822.8]
-  wire [2:0] _GEN_56; // @[Mux.scala 46:19:shc.marmotcaravel.MarmotCaravelConfig.fir@55823.8]
+  wire [2:0] _GEN_55; // @[Mux.scala 46:19:shc.marmotcaravel.MarmotCaravelConfig.fir@55823.8]
   wire  _T_990; // @[Mux.scala 46:19:shc.marmotcaravel.MarmotCaravelConfig.fir@55823.8]
   wire  _T_992; // @[Mux.scala 46:19:shc.marmotcaravel.MarmotCaravelConfig.fir@55825.8]
   wire  _T_994; // @[Mux.scala 46:19:shc.marmotcaravel.MarmotCaravelConfig.fir@55827.8]
@@ -109295,32 +109294,31 @@
   assign _T_421 = $signed(_T_420); // @[Parameters.scala 121:52:shc.marmotcaravel.MarmotCaravelConfig.fir@55262.4]
   assign _T_422 = $signed(_T_421) == $signed(32'sh0); // @[Parameters.scala 121:67:shc.marmotcaravel.MarmotCaravelConfig.fir@55263.4]
   assign _T_424 = _T_407 ? 2'h3 : 2'h0; // @[Mux.scala 19:72:shc.marmotcaravel.MarmotCaravelConfig.fir@55264.4]
-  assign _T_426 = _T_422 ? 3'h4 : 3'h0; // @[Mux.scala 19:72:shc.marmotcaravel.MarmotCaravelConfig.fir@55266.4]
-  assign _T_427 = _T_412 ? 4'hb : 4'h0; // @[Mux.scala 19:72:shc.marmotcaravel.MarmotCaravelConfig.fir@55267.4]
-  assign _T_428 = _T_397 ? 2'h2 : 2'h0; // @[Mux.scala 19:72:shc.marmotcaravel.MarmotCaravelConfig.fir@55268.4]
-  assign _T_429 = _T_387 ? 3'h6 : 3'h0; // @[Mux.scala 19:72:shc.marmotcaravel.MarmotCaravelConfig.fir@55269.4]
-  assign _T_430 = _T_377 ? 4'ha : 4'h0; // @[Mux.scala 19:72:shc.marmotcaravel.MarmotCaravelConfig.fir@55270.4]
-  assign _T_432 = _T_417 ? 4'h8 : 4'h0; // @[Mux.scala 19:72:shc.marmotcaravel.MarmotCaravelConfig.fir@55272.4]
-  assign _T_433 = _T_311 ? 3'h7 : 3'h0; // @[Mux.scala 19:72:shc.marmotcaravel.MarmotCaravelConfig.fir@55273.4]
-  assign _T_434 = _T_372 ? 3'h5 : 3'h0; // @[Mux.scala 19:72:shc.marmotcaravel.MarmotCaravelConfig.fir@55274.4]
-  assign _T_435 = _T_392 ? 4'h9 : 4'h0; // @[Mux.scala 19:72:shc.marmotcaravel.MarmotCaravelConfig.fir@55275.4]
-  assign _GEN_39 = {{1'd0}, _T_424}; // @[Mux.scala 19:72:shc.marmotcaravel.MarmotCaravelConfig.fir@55277.4]
-  assign _T_437 = _GEN_39 | _T_426; // @[Mux.scala 19:72:shc.marmotcaravel.MarmotCaravelConfig.fir@55277.4]
+  assign _T_425 = _T_311 ? 3'h7 : 3'h0; // @[Mux.scala 19:72:shc.marmotcaravel.MarmotCaravelConfig.fir@55265.4]
+  assign _T_426 = _T_372 ? 3'h5 : 3'h0; // @[Mux.scala 19:72:shc.marmotcaravel.MarmotCaravelConfig.fir@55266.4]
+  assign _T_427 = _T_377 ? 4'ha : 4'h0; // @[Mux.scala 19:72:shc.marmotcaravel.MarmotCaravelConfig.fir@55267.4]
+  assign _T_428 = _T_392 ? 4'h9 : 4'h0; // @[Mux.scala 19:72:shc.marmotcaravel.MarmotCaravelConfig.fir@55268.4]
+  assign _T_430 = _T_422 ? 3'h4 : 3'h0; // @[Mux.scala 19:72:shc.marmotcaravel.MarmotCaravelConfig.fir@55270.4]
+  assign _T_431 = _T_412 ? 4'hb : 4'h0; // @[Mux.scala 19:72:shc.marmotcaravel.MarmotCaravelConfig.fir@55271.4]
+  assign _T_432 = _T_397 ? 2'h2 : 2'h0; // @[Mux.scala 19:72:shc.marmotcaravel.MarmotCaravelConfig.fir@55272.4]
+  assign _T_433 = _T_417 ? 4'h8 : 4'h0; // @[Mux.scala 19:72:shc.marmotcaravel.MarmotCaravelConfig.fir@55273.4]
+  assign _T_435 = _T_387 ? 3'h6 : 3'h0; // @[Mux.scala 19:72:shc.marmotcaravel.MarmotCaravelConfig.fir@55275.4]
+  assign _GEN_39 = {{1'd0}, _T_424}; // @[Mux.scala 19:72:shc.marmotcaravel.MarmotCaravelConfig.fir@55276.4]
+  assign _T_436 = _GEN_39 | _T_425; // @[Mux.scala 19:72:shc.marmotcaravel.MarmotCaravelConfig.fir@55276.4]
+  assign _T_437 = _T_436 | _T_426; // @[Mux.scala 19:72:shc.marmotcaravel.MarmotCaravelConfig.fir@55277.4]
   assign _GEN_40 = {{1'd0}, _T_437}; // @[Mux.scala 19:72:shc.marmotcaravel.MarmotCaravelConfig.fir@55278.4]
   assign _T_438 = _GEN_40 | _T_427; // @[Mux.scala 19:72:shc.marmotcaravel.MarmotCaravelConfig.fir@55278.4]
-  assign _GEN_41 = {{2'd0}, _T_428}; // @[Mux.scala 19:72:shc.marmotcaravel.MarmotCaravelConfig.fir@55279.4]
-  assign _T_439 = _T_438 | _GEN_41; // @[Mux.scala 19:72:shc.marmotcaravel.MarmotCaravelConfig.fir@55279.4]
-  assign _GEN_42 = {{1'd0}, _T_429}; // @[Mux.scala 19:72:shc.marmotcaravel.MarmotCaravelConfig.fir@55280.4]
-  assign _T_440 = _T_439 | _GEN_42; // @[Mux.scala 19:72:shc.marmotcaravel.MarmotCaravelConfig.fir@55280.4]
-  assign _T_441 = _T_440 | _T_430; // @[Mux.scala 19:72:shc.marmotcaravel.MarmotCaravelConfig.fir@55281.4]
-  assign _GEN_43 = {{3'd0}, _T_382}; // @[Mux.scala 19:72:shc.marmotcaravel.MarmotCaravelConfig.fir@55282.4]
-  assign _T_442 = _T_441 | _GEN_43; // @[Mux.scala 19:72:shc.marmotcaravel.MarmotCaravelConfig.fir@55282.4]
-  assign _T_443 = _T_442 | _T_432; // @[Mux.scala 19:72:shc.marmotcaravel.MarmotCaravelConfig.fir@55283.4]
-  assign _GEN_44 = {{1'd0}, _T_433}; // @[Mux.scala 19:72:shc.marmotcaravel.MarmotCaravelConfig.fir@55284.4]
-  assign _T_444 = _T_443 | _GEN_44; // @[Mux.scala 19:72:shc.marmotcaravel.MarmotCaravelConfig.fir@55284.4]
-  assign _GEN_45 = {{1'd0}, _T_434}; // @[Mux.scala 19:72:shc.marmotcaravel.MarmotCaravelConfig.fir@55285.4]
-  assign _T_445 = _T_444 | _GEN_45; // @[Mux.scala 19:72:shc.marmotcaravel.MarmotCaravelConfig.fir@55285.4]
-  assign _T_446 = _T_445 | _T_435; // @[Mux.scala 19:72:shc.marmotcaravel.MarmotCaravelConfig.fir@55286.4]
+  assign _T_439 = _T_438 | _T_428; // @[Mux.scala 19:72:shc.marmotcaravel.MarmotCaravelConfig.fir@55279.4]
+  assign _GEN_41 = {{3'd0}, _T_382}; // @[Mux.scala 19:72:shc.marmotcaravel.MarmotCaravelConfig.fir@55280.4]
+  assign _T_440 = _T_439 | _GEN_41; // @[Mux.scala 19:72:shc.marmotcaravel.MarmotCaravelConfig.fir@55280.4]
+  assign _GEN_42 = {{1'd0}, _T_430}; // @[Mux.scala 19:72:shc.marmotcaravel.MarmotCaravelConfig.fir@55281.4]
+  assign _T_441 = _T_440 | _GEN_42; // @[Mux.scala 19:72:shc.marmotcaravel.MarmotCaravelConfig.fir@55281.4]
+  assign _T_442 = _T_441 | _T_431; // @[Mux.scala 19:72:shc.marmotcaravel.MarmotCaravelConfig.fir@55282.4]
+  assign _GEN_43 = {{2'd0}, _T_432}; // @[Mux.scala 19:72:shc.marmotcaravel.MarmotCaravelConfig.fir@55283.4]
+  assign _T_443 = _T_442 | _GEN_43; // @[Mux.scala 19:72:shc.marmotcaravel.MarmotCaravelConfig.fir@55283.4]
+  assign _T_444 = _T_443 | _T_433; // @[Mux.scala 19:72:shc.marmotcaravel.MarmotCaravelConfig.fir@55284.4]
+  assign _GEN_44 = {{1'd0}, _T_435}; // @[Mux.scala 19:72:shc.marmotcaravel.MarmotCaravelConfig.fir@55286.4]
+  assign _T_446 = _T_444 | _GEN_44; // @[Mux.scala 19:72:shc.marmotcaravel.MarmotCaravelConfig.fir@55286.4]
   assign _T_449 = _T_269_0_fifoId == _T_446; // @[AtomicAutomata.scala 103:60:shc.marmotcaravel.MarmotCaravelConfig.fir@55289.4]
   assign _T_450 = _T_284 & _T_449; // @[AtomicAutomata.scala 103:96:shc.marmotcaravel.MarmotCaravelConfig.fir@55290.4]
   assign _T_454 = _T_269_0_bits_data[0]; // @[AtomicAutomata.scala 111:63:shc.marmotcaravel.MarmotCaravelConfig.fir@55294.4]
@@ -109519,8 +109517,8 @@
   assign _T_647 = _T_269_0_bits_param[2]; // @[AtomicAutomata.scala 117:39:shc.marmotcaravel.MarmotCaravelConfig.fir@55487.4]
   assign _T_648 = ~ _T_269_0_bits_mask; // @[AtomicAutomata.scala 119:25:shc.marmotcaravel.MarmotCaravelConfig.fir@55488.4]
   assign _T_649 = _T_269_0_bits_mask[3:1]; // @[AtomicAutomata.scala 119:39:shc.marmotcaravel.MarmotCaravelConfig.fir@55489.4]
-  assign _GEN_46 = {{1'd0}, _T_649}; // @[AtomicAutomata.scala 119:31:shc.marmotcaravel.MarmotCaravelConfig.fir@55490.4]
-  assign _T_650 = _T_648 | _GEN_46; // @[AtomicAutomata.scala 119:31:shc.marmotcaravel.MarmotCaravelConfig.fir@55490.4]
+  assign _GEN_45 = {{1'd0}, _T_649}; // @[AtomicAutomata.scala 119:31:shc.marmotcaravel.MarmotCaravelConfig.fir@55490.4]
+  assign _T_650 = _T_648 | _GEN_45; // @[AtomicAutomata.scala 119:31:shc.marmotcaravel.MarmotCaravelConfig.fir@55490.4]
   assign _T_651 = ~ _T_650; // @[AtomicAutomata.scala 119:23:shc.marmotcaravel.MarmotCaravelConfig.fir@55491.4]
   assign _T_656 = {_T_499,_T_475}; // @[Cat.scala 30:58:shc.marmotcaravel.MarmotCaravelConfig.fir@55496.4]
   assign _T_657 = {_T_547,_T_523}; // @[Cat.scala 30:58:shc.marmotcaravel.MarmotCaravelConfig.fir@55497.4]
@@ -109529,19 +109527,19 @@
   assign _T_664 = {_T_548,_T_524}; // @[Cat.scala 30:58:shc.marmotcaravel.MarmotCaravelConfig.fir@55504.4]
   assign _T_665 = {_T_664,_T_663}; // @[Cat.scala 30:58:shc.marmotcaravel.MarmotCaravelConfig.fir@55505.4]
   assign _T_666 = _T_658 & _T_651; // @[AtomicAutomata.scala 123:38:shc.marmotcaravel.MarmotCaravelConfig.fir@55506.4]
-  assign _GEN_47 = {{1'd0}, _T_666}; // @[AtomicAutomata.scala 123:49:shc.marmotcaravel.MarmotCaravelConfig.fir@55507.4]
-  assign _T_667 = _GEN_47 << 1; // @[AtomicAutomata.scala 123:49:shc.marmotcaravel.MarmotCaravelConfig.fir@55507.4]
+  assign _GEN_46 = {{1'd0}, _T_666}; // @[AtomicAutomata.scala 123:49:shc.marmotcaravel.MarmotCaravelConfig.fir@55507.4]
+  assign _T_667 = _GEN_46 << 1; // @[AtomicAutomata.scala 123:49:shc.marmotcaravel.MarmotCaravelConfig.fir@55507.4]
   assign _T_668 = _T_667[3:0]; // @[AtomicAutomata.scala 123:54:shc.marmotcaravel.MarmotCaravelConfig.fir@55508.4]
   assign _T_669 = _T_665 & _T_651; // @[AtomicAutomata.scala 124:38:shc.marmotcaravel.MarmotCaravelConfig.fir@55509.4]
-  assign _GEN_48 = {{1'd0}, _T_669}; // @[AtomicAutomata.scala 124:49:shc.marmotcaravel.MarmotCaravelConfig.fir@55510.4]
-  assign _T_670 = _GEN_48 << 1; // @[AtomicAutomata.scala 124:49:shc.marmotcaravel.MarmotCaravelConfig.fir@55510.4]
+  assign _GEN_47 = {{1'd0}, _T_669}; // @[AtomicAutomata.scala 124:49:shc.marmotcaravel.MarmotCaravelConfig.fir@55510.4]
+  assign _T_670 = _GEN_47 << 1; // @[AtomicAutomata.scala 124:49:shc.marmotcaravel.MarmotCaravelConfig.fir@55510.4]
   assign _T_671 = _T_670[3:0]; // @[AtomicAutomata.scala 124:54:shc.marmotcaravel.MarmotCaravelConfig.fir@55511.4]
-  assign _GEN_49 = {{1'd0}, _T_668}; // @[package.scala 194:48:shc.marmotcaravel.MarmotCaravelConfig.fir@55512.4]
-  assign _T_672 = _GEN_49 << 1; // @[package.scala 194:48:shc.marmotcaravel.MarmotCaravelConfig.fir@55512.4]
+  assign _GEN_48 = {{1'd0}, _T_668}; // @[package.scala 194:48:shc.marmotcaravel.MarmotCaravelConfig.fir@55512.4]
+  assign _T_672 = _GEN_48 << 1; // @[package.scala 194:48:shc.marmotcaravel.MarmotCaravelConfig.fir@55512.4]
   assign _T_673 = _T_672[3:0]; // @[package.scala 194:53:shc.marmotcaravel.MarmotCaravelConfig.fir@55513.4]
   assign _T_674 = _T_668 | _T_673; // @[package.scala 194:43:shc.marmotcaravel.MarmotCaravelConfig.fir@55514.4]
-  assign _GEN_50 = {{2'd0}, _T_674}; // @[package.scala 194:48:shc.marmotcaravel.MarmotCaravelConfig.fir@55515.4]
-  assign _T_675 = _GEN_50 << 2; // @[package.scala 194:48:shc.marmotcaravel.MarmotCaravelConfig.fir@55515.4]
+  assign _GEN_49 = {{2'd0}, _T_674}; // @[package.scala 194:48:shc.marmotcaravel.MarmotCaravelConfig.fir@55515.4]
+  assign _T_675 = _GEN_49 << 2; // @[package.scala 194:48:shc.marmotcaravel.MarmotCaravelConfig.fir@55515.4]
   assign _T_676 = _T_675[3:0]; // @[package.scala 194:53:shc.marmotcaravel.MarmotCaravelConfig.fir@55516.4]
   assign _T_677 = _T_674 | _T_676; // @[package.scala 194:43:shc.marmotcaravel.MarmotCaravelConfig.fir@55517.4]
   assign _T_679 = _T_677[0]; // @[Bitwise.scala 27:51:shc.marmotcaravel.MarmotCaravelConfig.fir@55519.4]
@@ -109555,12 +109553,12 @@
   assign _T_691 = {_T_686,_T_684}; // @[Cat.scala 30:58:shc.marmotcaravel.MarmotCaravelConfig.fir@55531.4]
   assign _T_692 = {_T_690,_T_688}; // @[Cat.scala 30:58:shc.marmotcaravel.MarmotCaravelConfig.fir@55532.4]
   assign _T_693 = {_T_692,_T_691}; // @[Cat.scala 30:58:shc.marmotcaravel.MarmotCaravelConfig.fir@55533.4]
-  assign _GEN_51 = {{1'd0}, _T_671}; // @[package.scala 194:48:shc.marmotcaravel.MarmotCaravelConfig.fir@55534.4]
-  assign _T_694 = _GEN_51 << 1; // @[package.scala 194:48:shc.marmotcaravel.MarmotCaravelConfig.fir@55534.4]
+  assign _GEN_50 = {{1'd0}, _T_671}; // @[package.scala 194:48:shc.marmotcaravel.MarmotCaravelConfig.fir@55534.4]
+  assign _T_694 = _GEN_50 << 1; // @[package.scala 194:48:shc.marmotcaravel.MarmotCaravelConfig.fir@55534.4]
   assign _T_695 = _T_694[3:0]; // @[package.scala 194:53:shc.marmotcaravel.MarmotCaravelConfig.fir@55535.4]
   assign _T_696 = _T_671 | _T_695; // @[package.scala 194:43:shc.marmotcaravel.MarmotCaravelConfig.fir@55536.4]
-  assign _GEN_52 = {{2'd0}, _T_696}; // @[package.scala 194:48:shc.marmotcaravel.MarmotCaravelConfig.fir@55537.4]
-  assign _T_697 = _GEN_52 << 2; // @[package.scala 194:48:shc.marmotcaravel.MarmotCaravelConfig.fir@55537.4]
+  assign _GEN_51 = {{2'd0}, _T_696}; // @[package.scala 194:48:shc.marmotcaravel.MarmotCaravelConfig.fir@55537.4]
+  assign _T_697 = _GEN_51 << 2; // @[package.scala 194:48:shc.marmotcaravel.MarmotCaravelConfig.fir@55537.4]
   assign _T_698 = _T_697[3:0]; // @[package.scala 194:53:shc.marmotcaravel.MarmotCaravelConfig.fir@55538.4]
   assign _T_699 = _T_696 | _T_698; // @[package.scala 194:43:shc.marmotcaravel.MarmotCaravelConfig.fir@55539.4]
   assign _T_701 = _T_699[0]; // @[Bitwise.scala 27:51:shc.marmotcaravel.MarmotCaravelConfig.fir@55541.4]
@@ -109610,12 +109608,12 @@
   assign _T_846 = _T_845 == 10'h0; // @[Arbiter.scala 54:28:shc.marmotcaravel.MarmotCaravelConfig.fir@55700.4]
   assign _T_759 = auto_in_a_valid & _T_757; // @[AtomicAutomata.scala 149:38:shc.marmotcaravel.MarmotCaravelConfig.fir@55599.4]
   assign _T_848 = {_T_759,_T_281}; // @[Cat.scala 30:58:shc.marmotcaravel.MarmotCaravelConfig.fir@55702.4]
-  assign _GEN_53 = {{1'd0}, _T_848}; // @[package.scala 194:48:shc.marmotcaravel.MarmotCaravelConfig.fir@55703.4]
-  assign _T_849 = _GEN_53 << 1; // @[package.scala 194:48:shc.marmotcaravel.MarmotCaravelConfig.fir@55703.4]
+  assign _GEN_52 = {{1'd0}, _T_848}; // @[package.scala 194:48:shc.marmotcaravel.MarmotCaravelConfig.fir@55703.4]
+  assign _T_849 = _GEN_52 << 1; // @[package.scala 194:48:shc.marmotcaravel.MarmotCaravelConfig.fir@55703.4]
   assign _T_850 = _T_849[1:0]; // @[package.scala 194:53:shc.marmotcaravel.MarmotCaravelConfig.fir@55704.4]
   assign _T_851 = _T_848 | _T_850; // @[package.scala 194:43:shc.marmotcaravel.MarmotCaravelConfig.fir@55705.4]
-  assign _GEN_54 = {{1'd0}, _T_851}; // @[Arbiter.scala 15:78:shc.marmotcaravel.MarmotCaravelConfig.fir@55707.4]
-  assign _T_853 = _GEN_54 << 1; // @[Arbiter.scala 15:78:shc.marmotcaravel.MarmotCaravelConfig.fir@55707.4]
+  assign _GEN_53 = {{1'd0}, _T_851}; // @[Arbiter.scala 15:78:shc.marmotcaravel.MarmotCaravelConfig.fir@55707.4]
+  assign _T_853 = _GEN_53 << 1; // @[Arbiter.scala 15:78:shc.marmotcaravel.MarmotCaravelConfig.fir@55707.4]
   assign _T_854 = _T_853[1:0]; // @[Arbiter.scala 15:83:shc.marmotcaravel.MarmotCaravelConfig.fir@55708.4]
   assign _T_855 = ~ _T_854; // @[Arbiter.scala 15:61:shc.marmotcaravel.MarmotCaravelConfig.fir@55709.4]
   assign _T_857 = _T_855[1]; // @[Arbiter.scala 60:72:shc.marmotcaravel.MarmotCaravelConfig.fir@55711.4]
@@ -109680,8 +109678,8 @@
   assign _T_952 = _T_950 | _T_951; // @[Mux.scala 19:72:shc.marmotcaravel.MarmotCaravelConfig.fir@55773.4]
   assign _T_955 = _T_846 ? _T_888 : _T_952; // @[Arbiter.scala 86:24:shc.marmotcaravel.MarmotCaravelConfig.fir@55776.4]
   assign _T_898 = auto_out_a_ready & _T_955; // @[Decoupled.scala 37:37:shc.marmotcaravel.MarmotCaravelConfig.fir@55752.4]
-  assign _GEN_55 = {{9'd0}, _T_898}; // @[Arbiter.scala 75:52:shc.marmotcaravel.MarmotCaravelConfig.fir@55753.4]
-  assign _T_899 = _T_845 - _GEN_55; // @[Arbiter.scala 75:52:shc.marmotcaravel.MarmotCaravelConfig.fir@55753.4]
+  assign _GEN_54 = {{9'd0}, _T_898}; // @[Arbiter.scala 75:52:shc.marmotcaravel.MarmotCaravelConfig.fir@55753.4]
+  assign _T_899 = _T_845 - _GEN_54; // @[Arbiter.scala 75:52:shc.marmotcaravel.MarmotCaravelConfig.fir@55753.4]
   assign _T_900 = $unsigned(_T_899); // @[Arbiter.scala 75:52:shc.marmotcaravel.MarmotCaravelConfig.fir@55754.4]
   assign _T_901 = _T_900[9:0]; // @[Arbiter.scala 75:52:shc.marmotcaravel.MarmotCaravelConfig.fir@55755.4]
   assign _T_930_0 = _T_846 ? _T_866 : _T_919_0; // @[Arbiter.scala 79:25:shc.marmotcaravel.MarmotCaravelConfig.fir@55763.4]
@@ -109707,11 +109705,11 @@
   assign _T_986 = _T_947 & _T_759; // @[Decoupled.scala 37:37:shc.marmotcaravel.MarmotCaravelConfig.fir@55815.4]
   assign _T_988 = _T_986 & _T_760; // @[AtomicAutomata.scala 170:31:shc.marmotcaravel.MarmotCaravelConfig.fir@55817.4]
   assign _T_989 = auto_in_a_bits_param[1:0]; // @[AtomicAutomata.scala 175:52:shc.marmotcaravel.MarmotCaravelConfig.fir@55822.8]
-  assign _GEN_56 = {{1'd0}, _T_989}; // @[Mux.scala 46:19:shc.marmotcaravel.MarmotCaravelConfig.fir@55823.8]
-  assign _T_990 = 3'h3 == _GEN_56; // @[Mux.scala 46:19:shc.marmotcaravel.MarmotCaravelConfig.fir@55823.8]
-  assign _T_992 = 3'h0 == _GEN_56; // @[Mux.scala 46:19:shc.marmotcaravel.MarmotCaravelConfig.fir@55825.8]
-  assign _T_994 = 3'h1 == _GEN_56; // @[Mux.scala 46:19:shc.marmotcaravel.MarmotCaravelConfig.fir@55827.8]
-  assign _T_996 = 3'h2 == _GEN_56; // @[Mux.scala 46:19:shc.marmotcaravel.MarmotCaravelConfig.fir@55829.8]
+  assign _GEN_55 = {{1'd0}, _T_989}; // @[Mux.scala 46:19:shc.marmotcaravel.MarmotCaravelConfig.fir@55823.8]
+  assign _T_990 = 3'h3 == _GEN_55; // @[Mux.scala 46:19:shc.marmotcaravel.MarmotCaravelConfig.fir@55823.8]
+  assign _T_992 = 3'h0 == _GEN_55; // @[Mux.scala 46:19:shc.marmotcaravel.MarmotCaravelConfig.fir@55825.8]
+  assign _T_994 = 3'h1 == _GEN_55; // @[Mux.scala 46:19:shc.marmotcaravel.MarmotCaravelConfig.fir@55827.8]
+  assign _T_996 = 3'h2 == _GEN_55; // @[Mux.scala 46:19:shc.marmotcaravel.MarmotCaravelConfig.fir@55829.8]
   assign _T_998 = _T_946 & _T_281; // @[Decoupled.scala 37:37:shc.marmotcaravel.MarmotCaravelConfig.fir@55837.4]
   assign _T_1012 = _T_1008 == 10'h0; // @[Edges.scala 231:25:shc.marmotcaravel.MarmotCaravelConfig.fir@55855.4]
   assign _T_1024 = auto_out_d_bits_opcode == 3'h1; // @[AtomicAutomata.scala 209:40:shc.marmotcaravel.MarmotCaravelConfig.fir@55870.4]
@@ -340667,16 +340665,22 @@
   output        auto_io_out_pins_5_o_oval, // @[:shc.marmotcaravel.MarmotCaravelConfig.fir@291269.4]
   output        auto_io_out_pins_5_o_oe, // @[:shc.marmotcaravel.MarmotCaravelConfig.fir@291269.4]
   output        auto_io_out_pins_5_o_ie, // @[:shc.marmotcaravel.MarmotCaravelConfig.fir@291269.4]
+  input         auto_io_out_pins_6_i_ival, // @[:shc.marmotcaravel.MarmotCaravelConfig.fir@291269.4]
   output        auto_io_out_pins_6_o_oval, // @[:shc.marmotcaravel.MarmotCaravelConfig.fir@291269.4]
   output        auto_io_out_pins_6_o_oe, // @[:shc.marmotcaravel.MarmotCaravelConfig.fir@291269.4]
+  input         auto_io_out_pins_7_i_ival, // @[:shc.marmotcaravel.MarmotCaravelConfig.fir@291269.4]
   output        auto_io_out_pins_7_o_oval, // @[:shc.marmotcaravel.MarmotCaravelConfig.fir@291269.4]
   output        auto_io_out_pins_7_o_oe, // @[:shc.marmotcaravel.MarmotCaravelConfig.fir@291269.4]
+  input         auto_io_out_pins_8_i_ival, // @[:shc.marmotcaravel.MarmotCaravelConfig.fir@291269.4]
   output        auto_io_out_pins_8_o_oval, // @[:shc.marmotcaravel.MarmotCaravelConfig.fir@291269.4]
   output        auto_io_out_pins_8_o_oe, // @[:shc.marmotcaravel.MarmotCaravelConfig.fir@291269.4]
+  input         auto_io_out_pins_9_i_ival, // @[:shc.marmotcaravel.MarmotCaravelConfig.fir@291269.4]
   output        auto_io_out_pins_9_o_oval, // @[:shc.marmotcaravel.MarmotCaravelConfig.fir@291269.4]
   output        auto_io_out_pins_9_o_oe, // @[:shc.marmotcaravel.MarmotCaravelConfig.fir@291269.4]
+  input         auto_io_out_pins_10_i_ival, // @[:shc.marmotcaravel.MarmotCaravelConfig.fir@291269.4]
   output        auto_io_out_pins_10_o_oval, // @[:shc.marmotcaravel.MarmotCaravelConfig.fir@291269.4]
   output        auto_io_out_pins_10_o_oe, // @[:shc.marmotcaravel.MarmotCaravelConfig.fir@291269.4]
+  input         auto_io_out_pins_11_i_ival, // @[:shc.marmotcaravel.MarmotCaravelConfig.fir@291269.4]
   output        auto_io_out_pins_11_o_oval, // @[:shc.marmotcaravel.MarmotCaravelConfig.fir@291269.4]
   output        auto_io_out_pins_11_o_oe, // @[:shc.marmotcaravel.MarmotCaravelConfig.fir@291269.4]
   input         auto_io_out_pins_12_i_ival, // @[:shc.marmotcaravel.MarmotCaravelConfig.fir@291269.4]
@@ -340731,18 +340735,25 @@
   output        auto_io_out_pins_24_o_oval, // @[:shc.marmotcaravel.MarmotCaravelConfig.fir@291269.4]
   output        auto_io_out_pins_24_o_oe, // @[:shc.marmotcaravel.MarmotCaravelConfig.fir@291269.4]
   output        auto_io_out_pins_24_o_ie, // @[:shc.marmotcaravel.MarmotCaravelConfig.fir@291269.4]
+  input         auto_io_out_pins_25_i_ival, // @[:shc.marmotcaravel.MarmotCaravelConfig.fir@291269.4]
   output        auto_io_out_pins_25_o_oval, // @[:shc.marmotcaravel.MarmotCaravelConfig.fir@291269.4]
   output        auto_io_out_pins_25_o_oe, // @[:shc.marmotcaravel.MarmotCaravelConfig.fir@291269.4]
+  input         auto_io_out_pins_26_i_ival, // @[:shc.marmotcaravel.MarmotCaravelConfig.fir@291269.4]
   output        auto_io_out_pins_26_o_oval, // @[:shc.marmotcaravel.MarmotCaravelConfig.fir@291269.4]
   output        auto_io_out_pins_26_o_oe, // @[:shc.marmotcaravel.MarmotCaravelConfig.fir@291269.4]
+  input         auto_io_out_pins_27_i_ival, // @[:shc.marmotcaravel.MarmotCaravelConfig.fir@291269.4]
   output        auto_io_out_pins_27_o_oval, // @[:shc.marmotcaravel.MarmotCaravelConfig.fir@291269.4]
   output        auto_io_out_pins_27_o_oe, // @[:shc.marmotcaravel.MarmotCaravelConfig.fir@291269.4]
+  input         auto_io_out_pins_28_i_ival, // @[:shc.marmotcaravel.MarmotCaravelConfig.fir@291269.4]
   output        auto_io_out_pins_28_o_oval, // @[:shc.marmotcaravel.MarmotCaravelConfig.fir@291269.4]
   output        auto_io_out_pins_28_o_oe, // @[:shc.marmotcaravel.MarmotCaravelConfig.fir@291269.4]
+  input         auto_io_out_pins_29_i_ival, // @[:shc.marmotcaravel.MarmotCaravelConfig.fir@291269.4]
   output        auto_io_out_pins_29_o_oval, // @[:shc.marmotcaravel.MarmotCaravelConfig.fir@291269.4]
   output        auto_io_out_pins_29_o_oe, // @[:shc.marmotcaravel.MarmotCaravelConfig.fir@291269.4]
+  input         auto_io_out_pins_30_i_ival, // @[:shc.marmotcaravel.MarmotCaravelConfig.fir@291269.4]
   output        auto_io_out_pins_30_o_oval, // @[:shc.marmotcaravel.MarmotCaravelConfig.fir@291269.4]
   output        auto_io_out_pins_30_o_oe, // @[:shc.marmotcaravel.MarmotCaravelConfig.fir@291269.4]
+  input         auto_io_out_pins_31_i_ival, // @[:shc.marmotcaravel.MarmotCaravelConfig.fir@291269.4]
   output        auto_io_out_pins_31_o_oval, // @[:shc.marmotcaravel.MarmotCaravelConfig.fir@291269.4]
   output        auto_io_out_pins_31_o_oe, // @[:shc.marmotcaravel.MarmotCaravelConfig.fir@291269.4]
   input         auto_io_out_iof_0_0_o_oval, // @[:shc.marmotcaravel.MarmotCaravelConfig.fir@291269.4]
@@ -340928,8 +340939,12 @@
   wire [1:0] _T_3063; // @[GPIO.scala 67:41:shc.marmotcaravel.MarmotCaravelConfig.fir@291397.4]
   wire [3:0] _T_3064; // @[GPIO.scala 67:41:shc.marmotcaravel.MarmotCaravelConfig.fir@291398.4]
   wire [1:0] _T_3065; // @[GPIO.scala 67:41:shc.marmotcaravel.MarmotCaravelConfig.fir@291399.4]
+  wire [1:0] _T_3066; // @[GPIO.scala 67:41:shc.marmotcaravel.MarmotCaravelConfig.fir@291400.4]
   wire [3:0] _T_3067; // @[GPIO.scala 67:41:shc.marmotcaravel.MarmotCaravelConfig.fir@291401.4]
   wire [7:0] _T_3068; // @[GPIO.scala 67:41:shc.marmotcaravel.MarmotCaravelConfig.fir@291402.4]
+  wire [1:0] _T_3069; // @[GPIO.scala 67:41:shc.marmotcaravel.MarmotCaravelConfig.fir@291403.4]
+  wire [1:0] _T_3070; // @[GPIO.scala 67:41:shc.marmotcaravel.MarmotCaravelConfig.fir@291404.4]
+  wire [3:0] _T_3071; // @[GPIO.scala 67:41:shc.marmotcaravel.MarmotCaravelConfig.fir@291405.4]
   wire [1:0] _T_3072; // @[GPIO.scala 67:41:shc.marmotcaravel.MarmotCaravelConfig.fir@291406.4]
   wire [1:0] _T_3073; // @[GPIO.scala 67:41:shc.marmotcaravel.MarmotCaravelConfig.fir@291407.4]
   wire [3:0] _T_3074; // @[GPIO.scala 67:41:shc.marmotcaravel.MarmotCaravelConfig.fir@291408.4]
@@ -340943,7 +340958,11 @@
   wire [3:0] _T_3082; // @[GPIO.scala 67:41:shc.marmotcaravel.MarmotCaravelConfig.fir@291416.4]
   wire [7:0] _T_3083; // @[GPIO.scala 67:41:shc.marmotcaravel.MarmotCaravelConfig.fir@291417.4]
   wire [1:0] _T_3084; // @[GPIO.scala 67:41:shc.marmotcaravel.MarmotCaravelConfig.fir@291418.4]
+  wire [1:0] _T_3085; // @[GPIO.scala 67:41:shc.marmotcaravel.MarmotCaravelConfig.fir@291419.4]
   wire [3:0] _T_3086; // @[GPIO.scala 67:41:shc.marmotcaravel.MarmotCaravelConfig.fir@291420.4]
+  wire [1:0] _T_3087; // @[GPIO.scala 67:41:shc.marmotcaravel.MarmotCaravelConfig.fir@291421.4]
+  wire [1:0] _T_3088; // @[GPIO.scala 67:41:shc.marmotcaravel.MarmotCaravelConfig.fir@291422.4]
+  wire [3:0] _T_3089; // @[GPIO.scala 67:41:shc.marmotcaravel.MarmotCaravelConfig.fir@291423.4]
   wire [7:0] _T_3090; // @[GPIO.scala 67:41:shc.marmotcaravel.MarmotCaravelConfig.fir@291424.4]
   wire [15:0] _T_3091; // @[GPIO.scala 67:41:shc.marmotcaravel.MarmotCaravelConfig.fir@291425.4]
   reg [31:0] valueReg; // @[GPIO.scala 69:23:shc.marmotcaravel.MarmotCaravelConfig.fir@291436.4]
@@ -341982,12 +342001,16 @@
   assign _T_3063 = {auto_io_out_pins_3_i_ival,auto_io_out_pins_2_i_ival}; // @[GPIO.scala 67:41:shc.marmotcaravel.MarmotCaravelConfig.fir@291397.4]
   assign _T_3064 = {_T_3063,_T_3062}; // @[GPIO.scala 67:41:shc.marmotcaravel.MarmotCaravelConfig.fir@291398.4]
   assign _T_3065 = {auto_io_out_pins_5_i_ival,auto_io_out_pins_4_i_ival}; // @[GPIO.scala 67:41:shc.marmotcaravel.MarmotCaravelConfig.fir@291399.4]
-  assign _T_3067 = {2'h0,_T_3065}; // @[GPIO.scala 67:41:shc.marmotcaravel.MarmotCaravelConfig.fir@291401.4]
+  assign _T_3066 = {auto_io_out_pins_7_i_ival,auto_io_out_pins_6_i_ival}; // @[GPIO.scala 67:41:shc.marmotcaravel.MarmotCaravelConfig.fir@291400.4]
+  assign _T_3067 = {_T_3066,_T_3065}; // @[GPIO.scala 67:41:shc.marmotcaravel.MarmotCaravelConfig.fir@291401.4]
   assign _T_3068 = {_T_3067,_T_3064}; // @[GPIO.scala 67:41:shc.marmotcaravel.MarmotCaravelConfig.fir@291402.4]
+  assign _T_3069 = {auto_io_out_pins_9_i_ival,auto_io_out_pins_8_i_ival}; // @[GPIO.scala 67:41:shc.marmotcaravel.MarmotCaravelConfig.fir@291403.4]
+  assign _T_3070 = {auto_io_out_pins_11_i_ival,auto_io_out_pins_10_i_ival}; // @[GPIO.scala 67:41:shc.marmotcaravel.MarmotCaravelConfig.fir@291404.4]
+  assign _T_3071 = {_T_3070,_T_3069}; // @[GPIO.scala 67:41:shc.marmotcaravel.MarmotCaravelConfig.fir@291405.4]
   assign _T_3072 = {auto_io_out_pins_13_i_ival,auto_io_out_pins_12_i_ival}; // @[GPIO.scala 67:41:shc.marmotcaravel.MarmotCaravelConfig.fir@291406.4]
   assign _T_3073 = {auto_io_out_pins_15_i_ival,auto_io_out_pins_14_i_ival}; // @[GPIO.scala 67:41:shc.marmotcaravel.MarmotCaravelConfig.fir@291407.4]
   assign _T_3074 = {_T_3073,_T_3072}; // @[GPIO.scala 67:41:shc.marmotcaravel.MarmotCaravelConfig.fir@291408.4]
-  assign _T_3075 = {_T_3074,4'h0}; // @[GPIO.scala 67:41:shc.marmotcaravel.MarmotCaravelConfig.fir@291409.4]
+  assign _T_3075 = {_T_3074,_T_3071}; // @[GPIO.scala 67:41:shc.marmotcaravel.MarmotCaravelConfig.fir@291409.4]
   assign _T_3076 = {_T_3075,_T_3068}; // @[GPIO.scala 67:41:shc.marmotcaravel.MarmotCaravelConfig.fir@291410.4]
   assign _T_3077 = {auto_io_out_pins_17_i_ival,auto_io_out_pins_16_i_ival}; // @[GPIO.scala 67:41:shc.marmotcaravel.MarmotCaravelConfig.fir@291411.4]
   assign _T_3078 = {auto_io_out_pins_19_i_ival,auto_io_out_pins_18_i_ival}; // @[GPIO.scala 67:41:shc.marmotcaravel.MarmotCaravelConfig.fir@291412.4]
@@ -341996,9 +342019,13 @@
   assign _T_3081 = {auto_io_out_pins_23_i_ival,auto_io_out_pins_22_i_ival}; // @[GPIO.scala 67:41:shc.marmotcaravel.MarmotCaravelConfig.fir@291415.4]
   assign _T_3082 = {_T_3081,_T_3080}; // @[GPIO.scala 67:41:shc.marmotcaravel.MarmotCaravelConfig.fir@291416.4]
   assign _T_3083 = {_T_3082,_T_3079}; // @[GPIO.scala 67:41:shc.marmotcaravel.MarmotCaravelConfig.fir@291417.4]
-  assign _T_3084 = {1'h0,auto_io_out_pins_24_i_ival}; // @[GPIO.scala 67:41:shc.marmotcaravel.MarmotCaravelConfig.fir@291418.4]
-  assign _T_3086 = {2'h0,_T_3084}; // @[GPIO.scala 67:41:shc.marmotcaravel.MarmotCaravelConfig.fir@291420.4]
-  assign _T_3090 = {4'h0,_T_3086}; // @[GPIO.scala 67:41:shc.marmotcaravel.MarmotCaravelConfig.fir@291424.4]
+  assign _T_3084 = {auto_io_out_pins_25_i_ival,auto_io_out_pins_24_i_ival}; // @[GPIO.scala 67:41:shc.marmotcaravel.MarmotCaravelConfig.fir@291418.4]
+  assign _T_3085 = {auto_io_out_pins_27_i_ival,auto_io_out_pins_26_i_ival}; // @[GPIO.scala 67:41:shc.marmotcaravel.MarmotCaravelConfig.fir@291419.4]
+  assign _T_3086 = {_T_3085,_T_3084}; // @[GPIO.scala 67:41:shc.marmotcaravel.MarmotCaravelConfig.fir@291420.4]
+  assign _T_3087 = {auto_io_out_pins_29_i_ival,auto_io_out_pins_28_i_ival}; // @[GPIO.scala 67:41:shc.marmotcaravel.MarmotCaravelConfig.fir@291421.4]
+  assign _T_3088 = {auto_io_out_pins_31_i_ival,auto_io_out_pins_30_i_ival}; // @[GPIO.scala 67:41:shc.marmotcaravel.MarmotCaravelConfig.fir@291422.4]
+  assign _T_3089 = {_T_3088,_T_3087}; // @[GPIO.scala 67:41:shc.marmotcaravel.MarmotCaravelConfig.fir@291423.4]
+  assign _T_3090 = {_T_3089,_T_3086}; // @[GPIO.scala 67:41:shc.marmotcaravel.MarmotCaravelConfig.fir@291424.4]
   assign _T_3091 = {_T_3090,_T_3083}; // @[GPIO.scala 67:41:shc.marmotcaravel.MarmotCaravelConfig.fir@291425.4]
   assign _T_3105 = ~ valueReg; // @[GPIO.scala 92:14:shc.marmotcaravel.MarmotCaravelConfig.fir@291452.4]
   assign inSyncReg_1 = inSyncReg_io_q; // @[ShiftReg.scala 50:24:shc.marmotcaravel.MarmotCaravelConfig.fir@291433.4 ShiftReg.scala 50:24:shc.marmotcaravel.MarmotCaravelConfig.fir@291435.4]
@@ -361720,16 +361747,22 @@
   output  gpio_0_pins_5_o_oval, // @[:shc.marmotcaravel.MarmotCaravelConfig.fir@304551.4]
   output  gpio_0_pins_5_o_oe, // @[:shc.marmotcaravel.MarmotCaravelConfig.fir@304551.4]
   output  gpio_0_pins_5_o_ie, // @[:shc.marmotcaravel.MarmotCaravelConfig.fir@304551.4]
+  input   gpio_0_pins_6_i_ival, // @[:shc.marmotcaravel.MarmotCaravelConfig.fir@304551.4]
   output  gpio_0_pins_6_o_oval, // @[:shc.marmotcaravel.MarmotCaravelConfig.fir@304551.4]
   output  gpio_0_pins_6_o_oe, // @[:shc.marmotcaravel.MarmotCaravelConfig.fir@304551.4]
+  input   gpio_0_pins_7_i_ival, // @[:shc.marmotcaravel.MarmotCaravelConfig.fir@304551.4]
   output  gpio_0_pins_7_o_oval, // @[:shc.marmotcaravel.MarmotCaravelConfig.fir@304551.4]
   output  gpio_0_pins_7_o_oe, // @[:shc.marmotcaravel.MarmotCaravelConfig.fir@304551.4]
+  input   gpio_0_pins_8_i_ival, // @[:shc.marmotcaravel.MarmotCaravelConfig.fir@304551.4]
   output  gpio_0_pins_8_o_oval, // @[:shc.marmotcaravel.MarmotCaravelConfig.fir@304551.4]
   output  gpio_0_pins_8_o_oe, // @[:shc.marmotcaravel.MarmotCaravelConfig.fir@304551.4]
+  input   gpio_0_pins_9_i_ival, // @[:shc.marmotcaravel.MarmotCaravelConfig.fir@304551.4]
   output  gpio_0_pins_9_o_oval, // @[:shc.marmotcaravel.MarmotCaravelConfig.fir@304551.4]
   output  gpio_0_pins_9_o_oe, // @[:shc.marmotcaravel.MarmotCaravelConfig.fir@304551.4]
+  input   gpio_0_pins_10_i_ival, // @[:shc.marmotcaravel.MarmotCaravelConfig.fir@304551.4]
   output  gpio_0_pins_10_o_oval, // @[:shc.marmotcaravel.MarmotCaravelConfig.fir@304551.4]
   output  gpio_0_pins_10_o_oe, // @[:shc.marmotcaravel.MarmotCaravelConfig.fir@304551.4]
+  input   gpio_0_pins_11_i_ival, // @[:shc.marmotcaravel.MarmotCaravelConfig.fir@304551.4]
   output  gpio_0_pins_11_o_oval, // @[:shc.marmotcaravel.MarmotCaravelConfig.fir@304551.4]
   output  gpio_0_pins_11_o_oe, // @[:shc.marmotcaravel.MarmotCaravelConfig.fir@304551.4]
   input   gpio_0_pins_12_i_ival, // @[:shc.marmotcaravel.MarmotCaravelConfig.fir@304551.4]
@@ -361784,18 +361817,25 @@
   output  gpio_0_pins_24_o_oval, // @[:shc.marmotcaravel.MarmotCaravelConfig.fir@304551.4]
   output  gpio_0_pins_24_o_oe, // @[:shc.marmotcaravel.MarmotCaravelConfig.fir@304551.4]
   output  gpio_0_pins_24_o_ie, // @[:shc.marmotcaravel.MarmotCaravelConfig.fir@304551.4]
+  input   gpio_0_pins_25_i_ival, // @[:shc.marmotcaravel.MarmotCaravelConfig.fir@304551.4]
   output  gpio_0_pins_25_o_oval, // @[:shc.marmotcaravel.MarmotCaravelConfig.fir@304551.4]
   output  gpio_0_pins_25_o_oe, // @[:shc.marmotcaravel.MarmotCaravelConfig.fir@304551.4]
+  input   gpio_0_pins_26_i_ival, // @[:shc.marmotcaravel.MarmotCaravelConfig.fir@304551.4]
   output  gpio_0_pins_26_o_oval, // @[:shc.marmotcaravel.MarmotCaravelConfig.fir@304551.4]
   output  gpio_0_pins_26_o_oe, // @[:shc.marmotcaravel.MarmotCaravelConfig.fir@304551.4]
+  input   gpio_0_pins_27_i_ival, // @[:shc.marmotcaravel.MarmotCaravelConfig.fir@304551.4]
   output  gpio_0_pins_27_o_oval, // @[:shc.marmotcaravel.MarmotCaravelConfig.fir@304551.4]
   output  gpio_0_pins_27_o_oe, // @[:shc.marmotcaravel.MarmotCaravelConfig.fir@304551.4]
+  input   gpio_0_pins_28_i_ival, // @[:shc.marmotcaravel.MarmotCaravelConfig.fir@304551.4]
   output  gpio_0_pins_28_o_oval, // @[:shc.marmotcaravel.MarmotCaravelConfig.fir@304551.4]
   output  gpio_0_pins_28_o_oe, // @[:shc.marmotcaravel.MarmotCaravelConfig.fir@304551.4]
+  input   gpio_0_pins_29_i_ival, // @[:shc.marmotcaravel.MarmotCaravelConfig.fir@304551.4]
   output  gpio_0_pins_29_o_oval, // @[:shc.marmotcaravel.MarmotCaravelConfig.fir@304551.4]
   output  gpio_0_pins_29_o_oe, // @[:shc.marmotcaravel.MarmotCaravelConfig.fir@304551.4]
+  input   gpio_0_pins_30_i_ival, // @[:shc.marmotcaravel.MarmotCaravelConfig.fir@304551.4]
   output  gpio_0_pins_30_o_oval, // @[:shc.marmotcaravel.MarmotCaravelConfig.fir@304551.4]
   output  gpio_0_pins_30_o_oe, // @[:shc.marmotcaravel.MarmotCaravelConfig.fir@304551.4]
+  input   gpio_0_pins_31_i_ival, // @[:shc.marmotcaravel.MarmotCaravelConfig.fir@304551.4]
   output  gpio_0_pins_31_o_oval, // @[:shc.marmotcaravel.MarmotCaravelConfig.fir@304551.4]
   output  gpio_0_pins_31_o_oe, // @[:shc.marmotcaravel.MarmotCaravelConfig.fir@304551.4]
   input   gpio_0_iof_0_0_o_oval, // @[:shc.marmotcaravel.MarmotCaravelConfig.fir@304551.4]
@@ -363005,16 +363045,22 @@
   wire  gpio_0_1_auto_io_out_pins_5_o_oval; // @[GPIO.scala 224:26:shc.marmotcaravel.MarmotCaravelConfig.fir@304836.4]
   wire  gpio_0_1_auto_io_out_pins_5_o_oe; // @[GPIO.scala 224:26:shc.marmotcaravel.MarmotCaravelConfig.fir@304836.4]
   wire  gpio_0_1_auto_io_out_pins_5_o_ie; // @[GPIO.scala 224:26:shc.marmotcaravel.MarmotCaravelConfig.fir@304836.4]
+  wire  gpio_0_1_auto_io_out_pins_6_i_ival; // @[GPIO.scala 224:26:shc.marmotcaravel.MarmotCaravelConfig.fir@304836.4]
   wire  gpio_0_1_auto_io_out_pins_6_o_oval; // @[GPIO.scala 224:26:shc.marmotcaravel.MarmotCaravelConfig.fir@304836.4]
   wire  gpio_0_1_auto_io_out_pins_6_o_oe; // @[GPIO.scala 224:26:shc.marmotcaravel.MarmotCaravelConfig.fir@304836.4]
+  wire  gpio_0_1_auto_io_out_pins_7_i_ival; // @[GPIO.scala 224:26:shc.marmotcaravel.MarmotCaravelConfig.fir@304836.4]
   wire  gpio_0_1_auto_io_out_pins_7_o_oval; // @[GPIO.scala 224:26:shc.marmotcaravel.MarmotCaravelConfig.fir@304836.4]
   wire  gpio_0_1_auto_io_out_pins_7_o_oe; // @[GPIO.scala 224:26:shc.marmotcaravel.MarmotCaravelConfig.fir@304836.4]
+  wire  gpio_0_1_auto_io_out_pins_8_i_ival; // @[GPIO.scala 224:26:shc.marmotcaravel.MarmotCaravelConfig.fir@304836.4]
   wire  gpio_0_1_auto_io_out_pins_8_o_oval; // @[GPIO.scala 224:26:shc.marmotcaravel.MarmotCaravelConfig.fir@304836.4]
   wire  gpio_0_1_auto_io_out_pins_8_o_oe; // @[GPIO.scala 224:26:shc.marmotcaravel.MarmotCaravelConfig.fir@304836.4]
+  wire  gpio_0_1_auto_io_out_pins_9_i_ival; // @[GPIO.scala 224:26:shc.marmotcaravel.MarmotCaravelConfig.fir@304836.4]
   wire  gpio_0_1_auto_io_out_pins_9_o_oval; // @[GPIO.scala 224:26:shc.marmotcaravel.MarmotCaravelConfig.fir@304836.4]
   wire  gpio_0_1_auto_io_out_pins_9_o_oe; // @[GPIO.scala 224:26:shc.marmotcaravel.MarmotCaravelConfig.fir@304836.4]
+  wire  gpio_0_1_auto_io_out_pins_10_i_ival; // @[GPIO.scala 224:26:shc.marmotcaravel.MarmotCaravelConfig.fir@304836.4]
   wire  gpio_0_1_auto_io_out_pins_10_o_oval; // @[GPIO.scala 224:26:shc.marmotcaravel.MarmotCaravelConfig.fir@304836.4]
   wire  gpio_0_1_auto_io_out_pins_10_o_oe; // @[GPIO.scala 224:26:shc.marmotcaravel.MarmotCaravelConfig.fir@304836.4]
+  wire  gpio_0_1_auto_io_out_pins_11_i_ival; // @[GPIO.scala 224:26:shc.marmotcaravel.MarmotCaravelConfig.fir@304836.4]
   wire  gpio_0_1_auto_io_out_pins_11_o_oval; // @[GPIO.scala 224:26:shc.marmotcaravel.MarmotCaravelConfig.fir@304836.4]
   wire  gpio_0_1_auto_io_out_pins_11_o_oe; // @[GPIO.scala 224:26:shc.marmotcaravel.MarmotCaravelConfig.fir@304836.4]
   wire  gpio_0_1_auto_io_out_pins_12_i_ival; // @[GPIO.scala 224:26:shc.marmotcaravel.MarmotCaravelConfig.fir@304836.4]
@@ -363069,18 +363115,25 @@
   wire  gpio_0_1_auto_io_out_pins_24_o_oval; // @[GPIO.scala 224:26:shc.marmotcaravel.MarmotCaravelConfig.fir@304836.4]
   wire  gpio_0_1_auto_io_out_pins_24_o_oe; // @[GPIO.scala 224:26:shc.marmotcaravel.MarmotCaravelConfig.fir@304836.4]
   wire  gpio_0_1_auto_io_out_pins_24_o_ie; // @[GPIO.scala 224:26:shc.marmotcaravel.MarmotCaravelConfig.fir@304836.4]
+  wire  gpio_0_1_auto_io_out_pins_25_i_ival; // @[GPIO.scala 224:26:shc.marmotcaravel.MarmotCaravelConfig.fir@304836.4]
   wire  gpio_0_1_auto_io_out_pins_25_o_oval; // @[GPIO.scala 224:26:shc.marmotcaravel.MarmotCaravelConfig.fir@304836.4]
   wire  gpio_0_1_auto_io_out_pins_25_o_oe; // @[GPIO.scala 224:26:shc.marmotcaravel.MarmotCaravelConfig.fir@304836.4]
+  wire  gpio_0_1_auto_io_out_pins_26_i_ival; // @[GPIO.scala 224:26:shc.marmotcaravel.MarmotCaravelConfig.fir@304836.4]
   wire  gpio_0_1_auto_io_out_pins_26_o_oval; // @[GPIO.scala 224:26:shc.marmotcaravel.MarmotCaravelConfig.fir@304836.4]
   wire  gpio_0_1_auto_io_out_pins_26_o_oe; // @[GPIO.scala 224:26:shc.marmotcaravel.MarmotCaravelConfig.fir@304836.4]
+  wire  gpio_0_1_auto_io_out_pins_27_i_ival; // @[GPIO.scala 224:26:shc.marmotcaravel.MarmotCaravelConfig.fir@304836.4]
   wire  gpio_0_1_auto_io_out_pins_27_o_oval; // @[GPIO.scala 224:26:shc.marmotcaravel.MarmotCaravelConfig.fir@304836.4]
   wire  gpio_0_1_auto_io_out_pins_27_o_oe; // @[GPIO.scala 224:26:shc.marmotcaravel.MarmotCaravelConfig.fir@304836.4]
+  wire  gpio_0_1_auto_io_out_pins_28_i_ival; // @[GPIO.scala 224:26:shc.marmotcaravel.MarmotCaravelConfig.fir@304836.4]
   wire  gpio_0_1_auto_io_out_pins_28_o_oval; // @[GPIO.scala 224:26:shc.marmotcaravel.MarmotCaravelConfig.fir@304836.4]
   wire  gpio_0_1_auto_io_out_pins_28_o_oe; // @[GPIO.scala 224:26:shc.marmotcaravel.MarmotCaravelConfig.fir@304836.4]
+  wire  gpio_0_1_auto_io_out_pins_29_i_ival; // @[GPIO.scala 224:26:shc.marmotcaravel.MarmotCaravelConfig.fir@304836.4]
   wire  gpio_0_1_auto_io_out_pins_29_o_oval; // @[GPIO.scala 224:26:shc.marmotcaravel.MarmotCaravelConfig.fir@304836.4]
   wire  gpio_0_1_auto_io_out_pins_29_o_oe; // @[GPIO.scala 224:26:shc.marmotcaravel.MarmotCaravelConfig.fir@304836.4]
+  wire  gpio_0_1_auto_io_out_pins_30_i_ival; // @[GPIO.scala 224:26:shc.marmotcaravel.MarmotCaravelConfig.fir@304836.4]
   wire  gpio_0_1_auto_io_out_pins_30_o_oval; // @[GPIO.scala 224:26:shc.marmotcaravel.MarmotCaravelConfig.fir@304836.4]
   wire  gpio_0_1_auto_io_out_pins_30_o_oe; // @[GPIO.scala 224:26:shc.marmotcaravel.MarmotCaravelConfig.fir@304836.4]
+  wire  gpio_0_1_auto_io_out_pins_31_i_ival; // @[GPIO.scala 224:26:shc.marmotcaravel.MarmotCaravelConfig.fir@304836.4]
   wire  gpio_0_1_auto_io_out_pins_31_o_oval; // @[GPIO.scala 224:26:shc.marmotcaravel.MarmotCaravelConfig.fir@304836.4]
   wire  gpio_0_1_auto_io_out_pins_31_o_oe; // @[GPIO.scala 224:26:shc.marmotcaravel.MarmotCaravelConfig.fir@304836.4]
   wire  gpio_0_1_auto_io_out_iof_0_0_o_oval; // @[GPIO.scala 224:26:shc.marmotcaravel.MarmotCaravelConfig.fir@304836.4]
@@ -364481,16 +364534,22 @@
     .auto_io_out_pins_5_o_oval(gpio_0_1_auto_io_out_pins_5_o_oval),
     .auto_io_out_pins_5_o_oe(gpio_0_1_auto_io_out_pins_5_o_oe),
     .auto_io_out_pins_5_o_ie(gpio_0_1_auto_io_out_pins_5_o_ie),
+    .auto_io_out_pins_6_i_ival(gpio_0_1_auto_io_out_pins_6_i_ival),
     .auto_io_out_pins_6_o_oval(gpio_0_1_auto_io_out_pins_6_o_oval),
     .auto_io_out_pins_6_o_oe(gpio_0_1_auto_io_out_pins_6_o_oe),
+    .auto_io_out_pins_7_i_ival(gpio_0_1_auto_io_out_pins_7_i_ival),
     .auto_io_out_pins_7_o_oval(gpio_0_1_auto_io_out_pins_7_o_oval),
     .auto_io_out_pins_7_o_oe(gpio_0_1_auto_io_out_pins_7_o_oe),
+    .auto_io_out_pins_8_i_ival(gpio_0_1_auto_io_out_pins_8_i_ival),
     .auto_io_out_pins_8_o_oval(gpio_0_1_auto_io_out_pins_8_o_oval),
     .auto_io_out_pins_8_o_oe(gpio_0_1_auto_io_out_pins_8_o_oe),
+    .auto_io_out_pins_9_i_ival(gpio_0_1_auto_io_out_pins_9_i_ival),
     .auto_io_out_pins_9_o_oval(gpio_0_1_auto_io_out_pins_9_o_oval),
     .auto_io_out_pins_9_o_oe(gpio_0_1_auto_io_out_pins_9_o_oe),
+    .auto_io_out_pins_10_i_ival(gpio_0_1_auto_io_out_pins_10_i_ival),
     .auto_io_out_pins_10_o_oval(gpio_0_1_auto_io_out_pins_10_o_oval),
     .auto_io_out_pins_10_o_oe(gpio_0_1_auto_io_out_pins_10_o_oe),
+    .auto_io_out_pins_11_i_ival(gpio_0_1_auto_io_out_pins_11_i_ival),
     .auto_io_out_pins_11_o_oval(gpio_0_1_auto_io_out_pins_11_o_oval),
     .auto_io_out_pins_11_o_oe(gpio_0_1_auto_io_out_pins_11_o_oe),
     .auto_io_out_pins_12_i_ival(gpio_0_1_auto_io_out_pins_12_i_ival),
@@ -364545,18 +364604,25 @@
     .auto_io_out_pins_24_o_oval(gpio_0_1_auto_io_out_pins_24_o_oval),
     .auto_io_out_pins_24_o_oe(gpio_0_1_auto_io_out_pins_24_o_oe),
     .auto_io_out_pins_24_o_ie(gpio_0_1_auto_io_out_pins_24_o_ie),
+    .auto_io_out_pins_25_i_ival(gpio_0_1_auto_io_out_pins_25_i_ival),
     .auto_io_out_pins_25_o_oval(gpio_0_1_auto_io_out_pins_25_o_oval),
     .auto_io_out_pins_25_o_oe(gpio_0_1_auto_io_out_pins_25_o_oe),
+    .auto_io_out_pins_26_i_ival(gpio_0_1_auto_io_out_pins_26_i_ival),
     .auto_io_out_pins_26_o_oval(gpio_0_1_auto_io_out_pins_26_o_oval),
     .auto_io_out_pins_26_o_oe(gpio_0_1_auto_io_out_pins_26_o_oe),
+    .auto_io_out_pins_27_i_ival(gpio_0_1_auto_io_out_pins_27_i_ival),
     .auto_io_out_pins_27_o_oval(gpio_0_1_auto_io_out_pins_27_o_oval),
     .auto_io_out_pins_27_o_oe(gpio_0_1_auto_io_out_pins_27_o_oe),
+    .auto_io_out_pins_28_i_ival(gpio_0_1_auto_io_out_pins_28_i_ival),
     .auto_io_out_pins_28_o_oval(gpio_0_1_auto_io_out_pins_28_o_oval),
     .auto_io_out_pins_28_o_oe(gpio_0_1_auto_io_out_pins_28_o_oe),
+    .auto_io_out_pins_29_i_ival(gpio_0_1_auto_io_out_pins_29_i_ival),
     .auto_io_out_pins_29_o_oval(gpio_0_1_auto_io_out_pins_29_o_oval),
     .auto_io_out_pins_29_o_oe(gpio_0_1_auto_io_out_pins_29_o_oe),
+    .auto_io_out_pins_30_i_ival(gpio_0_1_auto_io_out_pins_30_i_ival),
     .auto_io_out_pins_30_o_oval(gpio_0_1_auto_io_out_pins_30_o_oval),
     .auto_io_out_pins_30_o_oe(gpio_0_1_auto_io_out_pins_30_o_oe),
+    .auto_io_out_pins_31_i_ival(gpio_0_1_auto_io_out_pins_31_i_ival),
     .auto_io_out_pins_31_o_oval(gpio_0_1_auto_io_out_pins_31_o_oval),
     .auto_io_out_pins_31_o_oe(gpio_0_1_auto_io_out_pins_31_o_oe),
     .auto_io_out_iof_0_0_o_oval(gpio_0_1_auto_io_out_iof_0_0_o_oval),
@@ -365468,6 +365534,12 @@
   assign gpio_0_1_auto_io_out_pins_3_i_ival = gpio_0_pins_3_i_ival; // @[LazyModule.scala 167:31:shc.marmotcaravel.MarmotCaravelConfig.fir@305041.4]
   assign gpio_0_1_auto_io_out_pins_4_i_ival = gpio_0_pins_4_i_ival; // @[LazyModule.scala 167:31:shc.marmotcaravel.MarmotCaravelConfig.fir@305041.4]
   assign gpio_0_1_auto_io_out_pins_5_i_ival = gpio_0_pins_5_i_ival; // @[LazyModule.scala 167:31:shc.marmotcaravel.MarmotCaravelConfig.fir@305041.4]
+  assign gpio_0_1_auto_io_out_pins_6_i_ival = gpio_0_pins_6_i_ival; // @[LazyModule.scala 167:31:shc.marmotcaravel.MarmotCaravelConfig.fir@305041.4]
+  assign gpio_0_1_auto_io_out_pins_7_i_ival = gpio_0_pins_7_i_ival; // @[LazyModule.scala 167:31:shc.marmotcaravel.MarmotCaravelConfig.fir@305041.4]
+  assign gpio_0_1_auto_io_out_pins_8_i_ival = gpio_0_pins_8_i_ival; // @[LazyModule.scala 167:31:shc.marmotcaravel.MarmotCaravelConfig.fir@305041.4]
+  assign gpio_0_1_auto_io_out_pins_9_i_ival = gpio_0_pins_9_i_ival; // @[LazyModule.scala 167:31:shc.marmotcaravel.MarmotCaravelConfig.fir@305041.4]
+  assign gpio_0_1_auto_io_out_pins_10_i_ival = gpio_0_pins_10_i_ival; // @[LazyModule.scala 167:31:shc.marmotcaravel.MarmotCaravelConfig.fir@305041.4]
+  assign gpio_0_1_auto_io_out_pins_11_i_ival = gpio_0_pins_11_i_ival; // @[LazyModule.scala 167:31:shc.marmotcaravel.MarmotCaravelConfig.fir@305041.4]
   assign gpio_0_1_auto_io_out_pins_12_i_ival = gpio_0_pins_12_i_ival; // @[LazyModule.scala 167:31:shc.marmotcaravel.MarmotCaravelConfig.fir@305041.4]
   assign gpio_0_1_auto_io_out_pins_13_i_ival = gpio_0_pins_13_i_ival; // @[LazyModule.scala 167:31:shc.marmotcaravel.MarmotCaravelConfig.fir@305041.4]
   assign gpio_0_1_auto_io_out_pins_14_i_ival = gpio_0_pins_14_i_ival; // @[LazyModule.scala 167:31:shc.marmotcaravel.MarmotCaravelConfig.fir@305041.4]
@@ -365481,6 +365553,13 @@
   assign gpio_0_1_auto_io_out_pins_22_i_ival = gpio_0_pins_22_i_ival; // @[LazyModule.scala 167:31:shc.marmotcaravel.MarmotCaravelConfig.fir@305041.4]
   assign gpio_0_1_auto_io_out_pins_23_i_ival = gpio_0_pins_23_i_ival; // @[LazyModule.scala 167:31:shc.marmotcaravel.MarmotCaravelConfig.fir@305041.4]
   assign gpio_0_1_auto_io_out_pins_24_i_ival = gpio_0_pins_24_i_ival; // @[LazyModule.scala 167:31:shc.marmotcaravel.MarmotCaravelConfig.fir@305041.4]
+  assign gpio_0_1_auto_io_out_pins_25_i_ival = gpio_0_pins_25_i_ival; // @[LazyModule.scala 167:31:shc.marmotcaravel.MarmotCaravelConfig.fir@305041.4]
+  assign gpio_0_1_auto_io_out_pins_26_i_ival = gpio_0_pins_26_i_ival; // @[LazyModule.scala 167:31:shc.marmotcaravel.MarmotCaravelConfig.fir@305041.4]
+  assign gpio_0_1_auto_io_out_pins_27_i_ival = gpio_0_pins_27_i_ival; // @[LazyModule.scala 167:31:shc.marmotcaravel.MarmotCaravelConfig.fir@305041.4]
+  assign gpio_0_1_auto_io_out_pins_28_i_ival = gpio_0_pins_28_i_ival; // @[LazyModule.scala 167:31:shc.marmotcaravel.MarmotCaravelConfig.fir@305041.4]
+  assign gpio_0_1_auto_io_out_pins_29_i_ival = gpio_0_pins_29_i_ival; // @[LazyModule.scala 167:31:shc.marmotcaravel.MarmotCaravelConfig.fir@305041.4]
+  assign gpio_0_1_auto_io_out_pins_30_i_ival = gpio_0_pins_30_i_ival; // @[LazyModule.scala 167:31:shc.marmotcaravel.MarmotCaravelConfig.fir@305041.4]
+  assign gpio_0_1_auto_io_out_pins_31_i_ival = gpio_0_pins_31_i_ival; // @[LazyModule.scala 167:31:shc.marmotcaravel.MarmotCaravelConfig.fir@305041.4]
   assign gpio_0_1_auto_io_out_iof_0_0_o_oval = gpio_0_iof_0_0_o_oval; // @[LazyModule.scala 167:31:shc.marmotcaravel.MarmotCaravelConfig.fir@305041.4]
   assign gpio_0_1_auto_io_out_iof_0_1_o_oval = gpio_0_iof_0_1_o_oval; // @[LazyModule.scala 167:31:shc.marmotcaravel.MarmotCaravelConfig.fir@305041.4]
   assign gpio_0_1_auto_io_out_iof_0_2_o_oval = gpio_0_iof_0_2_o_oval; // @[LazyModule.scala 167:31:shc.marmotcaravel.MarmotCaravelConfig.fir@305041.4]
@@ -366090,16 +366169,22 @@
   output  io_pins_gpio_pins_5_o_oval, // @[:shc.marmotcaravel.MarmotCaravelConfig.fir@306167.4]
   output  io_pins_gpio_pins_5_o_oe, // @[:shc.marmotcaravel.MarmotCaravelConfig.fir@306167.4]
   output  io_pins_gpio_pins_5_o_ie, // @[:shc.marmotcaravel.MarmotCaravelConfig.fir@306167.4]
+  input   io_pins_gpio_pins_6_i_ival, // @[:shc.marmotcaravel.MarmotCaravelConfig.fir@306167.4]
   output  io_pins_gpio_pins_6_o_oval, // @[:shc.marmotcaravel.MarmotCaravelConfig.fir@306167.4]
   output  io_pins_gpio_pins_6_o_oe, // @[:shc.marmotcaravel.MarmotCaravelConfig.fir@306167.4]
+  input   io_pins_gpio_pins_7_i_ival, // @[:shc.marmotcaravel.MarmotCaravelConfig.fir@306167.4]
   output  io_pins_gpio_pins_7_o_oval, // @[:shc.marmotcaravel.MarmotCaravelConfig.fir@306167.4]
   output  io_pins_gpio_pins_7_o_oe, // @[:shc.marmotcaravel.MarmotCaravelConfig.fir@306167.4]
+  input   io_pins_gpio_pins_8_i_ival, // @[:shc.marmotcaravel.MarmotCaravelConfig.fir@306167.4]
   output  io_pins_gpio_pins_8_o_oval, // @[:shc.marmotcaravel.MarmotCaravelConfig.fir@306167.4]
   output  io_pins_gpio_pins_8_o_oe, // @[:shc.marmotcaravel.MarmotCaravelConfig.fir@306167.4]
+  input   io_pins_gpio_pins_9_i_ival, // @[:shc.marmotcaravel.MarmotCaravelConfig.fir@306167.4]
   output  io_pins_gpio_pins_9_o_oval, // @[:shc.marmotcaravel.MarmotCaravelConfig.fir@306167.4]
   output  io_pins_gpio_pins_9_o_oe, // @[:shc.marmotcaravel.MarmotCaravelConfig.fir@306167.4]
+  input   io_pins_gpio_pins_10_i_ival, // @[:shc.marmotcaravel.MarmotCaravelConfig.fir@306167.4]
   output  io_pins_gpio_pins_10_o_oval, // @[:shc.marmotcaravel.MarmotCaravelConfig.fir@306167.4]
   output  io_pins_gpio_pins_10_o_oe, // @[:shc.marmotcaravel.MarmotCaravelConfig.fir@306167.4]
+  input   io_pins_gpio_pins_11_i_ival, // @[:shc.marmotcaravel.MarmotCaravelConfig.fir@306167.4]
   output  io_pins_gpio_pins_11_o_oval, // @[:shc.marmotcaravel.MarmotCaravelConfig.fir@306167.4]
   output  io_pins_gpio_pins_11_o_oe, // @[:shc.marmotcaravel.MarmotCaravelConfig.fir@306167.4]
   input   io_pins_gpio_pins_12_i_ival, // @[:shc.marmotcaravel.MarmotCaravelConfig.fir@306167.4]
@@ -366154,18 +366239,25 @@
   output  io_pins_gpio_pins_24_o_oval, // @[:shc.marmotcaravel.MarmotCaravelConfig.fir@306167.4]
   output  io_pins_gpio_pins_24_o_oe, // @[:shc.marmotcaravel.MarmotCaravelConfig.fir@306167.4]
   output  io_pins_gpio_pins_24_o_ie, // @[:shc.marmotcaravel.MarmotCaravelConfig.fir@306167.4]
+  input   io_pins_gpio_pins_25_i_ival, // @[:shc.marmotcaravel.MarmotCaravelConfig.fir@306167.4]
   output  io_pins_gpio_pins_25_o_oval, // @[:shc.marmotcaravel.MarmotCaravelConfig.fir@306167.4]
   output  io_pins_gpio_pins_25_o_oe, // @[:shc.marmotcaravel.MarmotCaravelConfig.fir@306167.4]
+  input   io_pins_gpio_pins_26_i_ival, // @[:shc.marmotcaravel.MarmotCaravelConfig.fir@306167.4]
   output  io_pins_gpio_pins_26_o_oval, // @[:shc.marmotcaravel.MarmotCaravelConfig.fir@306167.4]
   output  io_pins_gpio_pins_26_o_oe, // @[:shc.marmotcaravel.MarmotCaravelConfig.fir@306167.4]
+  input   io_pins_gpio_pins_27_i_ival, // @[:shc.marmotcaravel.MarmotCaravelConfig.fir@306167.4]
   output  io_pins_gpio_pins_27_o_oval, // @[:shc.marmotcaravel.MarmotCaravelConfig.fir@306167.4]
   output  io_pins_gpio_pins_27_o_oe, // @[:shc.marmotcaravel.MarmotCaravelConfig.fir@306167.4]
+  input   io_pins_gpio_pins_28_i_ival, // @[:shc.marmotcaravel.MarmotCaravelConfig.fir@306167.4]
   output  io_pins_gpio_pins_28_o_oval, // @[:shc.marmotcaravel.MarmotCaravelConfig.fir@306167.4]
   output  io_pins_gpio_pins_28_o_oe, // @[:shc.marmotcaravel.MarmotCaravelConfig.fir@306167.4]
+  input   io_pins_gpio_pins_29_i_ival, // @[:shc.marmotcaravel.MarmotCaravelConfig.fir@306167.4]
   output  io_pins_gpio_pins_29_o_oval, // @[:shc.marmotcaravel.MarmotCaravelConfig.fir@306167.4]
   output  io_pins_gpio_pins_29_o_oe, // @[:shc.marmotcaravel.MarmotCaravelConfig.fir@306167.4]
+  input   io_pins_gpio_pins_30_i_ival, // @[:shc.marmotcaravel.MarmotCaravelConfig.fir@306167.4]
   output  io_pins_gpio_pins_30_o_oval, // @[:shc.marmotcaravel.MarmotCaravelConfig.fir@306167.4]
   output  io_pins_gpio_pins_30_o_oe, // @[:shc.marmotcaravel.MarmotCaravelConfig.fir@306167.4]
+  input   io_pins_gpio_pins_31_i_ival, // @[:shc.marmotcaravel.MarmotCaravelConfig.fir@306167.4]
   output  io_pins_gpio_pins_31_o_oval, // @[:shc.marmotcaravel.MarmotCaravelConfig.fir@306167.4]
   output  io_pins_gpio_pins_31_o_oe, // @[:shc.marmotcaravel.MarmotCaravelConfig.fir@306167.4]
   output  io_pins_qspi_sck_o_oval, // @[:shc.marmotcaravel.MarmotCaravelConfig.fir@306167.4]
@@ -366288,16 +366380,22 @@
   wire  sys_gpio_0_pins_5_o_oval; // @[Platform.scala 55:19:shc.marmotcaravel.MarmotCaravelConfig.fir@306172.4]
   wire  sys_gpio_0_pins_5_o_oe; // @[Platform.scala 55:19:shc.marmotcaravel.MarmotCaravelConfig.fir@306172.4]
   wire  sys_gpio_0_pins_5_o_ie; // @[Platform.scala 55:19:shc.marmotcaravel.MarmotCaravelConfig.fir@306172.4]
+  wire  sys_gpio_0_pins_6_i_ival; // @[Platform.scala 55:19:shc.marmotcaravel.MarmotCaravelConfig.fir@306172.4]
   wire  sys_gpio_0_pins_6_o_oval; // @[Platform.scala 55:19:shc.marmotcaravel.MarmotCaravelConfig.fir@306172.4]
   wire  sys_gpio_0_pins_6_o_oe; // @[Platform.scala 55:19:shc.marmotcaravel.MarmotCaravelConfig.fir@306172.4]
+  wire  sys_gpio_0_pins_7_i_ival; // @[Platform.scala 55:19:shc.marmotcaravel.MarmotCaravelConfig.fir@306172.4]
   wire  sys_gpio_0_pins_7_o_oval; // @[Platform.scala 55:19:shc.marmotcaravel.MarmotCaravelConfig.fir@306172.4]
   wire  sys_gpio_0_pins_7_o_oe; // @[Platform.scala 55:19:shc.marmotcaravel.MarmotCaravelConfig.fir@306172.4]
+  wire  sys_gpio_0_pins_8_i_ival; // @[Platform.scala 55:19:shc.marmotcaravel.MarmotCaravelConfig.fir@306172.4]
   wire  sys_gpio_0_pins_8_o_oval; // @[Platform.scala 55:19:shc.marmotcaravel.MarmotCaravelConfig.fir@306172.4]
   wire  sys_gpio_0_pins_8_o_oe; // @[Platform.scala 55:19:shc.marmotcaravel.MarmotCaravelConfig.fir@306172.4]
+  wire  sys_gpio_0_pins_9_i_ival; // @[Platform.scala 55:19:shc.marmotcaravel.MarmotCaravelConfig.fir@306172.4]
   wire  sys_gpio_0_pins_9_o_oval; // @[Platform.scala 55:19:shc.marmotcaravel.MarmotCaravelConfig.fir@306172.4]
   wire  sys_gpio_0_pins_9_o_oe; // @[Platform.scala 55:19:shc.marmotcaravel.MarmotCaravelConfig.fir@306172.4]
+  wire  sys_gpio_0_pins_10_i_ival; // @[Platform.scala 55:19:shc.marmotcaravel.MarmotCaravelConfig.fir@306172.4]
   wire  sys_gpio_0_pins_10_o_oval; // @[Platform.scala 55:19:shc.marmotcaravel.MarmotCaravelConfig.fir@306172.4]
   wire  sys_gpio_0_pins_10_o_oe; // @[Platform.scala 55:19:shc.marmotcaravel.MarmotCaravelConfig.fir@306172.4]
+  wire  sys_gpio_0_pins_11_i_ival; // @[Platform.scala 55:19:shc.marmotcaravel.MarmotCaravelConfig.fir@306172.4]
   wire  sys_gpio_0_pins_11_o_oval; // @[Platform.scala 55:19:shc.marmotcaravel.MarmotCaravelConfig.fir@306172.4]
   wire  sys_gpio_0_pins_11_o_oe; // @[Platform.scala 55:19:shc.marmotcaravel.MarmotCaravelConfig.fir@306172.4]
   wire  sys_gpio_0_pins_12_i_ival; // @[Platform.scala 55:19:shc.marmotcaravel.MarmotCaravelConfig.fir@306172.4]
@@ -366352,18 +366450,25 @@
   wire  sys_gpio_0_pins_24_o_oval; // @[Platform.scala 55:19:shc.marmotcaravel.MarmotCaravelConfig.fir@306172.4]
   wire  sys_gpio_0_pins_24_o_oe; // @[Platform.scala 55:19:shc.marmotcaravel.MarmotCaravelConfig.fir@306172.4]
   wire  sys_gpio_0_pins_24_o_ie; // @[Platform.scala 55:19:shc.marmotcaravel.MarmotCaravelConfig.fir@306172.4]
+  wire  sys_gpio_0_pins_25_i_ival; // @[Platform.scala 55:19:shc.marmotcaravel.MarmotCaravelConfig.fir@306172.4]
   wire  sys_gpio_0_pins_25_o_oval; // @[Platform.scala 55:19:shc.marmotcaravel.MarmotCaravelConfig.fir@306172.4]
   wire  sys_gpio_0_pins_25_o_oe; // @[Platform.scala 55:19:shc.marmotcaravel.MarmotCaravelConfig.fir@306172.4]
+  wire  sys_gpio_0_pins_26_i_ival; // @[Platform.scala 55:19:shc.marmotcaravel.MarmotCaravelConfig.fir@306172.4]
   wire  sys_gpio_0_pins_26_o_oval; // @[Platform.scala 55:19:shc.marmotcaravel.MarmotCaravelConfig.fir@306172.4]
   wire  sys_gpio_0_pins_26_o_oe; // @[Platform.scala 55:19:shc.marmotcaravel.MarmotCaravelConfig.fir@306172.4]
+  wire  sys_gpio_0_pins_27_i_ival; // @[Platform.scala 55:19:shc.marmotcaravel.MarmotCaravelConfig.fir@306172.4]
   wire  sys_gpio_0_pins_27_o_oval; // @[Platform.scala 55:19:shc.marmotcaravel.MarmotCaravelConfig.fir@306172.4]
   wire  sys_gpio_0_pins_27_o_oe; // @[Platform.scala 55:19:shc.marmotcaravel.MarmotCaravelConfig.fir@306172.4]
+  wire  sys_gpio_0_pins_28_i_ival; // @[Platform.scala 55:19:shc.marmotcaravel.MarmotCaravelConfig.fir@306172.4]
   wire  sys_gpio_0_pins_28_o_oval; // @[Platform.scala 55:19:shc.marmotcaravel.MarmotCaravelConfig.fir@306172.4]
   wire  sys_gpio_0_pins_28_o_oe; // @[Platform.scala 55:19:shc.marmotcaravel.MarmotCaravelConfig.fir@306172.4]
+  wire  sys_gpio_0_pins_29_i_ival; // @[Platform.scala 55:19:shc.marmotcaravel.MarmotCaravelConfig.fir@306172.4]
   wire  sys_gpio_0_pins_29_o_oval; // @[Platform.scala 55:19:shc.marmotcaravel.MarmotCaravelConfig.fir@306172.4]
   wire  sys_gpio_0_pins_29_o_oe; // @[Platform.scala 55:19:shc.marmotcaravel.MarmotCaravelConfig.fir@306172.4]
+  wire  sys_gpio_0_pins_30_i_ival; // @[Platform.scala 55:19:shc.marmotcaravel.MarmotCaravelConfig.fir@306172.4]
   wire  sys_gpio_0_pins_30_o_oval; // @[Platform.scala 55:19:shc.marmotcaravel.MarmotCaravelConfig.fir@306172.4]
   wire  sys_gpio_0_pins_30_o_oe; // @[Platform.scala 55:19:shc.marmotcaravel.MarmotCaravelConfig.fir@306172.4]
+  wire  sys_gpio_0_pins_31_i_ival; // @[Platform.scala 55:19:shc.marmotcaravel.MarmotCaravelConfig.fir@306172.4]
   wire  sys_gpio_0_pins_31_o_oval; // @[Platform.scala 55:19:shc.marmotcaravel.MarmotCaravelConfig.fir@306172.4]
   wire  sys_gpio_0_pins_31_o_oe; // @[Platform.scala 55:19:shc.marmotcaravel.MarmotCaravelConfig.fir@306172.4]
   wire  sys_gpio_0_iof_0_0_o_oval; // @[Platform.scala 55:19:shc.marmotcaravel.MarmotCaravelConfig.fir@306172.4]
@@ -366547,16 +366652,22 @@
     .gpio_0_pins_5_o_oval(sys_gpio_0_pins_5_o_oval),
     .gpio_0_pins_5_o_oe(sys_gpio_0_pins_5_o_oe),
     .gpio_0_pins_5_o_ie(sys_gpio_0_pins_5_o_ie),
+    .gpio_0_pins_6_i_ival(sys_gpio_0_pins_6_i_ival),
     .gpio_0_pins_6_o_oval(sys_gpio_0_pins_6_o_oval),
     .gpio_0_pins_6_o_oe(sys_gpio_0_pins_6_o_oe),
+    .gpio_0_pins_7_i_ival(sys_gpio_0_pins_7_i_ival),
     .gpio_0_pins_7_o_oval(sys_gpio_0_pins_7_o_oval),
     .gpio_0_pins_7_o_oe(sys_gpio_0_pins_7_o_oe),
+    .gpio_0_pins_8_i_ival(sys_gpio_0_pins_8_i_ival),
     .gpio_0_pins_8_o_oval(sys_gpio_0_pins_8_o_oval),
     .gpio_0_pins_8_o_oe(sys_gpio_0_pins_8_o_oe),
+    .gpio_0_pins_9_i_ival(sys_gpio_0_pins_9_i_ival),
     .gpio_0_pins_9_o_oval(sys_gpio_0_pins_9_o_oval),
     .gpio_0_pins_9_o_oe(sys_gpio_0_pins_9_o_oe),
+    .gpio_0_pins_10_i_ival(sys_gpio_0_pins_10_i_ival),
     .gpio_0_pins_10_o_oval(sys_gpio_0_pins_10_o_oval),
     .gpio_0_pins_10_o_oe(sys_gpio_0_pins_10_o_oe),
+    .gpio_0_pins_11_i_ival(sys_gpio_0_pins_11_i_ival),
     .gpio_0_pins_11_o_oval(sys_gpio_0_pins_11_o_oval),
     .gpio_0_pins_11_o_oe(sys_gpio_0_pins_11_o_oe),
     .gpio_0_pins_12_i_ival(sys_gpio_0_pins_12_i_ival),
@@ -366611,18 +366722,25 @@
     .gpio_0_pins_24_o_oval(sys_gpio_0_pins_24_o_oval),
     .gpio_0_pins_24_o_oe(sys_gpio_0_pins_24_o_oe),
     .gpio_0_pins_24_o_ie(sys_gpio_0_pins_24_o_ie),
+    .gpio_0_pins_25_i_ival(sys_gpio_0_pins_25_i_ival),
     .gpio_0_pins_25_o_oval(sys_gpio_0_pins_25_o_oval),
     .gpio_0_pins_25_o_oe(sys_gpio_0_pins_25_o_oe),
+    .gpio_0_pins_26_i_ival(sys_gpio_0_pins_26_i_ival),
     .gpio_0_pins_26_o_oval(sys_gpio_0_pins_26_o_oval),
     .gpio_0_pins_26_o_oe(sys_gpio_0_pins_26_o_oe),
+    .gpio_0_pins_27_i_ival(sys_gpio_0_pins_27_i_ival),
     .gpio_0_pins_27_o_oval(sys_gpio_0_pins_27_o_oval),
     .gpio_0_pins_27_o_oe(sys_gpio_0_pins_27_o_oe),
+    .gpio_0_pins_28_i_ival(sys_gpio_0_pins_28_i_ival),
     .gpio_0_pins_28_o_oval(sys_gpio_0_pins_28_o_oval),
     .gpio_0_pins_28_o_oe(sys_gpio_0_pins_28_o_oe),
+    .gpio_0_pins_29_i_ival(sys_gpio_0_pins_29_i_ival),
     .gpio_0_pins_29_o_oval(sys_gpio_0_pins_29_o_oval),
     .gpio_0_pins_29_o_oe(sys_gpio_0_pins_29_o_oe),
+    .gpio_0_pins_30_i_ival(sys_gpio_0_pins_30_i_ival),
     .gpio_0_pins_30_o_oval(sys_gpio_0_pins_30_o_oval),
     .gpio_0_pins_30_o_oe(sys_gpio_0_pins_30_o_oe),
+    .gpio_0_pins_31_i_ival(sys_gpio_0_pins_31_i_ival),
     .gpio_0_pins_31_o_oval(sys_gpio_0_pins_31_o_oval),
     .gpio_0_pins_31_o_oe(sys_gpio_0_pins_31_o_oe),
     .gpio_0_iof_0_0_o_oval(sys_gpio_0_iof_0_0_o_oval),
@@ -366943,6 +367061,12 @@
   assign sys_gpio_0_pins_3_i_ival = io_pins_gpio_pins_3_i_ival; // @[GPIOPins.scala 37:11:shc.marmotcaravel.MarmotCaravelConfig.fir@306693.4]
   assign sys_gpio_0_pins_4_i_ival = io_pins_gpio_pins_4_i_ival; // @[GPIOPins.scala 37:11:shc.marmotcaravel.MarmotCaravelConfig.fir@306694.4]
   assign sys_gpio_0_pins_5_i_ival = io_pins_gpio_pins_5_i_ival; // @[GPIOPins.scala 37:11:shc.marmotcaravel.MarmotCaravelConfig.fir@306695.4]
+  assign sys_gpio_0_pins_6_i_ival = io_pins_gpio_pins_6_i_ival; // @[GPIOPins.scala 37:11:shc.marmotcaravel.MarmotCaravelConfig.fir@306696.4]
+  assign sys_gpio_0_pins_7_i_ival = io_pins_gpio_pins_7_i_ival; // @[GPIOPins.scala 37:11:shc.marmotcaravel.MarmotCaravelConfig.fir@306697.4]
+  assign sys_gpio_0_pins_8_i_ival = io_pins_gpio_pins_8_i_ival; // @[GPIOPins.scala 37:11:shc.marmotcaravel.MarmotCaravelConfig.fir@306698.4]
+  assign sys_gpio_0_pins_9_i_ival = io_pins_gpio_pins_9_i_ival; // @[GPIOPins.scala 37:11:shc.marmotcaravel.MarmotCaravelConfig.fir@306699.4]
+  assign sys_gpio_0_pins_10_i_ival = io_pins_gpio_pins_10_i_ival; // @[GPIOPins.scala 37:11:shc.marmotcaravel.MarmotCaravelConfig.fir@306700.4]
+  assign sys_gpio_0_pins_11_i_ival = io_pins_gpio_pins_11_i_ival; // @[GPIOPins.scala 37:11:shc.marmotcaravel.MarmotCaravelConfig.fir@306701.4]
   assign sys_gpio_0_pins_12_i_ival = io_pins_gpio_pins_12_i_ival; // @[GPIOPins.scala 37:11:shc.marmotcaravel.MarmotCaravelConfig.fir@306702.4]
   assign sys_gpio_0_pins_13_i_ival = io_pins_gpio_pins_13_i_ival; // @[GPIOPins.scala 37:11:shc.marmotcaravel.MarmotCaravelConfig.fir@306703.4]
   assign sys_gpio_0_pins_14_i_ival = io_pins_gpio_pins_14_i_ival; // @[GPIOPins.scala 37:11:shc.marmotcaravel.MarmotCaravelConfig.fir@306704.4]
@@ -366956,6 +367080,13 @@
   assign sys_gpio_0_pins_22_i_ival = io_pins_gpio_pins_22_i_ival; // @[GPIOPins.scala 37:11:shc.marmotcaravel.MarmotCaravelConfig.fir@306712.4]
   assign sys_gpio_0_pins_23_i_ival = io_pins_gpio_pins_23_i_ival; // @[GPIOPins.scala 37:11:shc.marmotcaravel.MarmotCaravelConfig.fir@306713.4]
   assign sys_gpio_0_pins_24_i_ival = io_pins_gpio_pins_24_i_ival; // @[GPIOPins.scala 37:11:shc.marmotcaravel.MarmotCaravelConfig.fir@306714.4]
+  assign sys_gpio_0_pins_25_i_ival = io_pins_gpio_pins_25_i_ival; // @[GPIOPins.scala 37:11:shc.marmotcaravel.MarmotCaravelConfig.fir@306715.4]
+  assign sys_gpio_0_pins_26_i_ival = io_pins_gpio_pins_26_i_ival; // @[GPIOPins.scala 37:11:shc.marmotcaravel.MarmotCaravelConfig.fir@306716.4]
+  assign sys_gpio_0_pins_27_i_ival = io_pins_gpio_pins_27_i_ival; // @[GPIOPins.scala 37:11:shc.marmotcaravel.MarmotCaravelConfig.fir@306717.4]
+  assign sys_gpio_0_pins_28_i_ival = io_pins_gpio_pins_28_i_ival; // @[GPIOPins.scala 37:11:shc.marmotcaravel.MarmotCaravelConfig.fir@306718.4]
+  assign sys_gpio_0_pins_29_i_ival = io_pins_gpio_pins_29_i_ival; // @[GPIOPins.scala 37:11:shc.marmotcaravel.MarmotCaravelConfig.fir@306719.4]
+  assign sys_gpio_0_pins_30_i_ival = io_pins_gpio_pins_30_i_ival; // @[GPIOPins.scala 37:11:shc.marmotcaravel.MarmotCaravelConfig.fir@306720.4]
+  assign sys_gpio_0_pins_31_i_ival = io_pins_gpio_pins_31_i_ival; // @[GPIOPins.scala 37:11:shc.marmotcaravel.MarmotCaravelConfig.fir@306721.4]
   assign sys_gpio_0_iof_0_0_o_oval = sys_spi_0_cs_0; // @[IOF.scala 33:18:shc.marmotcaravel.MarmotCaravelConfig.fir@306396.4 IOF.scala 60:9:shc.marmotcaravel.MarmotCaravelConfig.fir@306652.4]
   assign sys_gpio_0_iof_0_1_o_oval = sys_spi_0_sck; // @[IOF.scala 33:18:shc.marmotcaravel.MarmotCaravelConfig.fir@306400.4 IOF.scala 60:9:shc.marmotcaravel.MarmotCaravelConfig.fir@306654.4]
   assign sys_gpio_0_iof_0_2_o_oval = sys_spi_0_dq_0_o; // @[IOF.scala 33:18:shc.marmotcaravel.MarmotCaravelConfig.fir@306404.4 IOF.scala 60:9:shc.marmotcaravel.MarmotCaravelConfig.fir@306656.4]
@@ -367173,6 +367304,38 @@
   output  gpio_out_29, // @[:shc.marmotcaravel.MarmotCaravelConfig.fir@306900.4]
   output  gpio_out_30, // @[:shc.marmotcaravel.MarmotCaravelConfig.fir@306900.4]
   output  gpio_out_31, // @[:shc.marmotcaravel.MarmotCaravelConfig.fir@306900.4]
+  input   gpio_in_0, // @[:shc.marmotcaravel.MarmotCaravelConfig.fir@306901.4]
+  input   gpio_in_1, // @[:shc.marmotcaravel.MarmotCaravelConfig.fir@306901.4]
+  input   gpio_in_2, // @[:shc.marmotcaravel.MarmotCaravelConfig.fir@306901.4]
+  input   gpio_in_3, // @[:shc.marmotcaravel.MarmotCaravelConfig.fir@306901.4]
+  input   gpio_in_4, // @[:shc.marmotcaravel.MarmotCaravelConfig.fir@306901.4]
+  input   gpio_in_5, // @[:shc.marmotcaravel.MarmotCaravelConfig.fir@306901.4]
+  input   gpio_in_6, // @[:shc.marmotcaravel.MarmotCaravelConfig.fir@306901.4]
+  input   gpio_in_7, // @[:shc.marmotcaravel.MarmotCaravelConfig.fir@306901.4]
+  input   gpio_in_8, // @[:shc.marmotcaravel.MarmotCaravelConfig.fir@306901.4]
+  input   gpio_in_9, // @[:shc.marmotcaravel.MarmotCaravelConfig.fir@306901.4]
+  input   gpio_in_10, // @[:shc.marmotcaravel.MarmotCaravelConfig.fir@306901.4]
+  input   gpio_in_11, // @[:shc.marmotcaravel.MarmotCaravelConfig.fir@306901.4]
+  input   gpio_in_12, // @[:shc.marmotcaravel.MarmotCaravelConfig.fir@306901.4]
+  input   gpio_in_13, // @[:shc.marmotcaravel.MarmotCaravelConfig.fir@306901.4]
+  input   gpio_in_14, // @[:shc.marmotcaravel.MarmotCaravelConfig.fir@306901.4]
+  input   gpio_in_15, // @[:shc.marmotcaravel.MarmotCaravelConfig.fir@306901.4]
+  input   gpio_in_16, // @[:shc.marmotcaravel.MarmotCaravelConfig.fir@306901.4]
+  input   gpio_in_17, // @[:shc.marmotcaravel.MarmotCaravelConfig.fir@306901.4]
+  input   gpio_in_18, // @[:shc.marmotcaravel.MarmotCaravelConfig.fir@306901.4]
+  input   gpio_in_19, // @[:shc.marmotcaravel.MarmotCaravelConfig.fir@306901.4]
+  input   gpio_in_20, // @[:shc.marmotcaravel.MarmotCaravelConfig.fir@306901.4]
+  input   gpio_in_21, // @[:shc.marmotcaravel.MarmotCaravelConfig.fir@306901.4]
+  input   gpio_in_22, // @[:shc.marmotcaravel.MarmotCaravelConfig.fir@306901.4]
+  input   gpio_in_23, // @[:shc.marmotcaravel.MarmotCaravelConfig.fir@306901.4]
+  input   gpio_in_24, // @[:shc.marmotcaravel.MarmotCaravelConfig.fir@306901.4]
+  input   gpio_in_25, // @[:shc.marmotcaravel.MarmotCaravelConfig.fir@306901.4]
+  input   gpio_in_26, // @[:shc.marmotcaravel.MarmotCaravelConfig.fir@306901.4]
+  input   gpio_in_27, // @[:shc.marmotcaravel.MarmotCaravelConfig.fir@306901.4]
+  input   gpio_in_28, // @[:shc.marmotcaravel.MarmotCaravelConfig.fir@306901.4]
+  input   gpio_in_29, // @[:shc.marmotcaravel.MarmotCaravelConfig.fir@306901.4]
+  input   gpio_in_30, // @[:shc.marmotcaravel.MarmotCaravelConfig.fir@306901.4]
+  input   gpio_in_31, // @[:shc.marmotcaravel.MarmotCaravelConfig.fir@306901.4]
   output [11:0] data_arrays_0_ext_RW0_addr,
   output  data_arrays_0_ext_RW0_en,
   output  data_arrays_0_ext_RW0_clk,
@@ -367195,163 +367358,177 @@
   input  [63:0] data_arrays_0_0_ext_RW0_rdata,
   output [1:0] data_arrays_0_0_ext_RW0_wmask
 );
-  wire  MarmotCaravelPlatform_clock; // @[Chip.scala 41:21:shc.marmotcaravel.MarmotCaravelConfig.fir@306925.4]
-  wire  MarmotCaravelPlatform_io_pins_jtag_TCK_i_ival; // @[Chip.scala 41:21:shc.marmotcaravel.MarmotCaravelConfig.fir@306925.4]
-  wire  MarmotCaravelPlatform_io_pins_jtag_TMS_i_ival; // @[Chip.scala 41:21:shc.marmotcaravel.MarmotCaravelConfig.fir@306925.4]
-  wire  MarmotCaravelPlatform_io_pins_jtag_TDI_i_ival; // @[Chip.scala 41:21:shc.marmotcaravel.MarmotCaravelConfig.fir@306925.4]
-  wire  MarmotCaravelPlatform_io_pins_jtag_TDO_o_oval; // @[Chip.scala 41:21:shc.marmotcaravel.MarmotCaravelConfig.fir@306925.4]
-  wire  MarmotCaravelPlatform_io_pins_jtag_TDO_o_oe; // @[Chip.scala 41:21:shc.marmotcaravel.MarmotCaravelConfig.fir@306925.4]
-  wire  MarmotCaravelPlatform_io_pins_gpio_pins_0_i_ival; // @[Chip.scala 41:21:shc.marmotcaravel.MarmotCaravelConfig.fir@306925.4]
-  wire  MarmotCaravelPlatform_io_pins_gpio_pins_0_o_oval; // @[Chip.scala 41:21:shc.marmotcaravel.MarmotCaravelConfig.fir@306925.4]
-  wire  MarmotCaravelPlatform_io_pins_gpio_pins_0_o_oe; // @[Chip.scala 41:21:shc.marmotcaravel.MarmotCaravelConfig.fir@306925.4]
-  wire  MarmotCaravelPlatform_io_pins_gpio_pins_0_o_ie; // @[Chip.scala 41:21:shc.marmotcaravel.MarmotCaravelConfig.fir@306925.4]
-  wire  MarmotCaravelPlatform_io_pins_gpio_pins_1_i_ival; // @[Chip.scala 41:21:shc.marmotcaravel.MarmotCaravelConfig.fir@306925.4]
-  wire  MarmotCaravelPlatform_io_pins_gpio_pins_1_o_oval; // @[Chip.scala 41:21:shc.marmotcaravel.MarmotCaravelConfig.fir@306925.4]
-  wire  MarmotCaravelPlatform_io_pins_gpio_pins_1_o_oe; // @[Chip.scala 41:21:shc.marmotcaravel.MarmotCaravelConfig.fir@306925.4]
-  wire  MarmotCaravelPlatform_io_pins_gpio_pins_1_o_ie; // @[Chip.scala 41:21:shc.marmotcaravel.MarmotCaravelConfig.fir@306925.4]
-  wire  MarmotCaravelPlatform_io_pins_gpio_pins_2_i_ival; // @[Chip.scala 41:21:shc.marmotcaravel.MarmotCaravelConfig.fir@306925.4]
-  wire  MarmotCaravelPlatform_io_pins_gpio_pins_2_o_oval; // @[Chip.scala 41:21:shc.marmotcaravel.MarmotCaravelConfig.fir@306925.4]
-  wire  MarmotCaravelPlatform_io_pins_gpio_pins_2_o_oe; // @[Chip.scala 41:21:shc.marmotcaravel.MarmotCaravelConfig.fir@306925.4]
-  wire  MarmotCaravelPlatform_io_pins_gpio_pins_2_o_ie; // @[Chip.scala 41:21:shc.marmotcaravel.MarmotCaravelConfig.fir@306925.4]
-  wire  MarmotCaravelPlatform_io_pins_gpio_pins_3_i_ival; // @[Chip.scala 41:21:shc.marmotcaravel.MarmotCaravelConfig.fir@306925.4]
-  wire  MarmotCaravelPlatform_io_pins_gpio_pins_3_o_oval; // @[Chip.scala 41:21:shc.marmotcaravel.MarmotCaravelConfig.fir@306925.4]
-  wire  MarmotCaravelPlatform_io_pins_gpio_pins_3_o_oe; // @[Chip.scala 41:21:shc.marmotcaravel.MarmotCaravelConfig.fir@306925.4]
-  wire  MarmotCaravelPlatform_io_pins_gpio_pins_3_o_ie; // @[Chip.scala 41:21:shc.marmotcaravel.MarmotCaravelConfig.fir@306925.4]
-  wire  MarmotCaravelPlatform_io_pins_gpio_pins_4_i_ival; // @[Chip.scala 41:21:shc.marmotcaravel.MarmotCaravelConfig.fir@306925.4]
-  wire  MarmotCaravelPlatform_io_pins_gpio_pins_4_o_oval; // @[Chip.scala 41:21:shc.marmotcaravel.MarmotCaravelConfig.fir@306925.4]
-  wire  MarmotCaravelPlatform_io_pins_gpio_pins_4_o_oe; // @[Chip.scala 41:21:shc.marmotcaravel.MarmotCaravelConfig.fir@306925.4]
-  wire  MarmotCaravelPlatform_io_pins_gpio_pins_4_o_ie; // @[Chip.scala 41:21:shc.marmotcaravel.MarmotCaravelConfig.fir@306925.4]
-  wire  MarmotCaravelPlatform_io_pins_gpio_pins_5_i_ival; // @[Chip.scala 41:21:shc.marmotcaravel.MarmotCaravelConfig.fir@306925.4]
-  wire  MarmotCaravelPlatform_io_pins_gpio_pins_5_o_oval; // @[Chip.scala 41:21:shc.marmotcaravel.MarmotCaravelConfig.fir@306925.4]
-  wire  MarmotCaravelPlatform_io_pins_gpio_pins_5_o_oe; // @[Chip.scala 41:21:shc.marmotcaravel.MarmotCaravelConfig.fir@306925.4]
-  wire  MarmotCaravelPlatform_io_pins_gpio_pins_5_o_ie; // @[Chip.scala 41:21:shc.marmotcaravel.MarmotCaravelConfig.fir@306925.4]
-  wire  MarmotCaravelPlatform_io_pins_gpio_pins_6_o_oval; // @[Chip.scala 41:21:shc.marmotcaravel.MarmotCaravelConfig.fir@306925.4]
-  wire  MarmotCaravelPlatform_io_pins_gpio_pins_6_o_oe; // @[Chip.scala 41:21:shc.marmotcaravel.MarmotCaravelConfig.fir@306925.4]
-  wire  MarmotCaravelPlatform_io_pins_gpio_pins_7_o_oval; // @[Chip.scala 41:21:shc.marmotcaravel.MarmotCaravelConfig.fir@306925.4]
-  wire  MarmotCaravelPlatform_io_pins_gpio_pins_7_o_oe; // @[Chip.scala 41:21:shc.marmotcaravel.MarmotCaravelConfig.fir@306925.4]
-  wire  MarmotCaravelPlatform_io_pins_gpio_pins_8_o_oval; // @[Chip.scala 41:21:shc.marmotcaravel.MarmotCaravelConfig.fir@306925.4]
-  wire  MarmotCaravelPlatform_io_pins_gpio_pins_8_o_oe; // @[Chip.scala 41:21:shc.marmotcaravel.MarmotCaravelConfig.fir@306925.4]
-  wire  MarmotCaravelPlatform_io_pins_gpio_pins_9_o_oval; // @[Chip.scala 41:21:shc.marmotcaravel.MarmotCaravelConfig.fir@306925.4]
-  wire  MarmotCaravelPlatform_io_pins_gpio_pins_9_o_oe; // @[Chip.scala 41:21:shc.marmotcaravel.MarmotCaravelConfig.fir@306925.4]
-  wire  MarmotCaravelPlatform_io_pins_gpio_pins_10_o_oval; // @[Chip.scala 41:21:shc.marmotcaravel.MarmotCaravelConfig.fir@306925.4]
-  wire  MarmotCaravelPlatform_io_pins_gpio_pins_10_o_oe; // @[Chip.scala 41:21:shc.marmotcaravel.MarmotCaravelConfig.fir@306925.4]
-  wire  MarmotCaravelPlatform_io_pins_gpio_pins_11_o_oval; // @[Chip.scala 41:21:shc.marmotcaravel.MarmotCaravelConfig.fir@306925.4]
-  wire  MarmotCaravelPlatform_io_pins_gpio_pins_11_o_oe; // @[Chip.scala 41:21:shc.marmotcaravel.MarmotCaravelConfig.fir@306925.4]
-  wire  MarmotCaravelPlatform_io_pins_gpio_pins_12_i_ival; // @[Chip.scala 41:21:shc.marmotcaravel.MarmotCaravelConfig.fir@306925.4]
-  wire  MarmotCaravelPlatform_io_pins_gpio_pins_12_o_oval; // @[Chip.scala 41:21:shc.marmotcaravel.MarmotCaravelConfig.fir@306925.4]
-  wire  MarmotCaravelPlatform_io_pins_gpio_pins_12_o_oe; // @[Chip.scala 41:21:shc.marmotcaravel.MarmotCaravelConfig.fir@306925.4]
-  wire  MarmotCaravelPlatform_io_pins_gpio_pins_12_o_ie; // @[Chip.scala 41:21:shc.marmotcaravel.MarmotCaravelConfig.fir@306925.4]
-  wire  MarmotCaravelPlatform_io_pins_gpio_pins_13_i_ival; // @[Chip.scala 41:21:shc.marmotcaravel.MarmotCaravelConfig.fir@306925.4]
-  wire  MarmotCaravelPlatform_io_pins_gpio_pins_13_o_oval; // @[Chip.scala 41:21:shc.marmotcaravel.MarmotCaravelConfig.fir@306925.4]
-  wire  MarmotCaravelPlatform_io_pins_gpio_pins_13_o_oe; // @[Chip.scala 41:21:shc.marmotcaravel.MarmotCaravelConfig.fir@306925.4]
-  wire  MarmotCaravelPlatform_io_pins_gpio_pins_13_o_ie; // @[Chip.scala 41:21:shc.marmotcaravel.MarmotCaravelConfig.fir@306925.4]
-  wire  MarmotCaravelPlatform_io_pins_gpio_pins_14_i_ival; // @[Chip.scala 41:21:shc.marmotcaravel.MarmotCaravelConfig.fir@306925.4]
-  wire  MarmotCaravelPlatform_io_pins_gpio_pins_14_o_oval; // @[Chip.scala 41:21:shc.marmotcaravel.MarmotCaravelConfig.fir@306925.4]
-  wire  MarmotCaravelPlatform_io_pins_gpio_pins_14_o_oe; // @[Chip.scala 41:21:shc.marmotcaravel.MarmotCaravelConfig.fir@306925.4]
-  wire  MarmotCaravelPlatform_io_pins_gpio_pins_14_o_ie; // @[Chip.scala 41:21:shc.marmotcaravel.MarmotCaravelConfig.fir@306925.4]
-  wire  MarmotCaravelPlatform_io_pins_gpio_pins_15_i_ival; // @[Chip.scala 41:21:shc.marmotcaravel.MarmotCaravelConfig.fir@306925.4]
-  wire  MarmotCaravelPlatform_io_pins_gpio_pins_15_o_oval; // @[Chip.scala 41:21:shc.marmotcaravel.MarmotCaravelConfig.fir@306925.4]
-  wire  MarmotCaravelPlatform_io_pins_gpio_pins_15_o_oe; // @[Chip.scala 41:21:shc.marmotcaravel.MarmotCaravelConfig.fir@306925.4]
-  wire  MarmotCaravelPlatform_io_pins_gpio_pins_15_o_ie; // @[Chip.scala 41:21:shc.marmotcaravel.MarmotCaravelConfig.fir@306925.4]
-  wire  MarmotCaravelPlatform_io_pins_gpio_pins_16_i_ival; // @[Chip.scala 41:21:shc.marmotcaravel.MarmotCaravelConfig.fir@306925.4]
-  wire  MarmotCaravelPlatform_io_pins_gpio_pins_16_o_oval; // @[Chip.scala 41:21:shc.marmotcaravel.MarmotCaravelConfig.fir@306925.4]
-  wire  MarmotCaravelPlatform_io_pins_gpio_pins_16_o_oe; // @[Chip.scala 41:21:shc.marmotcaravel.MarmotCaravelConfig.fir@306925.4]
-  wire  MarmotCaravelPlatform_io_pins_gpio_pins_16_o_ie; // @[Chip.scala 41:21:shc.marmotcaravel.MarmotCaravelConfig.fir@306925.4]
-  wire  MarmotCaravelPlatform_io_pins_gpio_pins_17_i_ival; // @[Chip.scala 41:21:shc.marmotcaravel.MarmotCaravelConfig.fir@306925.4]
-  wire  MarmotCaravelPlatform_io_pins_gpio_pins_17_o_oval; // @[Chip.scala 41:21:shc.marmotcaravel.MarmotCaravelConfig.fir@306925.4]
-  wire  MarmotCaravelPlatform_io_pins_gpio_pins_17_o_oe; // @[Chip.scala 41:21:shc.marmotcaravel.MarmotCaravelConfig.fir@306925.4]
-  wire  MarmotCaravelPlatform_io_pins_gpio_pins_17_o_ie; // @[Chip.scala 41:21:shc.marmotcaravel.MarmotCaravelConfig.fir@306925.4]
-  wire  MarmotCaravelPlatform_io_pins_gpio_pins_18_i_ival; // @[Chip.scala 41:21:shc.marmotcaravel.MarmotCaravelConfig.fir@306925.4]
-  wire  MarmotCaravelPlatform_io_pins_gpio_pins_18_o_oval; // @[Chip.scala 41:21:shc.marmotcaravel.MarmotCaravelConfig.fir@306925.4]
-  wire  MarmotCaravelPlatform_io_pins_gpio_pins_18_o_oe; // @[Chip.scala 41:21:shc.marmotcaravel.MarmotCaravelConfig.fir@306925.4]
-  wire  MarmotCaravelPlatform_io_pins_gpio_pins_18_o_ie; // @[Chip.scala 41:21:shc.marmotcaravel.MarmotCaravelConfig.fir@306925.4]
-  wire  MarmotCaravelPlatform_io_pins_gpio_pins_19_i_ival; // @[Chip.scala 41:21:shc.marmotcaravel.MarmotCaravelConfig.fir@306925.4]
-  wire  MarmotCaravelPlatform_io_pins_gpio_pins_19_o_oval; // @[Chip.scala 41:21:shc.marmotcaravel.MarmotCaravelConfig.fir@306925.4]
-  wire  MarmotCaravelPlatform_io_pins_gpio_pins_19_o_oe; // @[Chip.scala 41:21:shc.marmotcaravel.MarmotCaravelConfig.fir@306925.4]
-  wire  MarmotCaravelPlatform_io_pins_gpio_pins_19_o_ie; // @[Chip.scala 41:21:shc.marmotcaravel.MarmotCaravelConfig.fir@306925.4]
-  wire  MarmotCaravelPlatform_io_pins_gpio_pins_20_i_ival; // @[Chip.scala 41:21:shc.marmotcaravel.MarmotCaravelConfig.fir@306925.4]
-  wire  MarmotCaravelPlatform_io_pins_gpio_pins_20_o_oval; // @[Chip.scala 41:21:shc.marmotcaravel.MarmotCaravelConfig.fir@306925.4]
-  wire  MarmotCaravelPlatform_io_pins_gpio_pins_20_o_oe; // @[Chip.scala 41:21:shc.marmotcaravel.MarmotCaravelConfig.fir@306925.4]
-  wire  MarmotCaravelPlatform_io_pins_gpio_pins_20_o_ie; // @[Chip.scala 41:21:shc.marmotcaravel.MarmotCaravelConfig.fir@306925.4]
-  wire  MarmotCaravelPlatform_io_pins_gpio_pins_21_i_ival; // @[Chip.scala 41:21:shc.marmotcaravel.MarmotCaravelConfig.fir@306925.4]
-  wire  MarmotCaravelPlatform_io_pins_gpio_pins_21_o_oval; // @[Chip.scala 41:21:shc.marmotcaravel.MarmotCaravelConfig.fir@306925.4]
-  wire  MarmotCaravelPlatform_io_pins_gpio_pins_21_o_oe; // @[Chip.scala 41:21:shc.marmotcaravel.MarmotCaravelConfig.fir@306925.4]
-  wire  MarmotCaravelPlatform_io_pins_gpio_pins_21_o_ie; // @[Chip.scala 41:21:shc.marmotcaravel.MarmotCaravelConfig.fir@306925.4]
-  wire  MarmotCaravelPlatform_io_pins_gpio_pins_22_i_ival; // @[Chip.scala 41:21:shc.marmotcaravel.MarmotCaravelConfig.fir@306925.4]
-  wire  MarmotCaravelPlatform_io_pins_gpio_pins_22_o_oval; // @[Chip.scala 41:21:shc.marmotcaravel.MarmotCaravelConfig.fir@306925.4]
-  wire  MarmotCaravelPlatform_io_pins_gpio_pins_22_o_oe; // @[Chip.scala 41:21:shc.marmotcaravel.MarmotCaravelConfig.fir@306925.4]
-  wire  MarmotCaravelPlatform_io_pins_gpio_pins_22_o_ie; // @[Chip.scala 41:21:shc.marmotcaravel.MarmotCaravelConfig.fir@306925.4]
-  wire  MarmotCaravelPlatform_io_pins_gpio_pins_23_i_ival; // @[Chip.scala 41:21:shc.marmotcaravel.MarmotCaravelConfig.fir@306925.4]
-  wire  MarmotCaravelPlatform_io_pins_gpio_pins_23_o_oval; // @[Chip.scala 41:21:shc.marmotcaravel.MarmotCaravelConfig.fir@306925.4]
-  wire  MarmotCaravelPlatform_io_pins_gpio_pins_23_o_oe; // @[Chip.scala 41:21:shc.marmotcaravel.MarmotCaravelConfig.fir@306925.4]
-  wire  MarmotCaravelPlatform_io_pins_gpio_pins_23_o_ie; // @[Chip.scala 41:21:shc.marmotcaravel.MarmotCaravelConfig.fir@306925.4]
-  wire  MarmotCaravelPlatform_io_pins_gpio_pins_24_i_ival; // @[Chip.scala 41:21:shc.marmotcaravel.MarmotCaravelConfig.fir@306925.4]
-  wire  MarmotCaravelPlatform_io_pins_gpio_pins_24_o_oval; // @[Chip.scala 41:21:shc.marmotcaravel.MarmotCaravelConfig.fir@306925.4]
-  wire  MarmotCaravelPlatform_io_pins_gpio_pins_24_o_oe; // @[Chip.scala 41:21:shc.marmotcaravel.MarmotCaravelConfig.fir@306925.4]
-  wire  MarmotCaravelPlatform_io_pins_gpio_pins_24_o_ie; // @[Chip.scala 41:21:shc.marmotcaravel.MarmotCaravelConfig.fir@306925.4]
-  wire  MarmotCaravelPlatform_io_pins_gpio_pins_25_o_oval; // @[Chip.scala 41:21:shc.marmotcaravel.MarmotCaravelConfig.fir@306925.4]
-  wire  MarmotCaravelPlatform_io_pins_gpio_pins_25_o_oe; // @[Chip.scala 41:21:shc.marmotcaravel.MarmotCaravelConfig.fir@306925.4]
-  wire  MarmotCaravelPlatform_io_pins_gpio_pins_26_o_oval; // @[Chip.scala 41:21:shc.marmotcaravel.MarmotCaravelConfig.fir@306925.4]
-  wire  MarmotCaravelPlatform_io_pins_gpio_pins_26_o_oe; // @[Chip.scala 41:21:shc.marmotcaravel.MarmotCaravelConfig.fir@306925.4]
-  wire  MarmotCaravelPlatform_io_pins_gpio_pins_27_o_oval; // @[Chip.scala 41:21:shc.marmotcaravel.MarmotCaravelConfig.fir@306925.4]
-  wire  MarmotCaravelPlatform_io_pins_gpio_pins_27_o_oe; // @[Chip.scala 41:21:shc.marmotcaravel.MarmotCaravelConfig.fir@306925.4]
-  wire  MarmotCaravelPlatform_io_pins_gpio_pins_28_o_oval; // @[Chip.scala 41:21:shc.marmotcaravel.MarmotCaravelConfig.fir@306925.4]
-  wire  MarmotCaravelPlatform_io_pins_gpio_pins_28_o_oe; // @[Chip.scala 41:21:shc.marmotcaravel.MarmotCaravelConfig.fir@306925.4]
-  wire  MarmotCaravelPlatform_io_pins_gpio_pins_29_o_oval; // @[Chip.scala 41:21:shc.marmotcaravel.MarmotCaravelConfig.fir@306925.4]
-  wire  MarmotCaravelPlatform_io_pins_gpio_pins_29_o_oe; // @[Chip.scala 41:21:shc.marmotcaravel.MarmotCaravelConfig.fir@306925.4]
-  wire  MarmotCaravelPlatform_io_pins_gpio_pins_30_o_oval; // @[Chip.scala 41:21:shc.marmotcaravel.MarmotCaravelConfig.fir@306925.4]
-  wire  MarmotCaravelPlatform_io_pins_gpio_pins_30_o_oe; // @[Chip.scala 41:21:shc.marmotcaravel.MarmotCaravelConfig.fir@306925.4]
-  wire  MarmotCaravelPlatform_io_pins_gpio_pins_31_o_oval; // @[Chip.scala 41:21:shc.marmotcaravel.MarmotCaravelConfig.fir@306925.4]
-  wire  MarmotCaravelPlatform_io_pins_gpio_pins_31_o_oe; // @[Chip.scala 41:21:shc.marmotcaravel.MarmotCaravelConfig.fir@306925.4]
-  wire  MarmotCaravelPlatform_io_pins_qspi_sck_o_oval; // @[Chip.scala 41:21:shc.marmotcaravel.MarmotCaravelConfig.fir@306925.4]
-  wire  MarmotCaravelPlatform_io_pins_qspi_dq_0_i_ival; // @[Chip.scala 41:21:shc.marmotcaravel.MarmotCaravelConfig.fir@306925.4]
-  wire  MarmotCaravelPlatform_io_pins_qspi_dq_0_o_oval; // @[Chip.scala 41:21:shc.marmotcaravel.MarmotCaravelConfig.fir@306925.4]
-  wire  MarmotCaravelPlatform_io_pins_qspi_dq_0_o_oe; // @[Chip.scala 41:21:shc.marmotcaravel.MarmotCaravelConfig.fir@306925.4]
-  wire  MarmotCaravelPlatform_io_pins_qspi_dq_0_o_ie; // @[Chip.scala 41:21:shc.marmotcaravel.MarmotCaravelConfig.fir@306925.4]
-  wire  MarmotCaravelPlatform_io_pins_qspi_dq_1_i_ival; // @[Chip.scala 41:21:shc.marmotcaravel.MarmotCaravelConfig.fir@306925.4]
-  wire  MarmotCaravelPlatform_io_pins_qspi_dq_1_o_oval; // @[Chip.scala 41:21:shc.marmotcaravel.MarmotCaravelConfig.fir@306925.4]
-  wire  MarmotCaravelPlatform_io_pins_qspi_dq_1_o_oe; // @[Chip.scala 41:21:shc.marmotcaravel.MarmotCaravelConfig.fir@306925.4]
-  wire  MarmotCaravelPlatform_io_pins_qspi_dq_1_o_ie; // @[Chip.scala 41:21:shc.marmotcaravel.MarmotCaravelConfig.fir@306925.4]
-  wire  MarmotCaravelPlatform_io_pins_qspi_dq_2_i_ival; // @[Chip.scala 41:21:shc.marmotcaravel.MarmotCaravelConfig.fir@306925.4]
-  wire  MarmotCaravelPlatform_io_pins_qspi_dq_2_o_oval; // @[Chip.scala 41:21:shc.marmotcaravel.MarmotCaravelConfig.fir@306925.4]
-  wire  MarmotCaravelPlatform_io_pins_qspi_dq_2_o_oe; // @[Chip.scala 41:21:shc.marmotcaravel.MarmotCaravelConfig.fir@306925.4]
-  wire  MarmotCaravelPlatform_io_pins_qspi_dq_2_o_ie; // @[Chip.scala 41:21:shc.marmotcaravel.MarmotCaravelConfig.fir@306925.4]
-  wire  MarmotCaravelPlatform_io_pins_qspi_dq_3_i_ival; // @[Chip.scala 41:21:shc.marmotcaravel.MarmotCaravelConfig.fir@306925.4]
-  wire  MarmotCaravelPlatform_io_pins_qspi_dq_3_o_oval; // @[Chip.scala 41:21:shc.marmotcaravel.MarmotCaravelConfig.fir@306925.4]
-  wire  MarmotCaravelPlatform_io_pins_qspi_dq_3_o_oe; // @[Chip.scala 41:21:shc.marmotcaravel.MarmotCaravelConfig.fir@306925.4]
-  wire  MarmotCaravelPlatform_io_pins_qspi_dq_3_o_ie; // @[Chip.scala 41:21:shc.marmotcaravel.MarmotCaravelConfig.fir@306925.4]
-  wire  MarmotCaravelPlatform_io_pins_qspi_cs_0_o_oval; // @[Chip.scala 41:21:shc.marmotcaravel.MarmotCaravelConfig.fir@306925.4]
-  wire  MarmotCaravelPlatform_io_pins_qspi_ram_sck_o_oval; // @[Chip.scala 41:21:shc.marmotcaravel.MarmotCaravelConfig.fir@306925.4]
-  wire  MarmotCaravelPlatform_io_pins_qspi_ram_dq_0_i_ival; // @[Chip.scala 41:21:shc.marmotcaravel.MarmotCaravelConfig.fir@306925.4]
-  wire  MarmotCaravelPlatform_io_pins_qspi_ram_dq_0_o_oval; // @[Chip.scala 41:21:shc.marmotcaravel.MarmotCaravelConfig.fir@306925.4]
-  wire  MarmotCaravelPlatform_io_pins_qspi_ram_dq_0_o_oe; // @[Chip.scala 41:21:shc.marmotcaravel.MarmotCaravelConfig.fir@306925.4]
-  wire  MarmotCaravelPlatform_io_pins_qspi_ram_dq_0_o_ie; // @[Chip.scala 41:21:shc.marmotcaravel.MarmotCaravelConfig.fir@306925.4]
-  wire  MarmotCaravelPlatform_io_pins_qspi_ram_dq_1_i_ival; // @[Chip.scala 41:21:shc.marmotcaravel.MarmotCaravelConfig.fir@306925.4]
-  wire  MarmotCaravelPlatform_io_pins_qspi_ram_dq_1_o_oval; // @[Chip.scala 41:21:shc.marmotcaravel.MarmotCaravelConfig.fir@306925.4]
-  wire  MarmotCaravelPlatform_io_pins_qspi_ram_dq_1_o_oe; // @[Chip.scala 41:21:shc.marmotcaravel.MarmotCaravelConfig.fir@306925.4]
-  wire  MarmotCaravelPlatform_io_pins_qspi_ram_dq_1_o_ie; // @[Chip.scala 41:21:shc.marmotcaravel.MarmotCaravelConfig.fir@306925.4]
-  wire  MarmotCaravelPlatform_io_pins_qspi_ram_dq_2_i_ival; // @[Chip.scala 41:21:shc.marmotcaravel.MarmotCaravelConfig.fir@306925.4]
-  wire  MarmotCaravelPlatform_io_pins_qspi_ram_dq_2_o_oval; // @[Chip.scala 41:21:shc.marmotcaravel.MarmotCaravelConfig.fir@306925.4]
-  wire  MarmotCaravelPlatform_io_pins_qspi_ram_dq_2_o_oe; // @[Chip.scala 41:21:shc.marmotcaravel.MarmotCaravelConfig.fir@306925.4]
-  wire  MarmotCaravelPlatform_io_pins_qspi_ram_dq_2_o_ie; // @[Chip.scala 41:21:shc.marmotcaravel.MarmotCaravelConfig.fir@306925.4]
-  wire  MarmotCaravelPlatform_io_pins_qspi_ram_dq_3_i_ival; // @[Chip.scala 41:21:shc.marmotcaravel.MarmotCaravelConfig.fir@306925.4]
-  wire  MarmotCaravelPlatform_io_pins_qspi_ram_dq_3_o_oval; // @[Chip.scala 41:21:shc.marmotcaravel.MarmotCaravelConfig.fir@306925.4]
-  wire  MarmotCaravelPlatform_io_pins_qspi_ram_dq_3_o_oe; // @[Chip.scala 41:21:shc.marmotcaravel.MarmotCaravelConfig.fir@306925.4]
-  wire  MarmotCaravelPlatform_io_pins_qspi_ram_dq_3_o_ie; // @[Chip.scala 41:21:shc.marmotcaravel.MarmotCaravelConfig.fir@306925.4]
-  wire  MarmotCaravelPlatform_io_pins_qspi_ram_cs_0_o_oval; // @[Chip.scala 41:21:shc.marmotcaravel.MarmotCaravelConfig.fir@306925.4]
-  wire  MarmotCaravelPlatform_io_pins_uart_rxd_i_ival; // @[Chip.scala 41:21:shc.marmotcaravel.MarmotCaravelConfig.fir@306925.4]
-  wire  MarmotCaravelPlatform_io_pins_uart_txd_o_oval; // @[Chip.scala 41:21:shc.marmotcaravel.MarmotCaravelConfig.fir@306925.4]
-  wire  MarmotCaravelPlatform_io_pins_aon_erst_n_i_ival; // @[Chip.scala 41:21:shc.marmotcaravel.MarmotCaravelConfig.fir@306925.4]
-  wire  MarmotCaravelPlatform_io_pins_aon_lfextclk_i_ival; // @[Chip.scala 41:21:shc.marmotcaravel.MarmotCaravelConfig.fir@306925.4]
-  wire  MarmotCaravelPlatform_io_jtag_reset; // @[Chip.scala 41:21:shc.marmotcaravel.MarmotCaravelConfig.fir@306925.4]
-  wire  _T_479; // @[Chip.scala 28:26:shc.marmotcaravel.MarmotCaravelConfig.fir@306910.4]
-  reg  slow_clock; // @[Chip.scala 29:33:shc.marmotcaravel.MarmotCaravelConfig.fir@306911.4]
+  wire  MarmotCaravelPlatform_clock; // @[Chip.scala 41:21:shc.marmotcaravel.MarmotCaravelConfig.fir@306927.4]
+  wire  MarmotCaravelPlatform_io_pins_jtag_TCK_i_ival; // @[Chip.scala 41:21:shc.marmotcaravel.MarmotCaravelConfig.fir@306927.4]
+  wire  MarmotCaravelPlatform_io_pins_jtag_TMS_i_ival; // @[Chip.scala 41:21:shc.marmotcaravel.MarmotCaravelConfig.fir@306927.4]
+  wire  MarmotCaravelPlatform_io_pins_jtag_TDI_i_ival; // @[Chip.scala 41:21:shc.marmotcaravel.MarmotCaravelConfig.fir@306927.4]
+  wire  MarmotCaravelPlatform_io_pins_jtag_TDO_o_oval; // @[Chip.scala 41:21:shc.marmotcaravel.MarmotCaravelConfig.fir@306927.4]
+  wire  MarmotCaravelPlatform_io_pins_jtag_TDO_o_oe; // @[Chip.scala 41:21:shc.marmotcaravel.MarmotCaravelConfig.fir@306927.4]
+  wire  MarmotCaravelPlatform_io_pins_gpio_pins_0_i_ival; // @[Chip.scala 41:21:shc.marmotcaravel.MarmotCaravelConfig.fir@306927.4]
+  wire  MarmotCaravelPlatform_io_pins_gpio_pins_0_o_oval; // @[Chip.scala 41:21:shc.marmotcaravel.MarmotCaravelConfig.fir@306927.4]
+  wire  MarmotCaravelPlatform_io_pins_gpio_pins_0_o_oe; // @[Chip.scala 41:21:shc.marmotcaravel.MarmotCaravelConfig.fir@306927.4]
+  wire  MarmotCaravelPlatform_io_pins_gpio_pins_0_o_ie; // @[Chip.scala 41:21:shc.marmotcaravel.MarmotCaravelConfig.fir@306927.4]
+  wire  MarmotCaravelPlatform_io_pins_gpio_pins_1_i_ival; // @[Chip.scala 41:21:shc.marmotcaravel.MarmotCaravelConfig.fir@306927.4]
+  wire  MarmotCaravelPlatform_io_pins_gpio_pins_1_o_oval; // @[Chip.scala 41:21:shc.marmotcaravel.MarmotCaravelConfig.fir@306927.4]
+  wire  MarmotCaravelPlatform_io_pins_gpio_pins_1_o_oe; // @[Chip.scala 41:21:shc.marmotcaravel.MarmotCaravelConfig.fir@306927.4]
+  wire  MarmotCaravelPlatform_io_pins_gpio_pins_1_o_ie; // @[Chip.scala 41:21:shc.marmotcaravel.MarmotCaravelConfig.fir@306927.4]
+  wire  MarmotCaravelPlatform_io_pins_gpio_pins_2_i_ival; // @[Chip.scala 41:21:shc.marmotcaravel.MarmotCaravelConfig.fir@306927.4]
+  wire  MarmotCaravelPlatform_io_pins_gpio_pins_2_o_oval; // @[Chip.scala 41:21:shc.marmotcaravel.MarmotCaravelConfig.fir@306927.4]
+  wire  MarmotCaravelPlatform_io_pins_gpio_pins_2_o_oe; // @[Chip.scala 41:21:shc.marmotcaravel.MarmotCaravelConfig.fir@306927.4]
+  wire  MarmotCaravelPlatform_io_pins_gpio_pins_2_o_ie; // @[Chip.scala 41:21:shc.marmotcaravel.MarmotCaravelConfig.fir@306927.4]
+  wire  MarmotCaravelPlatform_io_pins_gpio_pins_3_i_ival; // @[Chip.scala 41:21:shc.marmotcaravel.MarmotCaravelConfig.fir@306927.4]
+  wire  MarmotCaravelPlatform_io_pins_gpio_pins_3_o_oval; // @[Chip.scala 41:21:shc.marmotcaravel.MarmotCaravelConfig.fir@306927.4]
+  wire  MarmotCaravelPlatform_io_pins_gpio_pins_3_o_oe; // @[Chip.scala 41:21:shc.marmotcaravel.MarmotCaravelConfig.fir@306927.4]
+  wire  MarmotCaravelPlatform_io_pins_gpio_pins_3_o_ie; // @[Chip.scala 41:21:shc.marmotcaravel.MarmotCaravelConfig.fir@306927.4]
+  wire  MarmotCaravelPlatform_io_pins_gpio_pins_4_i_ival; // @[Chip.scala 41:21:shc.marmotcaravel.MarmotCaravelConfig.fir@306927.4]
+  wire  MarmotCaravelPlatform_io_pins_gpio_pins_4_o_oval; // @[Chip.scala 41:21:shc.marmotcaravel.MarmotCaravelConfig.fir@306927.4]
+  wire  MarmotCaravelPlatform_io_pins_gpio_pins_4_o_oe; // @[Chip.scala 41:21:shc.marmotcaravel.MarmotCaravelConfig.fir@306927.4]
+  wire  MarmotCaravelPlatform_io_pins_gpio_pins_4_o_ie; // @[Chip.scala 41:21:shc.marmotcaravel.MarmotCaravelConfig.fir@306927.4]
+  wire  MarmotCaravelPlatform_io_pins_gpio_pins_5_i_ival; // @[Chip.scala 41:21:shc.marmotcaravel.MarmotCaravelConfig.fir@306927.4]
+  wire  MarmotCaravelPlatform_io_pins_gpio_pins_5_o_oval; // @[Chip.scala 41:21:shc.marmotcaravel.MarmotCaravelConfig.fir@306927.4]
+  wire  MarmotCaravelPlatform_io_pins_gpio_pins_5_o_oe; // @[Chip.scala 41:21:shc.marmotcaravel.MarmotCaravelConfig.fir@306927.4]
+  wire  MarmotCaravelPlatform_io_pins_gpio_pins_5_o_ie; // @[Chip.scala 41:21:shc.marmotcaravel.MarmotCaravelConfig.fir@306927.4]
+  wire  MarmotCaravelPlatform_io_pins_gpio_pins_6_i_ival; // @[Chip.scala 41:21:shc.marmotcaravel.MarmotCaravelConfig.fir@306927.4]
+  wire  MarmotCaravelPlatform_io_pins_gpio_pins_6_o_oval; // @[Chip.scala 41:21:shc.marmotcaravel.MarmotCaravelConfig.fir@306927.4]
+  wire  MarmotCaravelPlatform_io_pins_gpio_pins_6_o_oe; // @[Chip.scala 41:21:shc.marmotcaravel.MarmotCaravelConfig.fir@306927.4]
+  wire  MarmotCaravelPlatform_io_pins_gpio_pins_7_i_ival; // @[Chip.scala 41:21:shc.marmotcaravel.MarmotCaravelConfig.fir@306927.4]
+  wire  MarmotCaravelPlatform_io_pins_gpio_pins_7_o_oval; // @[Chip.scala 41:21:shc.marmotcaravel.MarmotCaravelConfig.fir@306927.4]
+  wire  MarmotCaravelPlatform_io_pins_gpio_pins_7_o_oe; // @[Chip.scala 41:21:shc.marmotcaravel.MarmotCaravelConfig.fir@306927.4]
+  wire  MarmotCaravelPlatform_io_pins_gpio_pins_8_i_ival; // @[Chip.scala 41:21:shc.marmotcaravel.MarmotCaravelConfig.fir@306927.4]
+  wire  MarmotCaravelPlatform_io_pins_gpio_pins_8_o_oval; // @[Chip.scala 41:21:shc.marmotcaravel.MarmotCaravelConfig.fir@306927.4]
+  wire  MarmotCaravelPlatform_io_pins_gpio_pins_8_o_oe; // @[Chip.scala 41:21:shc.marmotcaravel.MarmotCaravelConfig.fir@306927.4]
+  wire  MarmotCaravelPlatform_io_pins_gpio_pins_9_i_ival; // @[Chip.scala 41:21:shc.marmotcaravel.MarmotCaravelConfig.fir@306927.4]
+  wire  MarmotCaravelPlatform_io_pins_gpio_pins_9_o_oval; // @[Chip.scala 41:21:shc.marmotcaravel.MarmotCaravelConfig.fir@306927.4]
+  wire  MarmotCaravelPlatform_io_pins_gpio_pins_9_o_oe; // @[Chip.scala 41:21:shc.marmotcaravel.MarmotCaravelConfig.fir@306927.4]
+  wire  MarmotCaravelPlatform_io_pins_gpio_pins_10_i_ival; // @[Chip.scala 41:21:shc.marmotcaravel.MarmotCaravelConfig.fir@306927.4]
+  wire  MarmotCaravelPlatform_io_pins_gpio_pins_10_o_oval; // @[Chip.scala 41:21:shc.marmotcaravel.MarmotCaravelConfig.fir@306927.4]
+  wire  MarmotCaravelPlatform_io_pins_gpio_pins_10_o_oe; // @[Chip.scala 41:21:shc.marmotcaravel.MarmotCaravelConfig.fir@306927.4]
+  wire  MarmotCaravelPlatform_io_pins_gpio_pins_11_i_ival; // @[Chip.scala 41:21:shc.marmotcaravel.MarmotCaravelConfig.fir@306927.4]
+  wire  MarmotCaravelPlatform_io_pins_gpio_pins_11_o_oval; // @[Chip.scala 41:21:shc.marmotcaravel.MarmotCaravelConfig.fir@306927.4]
+  wire  MarmotCaravelPlatform_io_pins_gpio_pins_11_o_oe; // @[Chip.scala 41:21:shc.marmotcaravel.MarmotCaravelConfig.fir@306927.4]
+  wire  MarmotCaravelPlatform_io_pins_gpio_pins_12_i_ival; // @[Chip.scala 41:21:shc.marmotcaravel.MarmotCaravelConfig.fir@306927.4]
+  wire  MarmotCaravelPlatform_io_pins_gpio_pins_12_o_oval; // @[Chip.scala 41:21:shc.marmotcaravel.MarmotCaravelConfig.fir@306927.4]
+  wire  MarmotCaravelPlatform_io_pins_gpio_pins_12_o_oe; // @[Chip.scala 41:21:shc.marmotcaravel.MarmotCaravelConfig.fir@306927.4]
+  wire  MarmotCaravelPlatform_io_pins_gpio_pins_12_o_ie; // @[Chip.scala 41:21:shc.marmotcaravel.MarmotCaravelConfig.fir@306927.4]
+  wire  MarmotCaravelPlatform_io_pins_gpio_pins_13_i_ival; // @[Chip.scala 41:21:shc.marmotcaravel.MarmotCaravelConfig.fir@306927.4]
+  wire  MarmotCaravelPlatform_io_pins_gpio_pins_13_o_oval; // @[Chip.scala 41:21:shc.marmotcaravel.MarmotCaravelConfig.fir@306927.4]
+  wire  MarmotCaravelPlatform_io_pins_gpio_pins_13_o_oe; // @[Chip.scala 41:21:shc.marmotcaravel.MarmotCaravelConfig.fir@306927.4]
+  wire  MarmotCaravelPlatform_io_pins_gpio_pins_13_o_ie; // @[Chip.scala 41:21:shc.marmotcaravel.MarmotCaravelConfig.fir@306927.4]
+  wire  MarmotCaravelPlatform_io_pins_gpio_pins_14_i_ival; // @[Chip.scala 41:21:shc.marmotcaravel.MarmotCaravelConfig.fir@306927.4]
+  wire  MarmotCaravelPlatform_io_pins_gpio_pins_14_o_oval; // @[Chip.scala 41:21:shc.marmotcaravel.MarmotCaravelConfig.fir@306927.4]
+  wire  MarmotCaravelPlatform_io_pins_gpio_pins_14_o_oe; // @[Chip.scala 41:21:shc.marmotcaravel.MarmotCaravelConfig.fir@306927.4]
+  wire  MarmotCaravelPlatform_io_pins_gpio_pins_14_o_ie; // @[Chip.scala 41:21:shc.marmotcaravel.MarmotCaravelConfig.fir@306927.4]
+  wire  MarmotCaravelPlatform_io_pins_gpio_pins_15_i_ival; // @[Chip.scala 41:21:shc.marmotcaravel.MarmotCaravelConfig.fir@306927.4]
+  wire  MarmotCaravelPlatform_io_pins_gpio_pins_15_o_oval; // @[Chip.scala 41:21:shc.marmotcaravel.MarmotCaravelConfig.fir@306927.4]
+  wire  MarmotCaravelPlatform_io_pins_gpio_pins_15_o_oe; // @[Chip.scala 41:21:shc.marmotcaravel.MarmotCaravelConfig.fir@306927.4]
+  wire  MarmotCaravelPlatform_io_pins_gpio_pins_15_o_ie; // @[Chip.scala 41:21:shc.marmotcaravel.MarmotCaravelConfig.fir@306927.4]
+  wire  MarmotCaravelPlatform_io_pins_gpio_pins_16_i_ival; // @[Chip.scala 41:21:shc.marmotcaravel.MarmotCaravelConfig.fir@306927.4]
+  wire  MarmotCaravelPlatform_io_pins_gpio_pins_16_o_oval; // @[Chip.scala 41:21:shc.marmotcaravel.MarmotCaravelConfig.fir@306927.4]
+  wire  MarmotCaravelPlatform_io_pins_gpio_pins_16_o_oe; // @[Chip.scala 41:21:shc.marmotcaravel.MarmotCaravelConfig.fir@306927.4]
+  wire  MarmotCaravelPlatform_io_pins_gpio_pins_16_o_ie; // @[Chip.scala 41:21:shc.marmotcaravel.MarmotCaravelConfig.fir@306927.4]
+  wire  MarmotCaravelPlatform_io_pins_gpio_pins_17_i_ival; // @[Chip.scala 41:21:shc.marmotcaravel.MarmotCaravelConfig.fir@306927.4]
+  wire  MarmotCaravelPlatform_io_pins_gpio_pins_17_o_oval; // @[Chip.scala 41:21:shc.marmotcaravel.MarmotCaravelConfig.fir@306927.4]
+  wire  MarmotCaravelPlatform_io_pins_gpio_pins_17_o_oe; // @[Chip.scala 41:21:shc.marmotcaravel.MarmotCaravelConfig.fir@306927.4]
+  wire  MarmotCaravelPlatform_io_pins_gpio_pins_17_o_ie; // @[Chip.scala 41:21:shc.marmotcaravel.MarmotCaravelConfig.fir@306927.4]
+  wire  MarmotCaravelPlatform_io_pins_gpio_pins_18_i_ival; // @[Chip.scala 41:21:shc.marmotcaravel.MarmotCaravelConfig.fir@306927.4]
+  wire  MarmotCaravelPlatform_io_pins_gpio_pins_18_o_oval; // @[Chip.scala 41:21:shc.marmotcaravel.MarmotCaravelConfig.fir@306927.4]
+  wire  MarmotCaravelPlatform_io_pins_gpio_pins_18_o_oe; // @[Chip.scala 41:21:shc.marmotcaravel.MarmotCaravelConfig.fir@306927.4]
+  wire  MarmotCaravelPlatform_io_pins_gpio_pins_18_o_ie; // @[Chip.scala 41:21:shc.marmotcaravel.MarmotCaravelConfig.fir@306927.4]
+  wire  MarmotCaravelPlatform_io_pins_gpio_pins_19_i_ival; // @[Chip.scala 41:21:shc.marmotcaravel.MarmotCaravelConfig.fir@306927.4]
+  wire  MarmotCaravelPlatform_io_pins_gpio_pins_19_o_oval; // @[Chip.scala 41:21:shc.marmotcaravel.MarmotCaravelConfig.fir@306927.4]
+  wire  MarmotCaravelPlatform_io_pins_gpio_pins_19_o_oe; // @[Chip.scala 41:21:shc.marmotcaravel.MarmotCaravelConfig.fir@306927.4]
+  wire  MarmotCaravelPlatform_io_pins_gpio_pins_19_o_ie; // @[Chip.scala 41:21:shc.marmotcaravel.MarmotCaravelConfig.fir@306927.4]
+  wire  MarmotCaravelPlatform_io_pins_gpio_pins_20_i_ival; // @[Chip.scala 41:21:shc.marmotcaravel.MarmotCaravelConfig.fir@306927.4]
+  wire  MarmotCaravelPlatform_io_pins_gpio_pins_20_o_oval; // @[Chip.scala 41:21:shc.marmotcaravel.MarmotCaravelConfig.fir@306927.4]
+  wire  MarmotCaravelPlatform_io_pins_gpio_pins_20_o_oe; // @[Chip.scala 41:21:shc.marmotcaravel.MarmotCaravelConfig.fir@306927.4]
+  wire  MarmotCaravelPlatform_io_pins_gpio_pins_20_o_ie; // @[Chip.scala 41:21:shc.marmotcaravel.MarmotCaravelConfig.fir@306927.4]
+  wire  MarmotCaravelPlatform_io_pins_gpio_pins_21_i_ival; // @[Chip.scala 41:21:shc.marmotcaravel.MarmotCaravelConfig.fir@306927.4]
+  wire  MarmotCaravelPlatform_io_pins_gpio_pins_21_o_oval; // @[Chip.scala 41:21:shc.marmotcaravel.MarmotCaravelConfig.fir@306927.4]
+  wire  MarmotCaravelPlatform_io_pins_gpio_pins_21_o_oe; // @[Chip.scala 41:21:shc.marmotcaravel.MarmotCaravelConfig.fir@306927.4]
+  wire  MarmotCaravelPlatform_io_pins_gpio_pins_21_o_ie; // @[Chip.scala 41:21:shc.marmotcaravel.MarmotCaravelConfig.fir@306927.4]
+  wire  MarmotCaravelPlatform_io_pins_gpio_pins_22_i_ival; // @[Chip.scala 41:21:shc.marmotcaravel.MarmotCaravelConfig.fir@306927.4]
+  wire  MarmotCaravelPlatform_io_pins_gpio_pins_22_o_oval; // @[Chip.scala 41:21:shc.marmotcaravel.MarmotCaravelConfig.fir@306927.4]
+  wire  MarmotCaravelPlatform_io_pins_gpio_pins_22_o_oe; // @[Chip.scala 41:21:shc.marmotcaravel.MarmotCaravelConfig.fir@306927.4]
+  wire  MarmotCaravelPlatform_io_pins_gpio_pins_22_o_ie; // @[Chip.scala 41:21:shc.marmotcaravel.MarmotCaravelConfig.fir@306927.4]
+  wire  MarmotCaravelPlatform_io_pins_gpio_pins_23_i_ival; // @[Chip.scala 41:21:shc.marmotcaravel.MarmotCaravelConfig.fir@306927.4]
+  wire  MarmotCaravelPlatform_io_pins_gpio_pins_23_o_oval; // @[Chip.scala 41:21:shc.marmotcaravel.MarmotCaravelConfig.fir@306927.4]
+  wire  MarmotCaravelPlatform_io_pins_gpio_pins_23_o_oe; // @[Chip.scala 41:21:shc.marmotcaravel.MarmotCaravelConfig.fir@306927.4]
+  wire  MarmotCaravelPlatform_io_pins_gpio_pins_23_o_ie; // @[Chip.scala 41:21:shc.marmotcaravel.MarmotCaravelConfig.fir@306927.4]
+  wire  MarmotCaravelPlatform_io_pins_gpio_pins_24_i_ival; // @[Chip.scala 41:21:shc.marmotcaravel.MarmotCaravelConfig.fir@306927.4]
+  wire  MarmotCaravelPlatform_io_pins_gpio_pins_24_o_oval; // @[Chip.scala 41:21:shc.marmotcaravel.MarmotCaravelConfig.fir@306927.4]
+  wire  MarmotCaravelPlatform_io_pins_gpio_pins_24_o_oe; // @[Chip.scala 41:21:shc.marmotcaravel.MarmotCaravelConfig.fir@306927.4]
+  wire  MarmotCaravelPlatform_io_pins_gpio_pins_24_o_ie; // @[Chip.scala 41:21:shc.marmotcaravel.MarmotCaravelConfig.fir@306927.4]
+  wire  MarmotCaravelPlatform_io_pins_gpio_pins_25_i_ival; // @[Chip.scala 41:21:shc.marmotcaravel.MarmotCaravelConfig.fir@306927.4]
+  wire  MarmotCaravelPlatform_io_pins_gpio_pins_25_o_oval; // @[Chip.scala 41:21:shc.marmotcaravel.MarmotCaravelConfig.fir@306927.4]
+  wire  MarmotCaravelPlatform_io_pins_gpio_pins_25_o_oe; // @[Chip.scala 41:21:shc.marmotcaravel.MarmotCaravelConfig.fir@306927.4]
+  wire  MarmotCaravelPlatform_io_pins_gpio_pins_26_i_ival; // @[Chip.scala 41:21:shc.marmotcaravel.MarmotCaravelConfig.fir@306927.4]
+  wire  MarmotCaravelPlatform_io_pins_gpio_pins_26_o_oval; // @[Chip.scala 41:21:shc.marmotcaravel.MarmotCaravelConfig.fir@306927.4]
+  wire  MarmotCaravelPlatform_io_pins_gpio_pins_26_o_oe; // @[Chip.scala 41:21:shc.marmotcaravel.MarmotCaravelConfig.fir@306927.4]
+  wire  MarmotCaravelPlatform_io_pins_gpio_pins_27_i_ival; // @[Chip.scala 41:21:shc.marmotcaravel.MarmotCaravelConfig.fir@306927.4]
+  wire  MarmotCaravelPlatform_io_pins_gpio_pins_27_o_oval; // @[Chip.scala 41:21:shc.marmotcaravel.MarmotCaravelConfig.fir@306927.4]
+  wire  MarmotCaravelPlatform_io_pins_gpio_pins_27_o_oe; // @[Chip.scala 41:21:shc.marmotcaravel.MarmotCaravelConfig.fir@306927.4]
+  wire  MarmotCaravelPlatform_io_pins_gpio_pins_28_i_ival; // @[Chip.scala 41:21:shc.marmotcaravel.MarmotCaravelConfig.fir@306927.4]
+  wire  MarmotCaravelPlatform_io_pins_gpio_pins_28_o_oval; // @[Chip.scala 41:21:shc.marmotcaravel.MarmotCaravelConfig.fir@306927.4]
+  wire  MarmotCaravelPlatform_io_pins_gpio_pins_28_o_oe; // @[Chip.scala 41:21:shc.marmotcaravel.MarmotCaravelConfig.fir@306927.4]
+  wire  MarmotCaravelPlatform_io_pins_gpio_pins_29_i_ival; // @[Chip.scala 41:21:shc.marmotcaravel.MarmotCaravelConfig.fir@306927.4]
+  wire  MarmotCaravelPlatform_io_pins_gpio_pins_29_o_oval; // @[Chip.scala 41:21:shc.marmotcaravel.MarmotCaravelConfig.fir@306927.4]
+  wire  MarmotCaravelPlatform_io_pins_gpio_pins_29_o_oe; // @[Chip.scala 41:21:shc.marmotcaravel.MarmotCaravelConfig.fir@306927.4]
+  wire  MarmotCaravelPlatform_io_pins_gpio_pins_30_i_ival; // @[Chip.scala 41:21:shc.marmotcaravel.MarmotCaravelConfig.fir@306927.4]
+  wire  MarmotCaravelPlatform_io_pins_gpio_pins_30_o_oval; // @[Chip.scala 41:21:shc.marmotcaravel.MarmotCaravelConfig.fir@306927.4]
+  wire  MarmotCaravelPlatform_io_pins_gpio_pins_30_o_oe; // @[Chip.scala 41:21:shc.marmotcaravel.MarmotCaravelConfig.fir@306927.4]
+  wire  MarmotCaravelPlatform_io_pins_gpio_pins_31_i_ival; // @[Chip.scala 41:21:shc.marmotcaravel.MarmotCaravelConfig.fir@306927.4]
+  wire  MarmotCaravelPlatform_io_pins_gpio_pins_31_o_oval; // @[Chip.scala 41:21:shc.marmotcaravel.MarmotCaravelConfig.fir@306927.4]
+  wire  MarmotCaravelPlatform_io_pins_gpio_pins_31_o_oe; // @[Chip.scala 41:21:shc.marmotcaravel.MarmotCaravelConfig.fir@306927.4]
+  wire  MarmotCaravelPlatform_io_pins_qspi_sck_o_oval; // @[Chip.scala 41:21:shc.marmotcaravel.MarmotCaravelConfig.fir@306927.4]
+  wire  MarmotCaravelPlatform_io_pins_qspi_dq_0_i_ival; // @[Chip.scala 41:21:shc.marmotcaravel.MarmotCaravelConfig.fir@306927.4]
+  wire  MarmotCaravelPlatform_io_pins_qspi_dq_0_o_oval; // @[Chip.scala 41:21:shc.marmotcaravel.MarmotCaravelConfig.fir@306927.4]
+  wire  MarmotCaravelPlatform_io_pins_qspi_dq_0_o_oe; // @[Chip.scala 41:21:shc.marmotcaravel.MarmotCaravelConfig.fir@306927.4]
+  wire  MarmotCaravelPlatform_io_pins_qspi_dq_0_o_ie; // @[Chip.scala 41:21:shc.marmotcaravel.MarmotCaravelConfig.fir@306927.4]
+  wire  MarmotCaravelPlatform_io_pins_qspi_dq_1_i_ival; // @[Chip.scala 41:21:shc.marmotcaravel.MarmotCaravelConfig.fir@306927.4]
+  wire  MarmotCaravelPlatform_io_pins_qspi_dq_1_o_oval; // @[Chip.scala 41:21:shc.marmotcaravel.MarmotCaravelConfig.fir@306927.4]
+  wire  MarmotCaravelPlatform_io_pins_qspi_dq_1_o_oe; // @[Chip.scala 41:21:shc.marmotcaravel.MarmotCaravelConfig.fir@306927.4]
+  wire  MarmotCaravelPlatform_io_pins_qspi_dq_1_o_ie; // @[Chip.scala 41:21:shc.marmotcaravel.MarmotCaravelConfig.fir@306927.4]
+  wire  MarmotCaravelPlatform_io_pins_qspi_dq_2_i_ival; // @[Chip.scala 41:21:shc.marmotcaravel.MarmotCaravelConfig.fir@306927.4]
+  wire  MarmotCaravelPlatform_io_pins_qspi_dq_2_o_oval; // @[Chip.scala 41:21:shc.marmotcaravel.MarmotCaravelConfig.fir@306927.4]
+  wire  MarmotCaravelPlatform_io_pins_qspi_dq_2_o_oe; // @[Chip.scala 41:21:shc.marmotcaravel.MarmotCaravelConfig.fir@306927.4]
+  wire  MarmotCaravelPlatform_io_pins_qspi_dq_2_o_ie; // @[Chip.scala 41:21:shc.marmotcaravel.MarmotCaravelConfig.fir@306927.4]
+  wire  MarmotCaravelPlatform_io_pins_qspi_dq_3_i_ival; // @[Chip.scala 41:21:shc.marmotcaravel.MarmotCaravelConfig.fir@306927.4]
+  wire  MarmotCaravelPlatform_io_pins_qspi_dq_3_o_oval; // @[Chip.scala 41:21:shc.marmotcaravel.MarmotCaravelConfig.fir@306927.4]
+  wire  MarmotCaravelPlatform_io_pins_qspi_dq_3_o_oe; // @[Chip.scala 41:21:shc.marmotcaravel.MarmotCaravelConfig.fir@306927.4]
+  wire  MarmotCaravelPlatform_io_pins_qspi_dq_3_o_ie; // @[Chip.scala 41:21:shc.marmotcaravel.MarmotCaravelConfig.fir@306927.4]
+  wire  MarmotCaravelPlatform_io_pins_qspi_cs_0_o_oval; // @[Chip.scala 41:21:shc.marmotcaravel.MarmotCaravelConfig.fir@306927.4]
+  wire  MarmotCaravelPlatform_io_pins_qspi_ram_sck_o_oval; // @[Chip.scala 41:21:shc.marmotcaravel.MarmotCaravelConfig.fir@306927.4]
+  wire  MarmotCaravelPlatform_io_pins_qspi_ram_dq_0_i_ival; // @[Chip.scala 41:21:shc.marmotcaravel.MarmotCaravelConfig.fir@306927.4]
+  wire  MarmotCaravelPlatform_io_pins_qspi_ram_dq_0_o_oval; // @[Chip.scala 41:21:shc.marmotcaravel.MarmotCaravelConfig.fir@306927.4]
+  wire  MarmotCaravelPlatform_io_pins_qspi_ram_dq_0_o_oe; // @[Chip.scala 41:21:shc.marmotcaravel.MarmotCaravelConfig.fir@306927.4]
+  wire  MarmotCaravelPlatform_io_pins_qspi_ram_dq_0_o_ie; // @[Chip.scala 41:21:shc.marmotcaravel.MarmotCaravelConfig.fir@306927.4]
+  wire  MarmotCaravelPlatform_io_pins_qspi_ram_dq_1_i_ival; // @[Chip.scala 41:21:shc.marmotcaravel.MarmotCaravelConfig.fir@306927.4]
+  wire  MarmotCaravelPlatform_io_pins_qspi_ram_dq_1_o_oval; // @[Chip.scala 41:21:shc.marmotcaravel.MarmotCaravelConfig.fir@306927.4]
+  wire  MarmotCaravelPlatform_io_pins_qspi_ram_dq_1_o_oe; // @[Chip.scala 41:21:shc.marmotcaravel.MarmotCaravelConfig.fir@306927.4]
+  wire  MarmotCaravelPlatform_io_pins_qspi_ram_dq_1_o_ie; // @[Chip.scala 41:21:shc.marmotcaravel.MarmotCaravelConfig.fir@306927.4]
+  wire  MarmotCaravelPlatform_io_pins_qspi_ram_dq_2_i_ival; // @[Chip.scala 41:21:shc.marmotcaravel.MarmotCaravelConfig.fir@306927.4]
+  wire  MarmotCaravelPlatform_io_pins_qspi_ram_dq_2_o_oval; // @[Chip.scala 41:21:shc.marmotcaravel.MarmotCaravelConfig.fir@306927.4]
+  wire  MarmotCaravelPlatform_io_pins_qspi_ram_dq_2_o_oe; // @[Chip.scala 41:21:shc.marmotcaravel.MarmotCaravelConfig.fir@306927.4]
+  wire  MarmotCaravelPlatform_io_pins_qspi_ram_dq_2_o_ie; // @[Chip.scala 41:21:shc.marmotcaravel.MarmotCaravelConfig.fir@306927.4]
+  wire  MarmotCaravelPlatform_io_pins_qspi_ram_dq_3_i_ival; // @[Chip.scala 41:21:shc.marmotcaravel.MarmotCaravelConfig.fir@306927.4]
+  wire  MarmotCaravelPlatform_io_pins_qspi_ram_dq_3_o_oval; // @[Chip.scala 41:21:shc.marmotcaravel.MarmotCaravelConfig.fir@306927.4]
+  wire  MarmotCaravelPlatform_io_pins_qspi_ram_dq_3_o_oe; // @[Chip.scala 41:21:shc.marmotcaravel.MarmotCaravelConfig.fir@306927.4]
+  wire  MarmotCaravelPlatform_io_pins_qspi_ram_dq_3_o_ie; // @[Chip.scala 41:21:shc.marmotcaravel.MarmotCaravelConfig.fir@306927.4]
+  wire  MarmotCaravelPlatform_io_pins_qspi_ram_cs_0_o_oval; // @[Chip.scala 41:21:shc.marmotcaravel.MarmotCaravelConfig.fir@306927.4]
+  wire  MarmotCaravelPlatform_io_pins_uart_rxd_i_ival; // @[Chip.scala 41:21:shc.marmotcaravel.MarmotCaravelConfig.fir@306927.4]
+  wire  MarmotCaravelPlatform_io_pins_uart_txd_o_oval; // @[Chip.scala 41:21:shc.marmotcaravel.MarmotCaravelConfig.fir@306927.4]
+  wire  MarmotCaravelPlatform_io_pins_aon_erst_n_i_ival; // @[Chip.scala 41:21:shc.marmotcaravel.MarmotCaravelConfig.fir@306927.4]
+  wire  MarmotCaravelPlatform_io_pins_aon_lfextclk_i_ival; // @[Chip.scala 41:21:shc.marmotcaravel.MarmotCaravelConfig.fir@306927.4]
+  wire  MarmotCaravelPlatform_io_jtag_reset; // @[Chip.scala 41:21:shc.marmotcaravel.MarmotCaravelConfig.fir@306927.4]
+  wire  _T_584; // @[Chip.scala 28:26:shc.marmotcaravel.MarmotCaravelConfig.fir@306912.4]
+  reg  slow_clock; // @[Chip.scala 29:33:shc.marmotcaravel.MarmotCaravelConfig.fir@306913.4]
   reg [31:0] _RAND_0;
-  reg  value; // @[Counter.scala 26:33:shc.marmotcaravel.MarmotCaravelConfig.fir@306912.4]
+  reg [1:0] value; // @[Counter.scala 26:33:shc.marmotcaravel.MarmotCaravelConfig.fir@306914.4]
   reg [31:0] _RAND_1;
-  wire  _T_485; // @[Counter.scala 35:22:shc.marmotcaravel.MarmotCaravelConfig.fir@306916.6]
-  wire  _T_487; // @[Chip.scala 32:40:shc.marmotcaravel.MarmotCaravelConfig.fir@306921.6]
-  MarmotCaravelPlatform MarmotCaravelPlatform ( // @[Chip.scala 41:21:shc.marmotcaravel.MarmotCaravelConfig.fir@306925.4]
+  wire  _T_588; // @[Counter.scala 34:24:shc.marmotcaravel.MarmotCaravelConfig.fir@306916.6]
+  wire [1:0] _T_590; // @[Counter.scala 35:22:shc.marmotcaravel.MarmotCaravelConfig.fir@306918.6]
+  wire  _T_592; // @[Chip.scala 32:40:shc.marmotcaravel.MarmotCaravelConfig.fir@306923.6]
+  MarmotCaravelPlatform MarmotCaravelPlatform ( // @[Chip.scala 41:21:shc.marmotcaravel.MarmotCaravelConfig.fir@306927.4]
     .clock(MarmotCaravelPlatform_clock),
     .io_pins_jtag_TCK_i_ival(MarmotCaravelPlatform_io_pins_jtag_TCK_i_ival),
     .io_pins_jtag_TMS_i_ival(MarmotCaravelPlatform_io_pins_jtag_TMS_i_ival),
@@ -367382,16 +367559,22 @@
     .io_pins_gpio_pins_5_o_oval(MarmotCaravelPlatform_io_pins_gpio_pins_5_o_oval),
     .io_pins_gpio_pins_5_o_oe(MarmotCaravelPlatform_io_pins_gpio_pins_5_o_oe),
     .io_pins_gpio_pins_5_o_ie(MarmotCaravelPlatform_io_pins_gpio_pins_5_o_ie),
+    .io_pins_gpio_pins_6_i_ival(MarmotCaravelPlatform_io_pins_gpio_pins_6_i_ival),
     .io_pins_gpio_pins_6_o_oval(MarmotCaravelPlatform_io_pins_gpio_pins_6_o_oval),
     .io_pins_gpio_pins_6_o_oe(MarmotCaravelPlatform_io_pins_gpio_pins_6_o_oe),
+    .io_pins_gpio_pins_7_i_ival(MarmotCaravelPlatform_io_pins_gpio_pins_7_i_ival),
     .io_pins_gpio_pins_7_o_oval(MarmotCaravelPlatform_io_pins_gpio_pins_7_o_oval),
     .io_pins_gpio_pins_7_o_oe(MarmotCaravelPlatform_io_pins_gpio_pins_7_o_oe),
+    .io_pins_gpio_pins_8_i_ival(MarmotCaravelPlatform_io_pins_gpio_pins_8_i_ival),
     .io_pins_gpio_pins_8_o_oval(MarmotCaravelPlatform_io_pins_gpio_pins_8_o_oval),
     .io_pins_gpio_pins_8_o_oe(MarmotCaravelPlatform_io_pins_gpio_pins_8_o_oe),
+    .io_pins_gpio_pins_9_i_ival(MarmotCaravelPlatform_io_pins_gpio_pins_9_i_ival),
     .io_pins_gpio_pins_9_o_oval(MarmotCaravelPlatform_io_pins_gpio_pins_9_o_oval),
     .io_pins_gpio_pins_9_o_oe(MarmotCaravelPlatform_io_pins_gpio_pins_9_o_oe),
+    .io_pins_gpio_pins_10_i_ival(MarmotCaravelPlatform_io_pins_gpio_pins_10_i_ival),
     .io_pins_gpio_pins_10_o_oval(MarmotCaravelPlatform_io_pins_gpio_pins_10_o_oval),
     .io_pins_gpio_pins_10_o_oe(MarmotCaravelPlatform_io_pins_gpio_pins_10_o_oe),
+    .io_pins_gpio_pins_11_i_ival(MarmotCaravelPlatform_io_pins_gpio_pins_11_i_ival),
     .io_pins_gpio_pins_11_o_oval(MarmotCaravelPlatform_io_pins_gpio_pins_11_o_oval),
     .io_pins_gpio_pins_11_o_oe(MarmotCaravelPlatform_io_pins_gpio_pins_11_o_oe),
     .io_pins_gpio_pins_12_i_ival(MarmotCaravelPlatform_io_pins_gpio_pins_12_i_ival),
@@ -367446,18 +367629,25 @@
     .io_pins_gpio_pins_24_o_oval(MarmotCaravelPlatform_io_pins_gpio_pins_24_o_oval),
     .io_pins_gpio_pins_24_o_oe(MarmotCaravelPlatform_io_pins_gpio_pins_24_o_oe),
     .io_pins_gpio_pins_24_o_ie(MarmotCaravelPlatform_io_pins_gpio_pins_24_o_ie),
+    .io_pins_gpio_pins_25_i_ival(MarmotCaravelPlatform_io_pins_gpio_pins_25_i_ival),
     .io_pins_gpio_pins_25_o_oval(MarmotCaravelPlatform_io_pins_gpio_pins_25_o_oval),
     .io_pins_gpio_pins_25_o_oe(MarmotCaravelPlatform_io_pins_gpio_pins_25_o_oe),
+    .io_pins_gpio_pins_26_i_ival(MarmotCaravelPlatform_io_pins_gpio_pins_26_i_ival),
     .io_pins_gpio_pins_26_o_oval(MarmotCaravelPlatform_io_pins_gpio_pins_26_o_oval),
     .io_pins_gpio_pins_26_o_oe(MarmotCaravelPlatform_io_pins_gpio_pins_26_o_oe),
+    .io_pins_gpio_pins_27_i_ival(MarmotCaravelPlatform_io_pins_gpio_pins_27_i_ival),
     .io_pins_gpio_pins_27_o_oval(MarmotCaravelPlatform_io_pins_gpio_pins_27_o_oval),
     .io_pins_gpio_pins_27_o_oe(MarmotCaravelPlatform_io_pins_gpio_pins_27_o_oe),
+    .io_pins_gpio_pins_28_i_ival(MarmotCaravelPlatform_io_pins_gpio_pins_28_i_ival),
     .io_pins_gpio_pins_28_o_oval(MarmotCaravelPlatform_io_pins_gpio_pins_28_o_oval),
     .io_pins_gpio_pins_28_o_oe(MarmotCaravelPlatform_io_pins_gpio_pins_28_o_oe),
+    .io_pins_gpio_pins_29_i_ival(MarmotCaravelPlatform_io_pins_gpio_pins_29_i_ival),
     .io_pins_gpio_pins_29_o_oval(MarmotCaravelPlatform_io_pins_gpio_pins_29_o_oval),
     .io_pins_gpio_pins_29_o_oe(MarmotCaravelPlatform_io_pins_gpio_pins_29_o_oe),
+    .io_pins_gpio_pins_30_i_ival(MarmotCaravelPlatform_io_pins_gpio_pins_30_i_ival),
     .io_pins_gpio_pins_30_o_oval(MarmotCaravelPlatform_io_pins_gpio_pins_30_o_oval),
     .io_pins_gpio_pins_30_o_oe(MarmotCaravelPlatform_io_pins_gpio_pins_30_o_oe),
+    .io_pins_gpio_pins_31_i_ival(MarmotCaravelPlatform_io_pins_gpio_pins_31_i_ival),
     .io_pins_gpio_pins_31_o_oval(MarmotCaravelPlatform_io_pins_gpio_pins_31_o_oval),
     .io_pins_gpio_pins_31_o_oe(MarmotCaravelPlatform_io_pins_gpio_pins_31_o_oe),
     .io_pins_qspi_sck_o_oval(MarmotCaravelPlatform_io_pins_qspi_sck_o_oval),
@@ -367523,152 +367713,166 @@
     .data_arrays_0_0_ext_RW0_rdata(data_arrays_0_0_ext_RW0_rdata),
     .data_arrays_0_0_ext_RW0_wmask(data_arrays_0_0_ext_RW0_wmask)
   );
-  assign _T_479 = ~ rst_n; // @[Chip.scala 28:26:shc.marmotcaravel.MarmotCaravelConfig.fir@306910.4]
-  assign _T_485 = value + 1'h1; // @[Counter.scala 35:22:shc.marmotcaravel.MarmotCaravelConfig.fir@306916.6]
-  assign _T_487 = ~ slow_clock; // @[Chip.scala 32:40:shc.marmotcaravel.MarmotCaravelConfig.fir@306921.6]
-  assign io_out_0 = 1'h0; // @[Chip.scala 48:15:shc.marmotcaravel.MarmotCaravelConfig.fir@306929.4]
-  assign io_out_1 = MarmotCaravelPlatform_io_pins_jtag_TDO_o_oval; // @[Chip.scala 52:15:shc.marmotcaravel.MarmotCaravelConfig.fir@306931.4]
-  assign io_out_2 = 1'h0; // @[Chip.scala 56:15:shc.marmotcaravel.MarmotCaravelConfig.fir@306934.4]
-  assign io_out_3 = 1'h0; // @[Chip.scala 61:15:shc.marmotcaravel.MarmotCaravelConfig.fir@306938.4]
-  assign io_out_4 = 1'h0; // @[Chip.scala 66:15:shc.marmotcaravel.MarmotCaravelConfig.fir@306942.4]
-  assign io_out_5 = 1'h0; // @[Chip.scala 82:15:shc.marmotcaravel.MarmotCaravelConfig.fir@306951.4]
-  assign io_out_6 = MarmotCaravelPlatform_io_pins_uart_txd_o_oval; // @[Chip.scala 87:15:shc.marmotcaravel.MarmotCaravelConfig.fir@306955.4]
-  assign io_out_7 = MarmotCaravelPlatform_io_pins_gpio_pins_24_o_oval; // @[Chip.scala 183:16:shc.marmotcaravel.MarmotCaravelConfig.fir@307170.4]
-  assign io_out_8 = MarmotCaravelPlatform_io_pins_qspi_cs_0_o_oval; // @[Chip.scala 95:16:shc.marmotcaravel.MarmotCaravelConfig.fir@306958.4]
-  assign io_out_9 = MarmotCaravelPlatform_io_pins_qspi_sck_o_oval; // @[Chip.scala 99:16:shc.marmotcaravel.MarmotCaravelConfig.fir@306961.4]
-  assign io_out_10 = MarmotCaravelPlatform_io_pins_qspi_dq_0_o_oval; // @[Chip.scala 103:16:shc.marmotcaravel.MarmotCaravelConfig.fir@306964.4]
-  assign io_out_11 = MarmotCaravelPlatform_io_pins_qspi_dq_1_o_oval; // @[Chip.scala 108:16:shc.marmotcaravel.MarmotCaravelConfig.fir@306969.4]
-  assign io_out_12 = MarmotCaravelPlatform_io_pins_qspi_dq_2_o_oval; // @[Chip.scala 113:16:shc.marmotcaravel.MarmotCaravelConfig.fir@306974.4]
-  assign io_out_13 = MarmotCaravelPlatform_io_pins_qspi_dq_3_o_oval; // @[Chip.scala 118:16:shc.marmotcaravel.MarmotCaravelConfig.fir@306979.4]
-  assign io_out_14 = MarmotCaravelPlatform_io_pins_gpio_pins_0_o_oval; // @[Chip.scala 165:23:shc.marmotcaravel.MarmotCaravelConfig.fir@307074.4]
-  assign io_out_15 = MarmotCaravelPlatform_io_pins_gpio_pins_1_o_oval; // @[Chip.scala 165:23:shc.marmotcaravel.MarmotCaravelConfig.fir@307079.4]
-  assign io_out_16 = MarmotCaravelPlatform_io_pins_gpio_pins_2_o_oval; // @[Chip.scala 165:23:shc.marmotcaravel.MarmotCaravelConfig.fir@307084.4]
-  assign io_out_17 = MarmotCaravelPlatform_io_pins_gpio_pins_3_o_oval; // @[Chip.scala 165:23:shc.marmotcaravel.MarmotCaravelConfig.fir@307089.4]
-  assign io_out_18 = MarmotCaravelPlatform_io_pins_gpio_pins_4_o_oval; // @[Chip.scala 165:23:shc.marmotcaravel.MarmotCaravelConfig.fir@307094.4]
-  assign io_out_19 = MarmotCaravelPlatform_io_pins_gpio_pins_5_o_oval; // @[Chip.scala 165:23:shc.marmotcaravel.MarmotCaravelConfig.fir@307099.4]
-  assign io_out_20 = MarmotCaravelPlatform_io_pins_qspi_ram_cs_0_o_oval; // @[Chip.scala 127:17:shc.marmotcaravel.MarmotCaravelConfig.fir@306984.4]
-  assign io_out_21 = MarmotCaravelPlatform_io_pins_qspi_ram_sck_o_oval; // @[Chip.scala 131:17:shc.marmotcaravel.MarmotCaravelConfig.fir@306987.4]
-  assign io_out_22 = MarmotCaravelPlatform_io_pins_qspi_ram_dq_0_o_oval; // @[Chip.scala 135:16:shc.marmotcaravel.MarmotCaravelConfig.fir@306990.4]
-  assign io_out_23 = MarmotCaravelPlatform_io_pins_qspi_ram_dq_1_o_oval; // @[Chip.scala 140:16:shc.marmotcaravel.MarmotCaravelConfig.fir@306995.4]
-  assign io_out_24 = MarmotCaravelPlatform_io_pins_qspi_ram_dq_2_o_oval; // @[Chip.scala 145:16:shc.marmotcaravel.MarmotCaravelConfig.fir@307000.4]
-  assign io_out_25 = MarmotCaravelPlatform_io_pins_qspi_ram_dq_3_o_oval; // @[Chip.scala 150:16:shc.marmotcaravel.MarmotCaravelConfig.fir@307005.4]
-  assign io_out_26 = MarmotCaravelPlatform_io_pins_gpio_pins_12_o_oval; // @[Chip.scala 177:23:shc.marmotcaravel.MarmotCaravelConfig.fir@307110.4]
-  assign io_out_27 = MarmotCaravelPlatform_io_pins_gpio_pins_13_o_oval; // @[Chip.scala 177:23:shc.marmotcaravel.MarmotCaravelConfig.fir@307115.4]
-  assign io_out_28 = MarmotCaravelPlatform_io_pins_gpio_pins_14_o_oval; // @[Chip.scala 177:23:shc.marmotcaravel.MarmotCaravelConfig.fir@307120.4]
-  assign io_out_29 = MarmotCaravelPlatform_io_pins_gpio_pins_15_o_oval; // @[Chip.scala 177:23:shc.marmotcaravel.MarmotCaravelConfig.fir@307125.4]
-  assign io_out_30 = MarmotCaravelPlatform_io_pins_gpio_pins_16_o_oval; // @[Chip.scala 177:23:shc.marmotcaravel.MarmotCaravelConfig.fir@307130.4]
-  assign io_out_31 = MarmotCaravelPlatform_io_pins_gpio_pins_17_o_oval; // @[Chip.scala 177:23:shc.marmotcaravel.MarmotCaravelConfig.fir@307135.4]
-  assign io_out_32 = MarmotCaravelPlatform_io_pins_gpio_pins_18_o_oval; // @[Chip.scala 177:23:shc.marmotcaravel.MarmotCaravelConfig.fir@307140.4]
-  assign io_out_33 = MarmotCaravelPlatform_io_pins_gpio_pins_19_o_oval; // @[Chip.scala 177:23:shc.marmotcaravel.MarmotCaravelConfig.fir@307145.4]
-  assign io_out_34 = MarmotCaravelPlatform_io_pins_gpio_pins_20_o_oval; // @[Chip.scala 177:23:shc.marmotcaravel.MarmotCaravelConfig.fir@307150.4]
-  assign io_out_35 = MarmotCaravelPlatform_io_pins_gpio_pins_21_o_oval; // @[Chip.scala 177:23:shc.marmotcaravel.MarmotCaravelConfig.fir@307155.4]
-  assign io_out_36 = MarmotCaravelPlatform_io_pins_gpio_pins_22_o_oval; // @[Chip.scala 177:23:shc.marmotcaravel.MarmotCaravelConfig.fir@307160.4]
-  assign io_out_37 = MarmotCaravelPlatform_io_pins_gpio_pins_23_o_oval; // @[Chip.scala 177:23:shc.marmotcaravel.MarmotCaravelConfig.fir@307165.4]
-  assign io_oeb_0 = 1'h1; // @[Chip.scala 49:15:shc.marmotcaravel.MarmotCaravelConfig.fir@306930.4]
-  assign io_oeb_1 = ~ MarmotCaravelPlatform_io_pins_jtag_TDO_o_oe; // @[Chip.scala 53:15:shc.marmotcaravel.MarmotCaravelConfig.fir@306933.4]
-  assign io_oeb_2 = 1'h1; // @[Chip.scala 57:15:shc.marmotcaravel.MarmotCaravelConfig.fir@306935.4]
-  assign io_oeb_3 = 1'h1; // @[Chip.scala 62:15:shc.marmotcaravel.MarmotCaravelConfig.fir@306939.4]
-  assign io_oeb_4 = 1'h1; // @[Chip.scala 67:15:shc.marmotcaravel.MarmotCaravelConfig.fir@306943.4]
-  assign io_oeb_5 = 1'h1; // @[Chip.scala 83:15:shc.marmotcaravel.MarmotCaravelConfig.fir@306952.4]
-  assign io_oeb_6 = 1'h0; // @[Chip.scala 88:15:shc.marmotcaravel.MarmotCaravelConfig.fir@306957.4]
-  assign io_oeb_7 = ~ MarmotCaravelPlatform_io_pins_gpio_pins_24_o_oe; // @[Chip.scala 184:16:shc.marmotcaravel.MarmotCaravelConfig.fir@307172.4]
-  assign io_oeb_8 = 1'h0; // @[Chip.scala 96:16:shc.marmotcaravel.MarmotCaravelConfig.fir@306960.4]
-  assign io_oeb_9 = 1'h0; // @[Chip.scala 100:16:shc.marmotcaravel.MarmotCaravelConfig.fir@306963.4]
-  assign io_oeb_10 = ~ MarmotCaravelPlatform_io_pins_qspi_dq_0_o_oe; // @[Chip.scala 104:16:shc.marmotcaravel.MarmotCaravelConfig.fir@306966.4]
-  assign io_oeb_11 = ~ MarmotCaravelPlatform_io_pins_qspi_dq_1_o_oe; // @[Chip.scala 109:16:shc.marmotcaravel.MarmotCaravelConfig.fir@306971.4]
-  assign io_oeb_12 = ~ MarmotCaravelPlatform_io_pins_qspi_dq_2_o_oe; // @[Chip.scala 114:16:shc.marmotcaravel.MarmotCaravelConfig.fir@306976.4]
-  assign io_oeb_13 = ~ MarmotCaravelPlatform_io_pins_qspi_dq_3_o_oe; // @[Chip.scala 119:16:shc.marmotcaravel.MarmotCaravelConfig.fir@306981.4]
-  assign io_oeb_14 = ~ MarmotCaravelPlatform_io_pins_gpio_pins_0_o_oe; // @[Chip.scala 166:23:shc.marmotcaravel.MarmotCaravelConfig.fir@307076.4]
-  assign io_oeb_15 = ~ MarmotCaravelPlatform_io_pins_gpio_pins_1_o_oe; // @[Chip.scala 166:23:shc.marmotcaravel.MarmotCaravelConfig.fir@307081.4]
-  assign io_oeb_16 = ~ MarmotCaravelPlatform_io_pins_gpio_pins_2_o_oe; // @[Chip.scala 166:23:shc.marmotcaravel.MarmotCaravelConfig.fir@307086.4]
-  assign io_oeb_17 = ~ MarmotCaravelPlatform_io_pins_gpio_pins_3_o_oe; // @[Chip.scala 166:23:shc.marmotcaravel.MarmotCaravelConfig.fir@307091.4]
-  assign io_oeb_18 = ~ MarmotCaravelPlatform_io_pins_gpio_pins_4_o_oe; // @[Chip.scala 166:23:shc.marmotcaravel.MarmotCaravelConfig.fir@307096.4]
-  assign io_oeb_19 = ~ MarmotCaravelPlatform_io_pins_gpio_pins_5_o_oe; // @[Chip.scala 166:23:shc.marmotcaravel.MarmotCaravelConfig.fir@307101.4]
-  assign io_oeb_20 = 1'h0; // @[Chip.scala 128:17:shc.marmotcaravel.MarmotCaravelConfig.fir@306986.4]
-  assign io_oeb_21 = 1'h0; // @[Chip.scala 132:17:shc.marmotcaravel.MarmotCaravelConfig.fir@306989.4]
-  assign io_oeb_22 = ~ MarmotCaravelPlatform_io_pins_qspi_ram_dq_0_o_oe; // @[Chip.scala 136:16:shc.marmotcaravel.MarmotCaravelConfig.fir@306992.4]
-  assign io_oeb_23 = ~ MarmotCaravelPlatform_io_pins_qspi_ram_dq_1_o_oe; // @[Chip.scala 141:16:shc.marmotcaravel.MarmotCaravelConfig.fir@306997.4]
-  assign io_oeb_24 = ~ MarmotCaravelPlatform_io_pins_qspi_ram_dq_2_o_oe; // @[Chip.scala 146:16:shc.marmotcaravel.MarmotCaravelConfig.fir@307002.4]
-  assign io_oeb_25 = ~ MarmotCaravelPlatform_io_pins_qspi_ram_dq_3_o_oe; // @[Chip.scala 151:16:shc.marmotcaravel.MarmotCaravelConfig.fir@307007.4]
-  assign io_oeb_26 = ~ MarmotCaravelPlatform_io_pins_gpio_pins_12_o_oe; // @[Chip.scala 178:23:shc.marmotcaravel.MarmotCaravelConfig.fir@307112.4]
-  assign io_oeb_27 = ~ MarmotCaravelPlatform_io_pins_gpio_pins_13_o_oe; // @[Chip.scala 178:23:shc.marmotcaravel.MarmotCaravelConfig.fir@307117.4]
-  assign io_oeb_28 = ~ MarmotCaravelPlatform_io_pins_gpio_pins_14_o_oe; // @[Chip.scala 178:23:shc.marmotcaravel.MarmotCaravelConfig.fir@307122.4]
-  assign io_oeb_29 = ~ MarmotCaravelPlatform_io_pins_gpio_pins_15_o_oe; // @[Chip.scala 178:23:shc.marmotcaravel.MarmotCaravelConfig.fir@307127.4]
-  assign io_oeb_30 = ~ MarmotCaravelPlatform_io_pins_gpio_pins_16_o_oe; // @[Chip.scala 178:23:shc.marmotcaravel.MarmotCaravelConfig.fir@307132.4]
-  assign io_oeb_31 = ~ MarmotCaravelPlatform_io_pins_gpio_pins_17_o_oe; // @[Chip.scala 178:23:shc.marmotcaravel.MarmotCaravelConfig.fir@307137.4]
-  assign io_oeb_32 = ~ MarmotCaravelPlatform_io_pins_gpio_pins_18_o_oe; // @[Chip.scala 178:23:shc.marmotcaravel.MarmotCaravelConfig.fir@307142.4]
-  assign io_oeb_33 = ~ MarmotCaravelPlatform_io_pins_gpio_pins_19_o_oe; // @[Chip.scala 178:23:shc.marmotcaravel.MarmotCaravelConfig.fir@307147.4]
-  assign io_oeb_34 = ~ MarmotCaravelPlatform_io_pins_gpio_pins_20_o_oe; // @[Chip.scala 178:23:shc.marmotcaravel.MarmotCaravelConfig.fir@307152.4]
-  assign io_oeb_35 = ~ MarmotCaravelPlatform_io_pins_gpio_pins_21_o_oe; // @[Chip.scala 178:23:shc.marmotcaravel.MarmotCaravelConfig.fir@307157.4]
-  assign io_oeb_36 = ~ MarmotCaravelPlatform_io_pins_gpio_pins_22_o_oe; // @[Chip.scala 178:23:shc.marmotcaravel.MarmotCaravelConfig.fir@307162.4]
-  assign io_oeb_37 = ~ MarmotCaravelPlatform_io_pins_gpio_pins_23_o_oe; // @[Chip.scala 178:23:shc.marmotcaravel.MarmotCaravelConfig.fir@307167.4]
-  assign gpio_out_0 = MarmotCaravelPlatform_io_pins_gpio_pins_0_o_oe & MarmotCaravelPlatform_io_pins_gpio_pins_0_o_oval; // @[Chip.scala 160:21:shc.marmotcaravel.MarmotCaravelConfig.fir@307011.4]
-  assign gpio_out_1 = MarmotCaravelPlatform_io_pins_gpio_pins_1_o_oe & MarmotCaravelPlatform_io_pins_gpio_pins_1_o_oval; // @[Chip.scala 160:21:shc.marmotcaravel.MarmotCaravelConfig.fir@307013.4]
-  assign gpio_out_2 = MarmotCaravelPlatform_io_pins_gpio_pins_2_o_oe & MarmotCaravelPlatform_io_pins_gpio_pins_2_o_oval; // @[Chip.scala 160:21:shc.marmotcaravel.MarmotCaravelConfig.fir@307015.4]
-  assign gpio_out_3 = MarmotCaravelPlatform_io_pins_gpio_pins_3_o_oe & MarmotCaravelPlatform_io_pins_gpio_pins_3_o_oval; // @[Chip.scala 160:21:shc.marmotcaravel.MarmotCaravelConfig.fir@307017.4]
-  assign gpio_out_4 = MarmotCaravelPlatform_io_pins_gpio_pins_4_o_oe & MarmotCaravelPlatform_io_pins_gpio_pins_4_o_oval; // @[Chip.scala 160:21:shc.marmotcaravel.MarmotCaravelConfig.fir@307019.4]
-  assign gpio_out_5 = MarmotCaravelPlatform_io_pins_gpio_pins_5_o_oe & MarmotCaravelPlatform_io_pins_gpio_pins_5_o_oval; // @[Chip.scala 160:21:shc.marmotcaravel.MarmotCaravelConfig.fir@307021.4]
-  assign gpio_out_6 = MarmotCaravelPlatform_io_pins_gpio_pins_6_o_oe & MarmotCaravelPlatform_io_pins_gpio_pins_6_o_oval; // @[Chip.scala 160:21:shc.marmotcaravel.MarmotCaravelConfig.fir@307023.4]
-  assign gpio_out_7 = MarmotCaravelPlatform_io_pins_gpio_pins_7_o_oe & MarmotCaravelPlatform_io_pins_gpio_pins_7_o_oval; // @[Chip.scala 160:21:shc.marmotcaravel.MarmotCaravelConfig.fir@307025.4]
-  assign gpio_out_8 = MarmotCaravelPlatform_io_pins_gpio_pins_8_o_oe & MarmotCaravelPlatform_io_pins_gpio_pins_8_o_oval; // @[Chip.scala 160:21:shc.marmotcaravel.MarmotCaravelConfig.fir@307027.4]
-  assign gpio_out_9 = MarmotCaravelPlatform_io_pins_gpio_pins_9_o_oe & MarmotCaravelPlatform_io_pins_gpio_pins_9_o_oval; // @[Chip.scala 160:21:shc.marmotcaravel.MarmotCaravelConfig.fir@307029.4]
-  assign gpio_out_10 = MarmotCaravelPlatform_io_pins_gpio_pins_10_o_oe & MarmotCaravelPlatform_io_pins_gpio_pins_10_o_oval; // @[Chip.scala 160:21:shc.marmotcaravel.MarmotCaravelConfig.fir@307031.4]
-  assign gpio_out_11 = MarmotCaravelPlatform_io_pins_gpio_pins_11_o_oe & MarmotCaravelPlatform_io_pins_gpio_pins_11_o_oval; // @[Chip.scala 160:21:shc.marmotcaravel.MarmotCaravelConfig.fir@307033.4]
-  assign gpio_out_12 = MarmotCaravelPlatform_io_pins_gpio_pins_12_o_oe & MarmotCaravelPlatform_io_pins_gpio_pins_12_o_oval; // @[Chip.scala 160:21:shc.marmotcaravel.MarmotCaravelConfig.fir@307035.4]
-  assign gpio_out_13 = MarmotCaravelPlatform_io_pins_gpio_pins_13_o_oe & MarmotCaravelPlatform_io_pins_gpio_pins_13_o_oval; // @[Chip.scala 160:21:shc.marmotcaravel.MarmotCaravelConfig.fir@307037.4]
-  assign gpio_out_14 = MarmotCaravelPlatform_io_pins_gpio_pins_14_o_oe & MarmotCaravelPlatform_io_pins_gpio_pins_14_o_oval; // @[Chip.scala 160:21:shc.marmotcaravel.MarmotCaravelConfig.fir@307039.4]
-  assign gpio_out_15 = MarmotCaravelPlatform_io_pins_gpio_pins_15_o_oe & MarmotCaravelPlatform_io_pins_gpio_pins_15_o_oval; // @[Chip.scala 160:21:shc.marmotcaravel.MarmotCaravelConfig.fir@307041.4]
-  assign gpio_out_16 = MarmotCaravelPlatform_io_pins_gpio_pins_16_o_oe & MarmotCaravelPlatform_io_pins_gpio_pins_16_o_oval; // @[Chip.scala 160:21:shc.marmotcaravel.MarmotCaravelConfig.fir@307043.4]
-  assign gpio_out_17 = MarmotCaravelPlatform_io_pins_gpio_pins_17_o_oe & MarmotCaravelPlatform_io_pins_gpio_pins_17_o_oval; // @[Chip.scala 160:21:shc.marmotcaravel.MarmotCaravelConfig.fir@307045.4]
-  assign gpio_out_18 = MarmotCaravelPlatform_io_pins_gpio_pins_18_o_oe & MarmotCaravelPlatform_io_pins_gpio_pins_18_o_oval; // @[Chip.scala 160:21:shc.marmotcaravel.MarmotCaravelConfig.fir@307047.4]
-  assign gpio_out_19 = MarmotCaravelPlatform_io_pins_gpio_pins_19_o_oe & MarmotCaravelPlatform_io_pins_gpio_pins_19_o_oval; // @[Chip.scala 160:21:shc.marmotcaravel.MarmotCaravelConfig.fir@307049.4]
-  assign gpio_out_20 = MarmotCaravelPlatform_io_pins_gpio_pins_20_o_oe & MarmotCaravelPlatform_io_pins_gpio_pins_20_o_oval; // @[Chip.scala 160:21:shc.marmotcaravel.MarmotCaravelConfig.fir@307051.4]
-  assign gpio_out_21 = MarmotCaravelPlatform_io_pins_gpio_pins_21_o_oe & MarmotCaravelPlatform_io_pins_gpio_pins_21_o_oval; // @[Chip.scala 160:21:shc.marmotcaravel.MarmotCaravelConfig.fir@307053.4]
-  assign gpio_out_22 = MarmotCaravelPlatform_io_pins_gpio_pins_22_o_oe & MarmotCaravelPlatform_io_pins_gpio_pins_22_o_oval; // @[Chip.scala 160:21:shc.marmotcaravel.MarmotCaravelConfig.fir@307055.4]
-  assign gpio_out_23 = MarmotCaravelPlatform_io_pins_gpio_pins_23_o_oe & MarmotCaravelPlatform_io_pins_gpio_pins_23_o_oval; // @[Chip.scala 160:21:shc.marmotcaravel.MarmotCaravelConfig.fir@307057.4]
-  assign gpio_out_24 = MarmotCaravelPlatform_io_pins_gpio_pins_24_o_oe & MarmotCaravelPlatform_io_pins_gpio_pins_24_o_oval; // @[Chip.scala 160:21:shc.marmotcaravel.MarmotCaravelConfig.fir@307059.4]
-  assign gpio_out_25 = MarmotCaravelPlatform_io_pins_gpio_pins_25_o_oe & MarmotCaravelPlatform_io_pins_gpio_pins_25_o_oval; // @[Chip.scala 160:21:shc.marmotcaravel.MarmotCaravelConfig.fir@307061.4]
-  assign gpio_out_26 = MarmotCaravelPlatform_io_pins_gpio_pins_26_o_oe & MarmotCaravelPlatform_io_pins_gpio_pins_26_o_oval; // @[Chip.scala 160:21:shc.marmotcaravel.MarmotCaravelConfig.fir@307063.4]
-  assign gpio_out_27 = MarmotCaravelPlatform_io_pins_gpio_pins_27_o_oe & MarmotCaravelPlatform_io_pins_gpio_pins_27_o_oval; // @[Chip.scala 160:21:shc.marmotcaravel.MarmotCaravelConfig.fir@307065.4]
-  assign gpio_out_28 = MarmotCaravelPlatform_io_pins_gpio_pins_28_o_oe & MarmotCaravelPlatform_io_pins_gpio_pins_28_o_oval; // @[Chip.scala 160:21:shc.marmotcaravel.MarmotCaravelConfig.fir@307067.4]
-  assign gpio_out_29 = MarmotCaravelPlatform_io_pins_gpio_pins_29_o_oe & MarmotCaravelPlatform_io_pins_gpio_pins_29_o_oval; // @[Chip.scala 160:21:shc.marmotcaravel.MarmotCaravelConfig.fir@307069.4]
-  assign gpio_out_30 = MarmotCaravelPlatform_io_pins_gpio_pins_30_o_oe & MarmotCaravelPlatform_io_pins_gpio_pins_30_o_oval; // @[Chip.scala 160:21:shc.marmotcaravel.MarmotCaravelConfig.fir@307071.4]
-  assign gpio_out_31 = MarmotCaravelPlatform_io_pins_gpio_pins_31_o_oe & MarmotCaravelPlatform_io_pins_gpio_pins_31_o_oval; // @[Chip.scala 160:21:shc.marmotcaravel.MarmotCaravelConfig.fir@307073.4]
-  assign MarmotCaravelPlatform_clock = clk; // @[:shc.marmotcaravel.MarmotCaravelConfig.fir@306927.4]
-  assign MarmotCaravelPlatform_io_pins_jtag_TCK_i_ival = io_in_4; // @[Chip.scala 68:33:shc.marmotcaravel.MarmotCaravelConfig.fir@306945.4]
-  assign MarmotCaravelPlatform_io_pins_jtag_TMS_i_ival = io_in_3; // @[Chip.scala 63:33:shc.marmotcaravel.MarmotCaravelConfig.fir@306941.4]
-  assign MarmotCaravelPlatform_io_pins_jtag_TDI_i_ival = io_in_2; // @[Chip.scala 58:33:shc.marmotcaravel.MarmotCaravelConfig.fir@306937.4]
-  assign MarmotCaravelPlatform_io_pins_gpio_pins_0_i_ival = io_in_14 & MarmotCaravelPlatform_io_pins_gpio_pins_0_o_ie; // @[Chip.scala 167:41:shc.marmotcaravel.MarmotCaravelConfig.fir@307078.4]
-  assign MarmotCaravelPlatform_io_pins_gpio_pins_1_i_ival = io_in_15 & MarmotCaravelPlatform_io_pins_gpio_pins_1_o_ie; // @[Chip.scala 167:41:shc.marmotcaravel.MarmotCaravelConfig.fir@307083.4]
-  assign MarmotCaravelPlatform_io_pins_gpio_pins_2_i_ival = io_in_16 & MarmotCaravelPlatform_io_pins_gpio_pins_2_o_ie; // @[Chip.scala 167:41:shc.marmotcaravel.MarmotCaravelConfig.fir@307088.4]
-  assign MarmotCaravelPlatform_io_pins_gpio_pins_3_i_ival = io_in_17 & MarmotCaravelPlatform_io_pins_gpio_pins_3_o_ie; // @[Chip.scala 167:41:shc.marmotcaravel.MarmotCaravelConfig.fir@307093.4]
-  assign MarmotCaravelPlatform_io_pins_gpio_pins_4_i_ival = io_in_18 & MarmotCaravelPlatform_io_pins_gpio_pins_4_o_ie; // @[Chip.scala 167:41:shc.marmotcaravel.MarmotCaravelConfig.fir@307098.4]
-  assign MarmotCaravelPlatform_io_pins_gpio_pins_5_i_ival = io_in_19 & MarmotCaravelPlatform_io_pins_gpio_pins_5_o_ie; // @[Chip.scala 167:41:shc.marmotcaravel.MarmotCaravelConfig.fir@307103.4]
-  assign MarmotCaravelPlatform_io_pins_gpio_pins_12_i_ival = io_in_26 & MarmotCaravelPlatform_io_pins_gpio_pins_12_o_ie; // @[Chip.scala 179:41:shc.marmotcaravel.MarmotCaravelConfig.fir@307114.4]
-  assign MarmotCaravelPlatform_io_pins_gpio_pins_13_i_ival = io_in_27 & MarmotCaravelPlatform_io_pins_gpio_pins_13_o_ie; // @[Chip.scala 179:41:shc.marmotcaravel.MarmotCaravelConfig.fir@307119.4]
-  assign MarmotCaravelPlatform_io_pins_gpio_pins_14_i_ival = io_in_28 & MarmotCaravelPlatform_io_pins_gpio_pins_14_o_ie; // @[Chip.scala 179:41:shc.marmotcaravel.MarmotCaravelConfig.fir@307124.4]
-  assign MarmotCaravelPlatform_io_pins_gpio_pins_15_i_ival = io_in_29 & MarmotCaravelPlatform_io_pins_gpio_pins_15_o_ie; // @[Chip.scala 179:41:shc.marmotcaravel.MarmotCaravelConfig.fir@307129.4]
-  assign MarmotCaravelPlatform_io_pins_gpio_pins_16_i_ival = io_in_30 & MarmotCaravelPlatform_io_pins_gpio_pins_16_o_ie; // @[Chip.scala 179:41:shc.marmotcaravel.MarmotCaravelConfig.fir@307134.4]
-  assign MarmotCaravelPlatform_io_pins_gpio_pins_17_i_ival = io_in_31 & MarmotCaravelPlatform_io_pins_gpio_pins_17_o_ie; // @[Chip.scala 179:41:shc.marmotcaravel.MarmotCaravelConfig.fir@307139.4]
-  assign MarmotCaravelPlatform_io_pins_gpio_pins_18_i_ival = io_in_32 & MarmotCaravelPlatform_io_pins_gpio_pins_18_o_ie; // @[Chip.scala 179:41:shc.marmotcaravel.MarmotCaravelConfig.fir@307144.4]
-  assign MarmotCaravelPlatform_io_pins_gpio_pins_19_i_ival = io_in_33 & MarmotCaravelPlatform_io_pins_gpio_pins_19_o_ie; // @[Chip.scala 179:41:shc.marmotcaravel.MarmotCaravelConfig.fir@307149.4]
-  assign MarmotCaravelPlatform_io_pins_gpio_pins_20_i_ival = io_in_34 & MarmotCaravelPlatform_io_pins_gpio_pins_20_o_ie; // @[Chip.scala 179:41:shc.marmotcaravel.MarmotCaravelConfig.fir@307154.4]
-  assign MarmotCaravelPlatform_io_pins_gpio_pins_21_i_ival = io_in_35 & MarmotCaravelPlatform_io_pins_gpio_pins_21_o_ie; // @[Chip.scala 179:41:shc.marmotcaravel.MarmotCaravelConfig.fir@307159.4]
-  assign MarmotCaravelPlatform_io_pins_gpio_pins_22_i_ival = io_in_36 & MarmotCaravelPlatform_io_pins_gpio_pins_22_o_ie; // @[Chip.scala 179:41:shc.marmotcaravel.MarmotCaravelConfig.fir@307164.4]
-  assign MarmotCaravelPlatform_io_pins_gpio_pins_23_i_ival = io_in_37 & MarmotCaravelPlatform_io_pins_gpio_pins_23_o_ie; // @[Chip.scala 179:41:shc.marmotcaravel.MarmotCaravelConfig.fir@307169.4]
-  assign MarmotCaravelPlatform_io_pins_gpio_pins_24_i_ival = io_in_7 & MarmotCaravelPlatform_io_pins_gpio_pins_24_o_ie; // @[Chip.scala 185:38:shc.marmotcaravel.MarmotCaravelConfig.fir@307174.4]
-  assign MarmotCaravelPlatform_io_pins_qspi_dq_0_i_ival = io_in_10 & MarmotCaravelPlatform_io_pins_qspi_dq_0_o_ie; // @[Chip.scala 105:35:shc.marmotcaravel.MarmotCaravelConfig.fir@306968.4]
-  assign MarmotCaravelPlatform_io_pins_qspi_dq_1_i_ival = io_in_11 & MarmotCaravelPlatform_io_pins_qspi_dq_1_o_ie; // @[Chip.scala 110:35:shc.marmotcaravel.MarmotCaravelConfig.fir@306973.4]
-  assign MarmotCaravelPlatform_io_pins_qspi_dq_2_i_ival = io_in_12 & MarmotCaravelPlatform_io_pins_qspi_dq_2_o_ie; // @[Chip.scala 115:35:shc.marmotcaravel.MarmotCaravelConfig.fir@306978.4]
-  assign MarmotCaravelPlatform_io_pins_qspi_dq_3_i_ival = io_in_13 & MarmotCaravelPlatform_io_pins_qspi_dq_3_o_ie; // @[Chip.scala 120:35:shc.marmotcaravel.MarmotCaravelConfig.fir@306983.4]
-  assign MarmotCaravelPlatform_io_pins_qspi_ram_dq_0_i_ival = io_in_22 & MarmotCaravelPlatform_io_pins_qspi_ram_dq_0_o_ie; // @[Chip.scala 137:39:shc.marmotcaravel.MarmotCaravelConfig.fir@306994.4]
-  assign MarmotCaravelPlatform_io_pins_qspi_ram_dq_1_i_ival = io_in_23 & MarmotCaravelPlatform_io_pins_qspi_ram_dq_1_o_ie; // @[Chip.scala 142:39:shc.marmotcaravel.MarmotCaravelConfig.fir@306999.4]
-  assign MarmotCaravelPlatform_io_pins_qspi_ram_dq_2_i_ival = io_in_24 & MarmotCaravelPlatform_io_pins_qspi_ram_dq_2_o_ie; // @[Chip.scala 147:39:shc.marmotcaravel.MarmotCaravelConfig.fir@307004.4]
-  assign MarmotCaravelPlatform_io_pins_qspi_ram_dq_3_i_ival = io_in_25 & MarmotCaravelPlatform_io_pins_qspi_ram_dq_3_o_ie; // @[Chip.scala 152:39:shc.marmotcaravel.MarmotCaravelConfig.fir@307009.4]
-  assign MarmotCaravelPlatform_io_pins_uart_rxd_i_ival = io_in_5; // @[Chip.scala 84:33:shc.marmotcaravel.MarmotCaravelConfig.fir@306954.4]
-  assign MarmotCaravelPlatform_io_pins_aon_erst_n_i_ival = rst_n; // @[Chip.scala 196:41:shc.marmotcaravel.MarmotCaravelConfig.fir@307182.4]
-  assign MarmotCaravelPlatform_io_pins_aon_lfextclk_i_ival = slow_clock; // @[Chip.scala 197:41:shc.marmotcaravel.MarmotCaravelConfig.fir@307183.4]
-  assign MarmotCaravelPlatform_io_jtag_reset = ~ rst_n; // @[Chip.scala 71:23:shc.marmotcaravel.MarmotCaravelConfig.fir@306947.4]
+  assign _T_584 = ~ rst_n; // @[Chip.scala 28:26:shc.marmotcaravel.MarmotCaravelConfig.fir@306912.4]
+  assign _T_588 = value == 2'h3; // @[Counter.scala 34:24:shc.marmotcaravel.MarmotCaravelConfig.fir@306916.6]
+  assign _T_590 = value + 2'h1; // @[Counter.scala 35:22:shc.marmotcaravel.MarmotCaravelConfig.fir@306918.6]
+  assign _T_592 = ~ slow_clock; // @[Chip.scala 32:40:shc.marmotcaravel.MarmotCaravelConfig.fir@306923.6]
+  assign io_out_0 = 1'h0; // @[Chip.scala 48:15:shc.marmotcaravel.MarmotCaravelConfig.fir@306931.4]
+  assign io_out_1 = MarmotCaravelPlatform_io_pins_jtag_TDO_o_oval; // @[Chip.scala 52:15:shc.marmotcaravel.MarmotCaravelConfig.fir@306933.4]
+  assign io_out_2 = 1'h0; // @[Chip.scala 56:15:shc.marmotcaravel.MarmotCaravelConfig.fir@306936.4]
+  assign io_out_3 = 1'h0; // @[Chip.scala 61:15:shc.marmotcaravel.MarmotCaravelConfig.fir@306940.4]
+  assign io_out_4 = 1'h0; // @[Chip.scala 66:15:shc.marmotcaravel.MarmotCaravelConfig.fir@306944.4]
+  assign io_out_5 = 1'h0; // @[Chip.scala 82:15:shc.marmotcaravel.MarmotCaravelConfig.fir@306953.4]
+  assign io_out_6 = MarmotCaravelPlatform_io_pins_uart_txd_o_oval; // @[Chip.scala 87:15:shc.marmotcaravel.MarmotCaravelConfig.fir@306957.4]
+  assign io_out_7 = MarmotCaravelPlatform_io_pins_gpio_pins_24_o_oval; // @[Chip.scala 183:16:shc.marmotcaravel.MarmotCaravelConfig.fir@307172.4]
+  assign io_out_8 = MarmotCaravelPlatform_io_pins_qspi_cs_0_o_oval; // @[Chip.scala 95:16:shc.marmotcaravel.MarmotCaravelConfig.fir@306960.4]
+  assign io_out_9 = MarmotCaravelPlatform_io_pins_qspi_sck_o_oval; // @[Chip.scala 99:16:shc.marmotcaravel.MarmotCaravelConfig.fir@306963.4]
+  assign io_out_10 = MarmotCaravelPlatform_io_pins_qspi_dq_0_o_oval; // @[Chip.scala 103:16:shc.marmotcaravel.MarmotCaravelConfig.fir@306966.4]
+  assign io_out_11 = MarmotCaravelPlatform_io_pins_qspi_dq_1_o_oval; // @[Chip.scala 108:16:shc.marmotcaravel.MarmotCaravelConfig.fir@306971.4]
+  assign io_out_12 = MarmotCaravelPlatform_io_pins_qspi_dq_2_o_oval; // @[Chip.scala 113:16:shc.marmotcaravel.MarmotCaravelConfig.fir@306976.4]
+  assign io_out_13 = MarmotCaravelPlatform_io_pins_qspi_dq_3_o_oval; // @[Chip.scala 118:16:shc.marmotcaravel.MarmotCaravelConfig.fir@306981.4]
+  assign io_out_14 = MarmotCaravelPlatform_io_pins_gpio_pins_0_o_oval; // @[Chip.scala 165:23:shc.marmotcaravel.MarmotCaravelConfig.fir@307076.4]
+  assign io_out_15 = MarmotCaravelPlatform_io_pins_gpio_pins_1_o_oval; // @[Chip.scala 165:23:shc.marmotcaravel.MarmotCaravelConfig.fir@307081.4]
+  assign io_out_16 = MarmotCaravelPlatform_io_pins_gpio_pins_2_o_oval; // @[Chip.scala 165:23:shc.marmotcaravel.MarmotCaravelConfig.fir@307086.4]
+  assign io_out_17 = MarmotCaravelPlatform_io_pins_gpio_pins_3_o_oval; // @[Chip.scala 165:23:shc.marmotcaravel.MarmotCaravelConfig.fir@307091.4]
+  assign io_out_18 = MarmotCaravelPlatform_io_pins_gpio_pins_4_o_oval; // @[Chip.scala 165:23:shc.marmotcaravel.MarmotCaravelConfig.fir@307096.4]
+  assign io_out_19 = MarmotCaravelPlatform_io_pins_gpio_pins_5_o_oval; // @[Chip.scala 165:23:shc.marmotcaravel.MarmotCaravelConfig.fir@307101.4]
+  assign io_out_20 = MarmotCaravelPlatform_io_pins_qspi_ram_cs_0_o_oval; // @[Chip.scala 127:17:shc.marmotcaravel.MarmotCaravelConfig.fir@306986.4]
+  assign io_out_21 = MarmotCaravelPlatform_io_pins_qspi_ram_sck_o_oval; // @[Chip.scala 131:17:shc.marmotcaravel.MarmotCaravelConfig.fir@306989.4]
+  assign io_out_22 = MarmotCaravelPlatform_io_pins_qspi_ram_dq_0_o_oval; // @[Chip.scala 135:16:shc.marmotcaravel.MarmotCaravelConfig.fir@306992.4]
+  assign io_out_23 = MarmotCaravelPlatform_io_pins_qspi_ram_dq_1_o_oval; // @[Chip.scala 140:16:shc.marmotcaravel.MarmotCaravelConfig.fir@306997.4]
+  assign io_out_24 = MarmotCaravelPlatform_io_pins_qspi_ram_dq_2_o_oval; // @[Chip.scala 145:16:shc.marmotcaravel.MarmotCaravelConfig.fir@307002.4]
+  assign io_out_25 = MarmotCaravelPlatform_io_pins_qspi_ram_dq_3_o_oval; // @[Chip.scala 150:16:shc.marmotcaravel.MarmotCaravelConfig.fir@307007.4]
+  assign io_out_26 = MarmotCaravelPlatform_io_pins_gpio_pins_12_o_oval; // @[Chip.scala 177:23:shc.marmotcaravel.MarmotCaravelConfig.fir@307112.4]
+  assign io_out_27 = MarmotCaravelPlatform_io_pins_gpio_pins_13_o_oval; // @[Chip.scala 177:23:shc.marmotcaravel.MarmotCaravelConfig.fir@307117.4]
+  assign io_out_28 = MarmotCaravelPlatform_io_pins_gpio_pins_14_o_oval; // @[Chip.scala 177:23:shc.marmotcaravel.MarmotCaravelConfig.fir@307122.4]
+  assign io_out_29 = MarmotCaravelPlatform_io_pins_gpio_pins_15_o_oval; // @[Chip.scala 177:23:shc.marmotcaravel.MarmotCaravelConfig.fir@307127.4]
+  assign io_out_30 = MarmotCaravelPlatform_io_pins_gpio_pins_16_o_oval; // @[Chip.scala 177:23:shc.marmotcaravel.MarmotCaravelConfig.fir@307132.4]
+  assign io_out_31 = MarmotCaravelPlatform_io_pins_gpio_pins_17_o_oval; // @[Chip.scala 177:23:shc.marmotcaravel.MarmotCaravelConfig.fir@307137.4]
+  assign io_out_32 = MarmotCaravelPlatform_io_pins_gpio_pins_18_o_oval; // @[Chip.scala 177:23:shc.marmotcaravel.MarmotCaravelConfig.fir@307142.4]
+  assign io_out_33 = MarmotCaravelPlatform_io_pins_gpio_pins_19_o_oval; // @[Chip.scala 177:23:shc.marmotcaravel.MarmotCaravelConfig.fir@307147.4]
+  assign io_out_34 = MarmotCaravelPlatform_io_pins_gpio_pins_20_o_oval; // @[Chip.scala 177:23:shc.marmotcaravel.MarmotCaravelConfig.fir@307152.4]
+  assign io_out_35 = MarmotCaravelPlatform_io_pins_gpio_pins_21_o_oval; // @[Chip.scala 177:23:shc.marmotcaravel.MarmotCaravelConfig.fir@307157.4]
+  assign io_out_36 = MarmotCaravelPlatform_io_pins_gpio_pins_22_o_oval; // @[Chip.scala 177:23:shc.marmotcaravel.MarmotCaravelConfig.fir@307162.4]
+  assign io_out_37 = MarmotCaravelPlatform_io_pins_gpio_pins_23_o_oval; // @[Chip.scala 177:23:shc.marmotcaravel.MarmotCaravelConfig.fir@307167.4]
+  assign io_oeb_0 = 1'h1; // @[Chip.scala 49:15:shc.marmotcaravel.MarmotCaravelConfig.fir@306932.4]
+  assign io_oeb_1 = ~ MarmotCaravelPlatform_io_pins_jtag_TDO_o_oe; // @[Chip.scala 53:15:shc.marmotcaravel.MarmotCaravelConfig.fir@306935.4]
+  assign io_oeb_2 = 1'h1; // @[Chip.scala 57:15:shc.marmotcaravel.MarmotCaravelConfig.fir@306937.4]
+  assign io_oeb_3 = 1'h1; // @[Chip.scala 62:15:shc.marmotcaravel.MarmotCaravelConfig.fir@306941.4]
+  assign io_oeb_4 = 1'h1; // @[Chip.scala 67:15:shc.marmotcaravel.MarmotCaravelConfig.fir@306945.4]
+  assign io_oeb_5 = 1'h1; // @[Chip.scala 83:15:shc.marmotcaravel.MarmotCaravelConfig.fir@306954.4]
+  assign io_oeb_6 = 1'h0; // @[Chip.scala 88:15:shc.marmotcaravel.MarmotCaravelConfig.fir@306959.4]
+  assign io_oeb_7 = ~ MarmotCaravelPlatform_io_pins_gpio_pins_24_o_oe; // @[Chip.scala 184:16:shc.marmotcaravel.MarmotCaravelConfig.fir@307174.4]
+  assign io_oeb_8 = 1'h0; // @[Chip.scala 96:16:shc.marmotcaravel.MarmotCaravelConfig.fir@306962.4]
+  assign io_oeb_9 = 1'h0; // @[Chip.scala 100:16:shc.marmotcaravel.MarmotCaravelConfig.fir@306965.4]
+  assign io_oeb_10 = ~ MarmotCaravelPlatform_io_pins_qspi_dq_0_o_oe; // @[Chip.scala 104:16:shc.marmotcaravel.MarmotCaravelConfig.fir@306968.4]
+  assign io_oeb_11 = ~ MarmotCaravelPlatform_io_pins_qspi_dq_1_o_oe; // @[Chip.scala 109:16:shc.marmotcaravel.MarmotCaravelConfig.fir@306973.4]
+  assign io_oeb_12 = ~ MarmotCaravelPlatform_io_pins_qspi_dq_2_o_oe; // @[Chip.scala 114:16:shc.marmotcaravel.MarmotCaravelConfig.fir@306978.4]
+  assign io_oeb_13 = ~ MarmotCaravelPlatform_io_pins_qspi_dq_3_o_oe; // @[Chip.scala 119:16:shc.marmotcaravel.MarmotCaravelConfig.fir@306983.4]
+  assign io_oeb_14 = ~ MarmotCaravelPlatform_io_pins_gpio_pins_0_o_oe; // @[Chip.scala 166:23:shc.marmotcaravel.MarmotCaravelConfig.fir@307078.4]
+  assign io_oeb_15 = ~ MarmotCaravelPlatform_io_pins_gpio_pins_1_o_oe; // @[Chip.scala 166:23:shc.marmotcaravel.MarmotCaravelConfig.fir@307083.4]
+  assign io_oeb_16 = ~ MarmotCaravelPlatform_io_pins_gpio_pins_2_o_oe; // @[Chip.scala 166:23:shc.marmotcaravel.MarmotCaravelConfig.fir@307088.4]
+  assign io_oeb_17 = ~ MarmotCaravelPlatform_io_pins_gpio_pins_3_o_oe; // @[Chip.scala 166:23:shc.marmotcaravel.MarmotCaravelConfig.fir@307093.4]
+  assign io_oeb_18 = ~ MarmotCaravelPlatform_io_pins_gpio_pins_4_o_oe; // @[Chip.scala 166:23:shc.marmotcaravel.MarmotCaravelConfig.fir@307098.4]
+  assign io_oeb_19 = ~ MarmotCaravelPlatform_io_pins_gpio_pins_5_o_oe; // @[Chip.scala 166:23:shc.marmotcaravel.MarmotCaravelConfig.fir@307103.4]
+  assign io_oeb_20 = 1'h0; // @[Chip.scala 128:17:shc.marmotcaravel.MarmotCaravelConfig.fir@306988.4]
+  assign io_oeb_21 = 1'h0; // @[Chip.scala 132:17:shc.marmotcaravel.MarmotCaravelConfig.fir@306991.4]
+  assign io_oeb_22 = ~ MarmotCaravelPlatform_io_pins_qspi_ram_dq_0_o_oe; // @[Chip.scala 136:16:shc.marmotcaravel.MarmotCaravelConfig.fir@306994.4]
+  assign io_oeb_23 = ~ MarmotCaravelPlatform_io_pins_qspi_ram_dq_1_o_oe; // @[Chip.scala 141:16:shc.marmotcaravel.MarmotCaravelConfig.fir@306999.4]
+  assign io_oeb_24 = ~ MarmotCaravelPlatform_io_pins_qspi_ram_dq_2_o_oe; // @[Chip.scala 146:16:shc.marmotcaravel.MarmotCaravelConfig.fir@307004.4]
+  assign io_oeb_25 = ~ MarmotCaravelPlatform_io_pins_qspi_ram_dq_3_o_oe; // @[Chip.scala 151:16:shc.marmotcaravel.MarmotCaravelConfig.fir@307009.4]
+  assign io_oeb_26 = ~ MarmotCaravelPlatform_io_pins_gpio_pins_12_o_oe; // @[Chip.scala 178:23:shc.marmotcaravel.MarmotCaravelConfig.fir@307114.4]
+  assign io_oeb_27 = ~ MarmotCaravelPlatform_io_pins_gpio_pins_13_o_oe; // @[Chip.scala 178:23:shc.marmotcaravel.MarmotCaravelConfig.fir@307119.4]
+  assign io_oeb_28 = ~ MarmotCaravelPlatform_io_pins_gpio_pins_14_o_oe; // @[Chip.scala 178:23:shc.marmotcaravel.MarmotCaravelConfig.fir@307124.4]
+  assign io_oeb_29 = ~ MarmotCaravelPlatform_io_pins_gpio_pins_15_o_oe; // @[Chip.scala 178:23:shc.marmotcaravel.MarmotCaravelConfig.fir@307129.4]
+  assign io_oeb_30 = ~ MarmotCaravelPlatform_io_pins_gpio_pins_16_o_oe; // @[Chip.scala 178:23:shc.marmotcaravel.MarmotCaravelConfig.fir@307134.4]
+  assign io_oeb_31 = ~ MarmotCaravelPlatform_io_pins_gpio_pins_17_o_oe; // @[Chip.scala 178:23:shc.marmotcaravel.MarmotCaravelConfig.fir@307139.4]
+  assign io_oeb_32 = ~ MarmotCaravelPlatform_io_pins_gpio_pins_18_o_oe; // @[Chip.scala 178:23:shc.marmotcaravel.MarmotCaravelConfig.fir@307144.4]
+  assign io_oeb_33 = ~ MarmotCaravelPlatform_io_pins_gpio_pins_19_o_oe; // @[Chip.scala 178:23:shc.marmotcaravel.MarmotCaravelConfig.fir@307149.4]
+  assign io_oeb_34 = ~ MarmotCaravelPlatform_io_pins_gpio_pins_20_o_oe; // @[Chip.scala 178:23:shc.marmotcaravel.MarmotCaravelConfig.fir@307154.4]
+  assign io_oeb_35 = ~ MarmotCaravelPlatform_io_pins_gpio_pins_21_o_oe; // @[Chip.scala 178:23:shc.marmotcaravel.MarmotCaravelConfig.fir@307159.4]
+  assign io_oeb_36 = ~ MarmotCaravelPlatform_io_pins_gpio_pins_22_o_oe; // @[Chip.scala 178:23:shc.marmotcaravel.MarmotCaravelConfig.fir@307164.4]
+  assign io_oeb_37 = ~ MarmotCaravelPlatform_io_pins_gpio_pins_23_o_oe; // @[Chip.scala 178:23:shc.marmotcaravel.MarmotCaravelConfig.fir@307169.4]
+  assign gpio_out_0 = MarmotCaravelPlatform_io_pins_gpio_pins_0_o_oe & MarmotCaravelPlatform_io_pins_gpio_pins_0_o_oval; // @[Chip.scala 160:21:shc.marmotcaravel.MarmotCaravelConfig.fir@307013.4]
+  assign gpio_out_1 = MarmotCaravelPlatform_io_pins_gpio_pins_1_o_oe & MarmotCaravelPlatform_io_pins_gpio_pins_1_o_oval; // @[Chip.scala 160:21:shc.marmotcaravel.MarmotCaravelConfig.fir@307015.4]
+  assign gpio_out_2 = MarmotCaravelPlatform_io_pins_gpio_pins_2_o_oe & MarmotCaravelPlatform_io_pins_gpio_pins_2_o_oval; // @[Chip.scala 160:21:shc.marmotcaravel.MarmotCaravelConfig.fir@307017.4]
+  assign gpio_out_3 = MarmotCaravelPlatform_io_pins_gpio_pins_3_o_oe & MarmotCaravelPlatform_io_pins_gpio_pins_3_o_oval; // @[Chip.scala 160:21:shc.marmotcaravel.MarmotCaravelConfig.fir@307019.4]
+  assign gpio_out_4 = MarmotCaravelPlatform_io_pins_gpio_pins_4_o_oe & MarmotCaravelPlatform_io_pins_gpio_pins_4_o_oval; // @[Chip.scala 160:21:shc.marmotcaravel.MarmotCaravelConfig.fir@307021.4]
+  assign gpio_out_5 = MarmotCaravelPlatform_io_pins_gpio_pins_5_o_oe & MarmotCaravelPlatform_io_pins_gpio_pins_5_o_oval; // @[Chip.scala 160:21:shc.marmotcaravel.MarmotCaravelConfig.fir@307023.4]
+  assign gpio_out_6 = MarmotCaravelPlatform_io_pins_gpio_pins_6_o_oe & MarmotCaravelPlatform_io_pins_gpio_pins_6_o_oval; // @[Chip.scala 160:21:shc.marmotcaravel.MarmotCaravelConfig.fir@307025.4]
+  assign gpio_out_7 = MarmotCaravelPlatform_io_pins_gpio_pins_7_o_oe & MarmotCaravelPlatform_io_pins_gpio_pins_7_o_oval; // @[Chip.scala 160:21:shc.marmotcaravel.MarmotCaravelConfig.fir@307027.4]
+  assign gpio_out_8 = MarmotCaravelPlatform_io_pins_gpio_pins_8_o_oe & MarmotCaravelPlatform_io_pins_gpio_pins_8_o_oval; // @[Chip.scala 160:21:shc.marmotcaravel.MarmotCaravelConfig.fir@307029.4]
+  assign gpio_out_9 = MarmotCaravelPlatform_io_pins_gpio_pins_9_o_oe & MarmotCaravelPlatform_io_pins_gpio_pins_9_o_oval; // @[Chip.scala 160:21:shc.marmotcaravel.MarmotCaravelConfig.fir@307031.4]
+  assign gpio_out_10 = MarmotCaravelPlatform_io_pins_gpio_pins_10_o_oe & MarmotCaravelPlatform_io_pins_gpio_pins_10_o_oval; // @[Chip.scala 160:21:shc.marmotcaravel.MarmotCaravelConfig.fir@307033.4]
+  assign gpio_out_11 = MarmotCaravelPlatform_io_pins_gpio_pins_11_o_oe & MarmotCaravelPlatform_io_pins_gpio_pins_11_o_oval; // @[Chip.scala 160:21:shc.marmotcaravel.MarmotCaravelConfig.fir@307035.4]
+  assign gpio_out_12 = MarmotCaravelPlatform_io_pins_gpio_pins_12_o_oe & MarmotCaravelPlatform_io_pins_gpio_pins_12_o_oval; // @[Chip.scala 160:21:shc.marmotcaravel.MarmotCaravelConfig.fir@307037.4]
+  assign gpio_out_13 = MarmotCaravelPlatform_io_pins_gpio_pins_13_o_oe & MarmotCaravelPlatform_io_pins_gpio_pins_13_o_oval; // @[Chip.scala 160:21:shc.marmotcaravel.MarmotCaravelConfig.fir@307039.4]
+  assign gpio_out_14 = MarmotCaravelPlatform_io_pins_gpio_pins_14_o_oe & MarmotCaravelPlatform_io_pins_gpio_pins_14_o_oval; // @[Chip.scala 160:21:shc.marmotcaravel.MarmotCaravelConfig.fir@307041.4]
+  assign gpio_out_15 = MarmotCaravelPlatform_io_pins_gpio_pins_15_o_oe & MarmotCaravelPlatform_io_pins_gpio_pins_15_o_oval; // @[Chip.scala 160:21:shc.marmotcaravel.MarmotCaravelConfig.fir@307043.4]
+  assign gpio_out_16 = MarmotCaravelPlatform_io_pins_gpio_pins_16_o_oe & MarmotCaravelPlatform_io_pins_gpio_pins_16_o_oval; // @[Chip.scala 160:21:shc.marmotcaravel.MarmotCaravelConfig.fir@307045.4]
+  assign gpio_out_17 = MarmotCaravelPlatform_io_pins_gpio_pins_17_o_oe & MarmotCaravelPlatform_io_pins_gpio_pins_17_o_oval; // @[Chip.scala 160:21:shc.marmotcaravel.MarmotCaravelConfig.fir@307047.4]
+  assign gpio_out_18 = MarmotCaravelPlatform_io_pins_gpio_pins_18_o_oe & MarmotCaravelPlatform_io_pins_gpio_pins_18_o_oval; // @[Chip.scala 160:21:shc.marmotcaravel.MarmotCaravelConfig.fir@307049.4]
+  assign gpio_out_19 = MarmotCaravelPlatform_io_pins_gpio_pins_19_o_oe & MarmotCaravelPlatform_io_pins_gpio_pins_19_o_oval; // @[Chip.scala 160:21:shc.marmotcaravel.MarmotCaravelConfig.fir@307051.4]
+  assign gpio_out_20 = MarmotCaravelPlatform_io_pins_gpio_pins_20_o_oe & MarmotCaravelPlatform_io_pins_gpio_pins_20_o_oval; // @[Chip.scala 160:21:shc.marmotcaravel.MarmotCaravelConfig.fir@307053.4]
+  assign gpio_out_21 = MarmotCaravelPlatform_io_pins_gpio_pins_21_o_oe & MarmotCaravelPlatform_io_pins_gpio_pins_21_o_oval; // @[Chip.scala 160:21:shc.marmotcaravel.MarmotCaravelConfig.fir@307055.4]
+  assign gpio_out_22 = MarmotCaravelPlatform_io_pins_gpio_pins_22_o_oe & MarmotCaravelPlatform_io_pins_gpio_pins_22_o_oval; // @[Chip.scala 160:21:shc.marmotcaravel.MarmotCaravelConfig.fir@307057.4]
+  assign gpio_out_23 = MarmotCaravelPlatform_io_pins_gpio_pins_23_o_oe & MarmotCaravelPlatform_io_pins_gpio_pins_23_o_oval; // @[Chip.scala 160:21:shc.marmotcaravel.MarmotCaravelConfig.fir@307059.4]
+  assign gpio_out_24 = MarmotCaravelPlatform_io_pins_gpio_pins_24_o_oe & MarmotCaravelPlatform_io_pins_gpio_pins_24_o_oval; // @[Chip.scala 160:21:shc.marmotcaravel.MarmotCaravelConfig.fir@307061.4]
+  assign gpio_out_25 = MarmotCaravelPlatform_io_pins_gpio_pins_25_o_oe & MarmotCaravelPlatform_io_pins_gpio_pins_25_o_oval; // @[Chip.scala 160:21:shc.marmotcaravel.MarmotCaravelConfig.fir@307063.4]
+  assign gpio_out_26 = MarmotCaravelPlatform_io_pins_gpio_pins_26_o_oe & MarmotCaravelPlatform_io_pins_gpio_pins_26_o_oval; // @[Chip.scala 160:21:shc.marmotcaravel.MarmotCaravelConfig.fir@307065.4]
+  assign gpio_out_27 = MarmotCaravelPlatform_io_pins_gpio_pins_27_o_oe & MarmotCaravelPlatform_io_pins_gpio_pins_27_o_oval; // @[Chip.scala 160:21:shc.marmotcaravel.MarmotCaravelConfig.fir@307067.4]
+  assign gpio_out_28 = MarmotCaravelPlatform_io_pins_gpio_pins_28_o_oe & MarmotCaravelPlatform_io_pins_gpio_pins_28_o_oval; // @[Chip.scala 160:21:shc.marmotcaravel.MarmotCaravelConfig.fir@307069.4]
+  assign gpio_out_29 = MarmotCaravelPlatform_io_pins_gpio_pins_29_o_oe & MarmotCaravelPlatform_io_pins_gpio_pins_29_o_oval; // @[Chip.scala 160:21:shc.marmotcaravel.MarmotCaravelConfig.fir@307071.4]
+  assign gpio_out_30 = MarmotCaravelPlatform_io_pins_gpio_pins_30_o_oe & MarmotCaravelPlatform_io_pins_gpio_pins_30_o_oval; // @[Chip.scala 160:21:shc.marmotcaravel.MarmotCaravelConfig.fir@307073.4]
+  assign gpio_out_31 = MarmotCaravelPlatform_io_pins_gpio_pins_31_o_oe & MarmotCaravelPlatform_io_pins_gpio_pins_31_o_oval; // @[Chip.scala 160:21:shc.marmotcaravel.MarmotCaravelConfig.fir@307075.4]
+  assign MarmotCaravelPlatform_clock = clk; // @[:shc.marmotcaravel.MarmotCaravelConfig.fir@306929.4]
+  assign MarmotCaravelPlatform_io_pins_jtag_TCK_i_ival = io_in_4; // @[Chip.scala 68:33:shc.marmotcaravel.MarmotCaravelConfig.fir@306947.4]
+  assign MarmotCaravelPlatform_io_pins_jtag_TMS_i_ival = io_in_3; // @[Chip.scala 63:33:shc.marmotcaravel.MarmotCaravelConfig.fir@306943.4]
+  assign MarmotCaravelPlatform_io_pins_jtag_TDI_i_ival = io_in_2; // @[Chip.scala 58:33:shc.marmotcaravel.MarmotCaravelConfig.fir@306939.4]
+  assign MarmotCaravelPlatform_io_pins_gpio_pins_0_i_ival = io_in_14 & MarmotCaravelPlatform_io_pins_gpio_pins_0_o_ie; // @[Chip.scala 167:41:shc.marmotcaravel.MarmotCaravelConfig.fir@307080.4]
+  assign MarmotCaravelPlatform_io_pins_gpio_pins_1_i_ival = io_in_15 & MarmotCaravelPlatform_io_pins_gpio_pins_1_o_ie; // @[Chip.scala 167:41:shc.marmotcaravel.MarmotCaravelConfig.fir@307085.4]
+  assign MarmotCaravelPlatform_io_pins_gpio_pins_2_i_ival = io_in_16 & MarmotCaravelPlatform_io_pins_gpio_pins_2_o_ie; // @[Chip.scala 167:41:shc.marmotcaravel.MarmotCaravelConfig.fir@307090.4]
+  assign MarmotCaravelPlatform_io_pins_gpio_pins_3_i_ival = io_in_17 & MarmotCaravelPlatform_io_pins_gpio_pins_3_o_ie; // @[Chip.scala 167:41:shc.marmotcaravel.MarmotCaravelConfig.fir@307095.4]
+  assign MarmotCaravelPlatform_io_pins_gpio_pins_4_i_ival = io_in_18 & MarmotCaravelPlatform_io_pins_gpio_pins_4_o_ie; // @[Chip.scala 167:41:shc.marmotcaravel.MarmotCaravelConfig.fir@307100.4]
+  assign MarmotCaravelPlatform_io_pins_gpio_pins_5_i_ival = io_in_19 & MarmotCaravelPlatform_io_pins_gpio_pins_5_o_ie; // @[Chip.scala 167:41:shc.marmotcaravel.MarmotCaravelConfig.fir@307105.4]
+  assign MarmotCaravelPlatform_io_pins_gpio_pins_6_i_ival = gpio_in_6; // @[Chip.scala 172:41:shc.marmotcaravel.MarmotCaravelConfig.fir@307106.4]
+  assign MarmotCaravelPlatform_io_pins_gpio_pins_7_i_ival = gpio_in_7; // @[Chip.scala 172:41:shc.marmotcaravel.MarmotCaravelConfig.fir@307107.4]
+  assign MarmotCaravelPlatform_io_pins_gpio_pins_8_i_ival = gpio_in_8; // @[Chip.scala 172:41:shc.marmotcaravel.MarmotCaravelConfig.fir@307108.4]
+  assign MarmotCaravelPlatform_io_pins_gpio_pins_9_i_ival = gpio_in_9; // @[Chip.scala 172:41:shc.marmotcaravel.MarmotCaravelConfig.fir@307109.4]
+  assign MarmotCaravelPlatform_io_pins_gpio_pins_10_i_ival = gpio_in_10; // @[Chip.scala 172:41:shc.marmotcaravel.MarmotCaravelConfig.fir@307110.4]
+  assign MarmotCaravelPlatform_io_pins_gpio_pins_11_i_ival = gpio_in_11; // @[Chip.scala 172:41:shc.marmotcaravel.MarmotCaravelConfig.fir@307111.4]
+  assign MarmotCaravelPlatform_io_pins_gpio_pins_12_i_ival = io_in_26 & MarmotCaravelPlatform_io_pins_gpio_pins_12_o_ie; // @[Chip.scala 179:41:shc.marmotcaravel.MarmotCaravelConfig.fir@307116.4]
+  assign MarmotCaravelPlatform_io_pins_gpio_pins_13_i_ival = io_in_27 & MarmotCaravelPlatform_io_pins_gpio_pins_13_o_ie; // @[Chip.scala 179:41:shc.marmotcaravel.MarmotCaravelConfig.fir@307121.4]
+  assign MarmotCaravelPlatform_io_pins_gpio_pins_14_i_ival = io_in_28 & MarmotCaravelPlatform_io_pins_gpio_pins_14_o_ie; // @[Chip.scala 179:41:shc.marmotcaravel.MarmotCaravelConfig.fir@307126.4]
+  assign MarmotCaravelPlatform_io_pins_gpio_pins_15_i_ival = io_in_29 & MarmotCaravelPlatform_io_pins_gpio_pins_15_o_ie; // @[Chip.scala 179:41:shc.marmotcaravel.MarmotCaravelConfig.fir@307131.4]
+  assign MarmotCaravelPlatform_io_pins_gpio_pins_16_i_ival = io_in_30 & MarmotCaravelPlatform_io_pins_gpio_pins_16_o_ie; // @[Chip.scala 179:41:shc.marmotcaravel.MarmotCaravelConfig.fir@307136.4]
+  assign MarmotCaravelPlatform_io_pins_gpio_pins_17_i_ival = io_in_31 & MarmotCaravelPlatform_io_pins_gpio_pins_17_o_ie; // @[Chip.scala 179:41:shc.marmotcaravel.MarmotCaravelConfig.fir@307141.4]
+  assign MarmotCaravelPlatform_io_pins_gpio_pins_18_i_ival = io_in_32 & MarmotCaravelPlatform_io_pins_gpio_pins_18_o_ie; // @[Chip.scala 179:41:shc.marmotcaravel.MarmotCaravelConfig.fir@307146.4]
+  assign MarmotCaravelPlatform_io_pins_gpio_pins_19_i_ival = io_in_33 & MarmotCaravelPlatform_io_pins_gpio_pins_19_o_ie; // @[Chip.scala 179:41:shc.marmotcaravel.MarmotCaravelConfig.fir@307151.4]
+  assign MarmotCaravelPlatform_io_pins_gpio_pins_20_i_ival = io_in_34 & MarmotCaravelPlatform_io_pins_gpio_pins_20_o_ie; // @[Chip.scala 179:41:shc.marmotcaravel.MarmotCaravelConfig.fir@307156.4]
+  assign MarmotCaravelPlatform_io_pins_gpio_pins_21_i_ival = io_in_35 & MarmotCaravelPlatform_io_pins_gpio_pins_21_o_ie; // @[Chip.scala 179:41:shc.marmotcaravel.MarmotCaravelConfig.fir@307161.4]
+  assign MarmotCaravelPlatform_io_pins_gpio_pins_22_i_ival = io_in_36 & MarmotCaravelPlatform_io_pins_gpio_pins_22_o_ie; // @[Chip.scala 179:41:shc.marmotcaravel.MarmotCaravelConfig.fir@307166.4]
+  assign MarmotCaravelPlatform_io_pins_gpio_pins_23_i_ival = io_in_37 & MarmotCaravelPlatform_io_pins_gpio_pins_23_o_ie; // @[Chip.scala 179:41:shc.marmotcaravel.MarmotCaravelConfig.fir@307171.4]
+  assign MarmotCaravelPlatform_io_pins_gpio_pins_24_i_ival = io_in_7 & MarmotCaravelPlatform_io_pins_gpio_pins_24_o_ie; // @[Chip.scala 185:38:shc.marmotcaravel.MarmotCaravelConfig.fir@307176.4]
+  assign MarmotCaravelPlatform_io_pins_gpio_pins_25_i_ival = gpio_in_25; // @[Chip.scala 189:41:shc.marmotcaravel.MarmotCaravelConfig.fir@307177.4]
+  assign MarmotCaravelPlatform_io_pins_gpio_pins_26_i_ival = gpio_in_26; // @[Chip.scala 189:41:shc.marmotcaravel.MarmotCaravelConfig.fir@307178.4]
+  assign MarmotCaravelPlatform_io_pins_gpio_pins_27_i_ival = gpio_in_27; // @[Chip.scala 189:41:shc.marmotcaravel.MarmotCaravelConfig.fir@307179.4]
+  assign MarmotCaravelPlatform_io_pins_gpio_pins_28_i_ival = gpio_in_28; // @[Chip.scala 189:41:shc.marmotcaravel.MarmotCaravelConfig.fir@307180.4]
+  assign MarmotCaravelPlatform_io_pins_gpio_pins_29_i_ival = gpio_in_29; // @[Chip.scala 189:41:shc.marmotcaravel.MarmotCaravelConfig.fir@307181.4]
+  assign MarmotCaravelPlatform_io_pins_gpio_pins_30_i_ival = gpio_in_30; // @[Chip.scala 189:41:shc.marmotcaravel.MarmotCaravelConfig.fir@307182.4]
+  assign MarmotCaravelPlatform_io_pins_gpio_pins_31_i_ival = gpio_in_31; // @[Chip.scala 189:41:shc.marmotcaravel.MarmotCaravelConfig.fir@307183.4]
+  assign MarmotCaravelPlatform_io_pins_qspi_dq_0_i_ival = io_in_10 & MarmotCaravelPlatform_io_pins_qspi_dq_0_o_ie; // @[Chip.scala 105:35:shc.marmotcaravel.MarmotCaravelConfig.fir@306970.4]
+  assign MarmotCaravelPlatform_io_pins_qspi_dq_1_i_ival = io_in_11 & MarmotCaravelPlatform_io_pins_qspi_dq_1_o_ie; // @[Chip.scala 110:35:shc.marmotcaravel.MarmotCaravelConfig.fir@306975.4]
+  assign MarmotCaravelPlatform_io_pins_qspi_dq_2_i_ival = io_in_12 & MarmotCaravelPlatform_io_pins_qspi_dq_2_o_ie; // @[Chip.scala 115:35:shc.marmotcaravel.MarmotCaravelConfig.fir@306980.4]
+  assign MarmotCaravelPlatform_io_pins_qspi_dq_3_i_ival = io_in_13 & MarmotCaravelPlatform_io_pins_qspi_dq_3_o_ie; // @[Chip.scala 120:35:shc.marmotcaravel.MarmotCaravelConfig.fir@306985.4]
+  assign MarmotCaravelPlatform_io_pins_qspi_ram_dq_0_i_ival = io_in_22 & MarmotCaravelPlatform_io_pins_qspi_ram_dq_0_o_ie; // @[Chip.scala 137:39:shc.marmotcaravel.MarmotCaravelConfig.fir@306996.4]
+  assign MarmotCaravelPlatform_io_pins_qspi_ram_dq_1_i_ival = io_in_23 & MarmotCaravelPlatform_io_pins_qspi_ram_dq_1_o_ie; // @[Chip.scala 142:39:shc.marmotcaravel.MarmotCaravelConfig.fir@307001.4]
+  assign MarmotCaravelPlatform_io_pins_qspi_ram_dq_2_i_ival = io_in_24 & MarmotCaravelPlatform_io_pins_qspi_ram_dq_2_o_ie; // @[Chip.scala 147:39:shc.marmotcaravel.MarmotCaravelConfig.fir@307006.4]
+  assign MarmotCaravelPlatform_io_pins_qspi_ram_dq_3_i_ival = io_in_25 & MarmotCaravelPlatform_io_pins_qspi_ram_dq_3_o_ie; // @[Chip.scala 152:39:shc.marmotcaravel.MarmotCaravelConfig.fir@307011.4]
+  assign MarmotCaravelPlatform_io_pins_uart_rxd_i_ival = io_in_5; // @[Chip.scala 84:33:shc.marmotcaravel.MarmotCaravelConfig.fir@306956.4]
+  assign MarmotCaravelPlatform_io_pins_aon_erst_n_i_ival = rst_n; // @[Chip.scala 196:41:shc.marmotcaravel.MarmotCaravelConfig.fir@307184.4]
+  assign MarmotCaravelPlatform_io_pins_aon_lfextclk_i_ival = slow_clock; // @[Chip.scala 197:41:shc.marmotcaravel.MarmotCaravelConfig.fir@307185.4]
+  assign MarmotCaravelPlatform_io_jtag_reset = ~ rst_n; // @[Chip.scala 71:23:shc.marmotcaravel.MarmotCaravelConfig.fir@306949.4]
 `ifdef RANDOMIZE_GARBAGE_ASSIGN
 `define RANDOMIZE
 `endif
@@ -367703,22 +367907,22 @@
   `endif // RANDOMIZE_REG_INIT
   `ifdef RANDOMIZE_REG_INIT
   _RAND_1 = {1{`RANDOM}};
-  value = _RAND_1[0:0];
+  value = _RAND_1[1:0];
   `endif // RANDOMIZE_REG_INIT
   end
 `endif // RANDOMIZE
   always @(posedge clk) begin
-    if (_T_479) begin
+    if (_T_584) begin
       slow_clock <= 1'h0;
     end else begin
-      if (value) begin
-        slow_clock <= _T_487;
+      if (_T_588) begin
+        slow_clock <= _T_592;
       end
     end
-    if (_T_479) begin
-      value <= 1'h0;
+    if (_T_584) begin
+      value <= 2'h0;
     end else begin
-      value <= _T_485;
+      value <= _T_590;
     end
   end
 endmodule