Harden clk_skew_adjust
diff --git a/gds/clk_skew_adjust.gds.gz b/gds/clk_skew_adjust.gds.gz
new file mode 100644
index 0000000..c0157ea
--- /dev/null
+++ b/gds/clk_skew_adjust.gds.gz
Binary files differ
diff --git a/libs.ref/sky130_fd_sc_hd__tt_025C_1v80_for_clk_skew_adjust.lib b/libs.ref/sky130_fd_sc_hd__tt_025C_1v80_for_clk_skew_adjust.lib
new file mode 100644
index 0000000..f2a8dbd
--- /dev/null
+++ b/libs.ref/sky130_fd_sc_hd__tt_025C_1v80_for_clk_skew_adjust.lib
@@ -0,0 +1,1405 @@
+library ("sky130_fd_sc_hd__tt_025C_1v80") {
+    define(def_sim_opt,library,string);
+    define(default_arc_mode,library,string);
+    define(default_constraint_arc_mode,library,string);
+    define(driver_model,library,string);
+    define(leakage_sim_opt,library,string);
+    define(min_pulse_width_mode,library,string);
+    define(simulator,library,string);
+    define(switching_power_split_model,library,string);
+    define(sim_opt,timing,string);
+    define(violation_delay_degrade_pct,timing,string);
+    technology("cmos");
+    delay_model : "table_lookup";
+    bus_naming_style : "%s[%d]";
+    time_unit : "1ns";
+    voltage_unit : "1V";
+    leakage_power_unit : "1nW";
+    current_unit : "1mA";
+    pulling_resistance_unit : "1kohm";
+    capacitive_load_unit(1.0000000000, "pf");
+    revision : 1.0000000000;
+    default_cell_leakage_power : 0.0000000000;
+    default_fanout_load : 0.0000000000;
+    default_inout_pin_cap : 0.0000000000;
+    default_input_pin_cap : 0.0000000000;
+    default_max_transition : 1.5000000000;
+    default_output_pin_cap : 0.0000000000;
+    default_arc_mode : "worst_edges";
+    default_constraint_arc_mode : "worst";
+    default_leakage_power_density : 0.0000000000;
+    default_operating_conditions : "tt_025C_1v80";
+    operating_conditions ("tt_025C_1v80") {
+        voltage : 1.8000000000;
+        process : 1.0000000000;
+        temperature : 25.000000000;
+        tree_type : "balanced_tree";
+    }
+    /* Wire load tables */
+
+    wire_load("Small") {
+      capacitance : 1.42e-05;
+      resistance : 0.0745;
+      slope : 8.3631;
+      fanout_length( 1, 23.2746);
+      fanout_length( 2, 32.1136);
+      fanout_length( 3, 48.4862);
+      fanout_length( 4, 64.0974);
+      fanout_length( 5, 86.2649);
+      fanout_length( 6, 84.2649);
+    }
+
+    wire_load("Medium") {
+      capacitance : 1.42e-05;
+      resistance : 0.0745;
+      slope : 8.3631;
+      fanout_length( 1, 23.2746);
+      fanout_length( 2, 32.1136);
+      fanout_length( 3, 48.4862);
+      fanout_length( 4, 64.0974);
+      fanout_length( 5, 86.2649);
+      fanout_length( 6, 84.2649);
+    }
+
+    wire_load("Large") {
+      capacitance : 1.42e-05;
+      resistance : 0.0745;
+      slope : 8.3631;
+      fanout_length( 1, 23.2746);
+      fanout_length( 2, 32.1136);
+      fanout_length( 3, 48.4862);
+      fanout_length( 4, 64.0974);
+      fanout_length( 5, 86.2649);
+      fanout_length( 6, 84.2649);
+    }
+
+    wire_load("Huge") {
+      capacitance : 1.42e-05;
+      resistance : 0.0745;
+      slope : 8.3631;
+      fanout_length( 1, 23.2746);
+      fanout_length( 2, 32.1136);
+      fanout_length( 3, 48.4862);
+      fanout_length( 4, 64.0974);
+      fanout_length( 5, 86.2649);
+      fanout_length( 6, 84.2649);
+    }
+
+    default_wire_load : "Small" ;
+    default_wire_load_mode : top;
+
+    power_lut_template ("power_inputs_1") {
+        variable_1 : "input_transition_time";
+        index_1("1, 2, 3, 4, 5, 6, 7");
+    }
+    power_lut_template ("power_outputs_1") {
+        variable_1 : "input_transition_time";
+        variable_2 : "total_output_net_capacitance";
+        index_1("1, 2, 3, 4, 5, 6, 7");
+        index_2("1, 2, 3, 4, 5, 6, 7");
+    }
+    lu_table_template ("constraint_3_0_1") {
+        variable_1 : "related_pin_transition";
+        index_1("1, 2, 3");
+    }
+    lu_table_template ("del_1_7_7") {
+        variable_1 : "input_net_transition";
+        variable_2 : "total_output_net_capacitance";
+        index_1("1, 2, 3, 4, 5, 6, 7");
+        index_2("1, 2, 3, 4, 5, 6, 7");
+    }
+    lu_table_template ("driver_waveform_template") {
+        variable_1 : "input_net_transition";
+        variable_2 : "normalized_voltage";
+        index_1("1, 2");
+        index_2("1, 2");
+    }
+    lu_table_template ("vio_3_3_1") {
+        variable_1 : "related_pin_transition";
+        variable_2 : "constrained_pin_transition";
+        index_1("1, 2, 3");
+        index_2("1, 2, 3");
+    }
+    normalized_driver_waveform ("driver_waveform_template") {
+        index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224745000, 0.2823108000, 0.5000000000, 0.6507428000, 1.5000000000");
+        index_2("0.0000000000, 0.5000000000, 1.0000000000");
+        driver_waveform_name : "ramp";
+        values("0.0000000000, 0.0083333333, 0.0166666670", \
+            "0.0000000000, 0.0192088180, 0.0384176350", \
+            "0.0000000000, 0.0442774400, 0.0885548810", \
+            "0.0000000000, 0.1020620700, 0.2041241500", \
+            "0.0000000000, 0.2352590100, 0.4705180100", \
+            "0.0000000000, 0.4166666700, 0.8333333300", \
+            "0.0000000000, 0.5422856800, 1.0845714000", \
+            "0.0000000000, 1.2500000000, 2.5000000000");
+    }
+    library_features("report_delay_calculation");
+    voltage_map("VSS", 0.0000000000);
+    voltage_map("KAPWR", 1.8000000000);
+    voltage_map("LOWLVPWR", 1.8000000000);
+    voltage_map("VGND", 0.0000000000);
+    voltage_map("VNB", 0.0000000000);
+    voltage_map("VPB", 1.8000000000);
+    voltage_map("VPWR", 1.8000000000);
+    voltage_map("VPWRIN", 1.8000000000);
+    driver_model : "ramp";
+    in_place_swap_mode : "match_footprint";
+    input_threshold_pct_fall : 50.000000000;
+    input_threshold_pct_rise : 50.000000000;
+    min_pulse_width_mode : "max";
+    nom_process : 1.0000000000;
+    nom_temperature : 25.000000000;
+    nom_voltage : 1.8000000000;
+    output_threshold_pct_fall : 50.000000000;
+    output_threshold_pct_rise : 50.000000000;
+    simulation : "true";
+    slew_derate_from_library : 1.0000000000;
+    slew_lower_threshold_pct_fall : 20.000000000;
+    slew_lower_threshold_pct_rise : 20.000000000;
+    slew_upper_threshold_pct_fall :  80.00000000;
+    slew_upper_threshold_pct_rise :  80.00000000;
+    switching_power_split_model : "true";
+
+    cell ("sky130_fd_sc_hd__clkbuf_8") {
+        leakage_power () {
+            value : 0.0075141000;
+            when : "A";
+        }
+        leakage_power () {
+            value : 0.0068657000;
+            when : "!A";
+        }
+        area : 13.763200000;
+        cell_footprint : "sky130_fd_sc_hd__clkbuf";
+        cell_leakage_power : 0.0071899020;
+        driver_waveform_fall : "ramp";
+        driver_waveform_rise : "ramp";
+        pg_pin ("VGND") {
+            pg_type : "primary_ground";
+            related_bias_pin : "VPB";
+            voltage_name : "VGND";
+        }
+        pg_pin ("VNB") {
+            pg_type : "nwell";
+            physical_connection : "device_layer";
+            voltage_name : "VNB";
+        }
+        pg_pin ("VPB") {
+            pg_type : "pwell";
+            physical_connection : "device_layer";
+            voltage_name : "VPB";
+        }
+        pg_pin ("VPWR") {
+            pg_type : "primary_power";
+            related_bias_pin : "VNB";
+            voltage_name : "VPWR";
+        }
+        pin ("A") {
+            capacitance : 0.0039170000;
+            clock : "false";
+            direction : "input";
+            fall_capacitance : 0.0036770000;
+            max_transition : 1.5000000000;
+            related_ground_pin : "VGND";
+            related_power_pin : "VPWR";
+            rise_capacitance : 0.0041570000;
+        }
+        pin ("X") {
+            direction : "output";
+            function : "(A)";
+            internal_power () {
+                fall_power ("power_outputs_1") {
+                    index_1("0.0100000000, 0.0230505800, 0.0531329300, 0.1224745000, 0.2823108000, 0.6507428000, 1.5000000000");
+                    index_2("0.0005000000, 0.0017468110, 0.0061026960, 0.0213205100, 0.0744857900, 0.2602252000, 0.9091283000");
+                    values("0.0439196000, 0.0422305000, 0.0361121000, 0.0147630000, -0.0674202000, -0.3667379000, -1.4173258000", \
+                        "0.0437916000, 0.0420063000, 0.0359728000, 0.0146529000, -0.0676145000, -0.3669457000, -1.4175372000", \
+                        "0.0434898000, 0.0417389000, 0.0355348000, 0.0142134000, -0.0679630000, -0.3672318000, -1.4179496000", \
+                        "0.0430287000, 0.0412896000, 0.0350847000, 0.0135535000, -0.0687876000, -0.3677211000, -1.4182712000", \
+                        "0.0435281000, 0.0417360000, 0.0353924000, 0.0131402000, -0.0696001000, -0.3683329000, -1.4185715000", \
+                        "0.0461712000, 0.0440706000, 0.0372477000, 0.0127932000, -0.0700836000, -0.3684388000, -1.4184463000", \
+                        "0.0501803000, 0.0479384000, 0.0404519000, 0.0172455000, -0.0695554000, -0.3672169000, -1.4165934000");
+                }
+                related_pin : "A";
+                rise_power ("power_outputs_1") {
+                    index_1("0.0100000000, 0.0230505800, 0.0531329300, 0.1224745000, 0.2823108000, 0.6507428000, 1.5000000000");
+                    index_2("0.0005000000, 0.0017468110, 0.0061026960, 0.0213205100, 0.0744857900, 0.2602252000, 0.9091283000");
+                    values("0.0392681000, 0.0414887000, 0.0490122000, 0.0751107000, 0.1622916000, 0.4611430000, 1.4956856000", \
+                        "0.0391502000, 0.0412274000, 0.0488541000, 0.0748691000, 0.1620777000, 0.4610076000, 1.5030615000", \
+                        "0.0386655000, 0.0408745000, 0.0484531000, 0.0745524000, 0.1617005000, 0.4604706000, 1.4945235000", \
+                        "0.0383921000, 0.0405307000, 0.0481800000, 0.0738617000, 0.1608397000, 0.4605267000, 1.4949479000", \
+                        "0.0383882000, 0.0404859000, 0.0478278000, 0.0731045000, 0.1590260000, 0.4592713000, 1.5032196000", \
+                        "0.0402810000, 0.0422948000, 0.0493531000, 0.0745419000, 0.1587542000, 0.4568355000, 1.5008394000", \
+                        "0.0429582000, 0.0447908000, 0.0516027000, 0.0762731000, 0.1618782000, 0.4582257000, 1.4947426000");
+                }
+            }
+            max_capacitance : 0.9091280000;
+            max_transition : 1.5142470000;
+            power_down_function : "(!VPWR + VGND)";
+            related_ground_pin : "VGND";
+            related_power_pin : "VPWR";
+            timing () {
+                cell_fall ("del_1_7_7") {
+                    index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000");
+                    index_2("0.0005000000, 0.0017468100, 0.0061027000, 0.0213205000, 0.0744858000, 0.2602250000, 0.9091280000");
+                    values("0.1032238000, 0.1057764000, 0.1132007000, 0.1321387000, 0.1787291000, 0.3127927000, 0.7708767000", \
+                        "0.1088701000, 0.1113654000, 0.1187928000, 0.1378564000, 0.1842500000, 0.3184958000, 0.7762466000", \
+                        "0.1220698000, 0.1245346000, 0.1318632000, 0.1513332000, 0.1977364000, 0.3319057000, 0.7894952000", \
+                        "0.1545639000, 0.1570349000, 0.1644291000, 0.1833382000, 0.2299216000, 0.3643497000, 0.8206332000", \
+                        "0.2265578000, 0.2291526000, 0.2367556000, 0.2557977000, 0.3025825000, 0.4372515000, 0.8939933000", \
+                        "0.3479511000, 0.3513252000, 0.3610938000, 0.3845738000, 0.4358061000, 0.5727983000, 1.0319524000", \
+                        "0.5364469000, 0.5409415000, 0.5538488000, 0.5849771000, 0.6484324000, 0.7909523000, 1.2474557000");
+                }
+                cell_rise ("del_1_7_7") {
+                    index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000");
+                    index_2("0.0005000000, 0.0017468100, 0.0061027000, 0.0213205000, 0.0744858000, 0.2602250000, 0.9091280000");
+                    values("0.0873982000, 0.0902000000, 0.0985632000, 0.1215397000, 0.1866671000, 0.4012755000, 1.1458812000", \
+                        "0.0918925000, 0.0946695000, 0.1030297000, 0.1260336000, 0.1911202000, 0.4055206000, 1.1556069000", \
+                        "0.1029230000, 0.1057123000, 0.1141331000, 0.1370277000, 0.2021565000, 0.4173891000, 1.1612002000", \
+                        "0.1287652000, 0.1315656000, 0.1398996000, 0.1627709000, 0.2277114000, 0.4426435000, 1.1870251000", \
+                        "0.1763462000, 0.1795294000, 0.1888237000, 0.2129772000, 0.2784062000, 0.4938256000, 1.2436772000", \
+                        "0.2382740000, 0.2424159000, 0.2541788000, 0.2822469000, 0.3498567000, 0.5644328000, 1.3103293000", \
+                        "0.3032267000, 0.3086452000, 0.3243595000, 0.3609786000, 0.4374858000, 0.6521989000, 1.3945283000");
+                }
+                fall_transition ("del_1_7_7") {
+                    index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000");
+                    index_2("0.0005000000, 0.0017468100, 0.0061027000, 0.0213205000, 0.0744858000, 0.2602250000, 0.9091280000");
+                    values("0.0209900000, 0.0224645000, 0.0276527000, 0.0426982000, 0.0899723000, 0.2611759000, 0.8849450000", \
+                        "0.0211284000, 0.0224236000, 0.0277463000, 0.0425912000, 0.0899897000, 0.2612005000, 0.8840557000", \
+                        "0.0208912000, 0.0225161000, 0.0275141000, 0.0425875000, 0.0900036000, 0.2616951000, 0.8799486000", \
+                        "0.0208517000, 0.0224385000, 0.0277352000, 0.0426761000, 0.0899355000, 0.2607210000, 0.8861957000", \
+                        "0.0236109000, 0.0252378000, 0.0301154000, 0.0443205000, 0.0907661000, 0.2615641000, 0.8771955000", \
+                        "0.0350799000, 0.0369991000, 0.0426903000, 0.0565522000, 0.0999796000, 0.2640375000, 0.8838059000", \
+                        "0.0542660000, 0.0567169000, 0.0638486000, 0.0811250000, 0.1218388000, 0.2728307000, 0.8790218000");
+                }
+                related_pin : "A";
+                rise_transition ("del_1_7_7") {
+                    index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000");
+                    index_2("0.0005000000, 0.0017468100, 0.0061027000, 0.0213205000, 0.0744858000, 0.2602250000, 0.9091280000");
+                    values("0.0220288000, 0.0241858000, 0.0314808000, 0.0549380000, 0.1387776000, 0.4436266000, 1.5080432000", \
+                        "0.0220880000, 0.0243628000, 0.0314611000, 0.0549991000, 0.1387719000, 0.4437010000, 1.5142466000", \
+                        "0.0220922000, 0.0242852000, 0.0314265000, 0.0549429000, 0.1387031000, 0.4426261000, 1.5061696000", \
+                        "0.0221786000, 0.0244903000, 0.0315513000, 0.0551121000, 0.1388361000, 0.4435214000, 1.5097914000", \
+                        "0.0277979000, 0.0298462000, 0.0366090000, 0.0584021000, 0.1396855000, 0.4424494000, 1.5122539000", \
+                        "0.0389640000, 0.0413380000, 0.0481627000, 0.0689124000, 0.1446469000, 0.4418877000, 1.5076616000", \
+                        "0.0571696000, 0.0602601000, 0.0688954000, 0.0903741000, 0.1585852000, 0.4443420000, 1.4995185000");
+                }
+                timing_sense : "positive_unate";
+                timing_type : "combinational";
+            }
+        }
+    }
+
+    cell ("sky130_fd_sc_hd__clkdlybuf4s15_2") {
+        leakage_power () {
+            value : 0.0058182000;
+            when : "A";
+        }
+        leakage_power () {
+            value : 0.0037174000;
+            when : "!A";
+        }
+        area : 11.260800000;
+        cell_footprint : "sky130_fd_sc_hd__buf";
+        cell_leakage_power : 0.0047678160;
+        driver_waveform_fall : "ramp";
+        driver_waveform_rise : "ramp";
+        pg_pin ("VGND") {
+            pg_type : "primary_ground";
+            related_bias_pin : "VPB";
+            voltage_name : "VGND";
+        }
+        pg_pin ("VNB") {
+            pg_type : "nwell";
+            physical_connection : "device_layer";
+            voltage_name : "VNB";
+        }
+        pg_pin ("VPB") {
+            pg_type : "pwell";
+            physical_connection : "device_layer";
+            voltage_name : "VPB";
+        }
+        pg_pin ("VPWR") {
+            pg_type : "primary_power";
+            related_bias_pin : "VNB";
+            voltage_name : "VPWR";
+        }
+        pin ("A") {
+            capacitance : 0.0022040000;
+            clock : "false";
+            direction : "input";
+            fall_capacitance : 0.0020890000;
+            max_transition : 1.5000000000;
+            related_ground_pin : "VGND";
+            related_power_pin : "VPWR";
+            rise_capacitance : 0.0023190000;
+        }
+        pin ("X") {
+            direction : "output";
+            function : "(A)";
+            internal_power () {
+                fall_power ("power_outputs_1") {
+                    index_1("0.0100000000, 0.0230505800, 0.0531329300, 0.1224745000, 0.2823108000, 0.6507428000, 1.5000000000");
+                    index_2("0.0005000000, 0.0014356320, 0.0041220770, 0.0118355700, 0.0339830300, 0.0975742200, 0.2801613000");
+                    values("0.0290343000, 0.0277752000, 0.0241442000, 0.0124586000, -0.0231352000, -0.1261276000, -0.4219654000", \
+                        "0.0288496000, 0.0275554000, 0.0239620000, 0.0122835000, -0.0233120000, -0.1263529000, -0.4221880000", \
+                        "0.0286208000, 0.0273461000, 0.0237276000, 0.0120214000, -0.0235641000, -0.1265762000, -0.4223893000", \
+                        "0.0284051000, 0.0271236000, 0.0235007000, 0.0117985000, -0.0237844000, -0.1267949000, -0.4225952000", \
+                        "0.0282747000, 0.0269966000, 0.0233851000, 0.0117173000, -0.0238951000, -0.1268805000, -0.4226551000", \
+                        "0.0293833000, 0.0280047000, 0.0239084000, 0.0117073000, -0.0235344000, -0.1265277000, -0.4223333000", \
+                        "0.0309304000, 0.0294515000, 0.0254146000, 0.0132764000, -0.0223353000, -0.1249889000, -0.4207768000");
+                }
+                related_pin : "A";
+                rise_power ("power_outputs_1") {
+                    index_1("0.0100000000, 0.0230505800, 0.0531329300, 0.1224745000, 0.2823108000, 0.6507428000, 1.5000000000");
+                    index_2("0.0005000000, 0.0014356320, 0.0041220770, 0.0118355700, 0.0339830300, 0.0975742200, 0.2801613000");
+                    values("0.0254717000, 0.0271863000, 0.0317967000, 0.0444497000, 0.0800107000, 0.1820936000, 0.4746672000", \
+                        "0.0252201000, 0.0269287000, 0.0315766000, 0.0442095000, 0.0798037000, 0.1817773000, 0.4743675000", \
+                        "0.0248632000, 0.0265702000, 0.0312145000, 0.0438609000, 0.0794376000, 0.1812942000, 0.4743319000", \
+                        "0.0245258000, 0.0262323000, 0.0308748000, 0.0435291000, 0.0791018000, 0.1811247000, 0.4739376000", \
+                        "0.0243871000, 0.0260561000, 0.0307200000, 0.0433609000, 0.0789419000, 0.1810072000, 0.4756773000", \
+                        "0.0250662000, 0.0266946000, 0.0312657000, 0.0437636000, 0.0794345000, 0.1807226000, 0.4713480000", \
+                        "0.0264439000, 0.0280476000, 0.0326015000, 0.0453356000, 0.0812176000, 0.1834721000, 0.4758381000");
+                }
+            }
+            max_capacitance : 0.2801610000;
+            max_transition : 1.5070320000;
+            power_down_function : "(!VPWR + VGND)";
+            related_ground_pin : "VGND";
+            related_power_pin : "VPWR";
+            timing () {
+                cell_fall ("del_1_7_7") {
+                    index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000");
+                    index_2("0.0005000000, 0.0014356300, 0.0041220800, 0.0118356000, 0.0339830000, 0.0975742000, 0.2801610000");
+                    values("0.1642094000, 0.1696894000, 0.1821439000, 0.2089448000, 0.2710766000, 0.4389723000, 0.9184269000", \
+                        "0.1694885000, 0.1749626000, 0.1874316000, 0.2142057000, 0.2763274000, 0.4442615000, 0.9267268000", \
+                        "0.1823997000, 0.1878837000, 0.2003837000, 0.2271176000, 0.2892915000, 0.4569888000, 0.9365597000", \
+                        "0.2120333000, 0.2175160000, 0.2300038000, 0.2567228000, 0.3188636000, 0.4866437000, 0.9666155000", \
+                        "0.2616856000, 0.2671518000, 0.2795946000, 0.3063502000, 0.3686066000, 0.5365278000, 1.0179817000", \
+                        "0.3370523000, 0.3425241000, 0.3549210000, 0.3817270000, 0.4439585000, 0.6116562000, 1.0954780000", \
+                        "0.4493714000, 0.4548520000, 0.4673137000, 0.4941297000, 0.5563930000, 0.7243825000, 1.2039661000");
+                }
+                cell_rise ("del_1_7_7") {
+                    index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000");
+                    index_2("0.0005000000, 0.0014356300, 0.0041220800, 0.0118356000, 0.0339830000, 0.0975742000, 0.2801610000");
+                    values("0.1571399000, 0.1621186000, 0.1741598000, 0.2043890000, 0.2867641000, 0.5237674000, 1.1983349000", \
+                        "0.1613246000, 0.1663272000, 0.1784078000, 0.2086215000, 0.2911582000, 0.5273419000, 1.2045575000", \
+                        "0.1720246000, 0.1770254000, 0.1891067000, 0.2193270000, 0.3018769000, 0.5383117000, 1.2149426000", \
+                        "0.1950847000, 0.2000810000, 0.2121630000, 0.2423826000, 0.3249050000, 0.5612274000, 1.2382977000", \
+                        "0.2291415000, 0.2340940000, 0.2461538000, 0.2763939000, 0.3590666000, 0.5943425000, 1.2769436000", \
+                        "0.2734263000, 0.2783939000, 0.2904567000, 0.3206772000, 0.4033389000, 0.6385246000, 1.3154386000", \
+                        "0.3223817000, 0.3273860000, 0.3394470000, 0.3696734000, 0.4521021000, 0.6882060000, 1.3625058000");
+                }
+                fall_transition ("del_1_7_7") {
+                    index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000");
+                    index_2("0.0005000000, 0.0014356300, 0.0041220800, 0.0118356000, 0.0339830000, 0.0975742000, 0.2801610000");
+                    values("0.0184063000, 0.0226118000, 0.0325644000, 0.0583356000, 0.1318743000, 0.3542606000, 1.0034532000", \
+                        "0.0183612000, 0.0224610000, 0.0326684000, 0.0583428000, 0.1314964000, 0.3537693000, 0.9971901000", \
+                        "0.0184250000, 0.0225465000, 0.0324889000, 0.0583878000, 0.1315636000, 0.3551414000, 1.0051634000", \
+                        "0.0183911000, 0.0226027000, 0.0324179000, 0.0583980000, 0.1319306000, 0.3551067000, 1.0025240000", \
+                        "0.0183660000, 0.0223485000, 0.0325527000, 0.0585118000, 0.1315821000, 0.3532157000, 0.9977639000", \
+                        "0.0183796000, 0.0225400000, 0.0326131000, 0.0584385000, 0.1316764000, 0.3526944000, 0.9902571000", \
+                        "0.0185165000, 0.0227364000, 0.0325225000, 0.0584717000, 0.1319469000, 0.3512565000, 0.9899489000");
+                }
+                related_pin : "A";
+                rise_transition ("del_1_7_7") {
+                    index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000");
+                    index_2("0.0005000000, 0.0014356300, 0.0041220800, 0.0118356000, 0.0339830000, 0.0975742000, 0.2801610000");
+                    values("0.0161815000, 0.0209072000, 0.0343143000, 0.0738009000, 0.1907700000, 0.5316231000, 1.5022874000", \
+                        "0.0162595000, 0.0209581000, 0.0342568000, 0.0739565000, 0.1907952000, 0.5294999000, 1.5031547000", \
+                        "0.0162657000, 0.0209436000, 0.0343027000, 0.0738931000, 0.1907606000, 0.5283185000, 1.5033081000", \
+                        "0.0162662000, 0.0209507000, 0.0343107000, 0.0738603000, 0.1907819000, 0.5303180000, 1.5024252000", \
+                        "0.0162250000, 0.0209078000, 0.0342806000, 0.0739775000, 0.1905092000, 0.5296794000, 1.5070324000", \
+                        "0.0162652000, 0.0210224000, 0.0342964000, 0.0738649000, 0.1904057000, 0.5279427000, 1.4965156000", \
+                        "0.0163513000, 0.0210912000, 0.0344305000, 0.0740032000, 0.1905709000, 0.5296388000, 1.4954880000");
+                }
+                timing_sense : "positive_unate";
+                timing_type : "combinational";
+            }
+        }
+    }
+
+    cell ("sky130_fd_sc_hd__decap_12") {
+        area : 15.014400000;
+        cell_footprint : "sky130_fd_sc_hd__decap";
+        cell_leakage_power : 0.0032400940;
+        pg_pin ("VGND") {
+            pg_type : "primary_ground";
+            related_bias_pin : "VPB";
+            voltage_name : "VGND";
+        }
+        pg_pin ("VNB") {
+            pg_type : "nwell";
+            physical_connection : "device_layer";
+            voltage_name : "VNB";
+        }
+        pg_pin ("VPB") {
+            pg_type : "pwell";
+            physical_connection : "device_layer";
+            voltage_name : "VPB";
+        }
+        pg_pin ("VPWR") {
+            pg_type : "primary_power";
+            related_bias_pin : "VNB";
+            voltage_name : "VPWR";
+        }
+    }
+
+    cell ("sky130_fd_sc_hd__decap_3") {
+        area : 3.7536000000;
+        cell_footprint : "sky130_fd_sc_hd__decap";
+        cell_leakage_power : 0.0032400370;
+        pg_pin ("VGND") {
+            pg_type : "primary_ground";
+            related_bias_pin : "VPB";
+            voltage_name : "VGND";
+        }
+        pg_pin ("VNB") {
+            pg_type : "nwell";
+            physical_connection : "device_layer";
+            voltage_name : "VNB";
+        }
+        pg_pin ("VPB") {
+            pg_type : "pwell";
+            physical_connection : "device_layer";
+            voltage_name : "VPB";
+        }
+        pg_pin ("VPWR") {
+            pg_type : "primary_power";
+            related_bias_pin : "VNB";
+            voltage_name : "VPWR";
+        }
+    }
+
+    cell ("sky130_fd_sc_hd__decap_4") {
+        area : 5.0048000000;
+        cell_footprint : "sky130_fd_sc_hd__decap";
+        cell_leakage_power : 0.0032400440;
+        pg_pin ("VGND") {
+            pg_type : "primary_ground";
+            related_bias_pin : "VPB";
+            voltage_name : "VGND";
+        }
+        pg_pin ("VNB") {
+            pg_type : "nwell";
+            physical_connection : "device_layer";
+            voltage_name : "VNB";
+        }
+        pg_pin ("VPB") {
+            pg_type : "pwell";
+            physical_connection : "device_layer";
+            voltage_name : "VPB";
+        }
+        pg_pin ("VPWR") {
+            pg_type : "primary_power";
+            related_bias_pin : "VNB";
+            voltage_name : "VPWR";
+        }
+    }
+
+    cell ("sky130_fd_sc_hd__decap_6") {
+        area : 7.5072000000;
+        cell_footprint : "sky130_fd_sc_hd__decap";
+        cell_leakage_power : 0.0032400560;
+        pg_pin ("VGND") {
+            pg_type : "primary_ground";
+            related_bias_pin : "VPB";
+            voltage_name : "VGND";
+        }
+        pg_pin ("VNB") {
+            pg_type : "nwell";
+            physical_connection : "device_layer";
+            voltage_name : "VNB";
+        }
+        pg_pin ("VPB") {
+            pg_type : "pwell";
+            physical_connection : "device_layer";
+            voltage_name : "VPB";
+        }
+        pg_pin ("VPWR") {
+            pg_type : "primary_power";
+            related_bias_pin : "VNB";
+            voltage_name : "VPWR";
+        }
+    }
+
+    cell ("sky130_fd_sc_hd__decap_8") {
+        area : 10.009600000;
+        cell_footprint : "sky130_fd_sc_hd__decap";
+        cell_leakage_power : 0.0032400690;
+        pg_pin ("VGND") {
+            pg_type : "primary_ground";
+            related_bias_pin : "VPB";
+            voltage_name : "VGND";
+        }
+        pg_pin ("VNB") {
+            pg_type : "nwell";
+            physical_connection : "device_layer";
+            voltage_name : "VNB";
+        }
+        pg_pin ("VPB") {
+            pg_type : "pwell";
+            physical_connection : "device_layer";
+            voltage_name : "VPB";
+        }
+        pg_pin ("VPWR") {
+            pg_type : "primary_power";
+            related_bias_pin : "VNB";
+            voltage_name : "VPWR";
+        }
+    }
+
+    cell ("sky130_fd_sc_hd__diode_2") {
+        leakage_power () {
+            value : 0.0000094058;
+            when : "DIODE";
+        }
+        leakage_power () {
+            value : 0.0000032710;
+            when : "!DIODE";
+        }
+        area : 2.5024000000;
+        cell_footprint : "sky130_fd_sc_hd__diode";
+        cell_leakage_power : 0.0000063384;
+        driver_waveform_fall : "ramp";
+        driver_waveform_rise : "ramp";
+        pg_pin ("VGND") {
+            pg_type : "primary_ground";
+            related_bias_pin : "VPB";
+            voltage_name : "VGND";
+        }
+        pg_pin ("VNB") {
+            pg_type : "nwell";
+            physical_connection : "device_layer";
+            voltage_name : "VNB";
+        }
+        pg_pin ("VPB") {
+            pg_type : "pwell";
+            physical_connection : "device_layer";
+            voltage_name : "VPB";
+        }
+        pg_pin ("VPWR") {
+            pg_type : "primary_power";
+            related_bias_pin : "VNB";
+            voltage_name : "VPWR";
+        }
+        pin ("DIODE") {
+            capacitance : 0.0008780000;
+            direction : "input";
+            fall_capacitance : 0.0008570000;
+            internal_power () {
+                fall_power ("power_inputs_1") {
+                    index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000");
+                    values("0.0006468000, 0.0006511000, 0.0006611000, 0.0006633000, 0.0006685000, 0.0006804000, 0.0007080000");
+                }
+                rise_power ("power_inputs_1") {
+                    index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000");
+                    values("-0.0006439000, -0.0006479000, -0.0006574000, -0.0006598000, -0.0006654000, -0.0006784000, -0.0007082000");
+                }
+            }
+            max_transition : 1.5000000000;
+            related_ground_pin : "VGND";
+            related_power_pin : "VPWR";
+            rise_capacitance : 0.0009000000;
+        }
+    }
+
+    cell ("sky130_fd_sc_hd__mux2_2") {
+        leakage_power () {
+            value : 0.0059452000;
+            when : "!A0&!A1&S";
+        }
+        leakage_power () {
+            value : 0.0051965000;
+            when : "!A0&!A1&!S";
+        }
+        leakage_power () {
+            value : 0.0025970000;
+            when : "!A0&A1&S";
+        }
+        leakage_power () {
+            value : 0.0055820000;
+            when : "!A0&A1&!S";
+        }
+        leakage_power () {
+            value : 0.0063671000;
+            when : "A0&!A1&S";
+        }
+        leakage_power () {
+            value : 0.0017988000;
+            when : "A0&!A1&!S";
+        }
+        leakage_power () {
+            value : 0.0023018000;
+            when : "A0&A1&S";
+        }
+        leakage_power () {
+            value : 0.0015697000;
+            when : "A0&A1&!S";
+        }
+        area : 11.260800000;
+        cell_footprint : "sky130_fd_sc_hd__mux2";
+        cell_leakage_power : 0.0039197610;
+        driver_waveform_fall : "ramp";
+        driver_waveform_rise : "ramp";
+        pg_pin ("VGND") {
+            pg_type : "primary_ground";
+            related_bias_pin : "VPB";
+            voltage_name : "VGND";
+        }
+        pg_pin ("VNB") {
+            pg_type : "nwell";
+            physical_connection : "device_layer";
+            voltage_name : "VNB";
+        }
+        pg_pin ("VPB") {
+            pg_type : "pwell";
+            physical_connection : "device_layer";
+            voltage_name : "VPB";
+        }
+        pg_pin ("VPWR") {
+            pg_type : "primary_power";
+            related_bias_pin : "VNB";
+            voltage_name : "VPWR";
+        }
+        pin ("A0") {
+            capacitance : 0.0018780000;
+            clock : "false";
+            direction : "input";
+            fall_capacitance : 0.0017900000;
+            internal_power () {
+                fall_power ("power_inputs_1") {
+                    index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000");
+                    values("0.0030588000, 0.0030564000, 0.0030509000, 0.0030524000, 0.0030559000, 0.0030638000, 0.0030821000");
+                }
+                rise_power ("power_inputs_1") {
+                    index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000");
+                    values("-0.0022060000, -0.0022372000, -0.0023091000, -0.0023087000, -0.0023080000, -0.0023062000, -0.0023021000");
+                }
+            }
+            max_transition : 1.5000000000;
+            related_ground_pin : "VGND";
+            related_power_pin : "VPWR";
+            rise_capacitance : 0.0019650000;
+        }
+        pin ("A1") {
+            capacitance : 0.0017040000;
+            clock : "false";
+            direction : "input";
+            fall_capacitance : 0.0016230000;
+            internal_power () {
+                fall_power ("power_inputs_1") {
+                    index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000");
+                    values("0.0030625000, 0.0030587000, 0.0030499000, 0.0030506000, 0.0030523000, 0.0030562000, 0.0030652000");
+                }
+                rise_power ("power_inputs_1") {
+                    index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000");
+                    values("-0.0024135000, -0.0024231000, -0.0024453000, -0.0024426000, -0.0024363000, -0.0024218000, -0.0023884000");
+                }
+            }
+            max_transition : 1.5000000000;
+            related_ground_pin : "VGND";
+            related_power_pin : "VPWR";
+            rise_capacitance : 0.0017850000;
+        }
+        pin ("S") {
+            capacitance : 0.0032150000;
+            clock : "false";
+            direction : "input";
+            fall_capacitance : 0.0030780000;
+            internal_power () {
+                fall_power ("power_inputs_1") {
+                    index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000");
+                    values("0.0106879000, 0.0105748000, 0.0103141000, 0.0103784000, 0.0105264000, 0.0108677000, 0.0116544000");
+                }
+                rise_power ("power_inputs_1") {
+                    index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000");
+                    values("0.0008183000, 0.0007172000, 0.0004840000, 0.0005377000, 0.0006615000, 0.0009469000, 0.0016047000");
+                }
+            }
+            max_transition : 1.5000000000;
+            related_ground_pin : "VGND";
+            related_power_pin : "VPWR";
+            rise_capacitance : 0.0033520000;
+        }
+        pin ("X") {
+            direction : "output";
+            function : "(A0&!S) | (A1&S)";
+            internal_power () {
+                fall_power ("power_outputs_1") {
+                    index_1("0.0100000000, 0.0230505800, 0.0531329300, 0.1224745000, 0.2823108000, 0.6507428000, 1.5000000000");
+                    index_2("0.0005000000, 0.0014501170, 0.0042056820, 0.0121974600, 0.0353755100, 0.1025973000, 0.2975563000");
+                    values("0.0128097000, 0.0112582000, 0.0073577000, -0.0038755000, -0.0393601000, -0.1472830000, -0.4627001000", \
+                        "0.0126108000, 0.0110461000, 0.0072710000, -0.0039505000, -0.0394884000, -0.1473333000, -0.4628756000", \
+                        "0.0124857000, 0.0109367000, 0.0070198000, -0.0042172000, -0.0395493000, -0.1474517000, -0.4630174000", \
+                        "0.0122391000, 0.0106723000, 0.0068287000, -0.0044245000, -0.0397946000, -0.1476913000, -0.4632043000", \
+                        "0.0121031000, 0.0105489000, 0.0066107000, -0.0046518000, -0.0401197000, -0.1479649000, -0.4634616000", \
+                        "0.0125358000, 0.0115149000, 0.0074242000, -0.0045745000, -0.0401458000, -0.1477076000, -0.4632789000", \
+                        "0.0177510000, 0.0158799000, 0.0109439000, -0.0023384000, -0.0399941000, -0.1477212000, -0.4630334000");
+                }
+                related_pin : "A0";
+                rise_power ("power_outputs_1") {
+                    index_1("0.0100000000, 0.0230505800, 0.0531329300, 0.1224745000, 0.2823108000, 0.6507428000, 1.5000000000");
+                    index_2("0.0005000000, 0.0014501170, 0.0042056820, 0.0121974600, 0.0353755100, 0.1025973000, 0.2975563000");
+                    values("0.0149313000, 0.0165550000, 0.0212968000, 0.0346410000, 0.0719253000, 0.1794663000, 0.4925809000", \
+                        "0.0149918000, 0.0166271000, 0.0213592000, 0.0346575000, 0.0719947000, 0.1794801000, 0.4928364000", \
+                        "0.0149725000, 0.0166141000, 0.0213232000, 0.0346165000, 0.0720099000, 0.1796804000, 0.4898948000", \
+                        "0.0148966000, 0.0165276000, 0.0212508000, 0.0344837000, 0.0718565000, 0.1796177000, 0.4945540000", \
+                        "0.0148985000, 0.0164600000, 0.0211050000, 0.0342963000, 0.0715659000, 0.1795360000, 0.4924013000", \
+                        "0.0157811000, 0.0172764000, 0.0216792000, 0.0343750000, 0.0714847000, 0.1790627000, 0.4922798000", \
+                        "0.0168336000, 0.0182549000, 0.0224982000, 0.0353327000, 0.0726043000, 0.1802768000, 0.4907326000");
+                }
+            }
+            internal_power () {
+                fall_power ("power_outputs_1") {
+                    index_1("0.0100000000, 0.0230505800, 0.0531329300, 0.1224745000, 0.2823108000, 0.6507428000, 1.5000000000");
+                    index_2("0.0005000000, 0.0014501170, 0.0042056820, 0.0121974600, 0.0353755100, 0.1025973000, 0.2975563000");
+                    values("0.0126664000, 0.0111595000, 0.0072204000, -0.0040521000, -0.0397251000, -0.1479270000, -0.4635616000", \
+                        "0.0125009000, 0.0109394000, 0.0070176000, -0.0042195000, -0.0398398000, -0.1478687000, -0.4636333000", \
+                        "0.0124128000, 0.0108429000, 0.0069260000, -0.0043388000, -0.0399826000, -0.1481408000, -0.4637448000", \
+                        "0.0121569000, 0.0106329000, 0.0067425000, -0.0045474000, -0.0401275000, -0.1482842000, -0.4639409000", \
+                        "0.0120528000, 0.0105092000, 0.0065915000, -0.0046883000, -0.0403155000, -0.1483618000, -0.4640569000", \
+                        "0.0124541000, 0.0107931000, 0.0067473000, -0.0046095000, -0.0401095000, -0.1482273000, -0.4638319000", \
+                        "0.0177976000, 0.0159727000, 0.0110113000, -0.0023455000, -0.0401038000, -0.1479975000, -0.4636057000");
+                }
+                related_pin : "A1";
+                rise_power ("power_outputs_1") {
+                    index_1("0.0100000000, 0.0230505800, 0.0531329300, 0.1224745000, 0.2823108000, 0.6507428000, 1.5000000000");
+                    index_2("0.0005000000, 0.0014501170, 0.0042056820, 0.0121974600, 0.0353755100, 0.1025973000, 0.2975563000");
+                    values("0.0148945000, 0.0165387000, 0.0212915000, 0.0346953000, 0.0723584000, 0.1804333000, 0.4912661000", \
+                        "0.0149200000, 0.0165627000, 0.0213131000, 0.0347096000, 0.0723744000, 0.1803903000, 0.4928918000", \
+                        "0.0148559000, 0.0165125000, 0.0212687000, 0.0346815000, 0.0723404000, 0.1803170000, 0.4906697000", \
+                        "0.0148256000, 0.0164709000, 0.0211873000, 0.0345166000, 0.0721234000, 0.1802821000, 0.4936813000", \
+                        "0.0147662000, 0.0163675000, 0.0209585000, 0.0342127000, 0.0716802000, 0.1799484000, 0.4925777000", \
+                        "0.0155580000, 0.0170570000, 0.0214638000, 0.0342108000, 0.0715906000, 0.1790817000, 0.4925816000", \
+                        "0.0165506000, 0.0179408000, 0.0221881000, 0.0349946000, 0.0724836000, 0.1802511000, 0.4913636000");
+                }
+            }
+            internal_power () {
+                fall_power ("power_outputs_1") {
+                    index_1("0.0100000000, 0.0230505800, 0.0531329300, 0.1224745000, 0.2823108000, 0.6507428000, 1.5000000000");
+                    index_2("0.0005000000, 0.0014501170, 0.0042056820, 0.0121974600, 0.0353755100, 0.1025973000, 0.2975563000");
+                    values("0.0142459000, 0.0126869000, 0.0088099000, -0.0024650000, -0.0380897000, -0.1464483000, -0.4623929000", \
+                        "0.0142949000, 0.0127179000, 0.0087973000, -0.0024243000, -0.0381742000, -0.1465743000, -0.4623599000", \
+                        "0.0140900000, 0.0125436000, 0.0086304000, -0.0025929000, -0.0382061000, -0.1465562000, -0.4624795000", \
+                        "0.0137359000, 0.0121792000, 0.0083000000, -0.0029964000, -0.0386641000, -0.1470060000, -0.4628373000", \
+                        "0.0133592000, 0.0117972000, 0.0079187000, -0.0033339000, -0.0390333000, -0.1473769000, -0.4631869000", \
+                        "0.0143782000, 0.0128374000, 0.0082290000, -0.0034122000, -0.0391487000, -0.1474774000, -0.4632836000", \
+                        "0.0182608000, 0.0166244000, 0.0120300000, -0.0008151000, -0.0381409000, -0.1470587000, -0.4629804000");
+                }
+                related_pin : "S";
+                rise_power ("power_outputs_1") {
+                    index_1("0.0100000000, 0.0230505800, 0.0531329300, 0.1224745000, 0.2823108000, 0.6507428000, 1.5000000000");
+                    index_2("0.0005000000, 0.0014501170, 0.0042056820, 0.0121974600, 0.0353755100, 0.1025973000, 0.2975563000");
+                    values("0.0182970000, 0.0199389000, 0.0245776000, 0.0378050000, 0.0751074000, 0.1827530000, 0.4947044000", \
+                        "0.0181709000, 0.0198047000, 0.0244988000, 0.0377462000, 0.0750407000, 0.1828440000, 0.4952093000", \
+                        "0.0182351000, 0.0198548000, 0.0245474000, 0.0377950000, 0.0750938000, 0.1827404000, 0.4952955000", \
+                        "0.0180378000, 0.0196633000, 0.0243065000, 0.0375798000, 0.0748423000, 0.1825474000, 0.4945839000", \
+                        "0.0177146000, 0.0193728000, 0.0240652000, 0.0373103000, 0.0746055000, 0.1823910000, 0.4946982000", \
+                        "0.0175411000, 0.0190210000, 0.0238841000, 0.0374538000, 0.0745414000, 0.1821854000, 0.4938112000", \
+                        "0.0181807000, 0.0197955000, 0.0241929000, 0.0372452000, 0.0742853000, 0.1827697000, 0.4962899000");
+                }
+            }
+            max_capacitance : 0.2975560000;
+            max_transition : 1.5066720000;
+            power_down_function : "(!VPWR + VGND)";
+            related_ground_pin : "VGND";
+            related_power_pin : "VPWR";
+            timing () {
+                cell_fall ("del_1_7_7") {
+                    index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000");
+                    index_2("0.0005000000, 0.0014501200, 0.0042056800, 0.0121975000, 0.0353755000, 0.1025970000, 0.2975560000");
+                    values("0.2266660000, 0.2344140000, 0.2512571000, 0.2841361000, 0.3457040000, 0.4734192000, 0.7924621000", \
+                        "0.2304622000, 0.2381082000, 0.2548991000, 0.2877833000, 0.3494454000, 0.4770220000, 0.7955712000", \
+                        "0.2404853000, 0.2481464000, 0.2650678000, 0.2978039000, 0.3592634000, 0.4865769000, 0.8055120000", \
+                        "0.2677142000, 0.2753490000, 0.2922030000, 0.3250775000, 0.3864510000, 0.5138555000, 0.8329540000", \
+                        "0.3346227000, 0.3422716000, 0.3590370000, 0.3918400000, 0.4535713000, 0.5811001000, 0.9000095000", \
+                        "0.4881914000, 0.4961605000, 0.5139165000, 0.5480499000, 0.6106407000, 0.7370570000, 1.0561041000", \
+                        "0.7461818000, 0.7561841000, 0.7791648000, 0.8219742000, 0.8955376000, 1.0314473000, 1.3547290000");
+                }
+                cell_rise ("del_1_7_7") {
+                    index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000");
+                    index_2("0.0005000000, 0.0014501200, 0.0042056800, 0.0121975000, 0.0353755000, 0.1025970000, 0.2975560000");
+                    values("0.1050333000, 0.1122484000, 0.1286312000, 0.1643404000, 0.2489847000, 0.4828324000, 1.1647262000", \
+                        "0.1096510000, 0.1168499000, 0.1332986000, 0.1689454000, 0.2536436000, 0.4871061000, 1.1656470000", \
+                        "0.1201515000, 0.1273860000, 0.1438192000, 0.1794011000, 0.2643202000, 0.4988034000, 1.1756389000", \
+                        "0.1441348000, 0.1513029000, 0.1676184000, 0.2031787000, 0.2880543000, 0.5229254000, 1.2037449000", \
+                        "0.1907649000, 0.1985879000, 0.2159297000, 0.2522514000, 0.3373771000, 0.5717424000, 1.2498284000", \
+                        "0.2507905000, 0.2606417000, 0.2816330000, 0.3220653000, 0.4096064000, 0.6442112000, 1.3243433000", \
+                        "0.3058055000, 0.3184041000, 0.3457604000, 0.3970917000, 0.4910277000, 0.7254702000, 1.4013405000");
+                }
+                fall_transition ("del_1_7_7") {
+                    index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000");
+                    index_2("0.0005000000, 0.0014501200, 0.0042056800, 0.0121975000, 0.0353755000, 0.1025970000, 0.2975560000");
+                    values("0.0372907000, 0.0420529000, 0.0521418000, 0.0747246000, 0.1245541000, 0.2578816000, 0.6669384000", \
+                        "0.0373527000, 0.0420172000, 0.0522483000, 0.0745604000, 0.1240766000, 0.2578460000, 0.6663297000", \
+                        "0.0376137000, 0.0418960000, 0.0525535000, 0.0738797000, 0.1247241000, 0.2580659000, 0.6673616000", \
+                        "0.0374505000, 0.0421954000, 0.0522591000, 0.0745448000, 0.1248925000, 0.2580136000, 0.6663957000", \
+                        "0.0374832000, 0.0421017000, 0.0522024000, 0.0746345000, 0.1243935000, 0.2574878000, 0.6675880000", \
+                        "0.0429726000, 0.0481433000, 0.0577506000, 0.0780530000, 0.1267661000, 0.2596405000, 0.6667171000", \
+                        "0.0635160000, 0.0684442000, 0.0806234000, 0.1029216000, 0.1488126000, 0.2745806000, 0.6704828000");
+                }
+                related_pin : "A0";
+                rise_transition ("del_1_7_7") {
+                    index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000");
+                    index_2("0.0005000000, 0.0014501200, 0.0042056800, 0.0121975000, 0.0353755000, 0.1025970000, 0.2975560000");
+                    values("0.0276067000, 0.0329456000, 0.0464891000, 0.0832832000, 0.1925965000, 0.5254460000, 1.5019312000", \
+                        "0.0274933000, 0.0327520000, 0.0464662000, 0.0832891000, 0.1923605000, 0.5246484000, 1.5020880000", \
+                        "0.0274993000, 0.0327879000, 0.0466504000, 0.0832967000, 0.1927546000, 0.5262817000, 1.4977919000", \
+                        "0.0273244000, 0.0327755000, 0.0463673000, 0.0832565000, 0.1926780000, 0.5250734000, 1.5052536000", \
+                        "0.0321024000, 0.0368920000, 0.0499159000, 0.0853623000, 0.1933846000, 0.5258446000, 1.5042806000", \
+                        "0.0433655000, 0.0485631000, 0.0610798000, 0.0942919000, 0.1974177000, 0.5250853000, 1.5037244000", \
+                        "0.0602757000, 0.0678859000, 0.0832618000, 0.1161651000, 0.2082423000, 0.5286051000, 1.4965142000");
+                }
+                timing_sense : "positive_unate";
+                timing_type : "combinational";
+            }
+            timing () {
+                cell_fall ("del_1_7_7") {
+                    index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000");
+                    index_2("0.0005000000, 0.0014501200, 0.0042056800, 0.0121975000, 0.0353755000, 0.1025970000, 0.2975560000");
+                    values("0.2291980000, 0.2368686000, 0.2541432000, 0.2874066000, 0.3498573000, 0.4785123000, 0.7980512000", \
+                        "0.2330599000, 0.2408463000, 0.2579801000, 0.2912630000, 0.3539025000, 0.4815481000, 0.8008234000", \
+                        "0.2433838000, 0.2511864000, 0.2683498000, 0.3015558000, 0.3642893000, 0.4929673000, 0.8121752000", \
+                        "0.2696691000, 0.2775351000, 0.2953073000, 0.3278757000, 0.3910939000, 0.5196604000, 0.8389157000", \
+                        "0.3378715000, 0.3456503000, 0.3626694000, 0.3960409000, 0.4574208000, 0.5860326000, 0.9059405000", \
+                        "0.4925489000, 0.5007434000, 0.5189996000, 0.5530894000, 0.6156108000, 0.7448655000, 1.0642646000", \
+                        "0.7543471000, 0.7649469000, 0.7878853000, 0.8307715000, 0.9050199000, 1.0419544000, 1.3656820000");
+                }
+                cell_rise ("del_1_7_7") {
+                    index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000");
+                    index_2("0.0005000000, 0.0014501200, 0.0042056800, 0.0121975000, 0.0353755000, 0.1025970000, 0.2975560000");
+                    values("0.1060241000, 0.1132372000, 0.1296707000, 0.1654746000, 0.2508506000, 0.4853928000, 1.1725056000", \
+                        "0.1104670000, 0.1176958000, 0.1341164000, 0.1699543000, 0.2552954000, 0.4905556000, 1.1730489000", \
+                        "0.1205622000, 0.1278080000, 0.1442356000, 0.1800631000, 0.2654157000, 0.5004771000, 1.1782796000", \
+                        "0.1445573000, 0.1517801000, 0.1681204000, 0.2037809000, 0.2890654000, 0.5235484000, 1.2050317000", \
+                        "0.1920711000, 0.1998864000, 0.2172576000, 0.2536556000, 0.3390875000, 0.5738194000, 1.2574701000", \
+                        "0.2524753000, 0.2623450000, 0.2833638000, 0.3238650000, 0.4114669000, 0.6466572000, 1.3229234000", \
+                        "0.3082269000, 0.3208085000, 0.3482002000, 0.3989356000, 0.4934975000, 0.7286819000, 1.4049801000");
+                }
+                fall_transition ("del_1_7_7") {
+                    index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000");
+                    index_2("0.0005000000, 0.0014501200, 0.0042056800, 0.0121975000, 0.0353755000, 0.1025970000, 0.2975560000");
+                    values("0.0385255000, 0.0435914000, 0.0535649000, 0.0768260000, 0.1264217000, 0.2605620000, 0.6679432000", \
+                        "0.0386419000, 0.0432988000, 0.0535694000, 0.0765490000, 0.1264777000, 0.2603499000, 0.6680884000", \
+                        "0.0387433000, 0.0430981000, 0.0542522000, 0.0756945000, 0.1264327000, 0.2605078000, 0.6680772000", \
+                        "0.0389480000, 0.0433240000, 0.0535552000, 0.0765401000, 0.1267097000, 0.2597164000, 0.6674722000", \
+                        "0.0386723000, 0.0433401000, 0.0536170000, 0.0766306000, 0.1267352000, 0.2601387000, 0.6673060000", \
+                        "0.0441205000, 0.0486532000, 0.0584373000, 0.0796210000, 0.1285066000, 0.2616820000, 0.6680675000", \
+                        "0.0647569000, 0.0706048000, 0.0819447000, 0.1046523000, 0.1517145000, 0.2764296000, 0.6719225000");
+                }
+                related_pin : "A1";
+                rise_transition ("del_1_7_7") {
+                    index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000");
+                    index_2("0.0005000000, 0.0014501200, 0.0042056800, 0.0121975000, 0.0353755000, 0.1025970000, 0.2975560000");
+                    values("0.0272801000, 0.0326571000, 0.0461306000, 0.0829780000, 0.1921630000, 0.5259662000, 1.5008922000", \
+                        "0.0273805000, 0.0326348000, 0.0461103000, 0.0829001000, 0.1922381000, 0.5251670000, 1.5056167000", \
+                        "0.0272484000, 0.0326319000, 0.0461691000, 0.0829331000, 0.1925967000, 0.5262088000, 1.4980267000", \
+                        "0.0272137000, 0.0325863000, 0.0462681000, 0.0830536000, 0.1922926000, 0.5256103000, 1.5066720000", \
+                        "0.0316147000, 0.0369586000, 0.0496925000, 0.0850606000, 0.1931486000, 0.5255938000, 1.5053726000", \
+                        "0.0429034000, 0.0482531000, 0.0608390000, 0.0940453000, 0.1977195000, 0.5261409000, 1.5015221000", \
+                        "0.0598201000, 0.0670854000, 0.0828581000, 0.1150082000, 0.2082363000, 0.5286312000, 1.4943067000");
+                }
+                timing_sense : "positive_unate";
+                timing_type : "combinational";
+            }
+            timing () {
+                cell_fall ("del_1_7_7") {
+                    index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000");
+                    index_2("0.0005000000, 0.0014501200, 0.0042056800, 0.0121975000, 0.0353755000, 0.1025970000, 0.2975560000");
+                    values("0.2322584000, 0.2398856000, 0.2567114000, 0.2896509000, 0.3515533000, 0.4791529000, 0.7980492000", \
+                        "0.2375990000, 0.2452850000, 0.2620745000, 0.2950411000, 0.3569521000, 0.4845245000, 0.8034615000", \
+                        "0.2502997000, 0.2579369000, 0.2747797000, 0.3076533000, 0.3695943000, 0.4971608000, 0.8161092000", \
+                        "0.2773061000, 0.2849156000, 0.3017887000, 0.3346738000, 0.3966034000, 0.5242062000, 0.8431303000", \
+                        "0.3377408000, 0.3454186000, 0.3622237000, 0.3952593000, 0.4571660000, 0.5846537000, 0.9036172000", \
+                        "0.4687092000, 0.4768247000, 0.4945345000, 0.5288184000, 0.5918155000, 0.7203693000, 1.0394393000", \
+                        "0.7007833000, 0.7102671000, 0.7310833000, 0.7705199000, 0.8419581000, 0.9789425000, 1.3017390000");
+                }
+                cell_rise ("del_1_7_7") {
+                    index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000");
+                    index_2("0.0005000000, 0.0014501200, 0.0042056800, 0.0121975000, 0.0353755000, 0.1025970000, 0.2975560000");
+                    values("0.1135210000, 0.1203043000, 0.1357975000, 0.1704168000, 0.2549927000, 0.4896232000, 1.1665913000", \
+                        "0.1178334000, 0.1246170000, 0.1401090000, 0.1747740000, 0.2593028000, 0.4942225000, 1.1715122000", \
+                        "0.1271759000, 0.1339409000, 0.1494654000, 0.1840495000, 0.2686191000, 0.5043094000, 1.1796594000", \
+                        "0.1481737000, 0.1549515000, 0.1704587000, 0.2050298000, 0.2894962000, 0.5244895000, 1.2017972000", \
+                        "0.1907664000, 0.1978555000, 0.2139821000, 0.2489621000, 0.3335869000, 0.5687599000, 1.2457594000", \
+                        "0.2537553000, 0.2621386000, 0.2803526000, 0.3179052000, 0.4041472000, 0.6387659000, 1.3158107000", \
+                        "0.3215601000, 0.3322934000, 0.3557108000, 0.3998770000, 0.4900202000, 0.7251991000, 1.4012739000");
+                }
+                fall_transition ("del_1_7_7") {
+                    index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000");
+                    index_2("0.0005000000, 0.0014501200, 0.0042056800, 0.0121975000, 0.0353755000, 0.1025970000, 0.2975560000");
+                    values("0.0373774000, 0.0421491000, 0.0522028000, 0.0747130000, 0.1241769000, 0.2576467000, 0.6672146000", \
+                        "0.0373719000, 0.0421349000, 0.0521973000, 0.0749282000, 0.1241988000, 0.2576650000, 0.6671894000", \
+                        "0.0373698000, 0.0420324000, 0.0521033000, 0.0740290000, 0.1243384000, 0.2575276000, 0.6673880000", \
+                        "0.0376502000, 0.0421724000, 0.0522513000, 0.0746099000, 0.1242109000, 0.2576539000, 0.6671969000", \
+                        "0.0376453000, 0.0420920000, 0.0521755000, 0.0742150000, 0.1241044000, 0.2576886000, 0.6675919000", \
+                        "0.0417578000, 0.0467199000, 0.0567211000, 0.0779986000, 0.1269730000, 0.2587495000, 0.6676521000", \
+                        "0.0547708000, 0.0595769000, 0.0710187000, 0.0949396000, 0.1441320000, 0.2727310000, 0.6703454000");
+                }
+                related_pin : "S";
+                rise_transition ("del_1_7_7") {
+                    index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000");
+                    index_2("0.0005000000, 0.0014501200, 0.0042056800, 0.0121975000, 0.0353755000, 0.1025970000, 0.2975560000");
+                    values("0.0250716000, 0.0305454000, 0.0441561000, 0.0810729000, 0.1916793000, 0.5258385000, 1.5007355000", \
+                        "0.0250952000, 0.0305362000, 0.0440997000, 0.0811223000, 0.1918375000, 0.5264102000, 1.5009861000", \
+                        "0.0250446000, 0.0305033000, 0.0441248000, 0.0811138000, 0.1919274000, 0.5254501000, 1.4979294000", \
+                        "0.0250796000, 0.0304592000, 0.0440750000, 0.0811601000, 0.1916660000, 0.5264761000, 1.5010794000", \
+                        "0.0273049000, 0.0328264000, 0.0460283000, 0.0823161000, 0.1922218000, 0.5261017000, 1.5000144000", \
+                        "0.0340184000, 0.0396821000, 0.0533156000, 0.0878521000, 0.1947304000, 0.5257016000, 1.4967336000", \
+                        "0.0468232000, 0.0537900000, 0.0686532000, 0.1024328000, 0.2015250000, 0.5273597000, 1.4956962000");
+                }
+                timing_sense : "positive_unate";
+                timing_type : "combinational";
+            }
+            timing () {
+                cell_fall ("del_1_7_7") {
+                    index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000");
+                    index_2("0.0005000000, 0.0014501200, 0.0042056800, 0.0121975000, 0.0353755000, 0.1025970000, 0.2975560000");
+                    values("0.2899485000, 0.2977517000, 0.3149048000, 0.3481579000, 0.4100283000, 0.5381606000, 0.8573209000", \
+                        "0.2950588000, 0.3028696000, 0.3200057000, 0.3533574000, 0.4156793000, 0.5441079000, 0.8631392000", \
+                        "0.3054595000, 0.3132880000, 0.3304446000, 0.3637566000, 0.4259196000, 0.5538374000, 0.8736605000", \
+                        "0.3258045000, 0.3335832000, 0.3507819000, 0.3839432000, 0.4466079000, 0.5743283000, 0.8935738000", \
+                        "0.3539222000, 0.3617136000, 0.3788440000, 0.4121942000, 0.4746505000, 0.6031519000, 0.9220164000", \
+                        "0.3871556000, 0.3949853000, 0.4121197000, 0.4454498000, 0.5077556000, 0.6359973000, 0.9555170000", \
+                        "0.4139463000, 0.4217426000, 0.4388599000, 0.4719985000, 0.5345140000, 0.6630203000, 0.9826023000");
+                }
+                cell_rise ("del_1_7_7") {
+                    index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000");
+                    index_2("0.0005000000, 0.0014501200, 0.0042056800, 0.0121975000, 0.0353755000, 0.1025970000, 0.2975560000");
+                    values("0.1843353000, 0.1910715000, 0.2065275000, 0.2410281000, 0.3249605000, 0.5587866000, 1.2352246000", \
+                        "0.1890048000, 0.1958210000, 0.2113813000, 0.2458284000, 0.3298622000, 0.5637413000, 1.2403031000", \
+                        "0.2016874000, 0.2084499000, 0.2240226000, 0.2584710000, 0.3424876000, 0.5771847000, 1.2528576000", \
+                        "0.2331775000, 0.2399386000, 0.2554095000, 0.2899029000, 0.3738539000, 0.6077613000, 1.2843776000", \
+                        "0.2946129000, 0.3014336000, 0.3169423000, 0.3514230000, 0.4353911000, 0.6694295000, 1.3463636000", \
+                        "0.3934688000, 0.4002686000, 0.4159352000, 0.4505656000, 0.5344948000, 0.7681787000, 1.4443219000", \
+                        "0.5515511000, 0.5585565000, 0.5744599000, 0.6093293000, 0.6935716000, 0.9275097000, 1.6044693000");
+                }
+                fall_transition ("del_1_7_7") {
+                    index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000");
+                    index_2("0.0005000000, 0.0014501200, 0.0042056800, 0.0121975000, 0.0353755000, 0.1025970000, 0.2975560000");
+                    values("0.0385192000, 0.0433245000, 0.0536063000, 0.0764285000, 0.1270985000, 0.2602140000, 0.6680930000", \
+                        "0.0385263000, 0.0432227000, 0.0534796000, 0.0756559000, 0.1264266000, 0.2606353000, 0.6679738000", \
+                        "0.0385915000, 0.0433128000, 0.0540445000, 0.0765740000, 0.1269620000, 0.2604621000, 0.6671147000", \
+                        "0.0385474000, 0.0433582000, 0.0539771000, 0.0755869000, 0.1263576000, 0.2608663000, 0.6679436000", \
+                        "0.0386492000, 0.0434426000, 0.0537612000, 0.0759627000, 0.1264560000, 0.2606791000, 0.6675742000", \
+                        "0.0386252000, 0.0433200000, 0.0536925000, 0.0767460000, 0.1257589000, 0.2602200000, 0.6684783000", \
+                        "0.0387726000, 0.0434168000, 0.0537037000, 0.0759754000, 0.1262168000, 0.2602693000, 0.6651665000");
+                }
+                related_pin : "S";
+                rise_transition ("del_1_7_7") {
+                    index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000");
+                    index_2("0.0005000000, 0.0014501200, 0.0042056800, 0.0121975000, 0.0353755000, 0.1025970000, 0.2975560000");
+                    values("0.0256273000, 0.0310306000, 0.0447637000, 0.0816115000, 0.1921240000, 0.5261404000, 1.4995976000", \
+                        "0.0256355000, 0.0311683000, 0.0446982000, 0.0816224000, 0.1919110000, 0.5262204000, 1.5013500000", \
+                        "0.0255589000, 0.0310688000, 0.0446811000, 0.0817063000, 0.1918189000, 0.5258102000, 1.5012224000", \
+                        "0.0256616000, 0.0310570000, 0.0447679000, 0.0816377000, 0.1920861000, 0.5263128000, 1.5001985000", \
+                        "0.0255972000, 0.0310939000, 0.0447313000, 0.0816292000, 0.1920941000, 0.5263437000, 1.5012636000", \
+                        "0.0260849000, 0.0315784000, 0.0451367000, 0.0819214000, 0.1918482000, 0.5259350000, 1.4975690000", \
+                        "0.0267672000, 0.0323233000, 0.0462770000, 0.0826672000, 0.1923071000, 0.5259824000, 1.4995153000");
+                }
+                timing_sense : "negative_unate";
+                timing_type : "combinational";
+            }
+        }
+    }
+
+    cell ("sky130_fd_sc_hd__mux2_4") {
+        leakage_power () {
+            value : 0.0094639000;
+            when : "!A0&!A1&S";
+        }
+        leakage_power () {
+            value : 0.0061145000;
+            when : "!A0&!A1&!S";
+        }
+        leakage_power () {
+            value : 0.0103948000;
+            when : "!A0&A1&S";
+        }
+        leakage_power () {
+            value : 0.0065188000;
+            when : "!A0&A1&!S";
+        }
+        leakage_power () {
+            value : 0.0098170000;
+            when : "A0&!A1&S";
+        }
+        leakage_power () {
+            value : 0.0081835000;
+            when : "A0&!A1&!S";
+        }
+        leakage_power () {
+            value : 0.0089766000;
+            when : "A0&A1&S";
+        }
+        leakage_power () {
+            value : 0.0062184000;
+            when : "A0&A1&!S";
+        }
+        area : 15.014400000;
+        cell_footprint : "sky130_fd_sc_hd__mux2";
+        cell_leakage_power : 0.0082109340;
+        driver_waveform_fall : "ramp";
+        driver_waveform_rise : "ramp";
+        pg_pin ("VGND") {
+            pg_type : "primary_ground";
+            related_bias_pin : "VPB";
+            voltage_name : "VGND";
+        }
+        pg_pin ("VNB") {
+            pg_type : "nwell";
+            physical_connection : "device_layer";
+            voltage_name : "VNB";
+        }
+        pg_pin ("VPB") {
+            pg_type : "pwell";
+            physical_connection : "device_layer";
+            voltage_name : "VPB";
+        }
+        pg_pin ("VPWR") {
+            pg_type : "primary_power";
+            related_bias_pin : "VNB";
+            voltage_name : "VPWR";
+        }
+        pin ("A0") {
+            capacitance : 0.0022690000;
+            clock : "false";
+            direction : "input";
+            fall_capacitance : 0.0021300000;
+            internal_power () {
+                fall_power ("power_inputs_1") {
+                    index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000");
+                    values("0.0045371000, 0.0045299000, 0.0045131000, 0.0045161000, 0.0045229000, 0.0045386000, 0.0045750000");
+                }
+                rise_power ("power_inputs_1") {
+                    index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000");
+                    values("-0.0025701000, -0.0026047000, -0.0026844000, -0.0026804000, -0.0026710000, -0.0026493000, -0.0025993000");
+                }
+            }
+            max_transition : 1.5000000000;
+            related_ground_pin : "VGND";
+            related_power_pin : "VPWR";
+            rise_capacitance : 0.0024080000;
+        }
+        pin ("A1") {
+            capacitance : 0.0022800000;
+            clock : "false";
+            direction : "input";
+            fall_capacitance : 0.0021410000;
+            internal_power () {
+                fall_power ("power_inputs_1") {
+                    index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000");
+                    values("0.0045463000, 0.0045374000, 0.0045171000, 0.0045213000, 0.0045310000, 0.0045534000, 0.0046051000");
+                }
+                rise_power ("power_inputs_1") {
+                    index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000");
+                    values("-0.0026800000, -0.0026975000, -0.0027377000, -0.0027297000, -0.0027112000, -0.0026686000, -0.0025706000");
+                }
+            }
+            max_transition : 1.5000000000;
+            related_ground_pin : "VGND";
+            related_power_pin : "VPWR";
+            rise_capacitance : 0.0024190000;
+        }
+        pin ("S") {
+            capacitance : 0.0051430000;
+            clock : "false";
+            direction : "input";
+            fall_capacitance : 0.0049150000;
+            internal_power () {
+                fall_power ("power_inputs_1") {
+                    index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000");
+                    values("0.0158524000, 0.0156876000, 0.0153077000, 0.0154604000, 0.0158125000, 0.0166238000, 0.0184943000");
+                }
+                rise_power ("power_inputs_1") {
+                    index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000");
+                    values("-0.0018372000, -0.0020045000, -0.0023902000, -0.0022503000, -0.0019278000, -0.0011845000, 0.0005290000");
+                }
+            }
+            max_transition : 1.5000000000;
+            related_ground_pin : "VGND";
+            related_power_pin : "VPWR";
+            rise_capacitance : 0.0053720000;
+        }
+        pin ("X") {
+            direction : "output";
+            function : "(A0&!S) | (A1&S)";
+            internal_power () {
+                fall_power ("power_outputs_1") {
+                    index_1("0.0100000000, 0.0230505800, 0.0531329300, 0.1224745000, 0.2823108000, 0.6507428000, 1.5000000000");
+                    index_2("0.0005000000, 0.0015998200, 0.0051188490, 0.0163784700, 0.0524052200, 0.1676779000, 0.5365088000");
+                    values("0.0235614000, 0.0215959000, 0.0159250000, -0.0000972000, -0.0544102000, -0.2390145000, -0.8360663000", \
+                        "0.0233265000, 0.0215110000, 0.0158218000, -0.0002226000, -0.0547541000, -0.2390448000, -0.8361507000", \
+                        "0.0232613000, 0.0213001000, 0.0156207000, -0.0003940000, -0.0547530000, -0.2393475000, -0.8363984000", \
+                        "0.0230860000, 0.0211448000, 0.0154231000, -0.0006503000, -0.0551859000, -0.2396836000, -0.8366683000", \
+                        "0.0227519000, 0.0207994000, 0.0151027000, -0.0008782000, -0.0553554000, -0.2397096000, -0.8366970000", \
+                        "0.0238352000, 0.0218331000, 0.0159411000, -0.0005425000, -0.0550816000, -0.2395640000, -0.8360199000", \
+                        "0.0340524000, 0.0317810000, 0.0250715000, 0.0057258000, -0.0532579000, -0.2379075000, -0.8350323000");
+                }
+                related_pin : "A0";
+                rise_power ("power_outputs_1") {
+                    index_1("0.0100000000, 0.0230505800, 0.0531329300, 0.1224745000, 0.2823108000, 0.6507428000, 1.5000000000");
+                    index_2("0.0005000000, 0.0015998200, 0.0051188490, 0.0163784700, 0.0524052200, 0.1676779000, 0.5365088000");
+                    values("0.0253535000, 0.0272446000, 0.0333299000, 0.0524784000, 0.1115670000, 0.2970805000, 0.8903869000", \
+                        "0.0254272000, 0.0273128000, 0.0333593000, 0.0525156000, 0.1116906000, 0.2971525000, 0.8855669000", \
+                        "0.0255015000, 0.0273695000, 0.0334023000, 0.0525123000, 0.1116121000, 0.2972278000, 0.8888108000", \
+                        "0.0252987000, 0.0271549000, 0.0331703000, 0.0522512000, 0.1111555000, 0.2967888000, 0.8846305000", \
+                        "0.0253836000, 0.0271805000, 0.0330137000, 0.0518103000, 0.1105078000, 0.2961894000, 0.8896572000", \
+                        "0.0272822000, 0.0289982000, 0.0345674000, 0.0527715000, 0.1107110000, 0.2954530000, 0.8919296000", \
+                        "0.0298364000, 0.0313595000, 0.0366959000, 0.0544540000, 0.1127119000, 0.2971669000, 0.8847782000");
+                }
+            }
+            internal_power () {
+                fall_power ("power_outputs_1") {
+                    index_1("0.0100000000, 0.0230505800, 0.0531329300, 0.1224745000, 0.2823108000, 0.6507428000, 1.5000000000");
+                    index_2("0.0005000000, 0.0015998200, 0.0051188490, 0.0163784700, 0.0524052200, 0.1676779000, 0.5365088000");
+                    values("0.0238751000, 0.0220219000, 0.0164593000, 0.0004913000, -0.0535925000, -0.2375840000, -0.8344184000", \
+                        "0.0236952000, 0.0218349000, 0.0161992000, 0.0003435000, -0.0536477000, -0.2375802000, -0.8344312000", \
+                        "0.0235408000, 0.0215965000, 0.0159928000, 0.0002266000, -0.0536799000, -0.2378231000, -0.8346944000", \
+                        "0.0234138000, 0.0214702000, 0.0158643000, -0.0000256000, -0.0542131000, -0.2382230000, -0.8349713000", \
+                        "0.0230392000, 0.0211519000, 0.0155231000, -0.0004296000, -0.0544585000, -0.2384910000, -0.8351112000", \
+                        "0.0241349000, 0.0220713000, 0.0162225000, -0.0001548000, -0.0544392000, -0.2384734000, -0.8349341000", \
+                        "0.0342251000, 0.0319834000, 0.0253059000, 0.0059748000, -0.0527049000, -0.2370739000, -0.8336558000");
+                }
+                related_pin : "A1";
+                rise_power ("power_outputs_1") {
+                    index_1("0.0100000000, 0.0230505800, 0.0531329300, 0.1224745000, 0.2823108000, 0.6507428000, 1.5000000000");
+                    index_2("0.0005000000, 0.0015998200, 0.0051188490, 0.0163784700, 0.0524052200, 0.1676779000, 0.5365088000");
+                    values("0.0256248000, 0.0274686000, 0.0334444000, 0.0523542000, 0.1108078000, 0.2955838000, 0.8835579000", \
+                        "0.0256749000, 0.0275218000, 0.0335104000, 0.0524366000, 0.1107439000, 0.2957006000, 0.8869848000", \
+                        "0.0256238000, 0.0274685000, 0.0334393000, 0.0523558000, 0.1108426000, 0.2954312000, 0.8836645000", \
+                        "0.0254537000, 0.0272945000, 0.0332394000, 0.0520766000, 0.1104867000, 0.2953117000, 0.8880786000", \
+                        "0.0255376000, 0.0273151000, 0.0332089000, 0.0517447000, 0.1100611000, 0.2950963000, 0.8868418000", \
+                        "0.0273381000, 0.0290392000, 0.0345767000, 0.0525515000, 0.1104495000, 0.2947491000, 0.8863358000", \
+                        "0.0299940000, 0.0314584000, 0.0368267000, 0.0544667000, 0.1124975000, 0.2966064000, 0.8840131000");
+                }
+            }
+            internal_power () {
+                fall_power ("power_outputs_1") {
+                    index_1("0.0100000000, 0.0230505800, 0.0531329300, 0.1224745000, 0.2823108000, 0.6507428000, 1.5000000000");
+                    index_2("0.0005000000, 0.0015998200, 0.0051188490, 0.0163784700, 0.0524052200, 0.1676779000, 0.5365088000");
+                    values("0.0265855000, 0.0246923000, 0.0190874000, 0.0031182000, -0.0509580000, -0.2349765000, -0.8316640000", \
+                        "0.0264714000, 0.0245312000, 0.0189288000, 0.0031071000, -0.0508968000, -0.2348785000, -0.8316403000", \
+                        "0.0265346000, 0.0246113000, 0.0189583000, 0.0032037000, -0.0508664000, -0.2347251000, -0.8314319000", \
+                        "0.0261954000, 0.0242528000, 0.0186491000, 0.0028266000, -0.0510798000, -0.2352652000, -0.8318248000", \
+                        "0.0258628000, 0.0239661000, 0.0183112000, 0.0024919000, -0.0516097000, -0.2356051000, -0.8320703000", \
+                        "0.0292418000, 0.0272759000, 0.0213473000, 0.0031362000, -0.0516697000, -0.2356888000, -0.8322920000", \
+                        "0.0338863000, 0.0319310000, 0.0260394000, 0.0080647000, -0.0493812000, -0.2348755000, -0.8320335000");
+                }
+                related_pin : "S";
+                rise_power ("power_outputs_1") {
+                    index_1("0.0100000000, 0.0230505800, 0.0531329300, 0.1224745000, 0.2823108000, 0.6507428000, 1.5000000000");
+                    index_2("0.0005000000, 0.0015998200, 0.0051188490, 0.0163784700, 0.0524052200, 0.1676779000, 0.5365088000");
+                    values("0.0288505000, 0.0306959000, 0.0366606000, 0.0557460000, 0.1148111000, 0.3005932000, 0.8927778000", \
+                        "0.0287147000, 0.0306323000, 0.0366113000, 0.0557352000, 0.1146432000, 0.3005667000, 0.8928133000", \
+                        "0.0289602000, 0.0307985000, 0.0368348000, 0.0559894000, 0.1148627000, 0.3008167000, 0.8930506000", \
+                        "0.0289249000, 0.0307511000, 0.0367548000, 0.0558074000, 0.1148348000, 0.3009095000, 0.8955482000", \
+                        "0.0287855000, 0.0306504000, 0.0366906000, 0.0557819000, 0.1148679000, 0.3005689000, 0.8905212000", \
+                        "0.0287030000, 0.0305362000, 0.0362932000, 0.0556433000, 0.1145998000, 0.3002060000, 0.8915131000", \
+                        "0.0296295000, 0.0314729000, 0.0372353000, 0.0559421000, 0.1151899000, 0.3013293000, 0.8908278000");
+                }
+            }
+            max_capacitance : 0.5365090000;
+            max_transition : 1.5070850000;
+            power_down_function : "(!VPWR + VGND)";
+            related_ground_pin : "VGND";
+            related_power_pin : "VPWR";
+            timing () {
+                cell_fall ("del_1_7_7") {
+                    index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000");
+                    index_2("0.0005000000, 0.0015998200, 0.0051188500, 0.0163785000, 0.0524052000, 0.1676780000, 0.5365090000");
+                    values("0.2396542000, 0.2449914000, 0.2585316000, 0.2882858000, 0.3470177000, 0.4713033000, 0.7937889000", \
+                        "0.2434491000, 0.2487879000, 0.2623209000, 0.2920213000, 0.3511551000, 0.4750150000, 0.7971759000", \
+                        "0.2531122000, 0.2584449000, 0.2719733000, 0.3017252000, 0.3604892000, 0.4850663000, 0.8069095000", \
+                        "0.2781665000, 0.2834288000, 0.2969545000, 0.3265528000, 0.3857107000, 0.5100676000, 0.8318106000", \
+                        "0.3421492000, 0.3474384000, 0.3609900000, 0.3906718000, 0.4494437000, 0.5741646000, 0.8962022000", \
+                        "0.4885490000, 0.4942979000, 0.5084284000, 0.5390788000, 0.5990672000, 0.7243008000, 1.0447034000", \
+                        "0.7321493000, 0.7390590000, 0.7566595000, 0.7954612000, 0.8659126000, 0.9989223000, 1.3254950000");
+                }
+                cell_rise ("del_1_7_7") {
+                    index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000");
+                    index_2("0.0005000000, 0.0015998200, 0.0051188500, 0.0163785000, 0.0524052000, 0.1676780000, 0.5365090000");
+                    values("0.1076029000, 0.1124912000, 0.1252908000, 0.1558777000, 0.2322754000, 0.4554024000, 1.1689735000", \
+                        "0.1119979000, 0.1168567000, 0.1296591000, 0.1602757000, 0.2366598000, 0.4603691000, 1.1744608000", \
+                        "0.1220379000, 0.1268845000, 0.1396772000, 0.1702854000, 0.2465310000, 0.4708942000, 1.1787220000", \
+                        "0.1458367000, 0.1506509000, 0.1634023000, 0.1939256000, 0.2701418000, 0.4935894000, 1.2052476000", \
+                        "0.1919754000, 0.1971696000, 0.2106148000, 0.2418219000, 0.3183696000, 0.5417120000, 1.2546740000", \
+                        "0.2491014000, 0.2555695000, 0.2718557000, 0.3073129000, 0.3864919000, 0.6103562000, 1.3225814000", \
+                        "0.3004427000, 0.3085365000, 0.3295776000, 0.3742343000, 0.4612761000, 0.6862948000, 1.3942409000");
+                }
+                fall_transition ("del_1_7_7") {
+                    index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000");
+                    index_2("0.0005000000, 0.0015998200, 0.0051188500, 0.0163785000, 0.0524052000, 0.1676780000, 0.5365090000");
+                    values("0.0419489000, 0.0450491000, 0.0533416000, 0.0713630000, 0.1164523000, 0.2389170000, 0.6418074000", \
+                        "0.0423577000, 0.0452159000, 0.0530100000, 0.0714519000, 0.1158716000, 0.2389787000, 0.6427172000", \
+                        "0.0419461000, 0.0450410000, 0.0533011000, 0.0713339000, 0.1162817000, 0.2388122000, 0.6429753000", \
+                        "0.0422067000, 0.0453474000, 0.0531627000, 0.0714769000, 0.1159642000, 0.2389695000, 0.6423823000", \
+                        "0.0420359000, 0.0450779000, 0.0533186000, 0.0715615000, 0.1158531000, 0.2389787000, 0.6418046000", \
+                        "0.0481649000, 0.0509478000, 0.0594731000, 0.0759228000, 0.1184935000, 0.2401972000, 0.6413028000", \
+                        "0.0701557000, 0.0732068000, 0.0835570000, 0.1027374000, 0.1436823000, 0.2571528000, 0.6475925000");
+                }
+                related_pin : "A0";
+                rise_transition ("del_1_7_7") {
+                    index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000");
+                    index_2("0.0005000000, 0.0015998200, 0.0051188500, 0.0163785000, 0.0524052000, 0.1676780000, 0.5365090000");
+                    values("0.0282299000, 0.0315873000, 0.0417286000, 0.0709484000, 0.1644598000, 0.4797374000, 1.5070850000", \
+                        "0.0281623000, 0.0317287000, 0.0416625000, 0.0710191000, 0.1643783000, 0.4799704000, 1.4998202000", \
+                        "0.0280865000, 0.0316833000, 0.0415918000, 0.0709382000, 0.1642797000, 0.4789051000, 1.5035738000", \
+                        "0.0281715000, 0.0316619000, 0.0417347000, 0.0710564000, 0.1645158000, 0.4797994000, 1.4976305000", \
+                        "0.0323733000, 0.0359319000, 0.0454799000, 0.0733782000, 0.1651289000, 0.4796687000, 1.5056257000", \
+                        "0.0435036000, 0.0469747000, 0.0568383000, 0.0833884000, 0.1707205000, 0.4803062000, 1.5041972000", \
+                        "0.0602040000, 0.0646286000, 0.0769406000, 0.1043237000, 0.1834515000, 0.4821042000, 1.4966556000");
+                }
+                timing_sense : "positive_unate";
+                timing_type : "combinational";
+            }
+            timing () {
+                cell_fall ("del_1_7_7") {
+                    index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000");
+                    index_2("0.0005000000, 0.0015998200, 0.0051188500, 0.0163785000, 0.0524052000, 0.1676780000, 0.5365090000");
+                    values("0.2293153000, 0.2344532000, 0.2475364000, 0.2764047000, 0.3340518000, 0.4558681000, 0.7762098000", \
+                        "0.2333582000, 0.2384475000, 0.2515573000, 0.2803510000, 0.3380849000, 0.4597673000, 0.7799992000", \
+                        "0.2432833000, 0.2483670000, 0.2614885000, 0.2903676000, 0.3475824000, 0.4698403000, 0.7904974000", \
+                        "0.2686313000, 0.2738474000, 0.2870163000, 0.3157725000, 0.3731340000, 0.4956465000, 0.8158034000", \
+                        "0.3335452000, 0.3386553000, 0.3517520000, 0.3805337000, 0.4376953000, 0.5602366000, 0.8806508000", \
+                        "0.4804405000, 0.4859148000, 0.4999232000, 0.5299616000, 0.5884312000, 0.7115687000, 1.0319559000", \
+                        "0.7226795000, 0.7294222000, 0.7468625000, 0.7847863000, 0.8544193000, 0.9850215000, 1.3098453000");
+                }
+                cell_rise ("del_1_7_7") {
+                    index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000");
+                    index_2("0.0005000000, 0.0015998200, 0.0051188500, 0.0163785000, 0.0524052000, 0.1676780000, 0.5365090000");
+                    values("0.1071501000, 0.1120359000, 0.1247598000, 0.1551275000, 0.2308216000, 0.4540089000, 1.1671663000", \
+                        "0.1115124000, 0.1164018000, 0.1290751000, 0.1594786000, 0.2352531000, 0.4579540000, 1.1752420000", \
+                        "0.1214234000, 0.1262974000, 0.1390442000, 0.1693840000, 0.2451695000, 0.4680717000, 1.1850583000", \
+                        "0.1452167000, 0.1500393000, 0.1627101000, 0.1930497000, 0.2688340000, 0.4916807000, 1.2025658000", \
+                        "0.1911788000, 0.1963700000, 0.2098402000, 0.2409639000, 0.3172179000, 0.5398411000, 1.2531034000", \
+                        "0.2477681000, 0.2542990000, 0.2707410000, 0.3060742000, 0.3851184000, 0.6088247000, 1.3197888000", \
+                        "0.2985973000, 0.3067560000, 0.3276782000, 0.3722873000, 0.4595256000, 0.6842397000, 1.3913007000");
+                }
+                fall_transition ("del_1_7_7") {
+                    index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000");
+                    index_2("0.0005000000, 0.0015998200, 0.0051188500, 0.0163785000, 0.0524052000, 0.1676780000, 0.5365090000");
+                    values("0.0400235000, 0.0427181000, 0.0509758000, 0.0686527000, 0.1124299000, 0.2344826000, 0.6401817000", \
+                        "0.0400915000, 0.0428632000, 0.0506132000, 0.0685193000, 0.1123560000, 0.2355849000, 0.6405299000", \
+                        "0.0397063000, 0.0426927000, 0.0506408000, 0.0685074000, 0.1130286000, 0.2353013000, 0.6393992000", \
+                        "0.0396452000, 0.0426673000, 0.0506195000, 0.0687445000, 0.1123494000, 0.2349922000, 0.6399907000", \
+                        "0.0399211000, 0.0426524000, 0.0506611000, 0.0684933000, 0.1120878000, 0.2351456000, 0.6399921000", \
+                        "0.0460054000, 0.0493302000, 0.0575608000, 0.0735980000, 0.1153375000, 0.2365222000, 0.6410413000", \
+                        "0.0674956000, 0.0709021000, 0.0805287000, 0.1000128000, 0.1404728000, 0.2533022000, 0.6448000000");
+                }
+                related_pin : "A1";
+                rise_transition ("del_1_7_7") {
+                    index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000");
+                    index_2("0.0005000000, 0.0015998200, 0.0051188500, 0.0163785000, 0.0524052000, 0.1676780000, 0.5365090000");
+                    values("0.0282581000, 0.0317752000, 0.0419201000, 0.0712000000, 0.1647226000, 0.4804305000, 1.4997558000", \
+                        "0.0282093000, 0.0317498000, 0.0418827000, 0.0712361000, 0.1646328000, 0.4796853000, 1.5054789000", \
+                        "0.0281982000, 0.0317518000, 0.0419039000, 0.0712345000, 0.1645607000, 0.4791212000, 1.5019149000", \
+                        "0.0283104000, 0.0318024000, 0.0419141000, 0.0712851000, 0.1646346000, 0.4786044000, 1.5050682000", \
+                        "0.0327145000, 0.0363219000, 0.0456317000, 0.0735617000, 0.1652280000, 0.4797605000, 1.5038795000", \
+                        "0.0441737000, 0.0476253000, 0.0570830000, 0.0838336000, 0.1705160000, 0.4790737000, 1.5037072000", \
+                        "0.0610779000, 0.0652239000, 0.0777101000, 0.1048619000, 0.1838104000, 0.4822488000, 1.4981568000");
+                }
+                timing_sense : "positive_unate";
+                timing_type : "combinational";
+            }
+            timing () {
+                cell_fall ("del_1_7_7") {
+                    index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000");
+                    index_2("0.0005000000, 0.0015998200, 0.0051188500, 0.0163785000, 0.0524052000, 0.1676780000, 0.5365090000");
+                    values("0.2647815000, 0.2700379000, 0.2835781000, 0.3131096000, 0.3720833000, 0.4965990000, 0.8183117000", \
+                        "0.2694579000, 0.2746968000, 0.2882472000, 0.3179215000, 0.3768215000, 0.5013708000, 0.8229767000", \
+                        "0.2813058000, 0.2865668000, 0.3000117000, 0.3297007000, 0.3887527000, 0.5132084000, 0.8349961000", \
+                        "0.3064977000, 0.3117793000, 0.3253166000, 0.3549584000, 0.4140378000, 0.5384728000, 0.8604151000", \
+                        "0.3624986000, 0.3677519000, 0.3812791000, 0.4108620000, 0.4698792000, 0.5944131000, 0.9163603000", \
+                        "0.4851006000, 0.4907071000, 0.5047538000, 0.5350485000, 0.5954102000, 0.7208018000, 1.0429865000", \
+                        "0.7052149000, 0.7114823000, 0.7276247000, 0.7626594000, 0.8303194000, 0.9644067000, 1.2920167000");
+                }
+                cell_rise ("del_1_7_7") {
+                    index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000");
+                    index_2("0.0005000000, 0.0015998200, 0.0051188500, 0.0163785000, 0.0524052000, 0.1676780000, 0.5365090000");
+                    values("0.1202902000, 0.1247851000, 0.1367324000, 0.1658167000, 0.2400991000, 0.4624854000, 1.1710730000", \
+                        "0.1246049000, 0.1290832000, 0.1410221000, 0.1701074000, 0.2444247000, 0.4665414000, 1.1757895000", \
+                        "0.1336449000, 0.1381383000, 0.1500913000, 0.1791789000, 0.2535006000, 0.4758134000, 1.1848149000", \
+                        "0.1537526000, 0.1583924000, 0.1702594000, 0.1992509000, 0.2736165000, 0.4958289000, 1.2052722000", \
+                        "0.1951597000, 0.1998729000, 0.2122260000, 0.2418515000, 0.3165506000, 0.5401098000, 1.2486165000", \
+                        "0.2545291000, 0.2600989000, 0.2741367000, 0.3062432000, 0.3832018000, 0.6064043000, 1.3151646000", \
+                        "0.3132854000, 0.3202728000, 0.3383790000, 0.3768537000, 0.4587906000, 0.6830290000, 1.3905722000");
+                }
+                fall_transition ("del_1_7_7") {
+                    index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000");
+                    index_2("0.0005000000, 0.0015998200, 0.0051188500, 0.0163785000, 0.0524052000, 0.1676780000, 0.5365090000");
+                    values("0.0423034000, 0.0454536000, 0.0529528000, 0.0717153000, 0.1164106000, 0.2387983000, 0.6414752000", \
+                        "0.0422515000, 0.0454460000, 0.0530082000, 0.0715049000, 0.1163866000, 0.2388101000, 0.6423133000", \
+                        "0.0423398000, 0.0454612000, 0.0535616000, 0.0716260000, 0.1165245000, 0.2387298000, 0.6412445000", \
+                        "0.0420588000, 0.0451243000, 0.0534310000, 0.0716154000, 0.1164637000, 0.2386028000, 0.6426701000", \
+                        "0.0421384000, 0.0453060000, 0.0534566000, 0.0715708000, 0.1161676000, 0.2389273000, 0.6414831000", \
+                        "0.0461317000, 0.0490427000, 0.0577674000, 0.0755052000, 0.1188151000, 0.2402132000, 0.6413706000", \
+                        "0.0585700000, 0.0619488000, 0.0708485000, 0.0904400000, 0.1346512000, 0.2542268000, 0.6479114000");
+                }
+                related_pin : "S";
+                rise_transition ("del_1_7_7") {
+                    index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000");
+                    index_2("0.0005000000, 0.0015998200, 0.0051188500, 0.0163785000, 0.0524052000, 0.1676780000, 0.5365090000");
+                    values("0.0259369000, 0.0294375000, 0.0395616000, 0.0691416000, 0.1635998000, 0.4801837000, 1.5013901000", \
+                        "0.0259922000, 0.0295072000, 0.0395981000, 0.0691244000, 0.1637122000, 0.4801319000, 1.5017149000", \
+                        "0.0258255000, 0.0295490000, 0.0395529000, 0.0691539000, 0.1636710000, 0.4802042000, 1.5016003000", \
+                        "0.0258353000, 0.0294237000, 0.0395579000, 0.0690663000, 0.1637084000, 0.4793630000, 1.5018277000", \
+                        "0.0279282000, 0.0315179000, 0.0417701000, 0.0704023000, 0.1641009000, 0.4804810000, 1.5015535000", \
+                        "0.0345659000, 0.0381425000, 0.0484919000, 0.0765681000, 0.1674592000, 0.4802889000, 1.5010941000", \
+                        "0.0475344000, 0.0520490000, 0.0636653000, 0.0908110000, 0.1754573000, 0.4821050000, 1.4985817000");
+                }
+                timing_sense : "positive_unate";
+                timing_type : "combinational";
+            }
+            timing () {
+                cell_fall ("del_1_7_7") {
+                    index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000");
+                    index_2("0.0005000000, 0.0015998200, 0.0051188500, 0.0163785000, 0.0524052000, 0.1676780000, 0.5365090000");
+                    values("0.2618348000, 0.2669170000, 0.2800371000, 0.3086607000, 0.3662061000, 0.4878843000, 0.8081245000", \
+                        "0.2671290000, 0.2722079000, 0.2853054000, 0.3140764000, 0.3712053000, 0.4933775000, 0.8140080000", \
+                        "0.2784553000, 0.2835991000, 0.2967153000, 0.3255679000, 0.3829634000, 0.5049713000, 0.8252728000", \
+                        "0.2961605000, 0.3012476000, 0.3143673000, 0.3431992000, 0.4004361000, 0.5229281000, 0.8434381000", \
+                        "0.3172549000, 0.3223930000, 0.3354390000, 0.3643176000, 0.4219616000, 0.5446315000, 0.8649041000", \
+                        "0.3388599000, 0.3439436000, 0.3569815000, 0.3856718000, 0.4430451000, 0.5644346000, 0.8847202000", \
+                        "0.3435545000, 0.3486607000, 0.3615666000, 0.3903403000, 0.4479884000, 0.5702880000, 0.8897774000");
+                }
+                cell_rise ("del_1_7_7") {
+                    index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000");
+                    index_2("0.0005000000, 0.0015998200, 0.0051188500, 0.0163785000, 0.0524052000, 0.1676780000, 0.5365090000");
+                    values("0.1635571000, 0.1680837000, 0.1800659000, 0.2094579000, 0.2847063000, 0.5083410000, 1.2185063000", \
+                        "0.1685875000, 0.1731364000, 0.1851825000, 0.2145893000, 0.2898021000, 0.5132560000, 1.2233454000", \
+                        "0.1818221000, 0.1863743000, 0.1984454000, 0.2278506000, 0.3030614000, 0.5267161000, 1.2363415000", \
+                        "0.2124509000, 0.2170056000, 0.2290347000, 0.2583826000, 0.3335257000, 0.5575088000, 1.2686948000", \
+                        "0.2653401000, 0.2698602000, 0.2819521000, 0.3113139000, 0.3865016000, 0.6107175000, 1.3184036000", \
+                        "0.3482229000, 0.3527841000, 0.3648698000, 0.3942517000, 0.4693429000, 0.6929177000, 1.4025998000", \
+                        "0.4834953000, 0.4880993000, 0.5002559000, 0.5296625000, 0.6048361000, 0.8286065000, 1.5366515000");
+                }
+                fall_transition ("del_1_7_7") {
+                    index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000");
+                    index_2("0.0005000000, 0.0015998200, 0.0051188500, 0.0163785000, 0.0524052000, 0.1676780000, 0.5365090000");
+                    values("0.0399603000, 0.0429934000, 0.0507239000, 0.0685410000, 0.1121643000, 0.2349705000, 0.6391249000", \
+                        "0.0397001000, 0.0426983000, 0.0505940000, 0.0685361000, 0.1127420000, 0.2352543000, 0.6391663000", \
+                        "0.0397117000, 0.0427458000, 0.0508281000, 0.0693979000, 0.1126737000, 0.2349795000, 0.6398995000", \
+                        "0.0397079000, 0.0426886000, 0.0505884000, 0.0686814000, 0.1131056000, 0.2350135000, 0.6391133000", \
+                        "0.0399550000, 0.0426807000, 0.0505514000, 0.0692694000, 0.1118205000, 0.2347474000, 0.6402937000", \
+                        "0.0395662000, 0.0425448000, 0.0501752000, 0.0680633000, 0.1116922000, 0.2335254000, 0.6397117000", \
+                        "0.0397107000, 0.0427598000, 0.0509466000, 0.0685375000, 0.1127574000, 0.2346350000, 0.6381399000");
+                }
+                related_pin : "S";
+                rise_transition ("del_1_7_7") {
+                    index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000");
+                    index_2("0.0005000000, 0.0015998200, 0.0051188500, 0.0163785000, 0.0524052000, 0.1676780000, 0.5365090000");
+                    values("0.0260028000, 0.0295519000, 0.0398411000, 0.0690283000, 0.1637371000, 0.4795176000, 1.5014437000", \
+                        "0.0260779000, 0.0296226000, 0.0396788000, 0.0691830000, 0.1637424000, 0.4800179000, 1.5009388000", \
+                        "0.0260022000, 0.0296353000, 0.0396534000, 0.0691849000, 0.1636989000, 0.4803045000, 1.5008818000", \
+                        "0.0258805000, 0.0294420000, 0.0397749000, 0.0689361000, 0.1633879000, 0.4796507000, 1.5030462000", \
+                        "0.0259818000, 0.0296219000, 0.0397379000, 0.0690883000, 0.1634348000, 0.4812536000, 1.4995432000", \
+                        "0.0261348000, 0.0296822000, 0.0398256000, 0.0692629000, 0.1633518000, 0.4791000000, 1.5015307000", \
+                        "0.0265820000, 0.0301104000, 0.0404191000, 0.0695683000, 0.1635548000, 0.4797906000, 1.4986957000");
+                }
+                timing_sense : "negative_unate";
+                timing_type : "combinational";
+            }
+        }
+    }
+}
diff --git a/openlane/clk_skew_adjust/base.sdc b/openlane/clk_skew_adjust/base.sdc
new file mode 100644
index 0000000..617efbf
--- /dev/null
+++ b/openlane/clk_skew_adjust/base.sdc
@@ -0,0 +1,35 @@
+# create clock
+#create_clock [get_ports clk_in] -name clk_in -period $::env(CLOCK_PERIOD)
+#
+#create_generated_clock -name clk_out -add \
+#  -source [get_ports clk_in] -master_clock [get_clocks clk_in] -divide_by 1 \
+#  -comment {Output clk} [get_ports clk_out]
+
+# min and max delay
+#set_min_delay 3.0 -from [get_ports clk_in] -to [get_ports clk_out]
+#set_max_delay 8.0 -from [get_ports clk_in] -to [get_ports clk_out]
+
+# false path: static clock skew adjust signals
+set_false_path -from [get_ports sel[*]]
+
+# max fanout
+#set_max_fanout $::env(SYNTH_MAX_FANOUT) [current_design]
+
+# TODO set this as parameter
+#set_driving_cell -lib_cell $::env(SYNTH_DRIVING_CELL) -pin $::env(SYNTH_DRIVING_CELL_PIN) [all_inputs]
+set cap_load [expr $::env(SYNTH_CAP_LOAD) / 1000.0]
+puts "\[INFO\]: Setting load to: $cap_load"
+set_load  $cap_load [all_outputs]
+
+#puts "\[INFO\]: Setting clock uncertainity to: $::env(SYNTH_CLOCK_UNCERTAINITY)"
+#set_clock_uncertainty $::env(SYNTH_CLOCK_UNCERTAINITY) [all_clocks]
+#
+#puts "\[INFO\]: Setting clock transition to: $::env(SYNTH_CLOCK_TRANSITION)"
+#set_clock_transition $::env(SYNTH_CLOCK_TRANSITION) [all_clocks]
+#
+#set_propagated_clock [all_clocks]
+
+#puts "\[INFO\]: Setting timing derate to: [expr {$::env(SYNTH_TIMING_DERATE) * 10}] %"
+#set_timing_derate -early [expr {1-$::env(SYNTH_TIMING_DERATE)}]
+#set_timing_derate -late [expr {1+$::env(SYNTH_TIMING_DERATE)}]
+
diff --git a/openlane/clk_skew_adjust/config.tcl b/openlane/clk_skew_adjust/config.tcl
new file mode 100644
index 0000000..5c09172
--- /dev/null
+++ b/openlane/clk_skew_adjust/config.tcl
@@ -0,0 +1,70 @@
+# SPDX-FileCopyrightText: 2020 Efabless Corporation
+#
+# Licensed under the Apache License, Version 2.0 (the "License");
+# you may not use this file except in compliance with the License.
+# You may obtain a copy of the License at
+#
+#      http://www.apache.org/licenses/LICENSE-2.0
+#
+# Unless required by applicable law or agreed to in writing, software
+# distributed under the License is distributed on an "AS IS" BASIS,
+# WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express or implied.
+# See the License for the specific language governing permissions and
+# limitations under the License.
+# SPDX-License-Identifier: Apache-2.0
+
+set ::env(PDK) "sky130A"
+set ::env(STD_CELL_LIBRARY) "sky130_fd_sc_hd"
+
+set script_dir [file dirname [file normalize [info script]]]
+
+# Cell library
+set ::env(LIB_SYNTH) [glob $script_dir/../../libs.ref/sky130_fd_sc_hd__tt_025C_1v80_for_clk_skew_adjust.lib]
+set ::env(LIB_TYPICAL) $::env(LIB_SYNTH)
+set ::env(LIB_FASTEST) $::env(LIB_SYNTH)
+set ::env(LIB_SLOWEST) $::env(LIB_SYNTH)
+
+# Define
+set ::env(SYNTH_DEFINES) "SYNTHESIS"
+set ::env(SYNTH_READ_BLACKBOX_LIB) 1
+
+set ::env(DESIGN_IS_CORE) 0
+set ::env(DESIGN_NAME) clk_skew_adjust
+
+set ::env(VERILOG_INCLUDE_DIRS) [glob $script_dir/../../verilog/lib]
+
+set ::env(VERILOG_FILES) "\
+	$::env(CARAVEL_ROOT)/verilog/rtl/defines.v \
+  $script_dir/../../verilog/lib/clk_skew_adjust.gv \
+  $script_dir/../../verilog/lib/ctech_cells.sv"
+
+set ::env(CLOCK_PORT) ""
+#set ::env(CLOCK_PORT) "clk_in"
+#set ::env(CLOCK_PERIOD) 10
+
+set ::env(CLOCK_TREE_SYNTH) 0
+
+set ::env(BASE_SDC_FILE) $script_dir/base.sdc
+set ::env(FP_PIN_ORDER_CFG) $script_dir/pin_order.cfg
+
+set ::env(FP_CORE_UTIL) 30
+set ::env(PL_TIME_DRIVEN) 1
+set ::env(PL_BASIC_PLACEMENT) 0
+set ::env(PL_TARGET_DENSITY) 0.35
+
+# Maximum layer used for routing is metal 4.
+# This is because this macro will be inserted in a top level (user_project_wrapper) 
+# where the PDN is planned on metal 5. So, to avoid having shorts between routes
+# in this macro and the top level metal 5 stripes, we have to restrict routes to metal4.  
+# 
+# set ::env(GLB_RT_MAXLAYER) 5
+
+set ::env(RT_MAX_LAYER) {met4}
+
+# You can draw more power domains if you need to 
+set ::env(VDD_NETS) [list {vccd1}]
+set ::env(GND_NETS) [list {vssd1}]
+
+set ::env(DIODE_INSERTION_STRATEGY) 4 
+# If you're going to use multiple power domains, then disable cvc run.
+set ::env(RUN_CVC) 1
diff --git a/openlane/clk_skew_adjust/pin_order.cfg b/openlane/clk_skew_adjust/pin_order.cfg
new file mode 100644
index 0000000..3844b1b
--- /dev/null
+++ b/openlane/clk_skew_adjust/pin_order.cfg
@@ -0,0 +1,13 @@
+#BUS_SORT
+
+#S
+clk_in
+sel\[.*\]
+
+#N
+clk_out
+
+#E
+
+#W
+
diff --git a/verilog/gl/clk_skew_adjust.v b/verilog/gl/clk_skew_adjust.v
new file mode 100644
index 0000000..3b9bd50
--- /dev/null
+++ b/verilog/gl/clk_skew_adjust.v
@@ -0,0 +1,1558 @@
+module clk_skew_adjust (clk_in,
+    clk_out,
+    vccd1,
+    vssd1,
+    sel);
+ input clk_in;
+ output clk_out;
+ input vccd1;
+ input vssd1;
+ input [4:0] sel;
+
+ wire \clkbuf_1.X ;
+ wire \clkbuf_10.A ;
+ wire \clkbuf_10.X ;
+ wire \clkbuf_11.X ;
+ wire \clkbuf_12.X ;
+ wire \clkbuf_13.X ;
+ wire \clkbuf_14.X ;
+ wire \clkbuf_15.X ;
+ wire \clkbuf_16.X ;
+ wire \clkbuf_17.X ;
+ wire \clkbuf_18.X ;
+ wire \clkbuf_19.X ;
+ wire \clkbuf_2.X ;
+ wire \clkbuf_20.X ;
+ wire \clkbuf_21.X ;
+ wire \clkbuf_22.X ;
+ wire \clkbuf_23.X ;
+ wire \clkbuf_24.X ;
+ wire \clkbuf_25.X ;
+ wire \clkbuf_26.X ;
+ wire \clkbuf_27.X ;
+ wire \clkbuf_28.X ;
+ wire \clkbuf_29.X ;
+ wire \clkbuf_3.X ;
+ wire \clkbuf_30.X ;
+ wire \clkbuf_31.X ;
+ wire \clkbuf_4.X ;
+ wire \clkbuf_5.X ;
+ wire \clkbuf_6.X ;
+ wire \clkbuf_7.X ;
+ wire \clkbuf_8.X ;
+ wire net1;
+ wire net2;
+ wire net3;
+ wire net4;
+ wire net5;
+ wire net6;
+ wire net7;
+ wire \u_clk_out_buf.A ;
+ wire \u_mux_level_00.X ;
+ wire \u_mux_level_01.X ;
+ wire \u_mux_level_02.X ;
+ wire \u_mux_level_03.X ;
+ wire \u_mux_level_04.X ;
+ wire \u_mux_level_05.X ;
+ wire \u_mux_level_06.X ;
+ wire \u_mux_level_07.X ;
+ wire \u_mux_level_08.X ;
+ wire \u_mux_level_09.X ;
+ wire \u_mux_level_0a.X ;
+ wire \u_mux_level_0b.X ;
+ wire \u_mux_level_0c.X ;
+ wire \u_mux_level_0d.X ;
+ wire \u_mux_level_0e.X ;
+ wire \u_mux_level_0f.X ;
+ wire \u_mux_level_10.X ;
+ wire \u_mux_level_11.X ;
+ wire \u_mux_level_12.X ;
+ wire \u_mux_level_13.X ;
+ wire \u_mux_level_14.X ;
+ wire \u_mux_level_15.X ;
+ wire \u_mux_level_16.X ;
+ wire \u_mux_level_17.X ;
+ wire \u_mux_level_20.X ;
+ wire \u_mux_level_21.X ;
+ wire \u_mux_level_22.X ;
+ wire \u_mux_level_23.X ;
+ wire \u_mux_level_30.X ;
+ wire \u_mux_level_31.X ;
+
+ sky130_fd_sc_hd__diode_2 ANTENNA_input1_A (.DIODE(clk_in),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA_input2_A (.DIODE(sel[0]),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA_input3_A (.DIODE(sel[1]),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA_input4_A (.DIODE(sel[2]),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA_input5_A (.DIODE(sel[3]),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA_input6_A (.DIODE(sel[4]),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__fill_1 FILLER_0_102 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_0_12 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_0_18 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_0_24 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_8 FILLER_0_40 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__fill_2 FILLER_0_48 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_0_52 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_8 FILLER_0_68 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__fill_2 FILLER_0_76 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_0_80 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_8 FILLER_0_94 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_8 FILLER_10_17 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_3 FILLER_10_25 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_10_3 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_10_38 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_10_51 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__fill_1 FILLER_10_55 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_6 FILLER_10_65 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__fill_1 FILLER_10_7 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_10_80 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_10_85 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__fill_1 FILLER_10_89 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_10_99 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_12 FILLER_11_20 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_8 FILLER_11_3 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_11_32 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__fill_1 FILLER_11_36 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_8 FILLER_11_46 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__fill_2 FILLER_11_54 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_8 FILLER_11_57 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__fill_1 FILLER_11_65 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_12 FILLER_11_75 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_3 FILLER_11_87 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_11_99 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__fill_1 FILLER_12_102 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_12_24 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_12 FILLER_12_29 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_12 FILLER_12_3 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_12 FILLER_12_41 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_8 FILLER_12_53 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__fill_2 FILLER_12_61 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_12 FILLER_12_72 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_8 FILLER_12_94 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__fill_1 FILLER_13_102 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_3 FILLER_13_15 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_12 FILLER_13_27 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_12 FILLER_13_3 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_13_39 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_13_52 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_12 FILLER_13_57 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_6 FILLER_13_69 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__fill_1 FILLER_13_75 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_13_85 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_13_98 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__fill_1 FILLER_14_102 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_12 FILLER_14_15 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__fill_1 FILLER_14_27 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_8 FILLER_14_29 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_12 FILLER_14_3 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__fill_1 FILLER_14_37 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_14_47 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_14_60 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_8 FILLER_14_73 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_3 FILLER_14_81 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_8 FILLER_14_94 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__fill_2 FILLER_15_101 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_8 FILLER_15_15 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_12 FILLER_15_3 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_15_32 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_8 FILLER_15_45 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_3 FILLER_15_53 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_8 FILLER_15_57 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__fill_2 FILLER_15_65 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_15_76 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_12 FILLER_15_89 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_12 FILLER_16_15 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__fill_1 FILLER_16_27 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__fill_2 FILLER_16_29 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_12 FILLER_16_3 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_12 FILLER_16_40 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__fill_1 FILLER_16_52 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_16_64 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_6 FILLER_16_77 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__fill_1 FILLER_16_83 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_12 FILLER_16_85 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_6 FILLER_16_97 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_12 FILLER_17_15 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__fill_1 FILLER_17_27 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_12 FILLER_17_29 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_12 FILLER_17_3 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_12 FILLER_17_41 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_3 FILLER_17_53 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_12 FILLER_17_66 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_6 FILLER_17_78 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_12 FILLER_17_85 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_6 FILLER_17_97 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_1_14 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_1_27 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__fill_2 FILLER_1_3 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_12 FILLER_1_40 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_1_52 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_6 FILLER_1_66 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_8 FILLER_1_81 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__fill_1 FILLER_1_89 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_1_99 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_12 FILLER_2_16 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_6 FILLER_2_29 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_2_3 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_6 FILLER_2_44 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__fill_1 FILLER_2_50 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_2_60 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_2_73 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_2_79 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__fill_1 FILLER_2_83 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_2_85 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__fill_1 FILLER_2_89 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_2_99 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__fill_2 FILLER_3_101 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__fill_2 FILLER_3_15 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_3_26 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_12 FILLER_3_3 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_12 FILLER_3_39 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_3_51 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__fill_1 FILLER_3_55 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_3_66 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_3_79 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__fill_1 FILLER_3_83 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_8 FILLER_3_93 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_12 FILLER_4_15 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__fill_1 FILLER_4_27 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_8 FILLER_4_29 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_12 FILLER_4_3 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_12 FILLER_4_46 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_4_67 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_4_80 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_4_85 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__fill_1 FILLER_4_89 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_4_99 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__fill_1 FILLER_5_102 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_12 FILLER_5_18 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_6 FILLER_5_3 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_5_30 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_12 FILLER_5_43 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__fill_1 FILLER_5_55 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_8 FILLER_5_57 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_3 FILLER_5_65 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_5_77 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_5_90 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_6 FILLER_5_96 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_8 FILLER_6_18 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__fill_2 FILLER_6_26 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_6 FILLER_6_3 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_12 FILLER_6_38 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_6 FILLER_6_50 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__fill_1 FILLER_6_56 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_12 FILLER_6_66 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_6 FILLER_6_78 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_3 FILLER_6_85 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_6 FILLER_6_97 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_3 FILLER_7_100 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_12 FILLER_7_18 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_6 FILLER_7_3 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_12 FILLER_7_39 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_7_51 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__fill_1 FILLER_7_55 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_12 FILLER_7_66 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_7_78 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__fill_1 FILLER_7_82 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_8 FILLER_7_92 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_12 FILLER_8_15 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__fill_1 FILLER_8_27 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__fill_1 FILLER_8_29 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_12 FILLER_8_3 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_8_39 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_8_52 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_12 FILLER_8_65 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_6 FILLER_8_77 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__fill_1 FILLER_8_83 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_12 FILLER_8_85 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_6 FILLER_8_97 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_12 FILLER_9_18 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_6 FILLER_9_3 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_12 FILLER_9_30 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_12 FILLER_9_42 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__fill_2 FILLER_9_54 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_12 FILLER_9_57 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_8 FILLER_9_69 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__fill_1 FILLER_9_77 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_12 FILLER_9_87 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_4 FILLER_9_99 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_3 PHY_0 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_3 PHY_1 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_3 PHY_10 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_3 PHY_11 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_3 PHY_12 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_3 PHY_13 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_3 PHY_14 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_3 PHY_15 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_3 PHY_16 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_3 PHY_17 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_3 PHY_18 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_3 PHY_19 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_3 PHY_2 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_3 PHY_20 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_3 PHY_21 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_3 PHY_22 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_3 PHY_23 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_3 PHY_24 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_3 PHY_25 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_3 PHY_26 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_3 PHY_27 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_3 PHY_28 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_3 PHY_29 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_3 PHY_3 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_3 PHY_30 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_3 PHY_31 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_3 PHY_32 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_3 PHY_33 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_3 PHY_34 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_3 PHY_35 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_3 PHY_4 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_3 PHY_5 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_3 PHY_6 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_3 PHY_7 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_3 PHY_8 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__decap_3 PHY_9 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__tapvpwrvgnd_1 TAP_36 (.VGND(vssd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__tapvpwrvgnd_1 TAP_37 (.VGND(vssd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__tapvpwrvgnd_1 TAP_38 (.VGND(vssd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__tapvpwrvgnd_1 TAP_39 (.VGND(vssd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__tapvpwrvgnd_1 TAP_40 (.VGND(vssd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__tapvpwrvgnd_1 TAP_41 (.VGND(vssd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__tapvpwrvgnd_1 TAP_42 (.VGND(vssd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__tapvpwrvgnd_1 TAP_43 (.VGND(vssd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__tapvpwrvgnd_1 TAP_44 (.VGND(vssd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__tapvpwrvgnd_1 TAP_45 (.VGND(vssd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__tapvpwrvgnd_1 TAP_46 (.VGND(vssd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__tapvpwrvgnd_1 TAP_47 (.VGND(vssd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__tapvpwrvgnd_1 TAP_48 (.VGND(vssd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__tapvpwrvgnd_1 TAP_49 (.VGND(vssd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__tapvpwrvgnd_1 TAP_50 (.VGND(vssd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__tapvpwrvgnd_1 TAP_51 (.VGND(vssd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__tapvpwrvgnd_1 TAP_52 (.VGND(vssd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__tapvpwrvgnd_1 TAP_53 (.VGND(vssd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__tapvpwrvgnd_1 TAP_54 (.VGND(vssd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__tapvpwrvgnd_1 TAP_55 (.VGND(vssd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__tapvpwrvgnd_1 TAP_56 (.VGND(vssd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__tapvpwrvgnd_1 TAP_57 (.VGND(vssd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__tapvpwrvgnd_1 TAP_58 (.VGND(vssd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__tapvpwrvgnd_1 TAP_59 (.VGND(vssd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__tapvpwrvgnd_1 TAP_60 (.VGND(vssd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__tapvpwrvgnd_1 TAP_61 (.VGND(vssd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__tapvpwrvgnd_1 TAP_62 (.VGND(vssd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__tapvpwrvgnd_1 TAP_63 (.VGND(vssd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__tapvpwrvgnd_1 TAP_64 (.VGND(vssd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__tapvpwrvgnd_1 TAP_65 (.VGND(vssd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_hd__clkdlybuf4s15_2 \clkbuf_1.u_dly  (.A(net1),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
+    .X(\clkbuf_1.X ));
+ sky130_fd_sc_hd__clkdlybuf4s15_2 \clkbuf_10.u_dly  (.A(\clkbuf_10.A ),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
+    .X(\clkbuf_10.X ));
+ sky130_fd_sc_hd__clkdlybuf4s15_2 \clkbuf_11.u_dly  (.A(\clkbuf_10.X ),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
+    .X(\clkbuf_11.X ));
+ sky130_fd_sc_hd__clkdlybuf4s15_2 \clkbuf_12.u_dly  (.A(\clkbuf_11.X ),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
+    .X(\clkbuf_12.X ));
+ sky130_fd_sc_hd__clkdlybuf4s15_2 \clkbuf_13.u_dly  (.A(\clkbuf_12.X ),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
+    .X(\clkbuf_13.X ));
+ sky130_fd_sc_hd__clkdlybuf4s15_2 \clkbuf_14.u_dly  (.A(\clkbuf_13.X ),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
+    .X(\clkbuf_14.X ));
+ sky130_fd_sc_hd__clkdlybuf4s15_2 \clkbuf_15.u_dly  (.A(\clkbuf_14.X ),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
+    .X(\clkbuf_15.X ));
+ sky130_fd_sc_hd__clkdlybuf4s15_2 \clkbuf_16.u_dly  (.A(\clkbuf_15.X ),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
+    .X(\clkbuf_16.X ));
+ sky130_fd_sc_hd__clkdlybuf4s15_2 \clkbuf_17.u_dly  (.A(\clkbuf_16.X ),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
+    .X(\clkbuf_17.X ));
+ sky130_fd_sc_hd__clkdlybuf4s15_2 \clkbuf_18.u_dly  (.A(\clkbuf_17.X ),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
+    .X(\clkbuf_18.X ));
+ sky130_fd_sc_hd__clkdlybuf4s15_2 \clkbuf_19.u_dly  (.A(\clkbuf_18.X ),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
+    .X(\clkbuf_19.X ));
+ sky130_fd_sc_hd__clkdlybuf4s15_2 \clkbuf_2.u_dly  (.A(\clkbuf_1.X ),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
+    .X(\clkbuf_2.X ));
+ sky130_fd_sc_hd__clkdlybuf4s15_2 \clkbuf_20.u_dly  (.A(\clkbuf_19.X ),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
+    .X(\clkbuf_20.X ));
+ sky130_fd_sc_hd__clkdlybuf4s15_2 \clkbuf_21.u_dly  (.A(\clkbuf_20.X ),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
+    .X(\clkbuf_21.X ));
+ sky130_fd_sc_hd__clkdlybuf4s15_2 \clkbuf_22.u_dly  (.A(\clkbuf_21.X ),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
+    .X(\clkbuf_22.X ));
+ sky130_fd_sc_hd__clkdlybuf4s15_2 \clkbuf_23.u_dly  (.A(\clkbuf_22.X ),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
+    .X(\clkbuf_23.X ));
+ sky130_fd_sc_hd__clkdlybuf4s15_2 \clkbuf_24.u_dly  (.A(\clkbuf_23.X ),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
+    .X(\clkbuf_24.X ));
+ sky130_fd_sc_hd__clkdlybuf4s15_2 \clkbuf_25.u_dly  (.A(\clkbuf_24.X ),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
+    .X(\clkbuf_25.X ));
+ sky130_fd_sc_hd__clkdlybuf4s15_2 \clkbuf_26.u_dly  (.A(\clkbuf_25.X ),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
+    .X(\clkbuf_26.X ));
+ sky130_fd_sc_hd__clkdlybuf4s15_2 \clkbuf_27.u_dly  (.A(\clkbuf_26.X ),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
+    .X(\clkbuf_27.X ));
+ sky130_fd_sc_hd__clkdlybuf4s15_2 \clkbuf_28.u_dly  (.A(\clkbuf_27.X ),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
+    .X(\clkbuf_28.X ));
+ sky130_fd_sc_hd__clkdlybuf4s15_2 \clkbuf_29.u_dly  (.A(\clkbuf_28.X ),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
+    .X(\clkbuf_29.X ));
+ sky130_fd_sc_hd__clkdlybuf4s15_2 \clkbuf_3.u_dly  (.A(\clkbuf_2.X ),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
+    .X(\clkbuf_3.X ));
+ sky130_fd_sc_hd__clkdlybuf4s15_2 \clkbuf_30.u_dly  (.A(\clkbuf_29.X ),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
+    .X(\clkbuf_30.X ));
+ sky130_fd_sc_hd__clkdlybuf4s15_2 \clkbuf_31.u_dly  (.A(\clkbuf_30.X ),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
+    .X(\clkbuf_31.X ));
+ sky130_fd_sc_hd__clkdlybuf4s15_2 \clkbuf_4.u_dly  (.A(\clkbuf_3.X ),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
+    .X(\clkbuf_4.X ));
+ sky130_fd_sc_hd__clkdlybuf4s15_2 \clkbuf_5.u_dly  (.A(\clkbuf_4.X ),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
+    .X(\clkbuf_5.X ));
+ sky130_fd_sc_hd__clkdlybuf4s15_2 \clkbuf_6.u_dly  (.A(\clkbuf_5.X ),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
+    .X(\clkbuf_6.X ));
+ sky130_fd_sc_hd__clkdlybuf4s15_2 \clkbuf_7.u_dly  (.A(\clkbuf_6.X ),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
+    .X(\clkbuf_7.X ));
+ sky130_fd_sc_hd__clkdlybuf4s15_2 \clkbuf_8.u_dly  (.A(\clkbuf_7.X ),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
+    .X(\clkbuf_8.X ));
+ sky130_fd_sc_hd__clkdlybuf4s15_2 \clkbuf_9.u_dly  (.A(\clkbuf_8.X ),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
+    .X(\clkbuf_10.A ));
+ sky130_fd_sc_hd__clkdlybuf4s15_2 input1 (.A(clk_in),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
+    .X(net1));
+ sky130_fd_sc_hd__clkbuf_8 input2 (.A(sel[0]),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
+    .X(net2));
+ sky130_fd_sc_hd__clkbuf_8 input3 (.A(sel[1]),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
+    .X(net3));
+ sky130_fd_sc_hd__clkdlybuf4s15_2 input4 (.A(sel[2]),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
+    .X(net4));
+ sky130_fd_sc_hd__clkdlybuf4s15_2 input5 (.A(sel[3]),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
+    .X(net5));
+ sky130_fd_sc_hd__clkdlybuf4s15_2 input6 (.A(sel[4]),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
+    .X(net6));
+ sky130_fd_sc_hd__clkdlybuf4s15_2 output7 (.A(net7),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
+    .X(clk_out));
+ sky130_fd_sc_hd__clkbuf_8 \u_clk_out_buf.u_buf  (.A(\u_clk_out_buf.A ),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
+    .X(net7));
+ sky130_fd_sc_hd__mux2_2 \u_mux_level_00.u_mux  (.A0(net1),
+    .A1(\clkbuf_1.X ),
+    .S(net2),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
+    .X(\u_mux_level_00.X ));
+ sky130_fd_sc_hd__mux2_2 \u_mux_level_01.u_mux  (.A0(\clkbuf_2.X ),
+    .A1(\clkbuf_3.X ),
+    .S(net2),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
+    .X(\u_mux_level_01.X ));
+ sky130_fd_sc_hd__mux2_2 \u_mux_level_02.u_mux  (.A0(\clkbuf_4.X ),
+    .A1(\clkbuf_5.X ),
+    .S(net2),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
+    .X(\u_mux_level_02.X ));
+ sky130_fd_sc_hd__mux2_2 \u_mux_level_03.u_mux  (.A0(\clkbuf_6.X ),
+    .A1(\clkbuf_7.X ),
+    .S(net2),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
+    .X(\u_mux_level_03.X ));
+ sky130_fd_sc_hd__mux2_2 \u_mux_level_04.u_mux  (.A0(\clkbuf_8.X ),
+    .A1(\clkbuf_10.A ),
+    .S(net2),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
+    .X(\u_mux_level_04.X ));
+ sky130_fd_sc_hd__mux2_2 \u_mux_level_05.u_mux  (.A0(\clkbuf_10.X ),
+    .A1(\clkbuf_11.X ),
+    .S(net2),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
+    .X(\u_mux_level_05.X ));
+ sky130_fd_sc_hd__mux2_2 \u_mux_level_06.u_mux  (.A0(\clkbuf_12.X ),
+    .A1(\clkbuf_13.X ),
+    .S(net2),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
+    .X(\u_mux_level_06.X ));
+ sky130_fd_sc_hd__mux2_2 \u_mux_level_07.u_mux  (.A0(\clkbuf_14.X ),
+    .A1(\clkbuf_15.X ),
+    .S(net2),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
+    .X(\u_mux_level_07.X ));
+ sky130_fd_sc_hd__mux2_2 \u_mux_level_08.u_mux  (.A0(\clkbuf_16.X ),
+    .A1(\clkbuf_17.X ),
+    .S(net2),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
+    .X(\u_mux_level_08.X ));
+ sky130_fd_sc_hd__mux2_2 \u_mux_level_09.u_mux  (.A0(\clkbuf_18.X ),
+    .A1(\clkbuf_19.X ),
+    .S(net2),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
+    .X(\u_mux_level_09.X ));
+ sky130_fd_sc_hd__mux2_2 \u_mux_level_0a.u_mux  (.A0(\clkbuf_20.X ),
+    .A1(\clkbuf_21.X ),
+    .S(net2),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
+    .X(\u_mux_level_0a.X ));
+ sky130_fd_sc_hd__mux2_2 \u_mux_level_0b.u_mux  (.A0(\clkbuf_22.X ),
+    .A1(\clkbuf_23.X ),
+    .S(net2),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
+    .X(\u_mux_level_0b.X ));
+ sky130_fd_sc_hd__mux2_2 \u_mux_level_0c.u_mux  (.A0(\clkbuf_24.X ),
+    .A1(\clkbuf_25.X ),
+    .S(net2),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
+    .X(\u_mux_level_0c.X ));
+ sky130_fd_sc_hd__mux2_2 \u_mux_level_0d.u_mux  (.A0(\clkbuf_26.X ),
+    .A1(\clkbuf_27.X ),
+    .S(net2),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
+    .X(\u_mux_level_0d.X ));
+ sky130_fd_sc_hd__mux2_2 \u_mux_level_0e.u_mux  (.A0(\clkbuf_28.X ),
+    .A1(\clkbuf_29.X ),
+    .S(net2),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
+    .X(\u_mux_level_0e.X ));
+ sky130_fd_sc_hd__mux2_2 \u_mux_level_0f.u_mux  (.A0(\clkbuf_30.X ),
+    .A1(\clkbuf_31.X ),
+    .S(net2),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
+    .X(\u_mux_level_0f.X ));
+ sky130_fd_sc_hd__mux2_2 \u_mux_level_10.u_mux  (.A0(\u_mux_level_00.X ),
+    .A1(\u_mux_level_01.X ),
+    .S(net3),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
+    .X(\u_mux_level_10.X ));
+ sky130_fd_sc_hd__mux2_2 \u_mux_level_11.u_mux  (.A0(\u_mux_level_02.X ),
+    .A1(\u_mux_level_03.X ),
+    .S(net3),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
+    .X(\u_mux_level_11.X ));
+ sky130_fd_sc_hd__mux2_2 \u_mux_level_12.u_mux  (.A0(\u_mux_level_04.X ),
+    .A1(\u_mux_level_05.X ),
+    .S(net3),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
+    .X(\u_mux_level_12.X ));
+ sky130_fd_sc_hd__mux2_2 \u_mux_level_13.u_mux  (.A0(\u_mux_level_06.X ),
+    .A1(\u_mux_level_07.X ),
+    .S(net3),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
+    .X(\u_mux_level_13.X ));
+ sky130_fd_sc_hd__mux2_2 \u_mux_level_14.u_mux  (.A0(\u_mux_level_08.X ),
+    .A1(\u_mux_level_09.X ),
+    .S(net3),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
+    .X(\u_mux_level_14.X ));
+ sky130_fd_sc_hd__mux2_2 \u_mux_level_15.u_mux  (.A0(\u_mux_level_0a.X ),
+    .A1(\u_mux_level_0b.X ),
+    .S(net3),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
+    .X(\u_mux_level_15.X ));
+ sky130_fd_sc_hd__mux2_2 \u_mux_level_16.u_mux  (.A0(\u_mux_level_0c.X ),
+    .A1(\u_mux_level_0d.X ),
+    .S(net3),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
+    .X(\u_mux_level_16.X ));
+ sky130_fd_sc_hd__mux2_2 \u_mux_level_17.u_mux  (.A0(\u_mux_level_0e.X ),
+    .A1(\u_mux_level_0f.X ),
+    .S(net3),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
+    .X(\u_mux_level_17.X ));
+ sky130_fd_sc_hd__mux2_2 \u_mux_level_20.u_mux  (.A0(\u_mux_level_10.X ),
+    .A1(\u_mux_level_11.X ),
+    .S(net4),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
+    .X(\u_mux_level_20.X ));
+ sky130_fd_sc_hd__mux2_2 \u_mux_level_21.u_mux  (.A0(\u_mux_level_12.X ),
+    .A1(\u_mux_level_13.X ),
+    .S(net4),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
+    .X(\u_mux_level_21.X ));
+ sky130_fd_sc_hd__mux2_2 \u_mux_level_22.u_mux  (.A0(\u_mux_level_14.X ),
+    .A1(\u_mux_level_15.X ),
+    .S(net4),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
+    .X(\u_mux_level_22.X ));
+ sky130_fd_sc_hd__mux2_2 \u_mux_level_23.u_mux  (.A0(\u_mux_level_16.X ),
+    .A1(\u_mux_level_17.X ),
+    .S(net4),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
+    .X(\u_mux_level_23.X ));
+ sky130_fd_sc_hd__mux2_2 \u_mux_level_30.u_mux  (.A0(\u_mux_level_20.X ),
+    .A1(\u_mux_level_21.X ),
+    .S(net5),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
+    .X(\u_mux_level_30.X ));
+ sky130_fd_sc_hd__mux2_2 \u_mux_level_31.u_mux  (.A0(\u_mux_level_22.X ),
+    .A1(\u_mux_level_23.X ),
+    .S(net5),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
+    .X(\u_mux_level_31.X ));
+ sky130_fd_sc_hd__mux2_2 \u_mux_level_40.u_mux  (.A0(\u_mux_level_30.X ),
+    .A1(\u_mux_level_31.X ),
+    .S(net6),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1),
+    .X(\u_clk_out_buf.A ));
+endmodule
diff --git a/verilog/lib/clk_skew_adjust.gv b/verilog/lib/clk_skew_adjust.gv
index 857c2db..ccab011 100644
--- a/verilog/lib/clk_skew_adjust.gv
+++ b/verilog/lib/clk_skew_adjust.gv
@@ -226,6 +226,7 @@
   // fifth level mux - 1
   ctech_mux2x1_4 u_mux_level_40 ( .X (d40) , .A0 (d30), .A1(d31), .S(sel[4]));
 
-  assign clk_out = d40;
+  // output clock
+  ctech_clk_buf u_clk_out_buf (.A(d40), .X(clk_out));
 
 endmodule