Connect Marmot's gpio_out[31:0] to la_data_out[31:0]
diff --git a/verilog/dv/marmot_test1/gcc_dry2reg_flash.mem b/verilog/dv/marmot_test1/gcc_dry2reg_flash.mem
new file mode 100644
index 0000000..0138686
--- /dev/null
+++ b/verilog/dv/marmot_test1/gcc_dry2reg_flash.mem
@@ -0,0 +1,8348 @@
+// gcc_dry2reg_flash.srec
+@00000000
+37
+21
+00
+08
+13
+01
+01
+FF
+75
+24
+00
+00
+00
+00
+00
+00
+@00000010
+00
+00
+00
+00
+00
+00
+00
+00
+00
+00
+00
+00
+00
+00
+00
+00
+@00000020
+00
+00
+00
+00
+00
+00
+00
+00
+00
+00
+00
+00
+00
+00
+00
+00
+@00000030
+00
+00
+00
+00
+00
+00
+00
+00
+00
+00
+00
+00
+00
+00
+00
+00
+@00000040
+00
+00
+00
+00
+00
+00
+00
+00
+00
+00
+17
+27
+00
+20
+03
+47
+@00000050
+B7
+7B
+93
+07
+10
+04
+63
+03
+F7
+00
+82
+80
+1C
+41
+17
+27
+@00000060
+00
+20
+03
+27
+E7
+7A
+A5
+07
+99
+8F
+1C
+C1
+82
+80
+97
+27
+@00000070
+00
+20
+93
+87
+67
+7A
+90
+43
+01
+C6
+18
+42
+18
+C1
+90
+43
+@00000080
+31
+06
+97
+25
+00
+20
+83
+A5
+A5
+78
+29
+45
+BD
+A2
+41
+11
+@00000090
+4A
+C0
+17
+29
+00
+20
+13
+09
+29
+78
+83
+27
+09
+00
+22
+C4
+@000000A0
+00
+41
+98
+43
+83
+AE
+47
+00
+03
+AE
+87
+00
+03
+A3
+07
+01
+@000000B0
+83
+A8
+47
+01
+03
+A8
+87
+01
+8C
+53
+D0
+53
+94
+57
+26
+C2
+@000000C0
+06
+C6
+AA
+84
+C8
+4F
+DC
+57
+18
+C0
+98
+40
+48
+CC
+5C
+D4
+@000000D0
+23
+22
+D4
+01
+95
+47
+23
+24
+C4
+01
+23
+28
+64
+00
+23
+2A
+@000000E0
+14
+01
+23
+2C
+04
+01
+0C
+D0
+50
+D0
+14
+D4
+DC
+C4
+5C
+C4
+@000000F0
+18
+C0
+22
+85
+AD
+3F
+5C
+40
+B1
+CF
+9C
+40
+B2
+40
+22
+44
+@00000100
+83
+AF
+07
+00
+03
+AF
+47
+00
+83
+AE
+87
+00
+03
+AE
+C7
+00
+@00000110
+03
+A3
+07
+01
+83
+A8
+47
+01
+03
+A8
+87
+01
+CC
+4F
+90
+53
+@00000120
+D4
+53
+98
+57
+DC
+57
+23
+A0
+F4
+01
+23
+A2
+E4
+01
+23
+A4
+@00000130
+D4
+01
+23
+A6
+C4
+01
+23
+A8
+64
+00
+23
+AA
+14
+01
+23
+AC
+@00000140
+04
+01
+CC
+CC
+90
+D0
+D4
+D0
+98
+D4
+DC
+D4
+02
+49
+92
+44
+@00000150
+41
+01
+82
+80
+88
+44
+99
+47
+93
+05
+84
+00
+5C
+C4
+85
+20
+@00000160
+83
+27
+09
+00
+48
+44
+13
+06
+C4
+00
+9C
+43
+B2
+40
+92
+44
+@00000170
+1C
+C0
+22
+44
+02
+49
+A9
+45
+41
+01
+41
+A0
+17
+27
+00
+20
+@00000180
+13
+07
+C7
+68
+14
+43
+97
+27
+00
+20
+83
+C7
+F7
+67
+93
+87
+@00000190
+F7
+FB
+93
+B7
+17
+00
+D5
+8F
+1C
+C3
+93
+07
+20
+04
+17
+27
+@000001A0
+00
+20
+23
+03
+F7
+66
+82
+80
+93
+07
+10
+04
+17
+27
+00
+20
+@000001B0
+A3
+0C
+F7
+64
+97
+27
+00
+20
+23
+AA
+07
+64
+82
+80
+09
+47
+@000001C0
+63
+0A
+E5
+02
+8D
+47
+9C
+C1
+85
+47
+63
+09
+F5
+00
+63
+FF
+@000001D0
+A7
+00
+91
+47
+63
+1F
+F5
+00
+98
+C1
+82
+80
+17
+27
+00
+20
+@000001E0
+03
+27
+07
+63
+93
+07
+40
+06
+E3
+D9
+E7
+FE
+23
+A0
+05
+00
+@000001F0
+82
+80
+82
+80
+85
+47
+9C
+C1
+82
+80
+09
+05
+AA
+95
+0C
+C2
+@00000200
+82
+80
+13
+07
+56
+00
+13
+08
+80
+0C
+33
+08
+07
+03
+0A
+06
+@00000210
+93
+17
+27
+00
+3E
+95
+14
+C1
+38
+DD
+54
+C1
+B3
+07
+C8
+00
+@00000220
+AE
+97
+94
+4B
+D8
+CB
+98
+CF
+13
+87
+16
+00
+98
+CB
+18
+41
+@00000230
+C2
+95
+B2
+95
+85
+67
+BE
+95
+95
+47
+23
+AA
+E5
+FA
+17
+27
+@00000240
+00
+20
+23
+27
+F7
+5C
+82
+80
+13
+75
+F5
+0F
+93
+F5
+F5
+0F
+@00000250
+63
+04
+B5
+00
+01
+45
+82
+80
+97
+27
+00
+20
+A3
+86
+A7
+5A
+@00000260
+05
+45
+82
+80
+41
+11
+06
+C6
+17
+28
+00
+20
+13
+08
+D8
+59
+@00000270
+83
+47
+25
+00
+03
+C7
+35
+00
+03
+46
+08
+00
+81
+46
+63
+84
+@00000280
+E7
+02
+99
+C2
+23
+00
+C8
+00
+EF
+00
+50
+2E
+81
+47
+63
+58
+@00000290
+A0
+00
+A9
+47
+17
+27
+00
+20
+23
+2C
+F7
+56
+85
+47
+B2
+40
+@000002A0
+3E
+85
+41
+01
+82
+80
+85
+46
+3E
+86
+D1
+BF
+79
+15
+13
+35
+@000002B0
+15
+00
+82
+80
+17
+05
+00
+E8
+13
+05
+C5
+D4
+17
+06
+00
+E8
+@000002C0
+13
+06
+86
+DB
+41
+11
+09
+8E
+97
+15
+00
+00
+93
+85
+A5
+32
+@000002D0
+06
+C6
+EF
+00
+50
+21
+37
+45
+01
+10
+97
+00
+00
+E8
+E7
+80
+@000002E0
+60
+D2
+37
+45
+03
+10
+97
+00
+00
+E8
+E7
+80
+A0
+D1
+B7
+17
+@000002F0
+EE
+02
+37
+47
+03
+10
+93
+87
+27
+A0
+17
+05
+00
+20
+13
+05
+@00000300
+65
+D0
+17
+06
+00
+20
+13
+06
+66
+D0
+3C
+D7
+09
+8E
+97
+25
+@00000310
+00
+00
+93
+85
+A5
+B9
+EF
+00
+10
+1D
+17
+05
+00
+20
+13
+05
+@00000320
+E5
+CE
+17
+26
+00
+20
+13
+06
+66
+50
+09
+8E
+81
+45
+EF
+00
+@00000330
+F0
+19
+97
+05
+00
+20
+83
+A5
+25
+CD
+01
+45
+EF
+00
+10
+0F
+@00000340
+EF
+00
+70
+4E
+B7
+27
+01
+10
+98
+5F
+B7
+06
+00
+C0
+0A
+07
+@00000350
+09
+83
+98
+DF
+98
+47
+55
+8F
+98
+C7
+37
+07
+00
+80
+D8
+C7
+@00000360
+01
+A0
+1D
+71
+A2
+CE
+A6
+CC
+13
+F8
+07
+04
+17
+2E
+00
+00
+@00000370
+13
+0E
+8E
+AF
+63
+16
+08
+00
+17
+2E
+00
+00
+13
+0E
+4E
+AC
+@00000380
+13
+F4
+07
+01
+63
+02
+04
+14
+F9
+9B
+A2
+84
+13
+F8
+27
+00
+@00000390
+93
+0F
+00
+02
+93
+F3
+07
+02
+63
+06
+08
+14
+63
+C6
+05
+14
+@000003A0
+13
+F8
+47
+00
+63
+1C
+08
+16
+A1
+8B
+81
+42
+81
+C7
+FD
+16
+@000003B0
+93
+02
+00
+02
+63
+8A
+03
+00
+C1
+47
+63
+0F
+F6
+16
+93
+07
+@000003C0
+86
+FF
+93
+B7
+17
+00
+9D
+8E
+63
+97
+05
+12
+93
+07
+00
+03
+@000003D0
+23
+06
+F1
+00
+01
+43
+13
+08
+00
+03
+85
+48
+7C
+00
+C6
+8E
+@000003E0
+63
+D3
+E8
+00
+BA
+8E
+33
+8E
+D6
+41
+93
+05
+FE
+FF
+91
+EC
+@000003F0
+B3
+06
+C5
+01
+13
+07
+00
+02
+63
+5A
+C0
+15
+05
+05
+A3
+0F
+@00000400
+E5
+FE
+E3
+1D
+D5
+FE
+F9
+55
+7D
+5E
+63
+85
+02
+00
+23
+00
+@00000410
+55
+00
+05
+05
+63
+88
+03
+00
+21
+47
+63
+01
+E6
+12
+41
+47
+@00000420
+63
+02
+E6
+10
+0D
+E8
+2A
+86
+05
+47
+63
+56
+C0
+13
+05
+06
+@00000430
+B3
+06
+C7
+40
+AE
+96
+AA
+96
+A3
+0F
+F6
+FF
+E3
+49
+D0
+FE
+@00000440
+13
+C7
+F5
+FF
+7D
+87
+6D
+8F
+FD
+15
+33
+8E
+E5
+40
+05
+07
+@00000450
+3A
+95
+93
+05
+FE
+FF
+33
+87
+1E
+41
+2A
+97
+93
+06
+00
+03
+@00000460
+63
+D4
+D8
+0F
+05
+05
+A3
+0F
+D5
+FE
+E3
+1D
+A7
+FE
+33
+86
+@00000470
+67
+00
+BA
+86
+05
+45
+19
+A0
+03
+48
+06
+00
+85
+06
+B3
+07
+@00000480
+D5
+40
+9A
+97
+BA
+97
+A3
+8F
+06
+FF
+7D
+16
+E3
+46
+F0
+FE
+@00000490
+13
+05
+13
+00
+3A
+95
+63
+55
+C0
+03
+2A
+87
+13
+06
+00
+02
+@000004A0
+85
+46
+05
+07
+B3
+87
+E6
+40
+AE
+97
+AA
+97
+A3
+0F
+C7
+FE
+@000004B0
+E3
+49
+F0
+FE
+93
+C7
+F5
+FF
+FD
+87
+FD
+8D
+85
+05
+2E
+95
+@000004C0
+76
+44
+E6
+44
+25
+61
+82
+80
+13
+F8
+17
+00
+93
+F4
+17
+01
+@000004D0
+93
+0F
+00
+03
+E3
+0C
+08
+EA
+13
+F8
+27
+00
+93
+F3
+07
+02
+@000004E0
+E3
+1E
+08
+EA
+81
+42
+F9
+B5
+B3
+05
+B0
+40
+FD
+16
+93
+02
+@000004F0
+D0
+02
+E3
+93
+03
+EC
+81
+48
+7C
+00
+33
+F8
+C5
+02
+46
+83
+@00000500
+85
+08
+33
+8F
+17
+01
+AE
+8E
+72
+98
+03
+48
+08
+00
+B3
+D5
+@00000510
+C5
+02
+A3
+0F
+0F
+FF
+E3
+F2
+CE
+FE
+D1
+B5
+FD
+16
+93
+02
+@00000520
+B0
+02
+49
+BD
+13
+07
+00
+03
+23
+00
+E5
+00
+13
+07
+80
+07
+@00000530
+A3
+00
+E5
+00
+09
+05
+FD
+B5
+F9
+16
+79
+B5
+13
+07
+00
+03
+@00000540
+23
+00
+E5
+00
+05
+05
+F9
+BD
+2A
+87
+15
+B7
+13
+07
+EE
+FF
+@00000550
+2E
+8E
+BA
+85
+5D
+BD
+2E
+8E
+FD
+15
+F5
+BD
+AA
+85
+01
+45
+@00000560
+19
+AF
+49
+71
+23
+28
+61
+13
+23
+26
+11
+14
+23
+24
+81
+14
+@00000570
+23
+22
+91
+14
+23
+20
+21
+15
+23
+2E
+31
+13
+23
+2C
+41
+13
+@00000580
+23
+2A
+51
+13
+23
+26
+71
+13
+23
+24
+81
+13
+23
+22
+91
+13
+@00000590
+23
+20
+A1
+13
+23
+2A
+B1
+14
+23
+2C
+C1
+14
+23
+2E
+D1
+14
+@000005A0
+23
+20
+E1
+16
+23
+22
+F1
+16
+23
+24
+01
+17
+23
+26
+11
+17
+@000005B0
+83
+47
+05
+00
+13
+0B
+41
+15
+5A
+C2
+63
+89
+07
+5C
+93
+09
+@000005C0
+01
+02
+2A
+83
+97
+1A
+00
+00
+93
+8A
+4A
+0A
+4E
+85
+93
+0B
+@000005D0
+E0
+02
+17
+1A
+00
+00
+13
+0A
+AA
+0D
+97
+24
+00
+00
+93
+84
+@000005E0
+24
+86
+17
+14
+00
+00
+13
+04
+A4
+1A
+13
+07
+50
+02
+63
+84
+@000005F0
+E7
+06
+23
+00
+F5
+00
+83
+47
+13
+00
+05
+05
+05
+03
+F5
+F7
+@00000600
+23
+00
+05
+00
+83
+45
+01
+02
+63
+84
+05
+12
+05
+44
+33
+04
+@00000610
+34
+41
+01
+45
+8D
+25
+83
+C5
+19
+00
+33
+85
+89
+00
+85
+09
+@00000620
+ED
+F9
+83
+20
+C1
+14
+03
+24
+81
+14
+83
+24
+41
+14
+03
+29
+@00000630
+01
+14
+83
+29
+C1
+13
+03
+2A
+81
+13
+83
+2A
+41
+13
+03
+2B
+@00000640
+01
+13
+83
+2B
+C1
+12
+03
+2C
+81
+12
+83
+2C
+41
+12
+03
+2D
+@00000650
+01
+12
+75
+61
+82
+80
+81
+47
+C1
+46
+83
+45
+13
+00
+13
+09
+@00000660
+13
+00
+13
+87
+05
+FE
+13
+77
+F7
+0F
+63
+E7
+E6
+00
+0A
+07
+@00000670
+56
+97
+18
+43
+56
+97
+02
+87
+13
+87
+05
+FD
+13
+77
+F7
+0F
+@00000680
+A5
+46
+63
+FB
+E6
+0C
+13
+07
+A0
+02
+FD
+56
+63
+89
+E5
+0E
+@00000690
+7D
+57
+63
+81
+75
+0B
+13
+F6
+F5
+0D
+13
+08
+C0
+04
+63
+03
+@000006A0
+06
+05
+13
+86
+F5
+FB
+13
+76
+F6
+0F
+13
+08
+70
+03
+63
+6E
+@000006B0
+C8
+04
+0A
+06
+52
+96
+10
+42
+52
+96
+02
+86
+93
+E7
+17
+00
+@000006C0
+4A
+83
+61
+BF
+93
+E7
+07
+01
+4A
+83
+41
+BF
+93
+E7
+47
+00
+@000006D0
+4A
+83
+61
+B7
+93
+E7
+07
+02
+4A
+83
+41
+B7
+93
+E7
+87
+00
+@000006E0
+4A
+83
+A5
+BF
+03
+48
+19
+00
+13
+03
+70
+03
+93
+08
+19
+00
+@000006F0
+13
+06
+F8
+FB
+13
+76
+F6
+0F
+63
+67
+C3
+00
+0A
+06
+22
+96
+@00000700
+10
+42
+22
+96
+02
+86
+C2
+85
+46
+89
+13
+07
+50
+02
+93
+07
+@00000710
+15
+00
+63
+89
+E5
+42
+23
+00
+E5
+00
+03
+47
+09
+00
+63
+1A
+@00000720
+07
+4C
+3E
+85
+23
+00
+05
+00
+83
+45
+01
+02
+E3
+90
+05
+EE
+@00000730
+01
+45
+C5
+BD
+83
+45
+19
+00
+25
+46
+13
+08
+19
+00
+13
+87
+@00000740
+05
+FD
+13
+77
+F7
+0F
+63
+77
+E6
+3A
+13
+07
+A0
+02
+63
+8B
+@00000750
+E5
+3C
+42
+89
+01
+47
+81
+B7
+81
+46
+25
+46
+13
+97
+26
+00
+@00000760
+BA
+96
+05
+09
+86
+06
+AE
+96
+83
+45
+09
+00
+93
+86
+06
+FD
+@00000770
+13
+87
+05
+FD
+13
+77
+F7
+0F
+E3
+72
+E6
+FE
+11
+BF
+83
+26
+@00000780
+0B
+00
+83
+45
+23
+00
+13
+09
+23
+00
+11
+0B
+E3
+D2
+06
+F0
+@00000790
+B3
+06
+D0
+40
+93
+E7
+07
+01
+E5
+BD
+13
+08
+4B
+00
+41
+46
+@000007A0
+83
+25
+0B
+00
+42
+8B
+75
+3E
+83
+47
+19
+00
+13
+03
+19
+00
+@000007B0
+E3
+9D
+07
+E2
+B1
+B5
+13
+08
+4B
+00
+29
+46
+D5
+B7
+46
+89
+@000007C0
+03
+26
+0B
+00
+11
+0B
+63
+01
+06
+3A
+83
+45
+06
+00
+63
+8B
+@000007D0
+05
+3E
+63
+09
+07
+3E
+B2
+85
+29
+A0
+33
+88
+E5
+40
+63
+07
+@000007E0
+C8
+00
+03
+C8
+15
+00
+85
+05
+E3
+19
+08
+FE
+C1
+8B
+91
+8D
+@000007F0
+63
+82
+07
+3A
+63
+5B
+B0
+40
+33
+08
+B6
+00
+AA
+87
+03
+47
+@00000800
+06
+00
+05
+06
+85
+07
+A3
+8F
+E7
+FE
+E3
+1A
+06
+FF
+33
+07
+@00000810
+B5
+00
+33
+85
+B6
+40
+13
+03
+19
+00
+3A
+95
+93
+07
+00
+02
+@00000820
+63
+D1
+D5
+3C
+05
+07
+A3
+0F
+F7
+FE
+E3
+1D
+A7
+FE
+83
+47
+@00000830
+19
+00
+E3
+9C
+07
+DA
+E9
+B3
+46
+89
+7D
+56
+63
+82
+C6
+32
+@00000840
+83
+25
+0B
+00
+41
+46
+11
+0B
+29
+3E
+83
+47
+19
+00
+13
+03
+@00000850
+19
+00
+E3
+9C
+07
+D8
+6D
+B3
+13
+08
+4B
+00
+21
+46
+89
+B7
+@00000860
+93
+E7
+27
+00
+13
+08
+4B
+00
+29
+46
+1D
+BF
+46
+89
+C1
+8B
+@00000870
+FD
+16
+63
+80
+07
+30
+03
+26
+0B
+00
+13
+07
+15
+00
+93
+87
+@00000880
+16
+00
+23
+00
+C5
+00
+11
+0B
+3E
+95
+13
+03
+19
+00
+BA
+87
+@00000890
+13
+06
+00
+02
+63
+57
+D0
+34
+85
+07
+A3
+8F
+C7
+FE
+E3
+9D
+@000008A0
+A7
+FE
+83
+47
+19
+00
+33
+05
+D7
+00
+E3
+90
+07
+D4
+89
+BB
+@000008B0
+93
+E7
+07
+04
+13
+08
+4B
+00
+41
+46
+DD
+B5
+03
+27
+0B
+00
+@000008C0
+93
+E7
+07
+04
+11
+0B
+83
+45
+07
+00
+01
+48
+93
+08
+47
+00
+@000008D0
+93
+0E
+30
+06
+A5
+4F
+29
+43
+13
+0F
+40
+06
+13
+0E
+00
+03
+@000008E0
+13
+06
+18
+00
+9D
+E1
+0C
+12
+2E
+98
+23
+04
+C8
+EF
+05
+07
+@000008F0
+63
+06
+17
+07
+0C
+12
+B2
+95
+23
+84
+75
+EF
+83
+45
+07
+00
+@00000900
+13
+08
+16
+00
+13
+06
+18
+00
+F9
+DD
+63
+D0
+BE
+1C
+33
+EC
+@00000910
+E5
+03
+93
+02
+01
+12
+33
+8D
+02
+01
+B3
+8C
+C2
+00
+93
+03
+@00000920
+28
+00
+13
+06
+38
+00
+B3
+C5
+E5
+03
+33
+48
+6C
+02
+B3
+82
+@00000930
+B4
+00
+83
+C5
+02
+00
+23
+04
+BD
+EE
+B3
+65
+6C
+02
+26
+98
+@00000940
+03
+48
+08
+00
+23
+84
+0C
+EF
+A6
+95
+03
+C8
+05
+00
+0C
+12
+@00000950
+9E
+95
+23
+84
+05
+EF
+05
+07
+E3
+1E
+17
+F9
+C1
+8B
+13
+88
+@00000960
+F6
+FF
+95
+E3
+B3
+87
+C6
+40
+AA
+97
+13
+07
+00
+02
+63
+54
+@00000970
+D6
+28
+05
+05
+A3
+0F
+E5
+FE
+E3
+9D
+A7
+FE
+B3
+06
+D6
+40
+@00000980
+C2
+96
+13
+88
+F6
+FF
+3C
+00
+B3
+05
+C5
+00
+03
+C7
+07
+00
+@00000990
+05
+05
+85
+07
+A3
+0F
+E5
+FE
+E3
+1A
+B5
+FE
+E3
+56
+D6
+E0
+@000009A0
+2E
+87
+13
+05
+00
+02
+85
+46
+05
+07
+B3
+87
+E6
+40
+C2
+97
+@000009B0
+AE
+97
+A3
+0F
+A7
+FE
+E3
+49
+F6
+FE
+05
+45
+63
+5F
+C8
+16
+@000009C0
+2E
+95
+DD
+B3
+93
+E7
+27
+00
+29
+46
+13
+03
+C0
+06
+13
+08
+@000009D0
+4B
+00
+63
+9E
+65
+20
+83
+25
+0B
+00
+46
+89
+42
+8B
+E1
+B3
+@000009E0
+21
+46
+E5
+B7
+93
+E7
+07
+04
+41
+46
+C5
+B7
+93
+E7
+07
+04
+@000009F0
+13
+06
+C0
+06
+03
+27
+0B
+00
+11
+0B
+63
+9F
+C5
+1A
+13
+F6
+@00000A00
+07
+04
+A6
+88
+09
+C6
+97
+18
+00
+00
+93
+88
+E8
+45
+13
+03
+@00000A10
+81
+00
+13
+0E
+A1
+01
+9A
+85
+93
+0E
+A0
+03
+19
+A0
+A3
+8F
+@00000A20
+D5
+FF
+03
+46
+07
+00
+8D
+05
+05
+07
+13
+58
+46
+00
+3D
+8A
+@00000A30
+46
+98
+46
+96
+03
+48
+08
+00
+03
+46
+06
+00
+A3
+8E
+05
+FF
+@00000A40
+23
+8F
+C5
+FE
+E3
+9D
+C5
+FD
+C1
+8B
+13
+86
+F6
+FF
+9D
+E3
+@00000A50
+93
+85
+F6
+FE
+45
+48
+33
+07
+B5
+00
+93
+07
+00
+02
+63
+51
+@00000A60
+D8
+1A
+05
+05
+A3
+0F
+F5
+FE
+E3
+1D
+E5
+FE
+B3
+06
+B6
+40
+@00000A70
+13
+86
+F6
+FF
+AA
+87
+93
+05
+13
+01
+03
+47
+03
+00
+05
+03
+@00000A80
+85
+07
+A3
+8F
+E7
+FE
+E3
+1A
+B3
+FE
+C5
+47
+45
+05
+63
+D7
+@00000A90
+D7
+02
+2A
+87
+13
+08
+00
+02
+85
+45
+C5
+46
+05
+07
+B3
+87
+@00000AA0
+E5
+40
+B2
+97
+AA
+97
+A3
+0F
+07
+FF
+E3
+C9
+F6
+FE
+41
+47
+@00000AB0
+85
+47
+63
+54
+C7
+00
+93
+07
+06
+FF
+3E
+95
+83
+47
+29
+00
+@00000AC0
+13
+03
+29
+00
+E3
+93
+07
+B2
+25
+BE
+C2
+83
+E3
+DE
+BF
+E6
+@00000AD0
+B3
+C2
+65
+02
+93
+03
+01
+12
+33
+8C
+03
+01
+B2
+83
+13
+06
+@00000AE0
+28
+00
+33
+88
+54
+00
+03
+48
+08
+00
+B3
+E5
+65
+02
+23
+04
+@00000AF0
+0C
+EF
+99
+BD
+01
+47
+A5
+48
+13
+16
+27
+00
+32
+97
+05
+08
+@00000B00
+06
+07
+2E
+97
+83
+45
+08
+00
+13
+07
+07
+FD
+13
+86
+05
+FD
+@00000B10
+13
+76
+F6
+0F
+E3
+F2
+C8
+FE
+42
+89
+B5
+BE
+03
+27
+0B
+00
+@00000B20
+11
+0B
+55
+B3
+03
+27
+0B
+00
+83
+45
+29
+00
+11
+0B
+13
+46
+@00000B30
+F7
+FF
+7D
+86
+71
+8F
+09
+09
+B9
+BE
+91
+8E
+33
+85
+06
+01
+@00000B40
+2E
+95
+9D
+B1
+03
+47
+09
+00
+BE
+86
+AA
+87
+36
+85
+23
+80
+@00000B50
+E7
+00
+83
+47
+19
+00
+13
+03
+19
+00
+E3
+98
+07
+A8
+4D
+B4
+@00000B60
+93
+E7
+17
+00
+A1
+46
+E9
+B9
+17
+16
+00
+00
+13
+06
+46
+32
+@00000B70
+8D
+B1
+33
+07
+D5
+00
+93
+07
+00
+02
+63
+5B
+D0
+04
+05
+05
+@00000B80
+A3
+0F
+F5
+FE
+E3
+1D
+E5
+FE
+FD
+56
+F5
+B1
+93
+09
+01
+02
+@00000B90
+4E
+85
+BD
+B4
+13
+88
+F6
+FF
+63
+D2
+D5
+08
+B3
+87
+B6
+40
+@00000BA0
+AA
+97
+13
+07
+00
+02
+05
+05
+A3
+0F
+E5
+FE
+E3
+1D
+F5
+FE
+@00000BB0
+B3
+86
+D5
+40
+C2
+96
+3D
+B9
+46
+89
+31
+B3
+41
+46
+31
+B5
+@00000BC0
+29
+46
+21
+B5
+93
+F5
+07
+01
+B9
+C1
+2A
+87
+81
+45
+91
+B1
+@00000BD0
+83
+27
+0B
+00
+13
+07
+15
+00
+11
+0B
+23
+00
+F5
+00
+13
+03
+@00000BE0
+19
+00
+83
+47
+19
+00
+3A
+85
+E3
+91
+07
+A0
+11
+BC
+46
+89
+@00000BF0
+45
+BE
+09
+05
+A9
+BF
+93
+87
+E6
+FF
+C2
+86
+3E
+88
+61
+B3
+@00000C00
+93
+87
+E6
+FF
+B2
+86
+3E
+86
+B5
+B5
+2A
+87
+19
+B1
+13
+88
+@00000C10
+F6
+FF
+E3
+45
+D0
+F8
+C2
+86
+2A
+87
+E5
+BE
+C2
+86
+D9
+BE
+@00000C20
+AA
+87
+73
+27
+00
+B0
+01
+45
+98
+C3
+82
+80
+93
+17
+25
+00
+@00000C30
+17
+15
+00
+00
+13
+05
+C5
+C3
+3E
+95
+18
+41
+85
+47
+1C
+C7
+@00000C40
+5C
+C7
+63
+8A
+F5
+00
+93
+07
+30
+36
+1C
+CF
+5C
+43
+E3
+DF
+@00000C50
+07
+FE
+01
+45
+82
+80
+BD
+47
+1C
+CF
+CD
+BF
+93
+17
+25
+00
+@00000C60
+17
+15
+00
+00
+13
+05
+C5
+C0
+3E
+95
+1C
+41
+88
+43
+13
+45
+@00000C70
+F5
+FF
+7D
+81
+82
+80
+93
+17
+25
+00
+17
+15
+00
+00
+13
+05
+@00000C80
+25
+BF
+3E
+95
+18
+41
+1C
+43
+E3
+CF
+07
+FE
+0C
+C3
+01
+45
+@00000C90
+82
+80
+93
+17
+25
+00
+17
+15
+00
+00
+13
+05
+65
+BD
+3E
+95
+@00000CA0
+1C
+41
+DC
+43
+13
+C5
+F7
+FF
+23
+80
+F5
+00
+7D
+81
+82
+80
+@00000CB0
+93
+17
+25
+00
+17
+15
+00
+00
+13
+05
+85
+BB
+3E
+95
+1C
+41
+@00000CC0
+C8
+43
+E3
+4F
+05
+FE
+13
+75
+F5
+0F
+82
+80
+93
+F5
+F5
+0F
+@00000CD0
+33
+07
+C5
+00
+AA
+87
+63
+57
+C0
+00
+85
+07
+A3
+8F
+B7
+FE
+@00000CE0
+E3
+1D
+F7
+FE
+82
+80
+63
+5C
+C0
+00
+2A
+96
+AA
+87
+03
+C7
+@00000CF0
+05
+00
+85
+07
+85
+05
+A3
+8F
+E7
+FE
+E3
+1A
+F6
+FE
+82
+80
+@00000D00
+63
+55
+C0
+02
+2E
+96
+19
+A0
+63
+01
+B6
+02
+83
+47
+05
+00
+@00000D10
+03
+C7
+05
+00
+05
+05
+85
+05
+E3
+88
+E7
+FE
+33
+35
+F7
+00
+@00000D20
+33
+05
+A0
+40
+09
+89
+7D
+15
+82
+80
+01
+45
+82
+80
+83
+47
+@00000D30
+05
+00
+2A
+87
+01
+45
+81
+CB
+05
+05
+B3
+07
+A7
+00
+83
+C7
+@00000D40
+07
+00
+FD
+FB
+82
+80
+82
+80
+83
+C7
+05
+00
+23
+00
+F5
+00
+@00000D50
+83
+C7
+05
+00
+99
+CB
+AA
+87
+03
+C7
+15
+00
+85
+05
+85
+07
+@00000D60
+23
+80
+E7
+00
+03
+C7
+05
+00
+65
+FB
+82
+80
+19
+A0
+63
+9D
+@00000D70
+E7
+00
+83
+47
+05
+00
+03
+C7
+05
+00
+05
+05
+85
+05
+B3
+E6
+@00000D80
+E7
+00
+F5
+F6
+01
+45
+82
+80
+33
+35
+F7
+00
+33
+05
+A0
+40
+@00000D90
+09
+89
+7D
+15
+82
+80
+AA
+87
+33
+08
+C5
+00
+03
+C7
+07
+00
+@00000DA0
+B3
+06
+F8
+40
+19
+EB
+03
+C7
+05
+00
+0D
+C7
+32
+95
+1D
+8D
+@00000DB0
+33
+25
+A0
+00
+33
+05
+A0
+40
+82
+80
+63
+5D
+D0
+00
+83
+C6
+@00000DC0
+05
+00
+85
+07
+85
+05
+E3
+8B
+E6
+FC
+05
+45
+E3
+E6
+E6
+FE
+@00000DD0
+7D
+55
+82
+80
+01
+45
+82
+80
+41
+11
+22
+C4
+06
+C6
+A9
+47
+@00000DE0
+2A
+84
+63
+08
+F5
+00
+A2
+85
+22
+44
+B2
+40
+01
+45
+41
+01
+@00000DF0
+59
+B5
+B5
+45
+01
+45
+41
+35
+A2
+85
+22
+44
+B2
+40
+01
+45
+@00000E00
+41
+01
+95
+BD
+41
+11
+01
+45
+22
+C4
+06
+C6
+55
+35
+B5
+47
+@00000E10
+29
+44
+63
+03
+F5
+00
+2A
+84
+22
+85
+7D
+3F
+B2
+40
+22
+85
+@00000E20
+22
+44
+41
+01
+82
+80
+41
+11
+22
+C4
+06
+C6
+2A
+84
+03
+45
+@00000E30
+05
+00
+11
+C5
+05
+04
+4D
+37
+03
+45
+04
+00
+65
+FD
+B2
+40
+@00000E40
+22
+44
+01
+45
+41
+01
+82
+80
+41
+11
+22
+C4
+26
+C2
+4A
+C0
+@00000E50
+06
+C6
+29
+49
+2A
+84
+81
+44
+75
+37
+63
+0C
+25
+01
+23
+00
+@00000E60
+A4
+00
+93
+87
+14
+00
+05
+04
+19
+C5
+BE
+84
+61
+3F
+E3
+18
+@00000E70
+25
+FF
+23
+00
+04
+00
+B2
+40
+22
+44
+02
+49
+26
+85
+92
+44
+@00000E80
+41
+01
+82
+80
+01
+11
+06
+CE
+22
+CC
+23
+06
+01
+00
+05
+ED
+@00000E90
+91
+E1
+85
+45
+93
+07
+B1
+00
+13
+07
+00
+03
+91
+C5
+23
+80
+@00000EA0
+E7
+00
+FD
+15
+FD
+17
+E5
+FD
+03
+C5
+17
+00
+13
+84
+17
+00
+@00000EB0
+11
+C5
+05
+04
+15
+37
+03
+45
+04
+00
+65
+FD
+F2
+40
+62
+44
+@00000EC0
+01
+45
+05
+61
+82
+80
+2A
+87
+93
+77
+F7
+00
+17
+18
+00
+00
+@00000ED0
+13
+08
+88
+FC
+C2
+97
+03
+C5
+07
+00
+13
+04
+B1
+00
+93
+07
+@00000EE0
+F4
+FF
+A3
+80
+A7
+00
+11
+83
+99
+CD
+FD
+15
+55
+D7
+3E
+84
+@00000EF0
+93
+77
+F7
+00
+C2
+97
+03
+C5
+07
+00
+11
+83
+93
+07
+F4
+FF
+@00000F00
+A3
+80
+A7
+00
+FD
+F1
+93
+76
+F7
+00
+C2
+96
+13
+86
+F7
+FF
+@00000F10
+45
+D3
+03
+C5
+06
+00
+11
+83
+3E
+84
+23
+80
+A7
+00
+93
+76
+@00000F20
+F7
+00
+B2
+87
+C2
+96
+13
+86
+F7
+FF
+59
+D3
+DD
+B7
+41
+11
+@00000F30
+01
+45
+06
+C6
+F9
+20
+B2
+40
+97
+27
+00
+20
+23
+A0
+A7
+8E
+@00000F40
+97
+27
+00
+20
+23
+AE
+A7
+8C
+85
+47
+17
+27
+00
+20
+23
+2B
+@00000F50
+F7
+8C
+41
+01
+82
+80
+85
+47
+23
+2C
+F5
+FE
+82
+80
+41
+11
+@00000F60
+4A
+C0
+17
+29
+00
+20
+13
+09
+E9
+8B
+83
+27
+09
+00
+22
+C4
+@00000F70
+06
+C6
+26
+C2
+13
+04
+85
+00
+A1
+C7
+97
+24
+00
+20
+93
+84
+@00000F80
+E4
+89
+94
+40
+17
+25
+00
+20
+03
+25
+85
+89
+63
+08
+D5
+04
+@00000F90
+18
+41
+5C
+41
+19
+C3
+63
+DD
+87
+04
+3E
+95
+E3
+1A
+D5
+FE
+@00000FA0
+22
+85
+85
+20
+88
+40
+B3
+07
+85
+00
+9C
+C0
+23
+20
+05
+00
+@00000FB0
+40
+C1
+B2
+40
+22
+44
+92
+44
+02
+49
+21
+05
+41
+01
+82
+80
+@00000FC0
+01
+45
+81
+20
+97
+27
+00
+20
+23
+AC
+A7
+84
+97
+24
+00
+20
+@00000FD0
+93
+84
+C4
+84
+85
+47
+88
+C0
+23
+20
+F9
+00
+22
+85
+15
+20
+@00000FE0
+88
+40
+B3
+07
+85
+00
+9C
+C0
+23
+20
+05
+00
+40
+C1
+D1
+B7
+@00000FF0
+B2
+40
+22
+44
+23
+20
+05
+00
+92
+44
+02
+49
+21
+05
+41
+01
+@00001000
+82
+80
+17
+27
+00
+20
+13
+07
+27
+82
+1C
+43
+89
+C7
+3E
+95
+@00001010
+08
+C3
+3E
+85
+82
+80
+97
+27
+00
+20
+93
+87
+27
+81
+3E
+95
+@00001020
+08
+C3
+3E
+85
+82
+80
+@00001026
+35
+71
+13
+05
+00
+03
+06
+CF
+22
+CD
+E6
+DA
+26
+CB
+4A
+C9
+@00001036
+4E
+C7
+52
+C5
+56
+C3
+5A
+C1
+DE
+DE
+E2
+DC
+EA
+D8
+EE
+D6
+@00001046
+21
+3F
+AA
+87
+17
+14
+00
+20
+13
+04
+64
+7C
+13
+05
+00
+03
+@00001056
+1C
+C0
+19
+37
+1C
+40
+97
+1C
+00
+20
+93
+8C
+8C
+7B
+23
+A0
+@00001066
+AC
+00
+1C
+C1
+89
+47
+1C
+C5
+93
+07
+80
+02
+5C
+C5
+97
+15
+@00001076
+00
+00
+93
+85
+05
+81
+23
+22
+05
+00
+41
+05
+D9
+31
+97
+15
+@00001086
+00
+00
+93
+85
+05
+82
+08
+10
+6D
+39
+A9
+47
+17
+F7
+FF
+1F
+@00001096
+13
+07
+E7
+04
+17
+15
+00
+00
+13
+05
+E5
+AA
+23
+2E
+F7
+64
+@000010A6
+EF
+F0
+CF
+CB
+17
+15
+00
+00
+13
+05
+A5
+81
+EF
+F0
+0F
+CB
+@000010B6
+17
+15
+00
+00
+13
+05
+25
+A9
+EF
+F0
+4F
+CA
+97
+F7
+FF
+1F
+@000010C6
+83
+A7
+E7
+F3
+63
+87
+07
+50
+17
+15
+00
+00
+13
+05
+65
+82
+@000010D6
+EF
+F0
+CF
+C8
+17
+15
+00
+00
+13
+05
+E5
+A6
+EF
+F0
+0F
+C8
+@000010E6
+17
+15
+00
+00
+13
+05
+A5
+86
+EF
+F0
+4F
+C7
+17
+15
+00
+00
+@000010F6
+13
+05
+65
+A5
+EF
+F0
+8F
+C6
+A9
+45
+17
+15
+00
+00
+13
+05
+@00001106
+85
+88
+EF
+F0
+AF
+C5
+17
+F5
+FF
+1F
+13
+05
+C5
+EF
+31
+36
+@00001116
+97
+F7
+FF
+1F
+93
+87
+27
+EF
+9C
+43
+17
+17
+00
+20
+13
+07
+@00001126
+07
+6E
+85
+44
+1C
+C3
+97
+19
+00
+20
+93
+89
+99
+6D
+17
+1A
+@00001136
+00
+20
+13
+0A
+4A
+6D
+17
+14
+00
+20
+13
+04
+84
+6C
+97
+1A
+@00001146
+00
+20
+93
+8A
+8A
+6C
+93
+0B
+10
+04
+05
+4B
+17
+1D
+00
+00
+@00001156
+13
+0D
+6D
+86
+17
+1C
+00
+00
+13
+0C
+EC
+87
+93
+07
+20
+04
+@00001166
+EA
+85
+88
+00
+23
+00
+F4
+00
+23
+80
+79
+01
+23
+20
+6A
+01
+@00001176
+C9
+3E
+8C
+00
+08
+10
+5A
+CE
+EF
+F0
+6F
+8E
+93
+37
+15
+00
+@00001186
+30
+08
+8D
+45
+23
+20
+FA
+00
+09
+45
+9D
+47
+3E
+CC
+EF
+F0
+@00001196
+6F
+86
+E2
+46
+0D
+46
+97
+F5
+FF
+1F
+93
+85
+45
+F4
+17
+F5
+@000011A6
+FF
+1F
+13
+05
+45
+E7
+EF
+F0
+6F
+85
+03
+A5
+0C
+00
+EF
+E0
+@000011B6
+BF
+ED
+03
+47
+04
+00
+93
+07
+00
+04
+63
+FA
+E7
+40
+93
+0D
+@000011C6
+10
+04
+0D
+49
+09
+A8
+03
+47
+04
+00
+93
+87
+1D
+00
+93
+FD
+@000011D6
+F7
+0F
+63
+6C
+B7
+03
+93
+05
+30
+04
+6E
+85
+EF
+F0
+6F
+86
+@000011E6
+72
+47
+E3
+12
+E5
+FE
+6C
+08
+01
+45
+EF
+E0
+FF
+FC
+E2
+85
+@000011F6
+88
+00
+81
+3E
+03
+47
+04
+00
+93
+87
+1D
+00
+23
+A0
+9A
+00
+@00001206
+93
+FD
+F7
+0F
+26
+89
+E3
+78
+B7
+FD
+93
+17
+19
+00
+3E
+99
+@00001216
+62
+46
+03
+C7
+09
+00
+B3
+46
+C9
+02
+B6
+87
+63
+18
+77
+01
+@00001226
+83
+A7
+0A
+00
+13
+87
+96
+00
+B3
+07
+F7
+40
+85
+04
+2D
+47
+@00001236
+E3
+96
+E4
+F2
+17
+F5
+FF
+1F
+13
+05
+E5
+DC
+36
+C4
+32
+C2
+@00001246
+3E
+C6
+97
+FB
+FF
+1F
+93
+8B
+0B
+DC
+C1
+3A
+03
+A7
+0B
+00
+@00001256
+17
+1B
+00
+20
+13
+0B
+6B
+5A
+17
+05
+00
+00
+13
+05
+A5
+79
+@00001266
+23
+20
+EB
+00
+EF
+F0
+8F
+AF
+17
+15
+00
+00
+13
+05
+A5
+8D
+@00001276
+EF
+F0
+CF
+AE
+17
+05
+00
+00
+13
+05
+E5
+78
+EF
+F0
+0F
+AE
+@00001286
+17
+15
+00
+00
+13
+05
+25
+8C
+EF
+F0
+4F
+AD
+83
+A5
+0A
+00
+@00001296
+17
+05
+00
+00
+13
+05
+A5
+7A
+97
+14
+00
+20
+93
+84
+A4
+55
+@000012A6
+EF
+F0
+CF
+AB
+95
+45
+17
+05
+00
+00
+13
+05
+05
+7B
+EF
+F0
+@000012B6
+EF
+AA
+83
+25
+0A
+00
+17
+05
+00
+00
+13
+05
+C5
+7B
+EF
+F0
+@000012C6
+EF
+A9
+85
+45
+17
+05
+00
+00
+13
+05
+25
+79
+EF
+F0
+0F
+A9
+@000012D6
+83
+C5
+09
+00
+17
+05
+00
+00
+13
+05
+A5
+7B
+EF
+F0
+0F
+A8
+@000012E6
+93
+05
+10
+04
+17
+05
+00
+00
+13
+05
+65
+7C
+EF
+F0
+0F
+A7
+@000012F6
+83
+45
+04
+00
+17
+05
+00
+00
+13
+05
+25
+7D
+17
+14
+00
+20
+@00001306
+13
+04
+E4
+50
+EF
+F0
+8F
+A5
+93
+05
+20
+04
+17
+05
+00
+00
+@00001316
+13
+05
+E5
+79
+EF
+F0
+8F
+A4
+83
+A5
+0B
+03
+17
+05
+00
+00
+@00001326
+13
+05
+65
+7C
+EF
+F0
+8F
+A3
+9D
+45
+17
+05
+00
+00
+13
+05
+@00001336
+C5
+72
+EF
+F0
+AF
+A2
+97
+F7
+FF
+1F
+93
+87
+47
+DA
+83
+A5
+@00001346
+C7
+65
+17
+05
+00
+00
+13
+05
+C5
+7B
+EF
+F0
+2F
+A1
+17
+05
+@00001356
+00
+00
+13
+05
+C5
+7C
+EF
+F0
+6F
+A0
+17
+05
+00
+00
+13
+05
+@00001366
+C5
+7E
+EF
+F0
+AF
+9F
+03
+A7
+0C
+00
+17
+05
+00
+00
+13
+05
+@00001376
+85
+7E
+0C
+43
+EF
+F0
+8F
+9E
+17
+05
+00
+00
+13
+05
+65
+7F
+@00001386
+EF
+F0
+CF
+9D
+03
+A7
+0C
+00
+17
+15
+00
+00
+13
+05
+A5
+81
+@00001396
+4C
+43
+EF
+F0
+AF
+9C
+81
+45
+17
+05
+00
+00
+13
+05
+E5
+6B
+@000013A6
+EF
+F0
+CF
+9B
+03
+A7
+0C
+00
+17
+15
+00
+00
+13
+05
+65
+81
+@000013B6
+0C
+47
+EF
+F0
+AF
+9A
+89
+45
+17
+05
+00
+00
+13
+05
+E5
+69
+@000013C6
+EF
+F0
+CF
+99
+03
+A7
+0C
+00
+17
+15
+00
+00
+13
+05
+25
+81
+@000013D6
+4C
+47
+EF
+F0
+AF
+98
+C5
+45
+17
+05
+00
+00
+13
+05
+E5
+67
+@000013E6
+EF
+F0
+CF
+97
+83
+A5
+0C
+00
+17
+15
+00
+00
+13
+05
+E5
+80
+@000013F6
+C1
+05
+EF
+F0
+AF
+96
+17
+15
+00
+00
+13
+05
+C5
+81
+EF
+F0
+@00001406
+EF
+95
+17
+15
+00
+00
+13
+05
+85
+84
+EF
+F0
+2F
+95
+18
+40
+@00001416
+17
+05
+00
+00
+13
+05
+25
+74
+0C
+43
+EF
+F0
+2F
+94
+17
+15
+@00001426
+00
+00
+13
+05
+05
+84
+EF
+F0
+6F
+93
+18
+40
+17
+05
+00
+00
+@00001436
+13
+05
+65
+77
+4C
+43
+EF
+F0
+6F
+92
+81
+45
+17
+05
+00
+00
+@00001446
+13
+05
+A5
+61
+EF
+F0
+8F
+91
+18
+40
+17
+05
+00
+00
+13
+05
+@00001456
+45
+77
+0C
+47
+EF
+F0
+8F
+90
+85
+45
+17
+05
+00
+00
+13
+05
+@00001466
+C5
+5F
+EF
+F0
+AF
+8F
+18
+40
+17
+05
+00
+00
+13
+05
+25
+77
+@00001476
+4C
+47
+EF
+F0
+AF
+8E
+C9
+45
+17
+05
+00
+00
+13
+05
+E5
+5D
+@00001486
+EF
+F0
+CF
+8D
+0C
+40
+17
+05
+00
+00
+13
+05
+05
+77
+17
+14
+@00001496
+00
+20
+13
+04
+C4
+36
+C1
+05
+EF
+F0
+4F
+8C
+17
+05
+00
+00
+@000014A6
+13
+05
+65
+77
+EF
+F0
+8F
+8B
+B2
+47
+17
+05
+00
+00
+13
+05
+@000014B6
+45
+7F
+BE
+85
+EF
+F0
+8F
+8A
+95
+45
+17
+05
+00
+00
+13
+05
+@000014C6
+C5
+59
+EF
+F0
+AF
+89
+12
+46
+A2
+46
+17
+05
+00
+00
+13
+05
+@000014D6
+05
+7F
+33
+09
+C9
+40
+93
+17
+39
+00
+33
+89
+27
+41
+B3
+05
+@000014E6
+D9
+40
+EF
+F0
+AF
+87
+B5
+45
+17
+05
+00
+00
+13
+05
+E5
+56
+@000014F6
+EF
+F0
+CF
+86
+E2
+45
+17
+05
+00
+00
+13
+05
+05
+7E
+EF
+F0
+@00001506
+EF
+85
+9D
+45
+17
+05
+00
+00
+13
+05
+25
+55
+EF
+F0
+0F
+85
+@00001516
+F2
+45
+17
+05
+00
+00
+13
+05
+05
+7E
+EF
+F0
+2F
+84
+85
+45
+@00001526
+17
+05
+00
+00
+13
+05
+65
+53
+EF
+F0
+4F
+83
+0C
+10
+17
+05
+@00001536
+00
+00
+13
+05
+05
+7E
+EF
+F0
+6F
+82
+17
+05
+00
+00
+13
+05
+@00001546
+05
+7F
+EF
+F0
+AF
+81
+8C
+00
+17
+15
+00
+00
+13
+05
+A5
+81
+@00001556
+EF
+F0
+CF
+80
+17
+15
+00
+00
+13
+05
+A5
+82
+EF
+F0
+0F
+80
+@00001566
+17
+05
+00
+00
+13
+05
+25
+5E
+EF
+E0
+5F
+FF
+18
+40
+83
+27
+@00001576
+0B
+00
+17
+15
+00
+00
+13
+05
+45
+84
+99
+8F
+9C
+C0
+EF
+E0
+@00001586
+FF
+FD
+17
+15
+00
+00
+13
+05
+C5
+86
+EF
+E0
+3F
+FD
+94
+40
+@00001596
+03
+26
+0B
+00
+0C
+40
+17
+15
+00
+00
+13
+05
+85
+87
+EF
+E0
+@000015A6
+FF
+FB
+17
+05
+00
+00
+13
+05
+05
+5A
+EF
+E0
+3F
+FB
+FA
+40
+@000015B6
+6A
+44
+DA
+44
+4A
+49
+BA
+49
+2A
+4A
+9A
+4A
+0A
+4B
+F6
+5B
+@000015C6
+66
+5C
+D6
+5C
+46
+5D
+B6
+5D
+01
+45
+0D
+61
+82
+80
+25
+49
+@000015D6
+81
+B1
+17
+05
+00
+00
+13
+05
+85
+34
+EF
+E0
+3F
+F8
+17
+05
+@000015E6
+00
+00
+13
+05
+45
+56
+EF
+E0
+7F
+F7
+DD
+BC
+@000015F2
+41
+11
+0F
+00
+F0
+0F
+0F
+10
+00
+00
+14
+41
+05
+47
+85
+47
+@00001602
+63
+86
+E6
+00
+1C
+C1
+18
+41
+E3
+1E
+F7
+FE
+38
+51
+93
+07
+@00001612
+05
+06
+09
+C7
+23
+A0
+07
+00
+98
+43
+6D
+FF
+93
+06
+85
+04
+@00001622
+98
+42
+E3
+4F
+07
+FE
+13
+07
+50
+03
+38
+C5
+37
+37
+0B
+00
+@00001632
+13
+07
+77
+A4
+3A
+C6
+74
+51
+32
+46
+13
+07
+45
+06
+63
+08
+@00001642
+D6
+00
+B2
+46
+14
+C3
+10
+43
+B2
+46
+E3
+1C
+D6
+FE
+30
+51
+@00001652
+85
+46
+05
+47
+63
+06
+D6
+00
+98
+C3
+94
+43
+E3
+9E
+E6
+FE
+@00001662
+41
+01
+82
+80
+@00001668
+74
+F0
+FF
+FF
+10
+F0
+FF
+FF
+10
+F0
+FF
+FF
+6C
+F0
+FF
+FF
+@00001678
+10
+F0
+FF
+FF
+10
+F0
+FF
+FF
+10
+F0
+FF
+FF
+10
+F0
+FF
+FF
+@00001688
+10
+F0
+FF
+FF
+10
+F0
+FF
+FF
+10
+F0
+FF
+FF
+64
+F0
+FF
+FF
+@00001698
+10
+F0
+FF
+FF
+5C
+F0
+FF
+FF
+10
+F0
+FF
+FF
+10
+F0
+FF
+FF
+@000016A8
+54
+F0
+FF
+FF
+10
+F2
+FF
+FF
+5E
+F0
+FF
+FF
+5E
+F0
+FF
+FF
+@000016B8
+5E
+F0
+FF
+FF
+5E
+F0
+FF
+FF
+5E
+F0
+FF
+FF
+5E
+F0
+FF
+FF
+@000016C8
+5E
+F0
+FF
+FF
+5E
+F0
+FF
+FF
+5E
+F0
+FF
+FF
+5E
+F0
+FF
+FF
+@000016D8
+5E
+F0
+FF
+FF
+5E
+F0
+FF
+FF
+5E
+F0
+FF
+FF
+5E
+F0
+FF
+FF
+@000016E8
+5E
+F0
+FF
+FF
+5E
+F0
+FF
+FF
+5E
+F0
+FF
+FF
+5E
+F0
+FF
+FF
+@000016F8
+5E
+F0
+FF
+FF
+5E
+F0
+FF
+FF
+5E
+F0
+FF
+FF
+5E
+F0
+FF
+FF
+@00001708
+04
+F2
+FF
+FF
+5E
+F0
+FF
+FF
+5E
+F0
+FF
+FF
+5E
+F0
+FF
+FF
+@00001718
+5E
+F0
+FF
+FF
+5E
+F0
+FF
+FF
+5E
+F0
+FF
+FF
+5E
+F0
+FF
+FF
+@00001728
+5E
+F0
+FF
+FF
+70
+F4
+FF
+FF
+5E
+F0
+FF
+FF
+C2
+F1
+FF
+FF
+@00001738
+B4
+F1
+FF
+FF
+5E
+F0
+FF
+FF
+5E
+F0
+FF
+FF
+5E
+F0
+FF
+FF
+@00001748
+5E
+F0
+FF
+FF
+B4
+F1
+FF
+FF
+5E
+F0
+FF
+FF
+5E
+F0
+FF
+FF
+@00001758
+5E
+F0
+FF
+FF
+5E
+F0
+FF
+FF
+5E
+F0
+FF
+FF
+AC
+F1
+FF
+FF
+@00001768
+8E
+F1
+FF
+FF
+5E
+F0
+FF
+FF
+5E
+F0
+FF
+FF
+14
+F1
+FF
+FF
+@00001778
+5E
+F0
+FF
+FF
+0A
+F1
+FF
+FF
+5E
+F0
+FF
+FF
+5E
+F0
+FF
+FF
+@00001788
+EE
+F0
+FF
+FF
+60
+F2
+FF
+FF
+7A
+EF
+FF
+FF
+7A
+EF
+FF
+FF
+@00001798
+7A
+EF
+FF
+FF
+7A
+EF
+FF
+FF
+7A
+EF
+FF
+FF
+7A
+EF
+FF
+FF
+@000017A8
+7A
+EF
+FF
+FF
+7A
+EF
+FF
+FF
+7A
+EF
+FF
+FF
+7A
+EF
+FF
+FF
+@000017B8
+7A
+EF
+FF
+FF
+7A
+EF
+FF
+FF
+7A
+EF
+FF
+FF
+7A
+EF
+FF
+FF
+@000017C8
+7A
+EF
+FF
+FF
+7A
+EF
+FF
+FF
+7A
+EF
+FF
+FF
+7A
+EF
+FF
+FF
+@000017D8
+7A
+EF
+FF
+FF
+7A
+EF
+FF
+FF
+7A
+EF
+FF
+FF
+7A
+EF
+FF
+FF
+@000017E8
+58
+F2
+FF
+FF
+7A
+EF
+FF
+FF
+7A
+EF
+FF
+FF
+7A
+EF
+FF
+FF
+@000017F8
+7A
+EF
+FF
+FF
+7A
+EF
+FF
+FF
+7A
+EF
+FF
+FF
+7A
+EF
+FF
+FF
+@00001808
+7A
+EF
+FF
+FF
+64
+F2
+FF
+FF
+7A
+EF
+FF
+FF
+E0
+F0
+FF
+FF
+@00001818
+38
+F2
+FF
+FF
+7A
+EF
+FF
+FF
+7A
+EF
+FF
+FF
+7A
+EF
+FF
+FF
+@00001828
+7A
+EF
+FF
+FF
+38
+F2
+FF
+FF
+7A
+EF
+FF
+FF
+7A
+EF
+FF
+FF
+@00001838
+7A
+EF
+FF
+FF
+7A
+EF
+FF
+FF
+7A
+EF
+FF
+FF
+54
+F2
+FF
+FF
+@00001848
+AC
+F0
+FF
+FF
+7A
+EF
+FF
+FF
+7A
+EF
+FF
+FF
+32
+F0
+FF
+FF
+@00001858
+7A
+EF
+FF
+FF
+34
+F4
+FF
+FF
+7A
+EF
+FF
+FF
+7A
+EF
+FF
+FF
+@00001868
+30
+F4
+FF
+FF
+00
+30
+01
+10
+00
+30
+02
+10
+00
+30
+03
+10
+@00001878
+00
+30
+04
+10
+00
+30
+05
+10
+00
+30
+06
+10
+44
+48
+52
+59
+@00001888
+53
+54
+4F
+4E
+45
+20
+50
+52
+4F
+47
+52
+41
+4D
+2C
+20
+53
+@00001898
+4F
+4D
+45
+20
+53
+54
+52
+49
+4E
+47
+00
+00
+44
+48
+52
+59
+@000018A8
+53
+54
+4F
+4E
+45
+20
+50
+52
+4F
+47
+52
+41
+4D
+2C
+20
+31
+@000018B8
+27
+53
+54
+20
+53
+54
+52
+49
+4E
+47
+00
+00
+44
+68
+72
+79
+@000018C8
+73
+74
+6F
+6E
+65
+20
+42
+65
+6E
+63
+68
+6D
+61
+72
+6B
+2C
+@000018D8
+20
+56
+65
+72
+73
+69
+6F
+6E
+20
+32
+2E
+31
+20
+28
+4C
+61
+@000018E8
+6E
+67
+75
+61
+67
+65
+3A
+20
+43
+29
+0A
+00
+50
+72
+6F
+67
+@000018F8
+72
+61
+6D
+20
+63
+6F
+6D
+70
+69
+6C
+65
+64
+20
+77
+69
+74
+@00001908
+68
+20
+27
+72
+65
+67
+69
+73
+74
+65
+72
+27
+20
+61
+74
+74
+@00001918
+72
+69
+62
+75
+74
+65
+0A
+00
+50
+72
+6F
+67
+72
+61
+6D
+20
+@00001928
+63
+6F
+6D
+70
+69
+6C
+65
+64
+20
+77
+69
+74
+68
+6F
+75
+74
+@00001938
+20
+27
+72
+65
+67
+69
+73
+74
+65
+72
+27
+20
+61
+74
+74
+72
+@00001948
+69
+62
+75
+74
+65
+0A
+00
+00
+50
+6C
+65
+61
+73
+65
+20
+67
+@00001958
+69
+76
+65
+20
+74
+68
+65
+20
+6E
+75
+6D
+62
+65
+72
+20
+6F
+@00001968
+66
+20
+72
+75
+6E
+73
+20
+74
+68
+72
+6F
+75
+67
+68
+20
+74
+@00001978
+68
+65
+20
+62
+65
+6E
+63
+68
+6D
+61
+72
+6B
+3A
+20
+00
+00
+@00001988
+45
+78
+65
+63
+75
+74
+69
+6F
+6E
+20
+73
+74
+61
+72
+74
+73
+@00001998
+2C
+20
+25
+64
+20
+72
+75
+6E
+73
+20
+74
+68
+72
+6F
+75
+67
+@000019A8
+68
+20
+44
+68
+72
+79
+73
+74
+6F
+6E
+65
+0A
+00
+00
+00
+00
+@000019B8
+44
+48
+52
+59
+53
+54
+4F
+4E
+45
+20
+50
+52
+4F
+47
+52
+41
+@000019C8
+4D
+2C
+20
+32
+27
+4E
+44
+20
+53
+54
+52
+49
+4E
+47
+00
+00
+@000019D8
+44
+48
+52
+59
+53
+54
+4F
+4E
+45
+20
+50
+52
+4F
+47
+52
+41
+@000019E8
+4D
+2C
+20
+33
+27
+52
+44
+20
+53
+54
+52
+49
+4E
+47
+00
+00
+@000019F8
+45
+78
+65
+63
+75
+74
+69
+6F
+6E
+20
+65
+6E
+64
+73
+0A
+00
+@00001A08
+46
+69
+6E
+61
+6C
+20
+76
+61
+6C
+75
+65
+73
+20
+6F
+66
+20
+@00001A18
+74
+68
+65
+20
+76
+61
+72
+69
+61
+62
+6C
+65
+73
+20
+75
+73
+@00001A28
+65
+64
+20
+69
+6E
+20
+74
+68
+65
+20
+62
+65
+6E
+63
+68
+6D
+@00001A38
+61
+72
+6B
+3A
+0A
+00
+00
+00
+49
+6E
+74
+5F
+47
+6C
+6F
+62
+@00001A48
+3A
+20
+20
+20
+20
+20
+20
+20
+20
+20
+20
+20
+20
+25
+64
+0A
+@00001A58
+00
+00
+00
+00
+20
+20
+20
+20
+20
+20
+20
+20
+73
+68
+6F
+75
+@00001A68
+6C
+64
+20
+62
+65
+3A
+20
+20
+20
+25
+64
+0A
+00
+00
+00
+00
+@00001A78
+42
+6F
+6F
+6C
+5F
+47
+6C
+6F
+62
+3A
+20
+20
+20
+20
+20
+20
+@00001A88
+20
+20
+20
+20
+20
+25
+64
+0A
+00
+00
+00
+00
+43
+68
+5F
+31
+@00001A98
+5F
+47
+6C
+6F
+62
+3A
+20
+20
+20
+20
+20
+20
+20
+20
+20
+20
+@00001AA8
+20
+25
+63
+0A
+00
+00
+00
+00
+20
+20
+20
+20
+20
+20
+20
+20
+@00001AB8
+73
+68
+6F
+75
+6C
+64
+20
+62
+65
+3A
+20
+20
+20
+25
+63
+0A
+@00001AC8
+00
+00
+00
+00
+43
+68
+5F
+32
+5F
+47
+6C
+6F
+62
+3A
+20
+20
+@00001AD8
+20
+20
+20
+20
+20
+20
+20
+20
+20
+25
+63
+0A
+00
+00
+00
+00
+@00001AE8
+41
+72
+72
+5F
+31
+5F
+47
+6C
+6F
+62
+5B
+38
+5D
+3A
+20
+20
+@00001AF8
+20
+20
+20
+20
+20
+25
+64
+0A
+00
+00
+00
+00
+41
+72
+72
+5F
+@00001B08
+32
+5F
+47
+6C
+6F
+62
+5B
+38
+5D
+5B
+37
+5D
+3A
+20
+20
+20
+@00001B18
+20
+25
+64
+0A
+00
+00
+00
+00
+20
+20
+20
+20
+20
+20
+20
+20
+@00001B28
+73
+68
+6F
+75
+6C
+64
+20
+62
+65
+3A
+20
+20
+20
+4E
+75
+6D
+@00001B38
+62
+65
+72
+5F
+4F
+66
+5F
+52
+75
+6E
+73
+20
+2B
+20
+31
+30
+@00001B48
+0A
+00
+00
+00
+50
+74
+72
+5F
+47
+6C
+6F
+62
+2D
+3E
+0A
+00
+@00001B58
+20
+20
+50
+74
+72
+5F
+43
+6F
+6D
+70
+3A
+20
+20
+20
+20
+20
+@00001B68
+20
+20
+20
+20
+20
+25
+64
+0A
+00
+00
+00
+00
+20
+20
+20
+20
+@00001B78
+20
+20
+20
+20
+73
+68
+6F
+75
+6C
+64
+20
+62
+65
+3A
+20
+20
+@00001B88
+20
+28
+69
+6D
+70
+6C
+65
+6D
+65
+6E
+74
+61
+74
+69
+6F
+6E
+@00001B98
+2D
+64
+65
+70
+65
+6E
+64
+65
+6E
+74
+29
+0A
+00
+00
+00
+00
+@00001BA8
+20
+20
+44
+69
+73
+63
+72
+3A
+20
+20
+20
+20
+20
+20
+20
+20
+@00001BB8
+20
+20
+20
+20
+20
+25
+64
+0A
+00
+00
+00
+00
+20
+20
+45
+6E
+@00001BC8
+75
+6D
+5F
+43
+6F
+6D
+70
+3A
+20
+20
+20
+20
+20
+20
+20
+20
+@00001BD8
+20
+25
+64
+0A
+00
+00
+00
+00
+20
+20
+49
+6E
+74
+5F
+43
+6F
+@00001BE8
+6D
+70
+3A
+20
+20
+20
+20
+20
+20
+20
+20
+20
+20
+25
+64
+0A
+@00001BF8
+00
+00
+00
+00
+20
+20
+53
+74
+72
+5F
+43
+6F
+6D
+70
+3A
+20
+@00001C08
+20
+20
+20
+20
+20
+20
+20
+20
+20
+25
+73
+0A
+00
+00
+00
+00
+@00001C18
+20
+20
+20
+20
+20
+20
+20
+20
+73
+68
+6F
+75
+6C
+64
+20
+62
+@00001C28
+65
+3A
+20
+20
+20
+44
+48
+52
+59
+53
+54
+4F
+4E
+45
+20
+50
+@00001C38
+52
+4F
+47
+52
+41
+4D
+2C
+20
+53
+4F
+4D
+45
+20
+53
+54
+52
+@00001C48
+49
+4E
+47
+0A
+00
+00
+00
+00
+4E
+65
+78
+74
+5F
+50
+74
+72
+@00001C58
+5F
+47
+6C
+6F
+62
+2D
+3E
+0A
+00
+00
+00
+00
+20
+20
+20
+20
+@00001C68
+20
+20
+20
+20
+73
+68
+6F
+75
+6C
+64
+20
+62
+65
+3A
+20
+20
+@00001C78
+20
+28
+69
+6D
+70
+6C
+65
+6D
+65
+6E
+74
+61
+74
+69
+6F
+6E
+@00001C88
+2D
+64
+65
+70
+65
+6E
+64
+65
+6E
+74
+29
+2C
+20
+73
+61
+6D
+@00001C98
+65
+20
+61
+73
+20
+61
+62
+6F
+76
+65
+0A
+00
+49
+6E
+74
+5F
+@00001CA8
+31
+5F
+4C
+6F
+63
+3A
+20
+20
+20
+20
+20
+20
+20
+20
+20
+20
+@00001CB8
+20
+25
+64
+0A
+00
+00
+00
+00
+49
+6E
+74
+5F
+32
+5F
+4C
+6F
+@00001CC8
+63
+3A
+20
+20
+20
+20
+20
+20
+20
+20
+20
+20
+20
+25
+64
+0A
+@00001CD8
+00
+00
+00
+00
+49
+6E
+74
+5F
+33
+5F
+4C
+6F
+63
+3A
+20
+20
+@00001CE8
+20
+20
+20
+20
+20
+20
+20
+20
+20
+25
+64
+0A
+00
+00
+00
+00
+@00001CF8
+45
+6E
+75
+6D
+5F
+4C
+6F
+63
+3A
+20
+20
+20
+20
+20
+20
+20
+@00001D08
+20
+20
+20
+20
+20
+25
+64
+0A
+00
+00
+00
+00
+53
+74
+72
+5F
+@00001D18
+31
+5F
+4C
+6F
+63
+3A
+20
+20
+20
+20
+20
+20
+20
+20
+20
+20
+@00001D28
+20
+25
+73
+0A
+00
+00
+00
+00
+20
+20
+20
+20
+20
+20
+20
+20
+@00001D38
+73
+68
+6F
+75
+6C
+64
+20
+62
+65
+3A
+20
+20
+20
+44
+48
+52
+@00001D48
+59
+53
+54
+4F
+4E
+45
+20
+50
+52
+4F
+47
+52
+41
+4D
+2C
+20
+@00001D58
+31
+27
+53
+54
+20
+53
+54
+52
+49
+4E
+47
+0A
+00
+00
+00
+00
+@00001D68
+53
+74
+72
+5F
+32
+5F
+4C
+6F
+63
+3A
+20
+20
+20
+20
+20
+20
+@00001D78
+20
+20
+20
+20
+20
+25
+73
+0A
+00
+00
+00
+00
+20
+20
+20
+20
+@00001D88
+20
+20
+20
+20
+73
+68
+6F
+75
+6C
+64
+20
+62
+65
+3A
+20
+20
+@00001D98
+20
+44
+48
+52
+59
+53
+54
+4F
+4E
+45
+20
+50
+52
+4F
+47
+52
+@00001DA8
+41
+4D
+2C
+20
+32
+27
+4E
+44
+20
+53
+54
+52
+49
+4E
+47
+0A
+@00001DB8
+00
+00
+00
+00
+4D
+65
+61
+73
+75
+72
+65
+64
+20
+74
+69
+6D
+@00001DC8
+65
+20
+74
+6F
+6F
+20
+73
+6D
+61
+6C
+6C
+20
+74
+6F
+20
+6F
+@00001DD8
+62
+74
+61
+69
+6E
+20
+6D
+65
+61
+6E
+69
+6E
+67
+66
+75
+6C
+@00001DE8
+20
+72
+65
+73
+75
+6C
+74
+73
+0A
+00
+00
+00
+50
+6C
+65
+61
+@00001DF8
+73
+65
+20
+69
+6E
+63
+72
+65
+61
+73
+65
+20
+6E
+75
+6D
+62
+@00001E08
+65
+72
+20
+6F
+66
+20
+72
+75
+6E
+73
+0A
+00
+42
+65
+67
+69
+@00001E18
+6E
+5F
+74
+69
+6D
+65
+3D
+25
+64
+20
+45
+6E
+64
+5F
+54
+69
+@00001E28
+6D
+65
+3D
+25
+64
+20
+55
+73
+65
+72
+5F
+54
+69
+6D
+65
+3D
+@00001E38
+25
+64
+0A
+00
+30
+31
+32
+33
+34
+35
+36
+37
+38
+39
+61
+62
+@00001E48
+63
+64
+65
+66
+67
+68
+69
+6A
+6B
+6C
+6D
+6E
+6F
+70
+71
+72
+@00001E58
+73
+74
+75
+76
+77
+78
+79
+7A
+00
+00
+00
+00
+30
+31
+32
+33
+@00001E68
+34
+35
+36
+37
+38
+39
+41
+42
+43
+44
+45
+46
+47
+48
+49
+4A
+@00001E78
+4B
+4C
+4D
+4E
+4F
+50
+51
+52
+53
+54
+55
+56
+57
+58
+59
+5A
+@00001E88
+00
+00
+00
+00
+3C
+4E
+55
+4C
+4C
+3E
+00
+00
+30
+31
+32
+33
+@00001E98
+34
+35
+36
+37
+38
+39
+61
+62
+63
+64
+65
+66
+00
+00
+00
+00
+@00001EA8
+01
+00
+00
+00
+01
+00
+00
+00
diff --git a/verilog/dv/marmot_test1/hello_flash.mem b/verilog/dv/marmot_test1/hello_flash.mem
new file mode 100644
index 0000000..1ae2f8e
--- /dev/null
+++ b/verilog/dv/marmot_test1/hello_flash.mem
@@ -0,0 +1,4325 @@
+// spi_flash.srec
+@00000000
+13
+09
+80
+00
+73
+10
+49
+30
+93
+04
+00
+00
+73
+29
+40
+F1
+@00000010
+63
+96
+24
+03
+37
+21
+00
+08
+13
+01
+01
+FF
+EF
+00
+10
+42
+@00000020
+B7
+04
+00
+02
+13
+09
+10
+00
+23
+A0
+24
+01
+93
+84
+44
+00
+@00000030
+37
+09
+00
+02
+13
+09
+09
+08
+E3
+C6
+24
+FF
+73
+00
+50
+10
+@00000040
+73
+29
+40
+34
+13
+79
+89
+00
+E3
+0A
+09
+FE
+B7
+04
+00
+02
+@00000050
+73
+29
+40
+F1
+13
+19
+29
+00
+33
+09
+99
+00
+23
+20
+09
+00
+@00000060
+03
+A9
+04
+00
+E3
+1E
+09
+FE
+93
+84
+44
+00
+37
+09
+00
+02
+@00000070
+13
+09
+09
+08
+E3
+C6
+24
+FF
+6F
+00
+00
+00
+93
+F5
+F5
+0F
+@00000080
+33
+07
+C5
+00
+AA
+87
+63
+57
+C0
+00
+85
+07
+A3
+8F
+B7
+FE
+@00000090
+E3
+1D
+F7
+FE
+82
+80
+63
+5C
+C0
+00
+2A
+96
+AA
+87
+03
+C7
+@000000A0
+05
+00
+85
+07
+85
+05
+A3
+8F
+E7
+FE
+E3
+1A
+F6
+FE
+82
+80
+@000000B0
+63
+55
+C0
+02
+2E
+96
+19
+A0
+63
+01
+B6
+02
+83
+47
+05
+00
+@000000C0
+03
+C7
+05
+00
+05
+05
+85
+05
+E3
+88
+E7
+FE
+33
+35
+F7
+00
+@000000D0
+33
+05
+A0
+40
+09
+89
+7D
+15
+82
+80
+01
+45
+82
+80
+83
+47
+@000000E0
+05
+00
+2A
+87
+01
+45
+81
+CB
+05
+05
+B3
+07
+A7
+00
+83
+C7
+@000000F0
+07
+00
+FD
+FB
+82
+80
+82
+80
+83
+C7
+05
+00
+23
+00
+F5
+00
+@00000100
+83
+C7
+05
+00
+99
+CB
+AA
+87
+03
+C7
+15
+00
+85
+05
+85
+07
+@00000110
+23
+80
+E7
+00
+03
+C7
+05
+00
+65
+FB
+82
+80
+19
+A0
+63
+9D
+@00000120
+E7
+00
+83
+47
+05
+00
+03
+C7
+05
+00
+05
+05
+85
+05
+B3
+E6
+@00000130
+E7
+00
+F5
+F6
+01
+45
+82
+80
+33
+35
+F7
+00
+33
+05
+A0
+40
+@00000140
+09
+89
+7D
+15
+82
+80
+AA
+87
+33
+08
+C5
+00
+03
+C7
+07
+00
+@00000150
+B3
+06
+F8
+40
+19
+EB
+03
+C7
+05
+00
+0D
+C7
+32
+95
+1D
+8D
+@00000160
+33
+25
+A0
+00
+33
+05
+A0
+40
+82
+80
+63
+5D
+D0
+00
+83
+C6
+@00000170
+05
+00
+85
+07
+85
+05
+E3
+8B
+E6
+FC
+05
+45
+E3
+E6
+E6
+FE
+@00000180
+7D
+55
+82
+80
+01
+45
+82
+80
+41
+11
+22
+C4
+06
+C6
+A9
+47
+@00000190
+2A
+84
+63
+08
+F5
+00
+A2
+85
+22
+44
+B2
+40
+01
+45
+41
+01
+@000001A0
+61
+A2
+B5
+45
+01
+45
+49
+22
+A2
+85
+22
+44
+B2
+40
+01
+45
+@000001B0
+41
+01
+9D
+AA
+41
+11
+01
+45
+22
+C4
+06
+C6
+5D
+22
+B5
+47
+@000001C0
+29
+44
+63
+03
+F5
+00
+2A
+84
+22
+85
+7D
+3F
+B2
+40
+22
+85
+@000001D0
+22
+44
+41
+01
+82
+80
+41
+11
+22
+C4
+06
+C6
+2A
+84
+03
+45
+@000001E0
+05
+00
+11
+C5
+05
+04
+4D
+37
+03
+45
+04
+00
+65
+FD
+B2
+40
+@000001F0
+22
+44
+01
+45
+41
+01
+82
+80
+41
+11
+22
+C4
+26
+C2
+4A
+C0
+@00000200
+06
+C6
+29
+49
+2A
+84
+81
+44
+75
+37
+63
+0C
+25
+01
+23
+00
+@00000210
+A4
+00
+93
+87
+14
+00
+05
+04
+19
+C5
+BE
+84
+61
+3F
+E3
+18
+@00000220
+25
+FF
+23
+00
+04
+00
+B2
+40
+22
+44
+02
+49
+26
+85
+92
+44
+@00000230
+41
+01
+82
+80
+01
+11
+06
+CE
+22
+CC
+23
+06
+01
+00
+05
+ED
+@00000240
+91
+E1
+85
+45
+93
+07
+B1
+00
+13
+07
+00
+03
+91
+C5
+23
+80
+@00000250
+E7
+00
+FD
+15
+FD
+17
+E5
+FD
+03
+C5
+17
+00
+13
+84
+17
+00
+@00000260
+11
+C5
+05
+04
+15
+37
+03
+45
+04
+00
+65
+FD
+F2
+40
+62
+44
+@00000270
+01
+45
+05
+61
+82
+80
+2A
+87
+93
+77
+F7
+00
+17
+18
+00
+00
+@00000280
+13
+08
+C8
+CF
+C2
+97
+03
+C5
+07
+00
+13
+04
+B1
+00
+93
+07
+@00000290
+F4
+FF
+A3
+80
+A7
+00
+11
+83
+99
+CD
+FD
+15
+55
+D7
+3E
+84
+@000002A0
+93
+77
+F7
+00
+C2
+97
+03
+C5
+07
+00
+11
+83
+93
+07
+F4
+FF
+@000002B0
+A3
+80
+A7
+00
+FD
+F1
+93
+76
+F7
+00
+C2
+96
+13
+86
+F7
+FF
+@000002C0
+45
+D3
+03
+C5
+06
+00
+11
+83
+3E
+84
+23
+80
+A7
+00
+93
+76
+@000002D0
+F7
+00
+B2
+87
+C2
+96
+13
+86
+F7
+FF
+59
+D3
+DD
+B7
+93
+17
+@000002E0
+25
+00
+17
+15
+00
+00
+13
+05
+A5
+A6
+3E
+95
+18
+41
+85
+47
+@000002F0
+1C
+C7
+5C
+C7
+63
+8A
+F5
+00
+93
+07
+30
+36
+1C
+CF
+5C
+43
+@00000300
+E3
+DF
+07
+FE
+01
+45
+82
+80
+BD
+47
+1C
+CF
+CD
+BF
+93
+17
+@00000310
+25
+00
+17
+15
+00
+00
+13
+05
+A5
+A3
+3E
+95
+1C
+41
+88
+43
+@00000320
+13
+45
+F5
+FF
+7D
+81
+82
+80
+93
+17
+25
+00
+17
+15
+00
+00
+@00000330
+13
+05
+05
+A2
+3E
+95
+18
+41
+1C
+43
+E3
+CF
+07
+FE
+0C
+C3
+@00000340
+01
+45
+82
+80
+93
+17
+25
+00
+17
+15
+00
+00
+13
+05
+45
+A0
+@00000350
+3E
+95
+1C
+41
+DC
+43
+13
+C5
+F7
+FF
+23
+80
+F5
+00
+7D
+81
+@00000360
+82
+80
+93
+17
+25
+00
+17
+15
+00
+00
+13
+05
+65
+9E
+3E
+95
+@00000370
+1C
+41
+C8
+43
+E3
+4F
+05
+FE
+13
+75
+F5
+0F
+82
+80
+1D
+71
+@00000380
+A2
+CE
+A6
+CC
+13
+F8
+07
+04
+17
+1E
+00
+00
+13
+0E
+CE
+C2
+@00000390
+63
+16
+08
+00
+17
+1E
+00
+00
+13
+0E
+8E
+BF
+13
+F4
+07
+01
+@000003A0
+63
+02
+04
+14
+F9
+9B
+A2
+84
+13
+F8
+27
+00
+93
+0F
+00
+02
+@000003B0
+93
+F3
+07
+02
+63
+06
+08
+14
+63
+C6
+05
+14
+13
+F8
+47
+00
+@000003C0
+63
+1C
+08
+16
+A1
+8B
+81
+42
+81
+C7
+FD
+16
+93
+02
+00
+02
+@000003D0
+63
+8A
+03
+00
+C1
+47
+63
+0F
+F6
+16
+93
+07
+86
+FF
+93
+B7
+@000003E0
+17
+00
+9D
+8E
+63
+97
+05
+12
+93
+07
+00
+03
+23
+06
+F1
+00
+@000003F0
+01
+43
+13
+08
+00
+03
+85
+48
+7C
+00
+C6
+8E
+63
+D3
+E8
+00
+@00000400
+BA
+8E
+33
+8E
+D6
+41
+93
+05
+FE
+FF
+91
+EC
+B3
+06
+C5
+01
+@00000410
+13
+07
+00
+02
+63
+5A
+C0
+15
+05
+05
+A3
+0F
+E5
+FE
+E3
+1D
+@00000420
+D5
+FE
+F9
+55
+7D
+5E
+63
+85
+02
+00
+23
+00
+55
+00
+05
+05
+@00000430
+63
+88
+03
+00
+21
+47
+63
+01
+E6
+12
+41
+47
+63
+02
+E6
+10
+@00000440
+0D
+E8
+2A
+86
+05
+47
+63
+56
+C0
+13
+05
+06
+B3
+06
+C7
+40
+@00000450
+AE
+96
+AA
+96
+A3
+0F
+F6
+FF
+E3
+49
+D0
+FE
+13
+C7
+F5
+FF
+@00000460
+7D
+87
+6D
+8F
+FD
+15
+33
+8E
+E5
+40
+05
+07
+3A
+95
+93
+05
+@00000470
+FE
+FF
+33
+87
+1E
+41
+2A
+97
+93
+06
+00
+03
+63
+D4
+D8
+0F
+@00000480
+05
+05
+A3
+0F
+D5
+FE
+E3
+1D
+A7
+FE
+33
+86
+67
+00
+BA
+86
+@00000490
+05
+45
+19
+A0
+03
+48
+06
+00
+85
+06
+B3
+07
+D5
+40
+9A
+97
+@000004A0
+BA
+97
+A3
+8F
+06
+FF
+7D
+16
+E3
+46
+F0
+FE
+13
+05
+13
+00
+@000004B0
+3A
+95
+63
+55
+C0
+03
+2A
+87
+13
+06
+00
+02
+85
+46
+05
+07
+@000004C0
+B3
+87
+E6
+40
+AE
+97
+AA
+97
+A3
+0F
+C7
+FE
+E3
+49
+F0
+FE
+@000004D0
+93
+C7
+F5
+FF
+FD
+87
+FD
+8D
+85
+05
+2E
+95
+76
+44
+E6
+44
+@000004E0
+25
+61
+82
+80
+13
+F8
+17
+00
+93
+F4
+17
+01
+93
+0F
+00
+03
+@000004F0
+E3
+0C
+08
+EA
+13
+F8
+27
+00
+93
+F3
+07
+02
+E3
+1E
+08
+EA
+@00000500
+81
+42
+F9
+B5
+B3
+05
+B0
+40
+FD
+16
+93
+02
+D0
+02
+E3
+93
+@00000510
+03
+EC
+81
+48
+7C
+00
+33
+F8
+C5
+02
+46
+83
+85
+08
+33
+8F
+@00000520
+17
+01
+AE
+8E
+72
+98
+03
+48
+08
+00
+B3
+D5
+C5
+02
+A3
+0F
+@00000530
+0F
+FF
+E3
+F2
+CE
+FE
+D1
+B5
+FD
+16
+93
+02
+B0
+02
+49
+BD
+@00000540
+13
+07
+00
+03
+23
+00
+E5
+00
+13
+07
+80
+07
+A3
+00
+E5
+00
+@00000550
+09
+05
+FD
+B5
+F9
+16
+79
+B5
+13
+07
+00
+03
+23
+00
+E5
+00
+@00000560
+05
+05
+F9
+BD
+2A
+87
+15
+B7
+13
+07
+EE
+FF
+2E
+8E
+BA
+85
+@00000570
+5D
+BD
+2E
+8E
+FD
+15
+F5
+BD
+AA
+85
+01
+45
+75
+B3
+49
+71
+@00000580
+23
+28
+61
+13
+23
+26
+11
+14
+23
+24
+81
+14
+23
+22
+91
+14
+@00000590
+23
+20
+21
+15
+23
+2E
+31
+13
+23
+2C
+41
+13
+23
+2A
+51
+13
+@000005A0
+23
+26
+71
+13
+23
+24
+81
+13
+23
+22
+91
+13
+23
+20
+A1
+13
+@000005B0
+23
+2A
+B1
+14
+23
+2C
+C1
+14
+23
+2E
+D1
+14
+23
+20
+E1
+16
+@000005C0
+23
+22
+F1
+16
+23
+24
+01
+17
+23
+26
+11
+17
+83
+47
+05
+00
+@000005D0
+13
+0B
+41
+15
+5A
+C2
+63
+89
+07
+5C
+93
+09
+01
+02
+2A
+83
+@000005E0
+97
+0A
+00
+00
+93
+8A
+4A
+78
+4E
+85
+93
+0B
+E0
+02
+17
+0A
+@000005F0
+00
+00
+13
+0A
+AA
+7B
+97
+14
+00
+00
+93
+84
+64
+99
+17
+14
+@00000600
+00
+00
+13
+04
+A4
+88
+13
+07
+50
+02
+63
+84
+E7
+06
+23
+00
+@00000610
+F5
+00
+83
+47
+13
+00
+05
+05
+05
+03
+F5
+F7
+23
+00
+05
+00
+@00000620
+83
+45
+01
+02
+63
+84
+05
+12
+05
+44
+33
+04
+34
+41
+01
+45
+@00000630
+E5
+39
+83
+C5
+19
+00
+33
+85
+89
+00
+85
+09
+ED
+F9
+83
+20
+@00000640
+C1
+14
+03
+24
+81
+14
+83
+24
+41
+14
+03
+29
+01
+14
+83
+29
+@00000650
+C1
+13
+03
+2A
+81
+13
+83
+2A
+41
+13
+03
+2B
+01
+13
+83
+2B
+@00000660
+C1
+12
+03
+2C
+81
+12
+83
+2C
+41
+12
+03
+2D
+01
+12
+75
+61
+@00000670
+82
+80
+81
+47
+C1
+46
+83
+45
+13
+00
+13
+09
+13
+00
+13
+87
+@00000680
+05
+FE
+13
+77
+F7
+0F
+63
+E7
+E6
+00
+0A
+07
+56
+97
+18
+43
+@00000690
+56
+97
+02
+87
+13
+87
+05
+FD
+13
+77
+F7
+0F
+A5
+46
+63
+FB
+@000006A0
+E6
+0C
+13
+07
+A0
+02
+FD
+56
+63
+89
+E5
+0E
+7D
+57
+63
+81
+@000006B0
+75
+0B
+13
+F6
+F5
+0D
+13
+08
+C0
+04
+63
+03
+06
+05
+13
+86
+@000006C0
+F5
+FB
+13
+76
+F6
+0F
+13
+08
+70
+03
+63
+6E
+C8
+04
+0A
+06
+@000006D0
+52
+96
+10
+42
+52
+96
+02
+86
+93
+E7
+17
+00
+4A
+83
+61
+BF
+@000006E0
+93
+E7
+07
+01
+4A
+83
+41
+BF
+93
+E7
+47
+00
+4A
+83
+61
+B7
+@000006F0
+93
+E7
+07
+02
+4A
+83
+41
+B7
+93
+E7
+87
+00
+4A
+83
+A5
+BF
+@00000700
+03
+48
+19
+00
+13
+03
+70
+03
+93
+08
+19
+00
+13
+06
+F8
+FB
+@00000710
+13
+76
+F6
+0F
+63
+67
+C3
+00
+0A
+06
+22
+96
+10
+42
+22
+96
+@00000720
+02
+86
+C2
+85
+46
+89
+13
+07
+50
+02
+93
+07
+15
+00
+63
+89
+@00000730
+E5
+42
+23
+00
+E5
+00
+03
+47
+09
+00
+63
+1A
+07
+4C
+3E
+85
+@00000740
+23
+00
+05
+00
+83
+45
+01
+02
+E3
+90
+05
+EE
+01
+45
+C5
+BD
+@00000750
+83
+45
+19
+00
+25
+46
+13
+08
+19
+00
+13
+87
+05
+FD
+13
+77
+@00000760
+F7
+0F
+63
+77
+E6
+3A
+13
+07
+A0
+02
+63
+8B
+E5
+3C
+42
+89
+@00000770
+01
+47
+81
+B7
+81
+46
+25
+46
+13
+97
+26
+00
+BA
+96
+05
+09
+@00000780
+86
+06
+AE
+96
+83
+45
+09
+00
+93
+86
+06
+FD
+13
+87
+05
+FD
+@00000790
+13
+77
+F7
+0F
+E3
+72
+E6
+FE
+11
+BF
+83
+26
+0B
+00
+83
+45
+@000007A0
+23
+00
+13
+09
+23
+00
+11
+0B
+E3
+D2
+06
+F0
+B3
+06
+D0
+40
+@000007B0
+93
+E7
+07
+01
+E5
+BD
+13
+08
+4B
+00
+41
+46
+83
+25
+0B
+00
+@000007C0
+42
+8B
+75
+3E
+83
+47
+19
+00
+13
+03
+19
+00
+E3
+9D
+07
+E2
+@000007D0
+B1
+B5
+13
+08
+4B
+00
+29
+46
+D5
+B7
+46
+89
+03
+26
+0B
+00
+@000007E0
+11
+0B
+63
+01
+06
+3A
+83
+45
+06
+00
+63
+8B
+05
+3E
+63
+09
+@000007F0
+07
+3E
+B2
+85
+29
+A0
+33
+88
+E5
+40
+63
+07
+C8
+00
+03
+C8
+@00000800
+15
+00
+85
+05
+E3
+19
+08
+FE
+C1
+8B
+91
+8D
+63
+82
+07
+3A
+@00000810
+63
+5B
+B0
+40
+33
+08
+B6
+00
+AA
+87
+03
+47
+06
+00
+05
+06
+@00000820
+85
+07
+A3
+8F
+E7
+FE
+E3
+1A
+06
+FF
+33
+07
+B5
+00
+33
+85
+@00000830
+B6
+40
+13
+03
+19
+00
+3A
+95
+93
+07
+00
+02
+63
+D1
+D5
+3C
+@00000840
+05
+07
+A3
+0F
+F7
+FE
+E3
+1D
+A7
+FE
+83
+47
+19
+00
+E3
+9C
+@00000850
+07
+DA
+E9
+B3
+46
+89
+7D
+56
+63
+82
+C6
+32
+83
+25
+0B
+00
+@00000860
+41
+46
+11
+0B
+29
+3E
+83
+47
+19
+00
+13
+03
+19
+00
+E3
+9C
+@00000870
+07
+D8
+6D
+B3
+13
+08
+4B
+00
+21
+46
+89
+B7
+93
+E7
+27
+00
+@00000880
+13
+08
+4B
+00
+29
+46
+1D
+BF
+46
+89
+C1
+8B
+FD
+16
+63
+80
+@00000890
+07
+30
+03
+26
+0B
+00
+13
+07
+15
+00
+93
+87
+16
+00
+23
+00
+@000008A0
+C5
+00
+11
+0B
+3E
+95
+13
+03
+19
+00
+BA
+87
+13
+06
+00
+02
+@000008B0
+63
+57
+D0
+34
+85
+07
+A3
+8F
+C7
+FE
+E3
+9D
+A7
+FE
+83
+47
+@000008C0
+19
+00
+33
+05
+D7
+00
+E3
+90
+07
+D4
+89
+BB
+93
+E7
+07
+04
+@000008D0
+13
+08
+4B
+00
+41
+46
+DD
+B5
+03
+27
+0B
+00
+93
+E7
+07
+04
+@000008E0
+11
+0B
+83
+45
+07
+00
+01
+48
+93
+08
+47
+00
+93
+0E
+30
+06
+@000008F0
+A5
+4F
+29
+43
+13
+0F
+40
+06
+13
+0E
+00
+03
+13
+06
+18
+00
+@00000900
+9D
+E1
+0C
+12
+2E
+98
+23
+04
+C8
+EF
+05
+07
+63
+06
+17
+07
+@00000910
+0C
+12
+B2
+95
+23
+84
+75
+EF
+83
+45
+07
+00
+13
+08
+16
+00
+@00000920
+13
+06
+18
+00
+F9
+DD
+63
+D0
+BE
+1C
+33
+EC
+E5
+03
+93
+02
+@00000930
+01
+12
+33
+8D
+02
+01
+B3
+8C
+C2
+00
+93
+03
+28
+00
+13
+06
+@00000940
+38
+00
+B3
+C5
+E5
+03
+33
+48
+6C
+02
+B3
+82
+B4
+00
+83
+C5
+@00000950
+02
+00
+23
+04
+BD
+EE
+B3
+65
+6C
+02
+26
+98
+03
+48
+08
+00
+@00000960
+23
+84
+0C
+EF
+A6
+95
+03
+C8
+05
+00
+0C
+12
+9E
+95
+23
+84
+@00000970
+05
+EF
+05
+07
+E3
+1E
+17
+F9
+C1
+8B
+13
+88
+F6
+FF
+95
+E3
+@00000980
+B3
+87
+C6
+40
+AA
+97
+13
+07
+00
+02
+63
+54
+D6
+28
+05
+05
+@00000990
+A3
+0F
+E5
+FE
+E3
+9D
+A7
+FE
+B3
+06
+D6
+40
+C2
+96
+13
+88
+@000009A0
+F6
+FF
+3C
+00
+B3
+05
+C5
+00
+03
+C7
+07
+00
+05
+05
+85
+07
+@000009B0
+A3
+0F
+E5
+FE
+E3
+1A
+B5
+FE
+E3
+56
+D6
+E0
+2E
+87
+13
+05
+@000009C0
+00
+02
+85
+46
+05
+07
+B3
+87
+E6
+40
+C2
+97
+AE
+97
+A3
+0F
+@000009D0
+A7
+FE
+E3
+49
+F6
+FE
+05
+45
+63
+5F
+C8
+16
+2E
+95
+DD
+B3
+@000009E0
+93
+E7
+27
+00
+29
+46
+13
+03
+C0
+06
+13
+08
+4B
+00
+63
+9E
+@000009F0
+65
+20
+83
+25
+0B
+00
+46
+89
+42
+8B
+E1
+B3
+21
+46
+E5
+B7
+@00000A00
+93
+E7
+07
+04
+41
+46
+C5
+B7
+93
+E7
+07
+04
+13
+06
+C0
+06
+@00000A10
+03
+27
+0B
+00
+11
+0B
+63
+9F
+C5
+1A
+13
+F6
+07
+04
+A6
+88
+@00000A20
+09
+C6
+97
+08
+00
+00
+93
+88
+28
+59
+13
+03
+81
+00
+13
+0E
+@00000A30
+A1
+01
+9A
+85
+93
+0E
+A0
+03
+19
+A0
+A3
+8F
+D5
+FF
+03
+46
+@00000A40
+07
+00
+8D
+05
+05
+07
+13
+58
+46
+00
+3D
+8A
+46
+98
+46
+96
+@00000A50
+03
+48
+08
+00
+03
+46
+06
+00
+A3
+8E
+05
+FF
+23
+8F
+C5
+FE
+@00000A60
+E3
+9D
+C5
+FD
+C1
+8B
+13
+86
+F6
+FF
+9D
+E3
+93
+85
+F6
+FE
+@00000A70
+45
+48
+33
+07
+B5
+00
+93
+07
+00
+02
+63
+51
+D8
+1A
+05
+05
+@00000A80
+A3
+0F
+F5
+FE
+E3
+1D
+E5
+FE
+B3
+06
+B6
+40
+13
+86
+F6
+FF
+@00000A90
+AA
+87
+93
+05
+13
+01
+03
+47
+03
+00
+05
+03
+85
+07
+A3
+8F
+@00000AA0
+E7
+FE
+E3
+1A
+B3
+FE
+C5
+47
+45
+05
+63
+D7
+D7
+02
+2A
+87
+@00000AB0
+13
+08
+00
+02
+85
+45
+C5
+46
+05
+07
+B3
+87
+E5
+40
+B2
+97
+@00000AC0
+AA
+97
+A3
+0F
+07
+FF
+E3
+C9
+F6
+FE
+41
+47
+85
+47
+63
+54
+@00000AD0
+C7
+00
+93
+07
+06
+FF
+3E
+95
+83
+47
+29
+00
+13
+03
+29
+00
+@00000AE0
+E3
+93
+07
+B2
+25
+BE
+C2
+83
+E3
+DE
+BF
+E6
+B3
+C2
+65
+02
+@00000AF0
+93
+03
+01
+12
+33
+8C
+03
+01
+B2
+83
+13
+06
+28
+00
+33
+88
+@00000B00
+54
+00
+03
+48
+08
+00
+B3
+E5
+65
+02
+23
+04
+0C
+EF
+99
+BD
+@00000B10
+01
+47
+A5
+48
+13
+16
+27
+00
+32
+97
+05
+08
+06
+07
+2E
+97
+@00000B20
+83
+45
+08
+00
+13
+07
+07
+FD
+13
+86
+05
+FD
+13
+76
+F6
+0F
+@00000B30
+E3
+F2
+C8
+FE
+42
+89
+B5
+BE
+03
+27
+0B
+00
+11
+0B
+55
+B3
+@00000B40
+03
+27
+0B
+00
+83
+45
+29
+00
+11
+0B
+13
+46
+F7
+FF
+7D
+86
+@00000B50
+71
+8F
+09
+09
+B9
+BE
+91
+8E
+33
+85
+06
+01
+2E
+95
+9D
+B1
+@00000B60
+03
+47
+09
+00
+BE
+86
+AA
+87
+36
+85
+23
+80
+E7
+00
+83
+47
+@00000B70
+19
+00
+13
+03
+19
+00
+E3
+98
+07
+A8
+4D
+B4
+93
+E7
+17
+00
+@00000B80
+A1
+46
+E9
+B9
+17
+06
+00
+00
+13
+06
+86
+45
+8D
+B1
+33
+07
+@00000B90
+D5
+00
+93
+07
+00
+02
+63
+5B
+D0
+04
+05
+05
+A3
+0F
+F5
+FE
+@00000BA0
+E3
+1D
+E5
+FE
+FD
+56
+F5
+B1
+93
+09
+01
+02
+4E
+85
+BD
+B4
+@00000BB0
+13
+88
+F6
+FF
+63
+D2
+D5
+08
+B3
+87
+B6
+40
+AA
+97
+13
+07
+@00000BC0
+00
+02
+05
+05
+A3
+0F
+E5
+FE
+E3
+1D
+F5
+FE
+B3
+86
+D5
+40
+@00000BD0
+C2
+96
+3D
+B9
+46
+89
+31
+B3
+41
+46
+31
+B5
+29
+46
+21
+B5
+@00000BE0
+93
+F5
+07
+01
+B9
+C1
+2A
+87
+81
+45
+91
+B1
+83
+27
+0B
+00
+@00000BF0
+13
+07
+15
+00
+11
+0B
+23
+00
+F5
+00
+13
+03
+19
+00
+83
+47
+@00000C00
+19
+00
+3A
+85
+E3
+91
+07
+A0
+11
+BC
+46
+89
+45
+BE
+09
+05
+@00000C10
+A9
+BF
+93
+87
+E6
+FF
+C2
+86
+3E
+88
+61
+B3
+93
+87
+E6
+FF
+@00000C20
+B2
+86
+3E
+86
+B5
+B5
+2A
+87
+19
+B1
+13
+88
+F6
+FF
+E3
+45
+@00000C30
+D0
+F8
+C2
+86
+2A
+87
+E5
+BE
+C2
+86
+D9
+BE
+@00000C3C
+17
+F5
+FF
+E7
+13
+05
+45
+3C
+17
+F6
+FF
+E7
+13
+06
+06
+43
+@00000C4C
+41
+11
+09
+8E
+97
+05
+00
+00
+93
+85
+85
+08
+06
+C6
+EF
+F0
+@00000C5C
+CF
+C3
+17
+F5
+FF
+1F
+13
+05
+25
+3A
+17
+F6
+FF
+1F
+13
+06
+@00000C6C
+A6
+39
+09
+8E
+97
+05
+00
+00
+93
+85
+45
+37
+EF
+F0
+EF
+C1
+@00000C7C
+17
+F5
+FF
+1F
+13
+05
+45
+38
+17
+F6
+FF
+1F
+13
+06
+C6
+37
+@00000C8C
+09
+8E
+81
+45
+EF
+F0
+CF
+BE
+37
+45
+01
+10
+97
+F0
+FF
+E7
+@00000C9C
+E7
+80
+80
+36
+85
+45
+01
+45
+EF
+F0
+AF
+E3
+17
+05
+00
+00
+@00000CAC
+13
+05
+05
+2C
+EF
+F0
+6F
+D2
+B7
+27
+01
+10
+98
+5F
+B7
+06
+@00000CBC
+00
+C0
+B2
+40
+0A
+07
+09
+83
+98
+DF
+98
+47
+01
+45
+55
+8F
+@00000CCC
+98
+C7
+37
+07
+00
+80
+D8
+C7
+41
+01
+82
+80
+@00000CD8
+41
+11
+0F
+00
+F0
+0F
+0F
+10
+00
+00
+14
+41
+05
+47
+85
+47
+@00000CE8
+63
+86
+E6
+00
+1C
+C1
+18
+41
+E3
+1E
+F7
+FE
+38
+51
+93
+07
+@00000CF8
+05
+06
+09
+C7
+23
+A0
+07
+00
+98
+43
+6D
+FF
+93
+06
+85
+04
+@00000D08
+98
+42
+E3
+4F
+07
+FE
+13
+07
+50
+03
+38
+C5
+37
+37
+0B
+00
+@00000D18
+13
+07
+77
+A4
+3A
+C6
+74
+51
+32
+46
+13
+07
+45
+06
+63
+08
+@00000D28
+D6
+00
+B2
+46
+14
+C3
+10
+43
+B2
+46
+E3
+1C
+D6
+FE
+30
+51
+@00000D38
+85
+46
+05
+47
+63
+06
+D6
+00
+98
+C3
+94
+43
+E3
+9E
+E6
+FE
+@00000D48
+41
+01
+82
+80
+@00000D4C
+00
+30
+01
+10
+00
+30
+02
+10
+00
+30
+03
+10
+00
+30
+04
+10
+@00000D5C
+00
+30
+05
+10
+00
+30
+06
+10
+94
+F9
+FF
+FF
+30
+F9
+FF
+FF
+@00000D6C
+30
+F9
+FF
+FF
+8C
+F9
+FF
+FF
+30
+F9
+FF
+FF
+30
+F9
+FF
+FF
+@00000D7C
+30
+F9
+FF
+FF
+30
+F9
+FF
+FF
+30
+F9
+FF
+FF
+30
+F9
+FF
+FF
+@00000D8C
+30
+F9
+FF
+FF
+84
+F9
+FF
+FF
+30
+F9
+FF
+FF
+7C
+F9
+FF
+FF
+@00000D9C
+30
+F9
+FF
+FF
+30
+F9
+FF
+FF
+74
+F9
+FF
+FF
+30
+FB
+FF
+FF
+@00000DAC
+7E
+F9
+FF
+FF
+7E
+F9
+FF
+FF
+7E
+F9
+FF
+FF
+7E
+F9
+FF
+FF
+@00000DBC
+7E
+F9
+FF
+FF
+7E
+F9
+FF
+FF
+7E
+F9
+FF
+FF
+7E
+F9
+FF
+FF
+@00000DCC
+7E
+F9
+FF
+FF
+7E
+F9
+FF
+FF
+7E
+F9
+FF
+FF
+7E
+F9
+FF
+FF
+@00000DDC
+7E
+F9
+FF
+FF
+7E
+F9
+FF
+FF
+7E
+F9
+FF
+FF
+7E
+F9
+FF
+FF
+@00000DEC
+7E
+F9
+FF
+FF
+7E
+F9
+FF
+FF
+7E
+F9
+FF
+FF
+7E
+F9
+FF
+FF
+@00000DFC
+7E
+F9
+FF
+FF
+7E
+F9
+FF
+FF
+24
+FB
+FF
+FF
+7E
+F9
+FF
+FF
+@00000E0C
+7E
+F9
+FF
+FF
+7E
+F9
+FF
+FF
+7E
+F9
+FF
+FF
+7E
+F9
+FF
+FF
+@00000E1C
+7E
+F9
+FF
+FF
+7E
+F9
+FF
+FF
+7E
+F9
+FF
+FF
+90
+FD
+FF
+FF
+@00000E2C
+7E
+F9
+FF
+FF
+E2
+FA
+FF
+FF
+D4
+FA
+FF
+FF
+7E
+F9
+FF
+FF
+@00000E3C
+7E
+F9
+FF
+FF
+7E
+F9
+FF
+FF
+7E
+F9
+FF
+FF
+D4
+FA
+FF
+FF
+@00000E4C
+7E
+F9
+FF
+FF
+7E
+F9
+FF
+FF
+7E
+F9
+FF
+FF
+7E
+F9
+FF
+FF
+@00000E5C
+7E
+F9
+FF
+FF
+CC
+FA
+FF
+FF
+AE
+FA
+FF
+FF
+7E
+F9
+FF
+FF
+@00000E6C
+7E
+F9
+FF
+FF
+34
+FA
+FF
+FF
+7E
+F9
+FF
+FF
+2A
+FA
+FF
+FF
+@00000E7C
+7E
+F9
+FF
+FF
+7E
+F9
+FF
+FF
+0E
+FA
+FF
+FF
+80
+FB
+FF
+FF
+@00000E8C
+9A
+F8
+FF
+FF
+9A
+F8
+FF
+FF
+9A
+F8
+FF
+FF
+9A
+F8
+FF
+FF
+@00000E9C
+9A
+F8
+FF
+FF
+9A
+F8
+FF
+FF
+9A
+F8
+FF
+FF
+9A
+F8
+FF
+FF
+@00000EAC
+9A
+F8
+FF
+FF
+9A
+F8
+FF
+FF
+9A
+F8
+FF
+FF
+9A
+F8
+FF
+FF
+@00000EBC
+9A
+F8
+FF
+FF
+9A
+F8
+FF
+FF
+9A
+F8
+FF
+FF
+9A
+F8
+FF
+FF
+@00000ECC
+9A
+F8
+FF
+FF
+9A
+F8
+FF
+FF
+9A
+F8
+FF
+FF
+9A
+F8
+FF
+FF
+@00000EDC
+9A
+F8
+FF
+FF
+9A
+F8
+FF
+FF
+78
+FB
+FF
+FF
+9A
+F8
+FF
+FF
+@00000EEC
+9A
+F8
+FF
+FF
+9A
+F8
+FF
+FF
+9A
+F8
+FF
+FF
+9A
+F8
+FF
+FF
+@00000EFC
+9A
+F8
+FF
+FF
+9A
+F8
+FF
+FF
+9A
+F8
+FF
+FF
+84
+FB
+FF
+FF
+@00000F0C
+9A
+F8
+FF
+FF
+00
+FA
+FF
+FF
+58
+FB
+FF
+FF
+9A
+F8
+FF
+FF
+@00000F1C
+9A
+F8
+FF
+FF
+9A
+F8
+FF
+FF
+9A
+F8
+FF
+FF
+58
+FB
+FF
+FF
+@00000F2C
+9A
+F8
+FF
+FF
+9A
+F8
+FF
+FF
+9A
+F8
+FF
+FF
+9A
+F8
+FF
+FF
+@00000F3C
+9A
+F8
+FF
+FF
+74
+FB
+FF
+FF
+CC
+F9
+FF
+FF
+9A
+F8
+FF
+FF
+@00000F4C
+9A
+F8
+FF
+FF
+52
+F9
+FF
+FF
+9A
+F8
+FF
+FF
+54
+FD
+FF
+FF
+@00000F5C
+9A
+F8
+FF
+FF
+9A
+F8
+FF
+FF
+50
+FD
+FF
+FF
+48
+65
+6C
+6C
+@00000F6C
+6F
+2C
+20
+4D
+61
+72
+6D
+6F
+74
+2E
+0A
+00
+30
+31
+32
+33
+@00000F7C
+34
+35
+36
+37
+38
+39
+61
+62
+63
+64
+65
+66
+00
+00
+00
+00
+@00000F8C
+30
+31
+32
+33
+34
+35
+36
+37
+38
+39
+61
+62
+63
+64
+65
+66
+@00000F9C
+67
+68
+69
+6A
+6B
+6C
+6D
+6E
+6F
+70
+71
+72
+73
+74
+75
+76
+@00000FAC
+77
+78
+79
+7A
+00
+00
+00
+00
+30
+31
+32
+33
+34
+35
+36
+37
+@00000FBC
+38
+39
+41
+42
+43
+44
+45
+46
+47
+48
+49
+4A
+4B
+4C
+4D
+4E
+@00000FCC
+4F
+50
+51
+52
+53
+54
+55
+56
+57
+58
+59
+5A
+00
+00
+00
+00
+@00000FDC
+3C
+4E
+55
+4C
+4C
+3E
+00
+00
diff --git a/verilog/dv/marmot_test1/io_mapping.v b/verilog/dv/marmot_test1/io_mapping.v
new file mode 100644
index 0000000..f7c240d
--- /dev/null
+++ b/verilog/dv/marmot_test1/io_mapping.v
@@ -0,0 +1,37 @@
+localparam io_TDO = 1;
+localparam io_TDI = 2;
+localparam io_TMS = 3;
+localparam io_TCK = 4;
+localparam io_uart0_rx = 5;
+localparam io_uart0_tx = 6;
+localparam io_spi1_csb_1 = 7;
+localparam io_spi0_flash_csb = 8;
+localparam io_spi0_flash_sck = 9;
+localparam io_spi0_flash_io_0 = 10;
+localparam io_spi0_flash_io_1 = 11;
+localparam io_spi0_flash_io_2 = 12;
+localparam io_spi0_flash_io_3 = 13;
+localparam io_spi1_csb_0 = 14;
+localparam io_spi1_sck = 15;
+localparam io_spi1_io_0 = 16;
+localparam io_spi1_io_1 = 17;
+localparam io_spi1_io_2 = 18;
+localparam io_spi1_io_3 = 19;
+localparam io_spi2_csb = 20;
+localparam io_spi2_sck = 21;
+localparam io_spi2_io_0 = 22;
+localparam io_spi2_io_1 = 23;
+localparam io_spi2_io_2 = 24;
+localparam io_spi2_io_3 = 25;
+localparam io_i2c0_sda = 26;
+localparam io_i2c0_scl = 27;
+localparam io_i2c1_sda = 28;
+localparam io_i2c1_scl = 29;
+localparam io_uart1_rx = 30;
+localparam io_uart1_tx = 31;
+localparam io_uart2_rx = 32;
+localparam io_uart2_tx = 33;
+localparam io_uart3_rx = 34;
+localparam io_uart3_tx = 35;
+localparam io_uart4_rx = 36;
+localparam io_uart4_tx = 37;
diff --git a/verilog/dv/marmot_test1/marmot_test1.c b/verilog/dv/marmot_test1/marmot_test1.c
index 3663169..8b42e28 100644
--- a/verilog/dv/marmot_test1/marmot_test1.c
+++ b/verilog/dv/marmot_test1/marmot_test1.c
@@ -38,7 +38,7 @@
   /* Set up the housekeeping SPI to be connected internally so  */
   /* that external pin changes don't affect it.     */
 
-  reg_spi_enable = 1;
+  // reg_spi_enable = 1;
   reg_wb_enable = 1;
   // reg_spimaster_config = 0xa002; // Enable, prescaler = 2,
                                         // connect to housekeeping SPI
@@ -47,23 +47,15 @@
   // so that the CSB line is not left floating.  This allows
   // all of the GPIO pins to be used for user functions.
 
-  // Configure LA[65] (reset to Marmot) as outputs from the mgmt_soc
-  // Configure other LA probes as inputs to the mgmt_soc 
-  //reg_la0_oenb = reg_la0_iena = 0x00000000;    // [31:0]
-  //reg_la1_oenb = reg_la1_iena = 0x00000000;    // [63:32]
-  //reg_la2_oenb = reg_la2_iena = 0x00000002;    // [95:64]
-  //reg_la3_oenb = reg_la3_iena = 0x00000000;    // [127:96]
-
-  // Assert reset to Marmot
-  //reg_la2_data = 0x00000002;
-
-  // All GPIO pins are configured to be bi-directional
+  // All GPIO pins are configured to be bi-directional for Marmot use
   reg_mprj_io_37 = GPIO_MODE_USER_STD_BIDIRECTIONAL;
   reg_mprj_io_36 = GPIO_MODE_USER_STD_BIDIRECTIONAL;
   reg_mprj_io_35 = GPIO_MODE_USER_STD_BIDIRECTIONAL;
   reg_mprj_io_34 = GPIO_MODE_USER_STD_BIDIRECTIONAL;
   reg_mprj_io_33 = GPIO_MODE_USER_STD_BIDIRECTIONAL;
   reg_mprj_io_32 = GPIO_MODE_USER_STD_BIDIRECTIONAL;
+
+#if 0
   reg_mprj_io_31 = GPIO_MODE_USER_STD_BIDIRECTIONAL;
   reg_mprj_io_30 = GPIO_MODE_USER_STD_BIDIRECTIONAL;
   reg_mprj_io_29 = GPIO_MODE_USER_STD_BIDIRECTIONAL;
@@ -80,6 +72,25 @@
   reg_mprj_io_18 = GPIO_MODE_USER_STD_BIDIRECTIONAL;
   reg_mprj_io_17 = GPIO_MODE_USER_STD_BIDIRECTIONAL;
   reg_mprj_io_16 = GPIO_MODE_USER_STD_BIDIRECTIONAL;
+#else
+  reg_mprj_io_31 = GPIO_MODE_MGMT_STD_OUTPUT;
+  reg_mprj_io_30 = GPIO_MODE_MGMT_STD_OUTPUT;
+  reg_mprj_io_29 = GPIO_MODE_MGMT_STD_OUTPUT;
+  reg_mprj_io_28 = GPIO_MODE_MGMT_STD_OUTPUT;
+  reg_mprj_io_27 = GPIO_MODE_MGMT_STD_OUTPUT;
+  reg_mprj_io_26 = GPIO_MODE_MGMT_STD_OUTPUT;
+  reg_mprj_io_25 = GPIO_MODE_MGMT_STD_OUTPUT;
+  reg_mprj_io_24 = GPIO_MODE_MGMT_STD_OUTPUT;
+  reg_mprj_io_23 = GPIO_MODE_MGMT_STD_OUTPUT;
+  reg_mprj_io_22 = GPIO_MODE_MGMT_STD_OUTPUT;
+  reg_mprj_io_21 = GPIO_MODE_MGMT_STD_OUTPUT;
+  reg_mprj_io_20 = GPIO_MODE_MGMT_STD_OUTPUT;
+  reg_mprj_io_19 = GPIO_MODE_MGMT_STD_OUTPUT;
+  reg_mprj_io_18 = GPIO_MODE_MGMT_STD_OUTPUT;
+  reg_mprj_io_17 = GPIO_MODE_MGMT_STD_OUTPUT;
+  reg_mprj_io_16 = GPIO_MODE_MGMT_STD_OUTPUT;
+#endif
+
   reg_mprj_io_15 = GPIO_MODE_USER_STD_BIDIRECTIONAL;
   reg_mprj_io_14 = GPIO_MODE_USER_STD_BIDIRECTIONAL;
   reg_mprj_io_13 = GPIO_MODE_USER_STD_BIDIRECTIONAL;
@@ -89,6 +100,7 @@
   reg_mprj_io_9  = GPIO_MODE_USER_STD_BIDIRECTIONAL;
   reg_mprj_io_8  = GPIO_MODE_USER_STD_BIDIRECTIONAL;
   reg_mprj_io_7  = GPIO_MODE_USER_STD_BIDIRECTIONAL;
+  reg_mprj_io_6  = GPIO_MODE_USER_STD_BIDIRECTIONAL;
   reg_mprj_io_5  = GPIO_MODE_USER_STD_BIDIRECTIONAL;
   reg_mprj_io_4  = GPIO_MODE_USER_STD_BIDIRECTIONAL;
   reg_mprj_io_3  = GPIO_MODE_USER_STD_BIDIRECTIONAL;
@@ -100,11 +112,37 @@
   reg_mprj_xfer = 1;
   while (reg_mprj_xfer == 1);
 
-  // Negate reset to Marmot
-  //reg_la2_data = 0x00000000;
+  // Initialize LA probes [127:0]
+  reg_la0_oenb = reg_la0_iena = 0xffffffff; // [31:0]
+  reg_la1_oenb = reg_la1_iena = 0xffffffff; // [63:32]
+  reg_la2_oenb = reg_la2_iena = 0xffffffff; // [95:64]
+  reg_la3_oenb = reg_la3_iena = 0xffffffff; // [127:96]
+  reg_la0_data = 0xffffffff;
+  reg_la1_data = 0xffffffff;
+  reg_la2_data = 0xffffffff;
+  reg_la3_data = 0xffffffff;
+  reg_la0_data = 0x00000000;
+  reg_la1_data = 0x00000000;
+  reg_la2_data = 0x00000000;
+  reg_la3_data = 0x00000000;
 
-  // Negate reset to Marmot
+  // Configure LA probes [31:0] as inputs to mgmt_soc
+  reg_la0_oenb = reg_la0_iena = 0x00000000; // [31:0], connecting to Marmot's gpio_out[31:0]
+
+  // Start Marmot
   reg_mprj_slave = 0x00000001;
 
-  while (1) {}
+  // Wait for Marmot to finish and check result
+  while (1) {
+    if ((reg_la0_data_in & 0xc0000000) != 0x0) {
+
+      if ((reg_la0_data_in & 0xc0000000) == 0x80000000) {
+        reg_mprj_datal = 0x12340000;  // Pass
+      } else {
+        reg_mprj_datal = 0xdead0000;  // Fail
+      }
+
+      break;
+    }
+  }
 }
diff --git a/verilog/dv/marmot_test1/marmot_test1_tb.v b/verilog/dv/marmot_test1/marmot_test1_tb.v
index 90b9c31..ef309b7 100644
--- a/verilog/dv/marmot_test1/marmot_test1_tb.v
+++ b/verilog/dv/marmot_test1/marmot_test1_tb.v
@@ -15,9 +15,28 @@
 
 `default_nettype none
 
-`timescale 1 ns / 1 ps
+`timescale 1 ns / 100 ps
+
+`define TB testbench
+`define CARAVEL `TB.uut
+`define CHIP `CARAVEL.mprj.Marmot.MarmotCaravelChip
+`define PLATFORM `CHIP.MarmotCaravelPlatform
+`define SYS `PLATFORM.sys
+`define TILE `SYS.tile
+`define CORE `TILE.core
+`define UART0 `SYS.uart_0_1
+`define UART1 `SYS.uart_1_1
+`define UART2 `SYS.uart_2_1
+`define UART3 `SYS.uart_3_1
+`define UART4 `SYS.uart_4_1
+`define TLSPIRAM `SYS.qspi_ram_0_1
 
 module testbench;
+  `include "io_mapping.v"
+
+  localparam CLOCK_PERIOD = 25; // ns
+  localparam MAX_CYCLE    = 100000;
+
   reg clock;
   wire clock_wire = clock;
   reg RSTB;
@@ -28,6 +47,11 @@
   wire gpio;
   wire [37:0] mprj_io;
 
+  wire        core_reset = `CORE.reset;
+  wire [31:0] core_valid = `CORE.coreMonitorBundle_valid;
+  wire [31:0] core_pc    = `CORE.coreMonitorBundle_pc;
+  wire [31:0] core_cycle = `CORE.coreMonitorBundle_time;
+
 `ifdef PULLUP_IO
   genvar gen_i;
   generate
@@ -37,28 +61,44 @@
   endgenerate
 `endif
 
-  always #12.5 clock <= (clock === 1'b0);
-
   initial begin
     clock = 0;
   end
 
-  initial begin
-    $dumpfile("marmot_test1.vcd");
-    $dumpvars(0, testbench);
+  always #(CLOCK_PERIOD/2) clock <= (clock === 1'b0);
 
-    // Repeat cycles of 1000 clock edges as needed to complete testbench
-    repeat (75) begin
-      repeat (1000) @(posedge clock);
-      // $display("+1000 cycles");
-    end
-    $display("%c[1;31m",27);
-    `ifdef GL
-      $display ("Monitor: Timeout, Test Mega-Project IO (GL) Failed");
-    `else
-      $display ("Monitor: Timeout, Test Mega-Project IO (RTL) Failed");
+  initial begin
+    `ifdef WAVEFORM
+      $dumpfile("marmot_test1.vcd");
+      $dumpvars(0, testbench);
     `endif
-    $display("%c[0m",27);
+  end
+
+  // Timeout
+  reg  [31:0] max_cycle;
+  initial begin
+    if (! $value$plusargs("max_cycle=%d", max_cycle)) begin
+      max_cycle = MAX_CYCLE;
+    end
+
+    wait (core_reset === 1'b0);
+    wait (core_cycle < 10);
+    wait (core_cycle >= max_cycle);
+    $display("\n*** Timeout ***");
+    $finish;
+  end
+
+  // Pass
+  initial begin
+    wait (mprj_io[31:16] == 16'h1234);
+    $display("\n*** Test Pass ***");
+    $finish;
+  end
+
+  // Fail
+  initial begin
+    wait (mprj_io[31:16] == 16'hdead);
+    $display("\n*** Test Fail ***");
     $finish;
   end
 
@@ -134,5 +174,60 @@
     .io3()
   );
 
+//-------------------------------------------------------------------------------
+// SPI Flash model for Marmot
+MX25U3235F #(.Init_File("spi_flash.mem")) spi_flash
+(
+    .SCLK   (mprj_io[io_spi0_flash_sck]),
+    .CS     (mprj_io[io_spi0_flash_csb]),
+    .SI     (mprj_io[io_spi0_flash_io_0]),
+    .SO     (mprj_io[io_spi0_flash_io_1]),
+    .WP     (mprj_io[io_spi0_flash_io_2]),
+    .SIO3   (mprj_io[io_spi0_flash_io_3])
+);
+
+//-------------------------------------------------------------------------------
+// SPI RAM model for Marmot
+`ifdef SIMULATOR_QUESTA
+// SPI RAM model (APM APS6404L-3SQN_SQPI_PSRAM)
+sqpi_model #(.VeriOutStr(1), .STOP_ON_ERROR(1)) spi_ram
+(
+    .SCK_i  (mprj_io[io_spi2_sck]),
+    .nCE_i  (mprj_io[io_spi2_csb]),
+    .SI_io  (mprj_io[io_spi2_io_0]),
+    .SO_io  (mprj_io[io_spi2_io_1]),
+    .nWP_io (mprj_io[io_spi2_io_2]),
+    .NC_io  (mprj_io[io_spi2_io_3])
+);
+`else
+// SPI Flash model
+MX25U3235F spi_ram
+(
+    .SCLK   (mprj_io[io_spi2_sck]),
+    .CS     (mprj_io[io_spi2_csb]),
+    .SI     (mprj_io[io_spi2_io_0]),
+    .SO     (mprj_io[io_spi2_io_1]),
+    .WP     (mprj_io[io_spi2_io_2]),
+    .SIO3   (mprj_io[io_spi2_io_3])
+);
+`endif
+
+//-------------------------------------------------------------------------------
+// UART model for Marmot
+`ifdef UART_HIGH_SPEED
+  `define CLKS_PER_BIT  16  // F_CLK / 16 baud
+`else
+  `define CLKS_PER_BIT ((1000/CLOCK_PERIOD)*1000000 / 115200)  // 115200 baud
+  //`define CLKS_PER_BIT 104  // 12MHz / 115200 baud
+`endif
+
+uart_tb #(.CLKS_PER_BIT(`CLKS_PER_BIT)) uart0_tb
+(
+    .clk        (clock_wire),
+    .rst        (~RSTB),
+    .rxd        (mprj_io[io_uart0_tx]),
+    .txd        (mprj_io[io_uart0_rx])
+);
+
 endmodule
 `default_nettype wire
diff --git a/verilog/dv/marmot_test1/spi_flash.mem b/verilog/dv/marmot_test1/spi_flash.mem
new file mode 120000
index 0000000..3cf3653
--- /dev/null
+++ b/verilog/dv/marmot_test1/spi_flash.mem
@@ -0,0 +1 @@
+hello_flash.mem
\ No newline at end of file
diff --git a/verilog/includes/includes.rtl.caravel_user_project b/verilog/includes/includes.rtl.caravel_user_project
index 31ab09b..33b6f37 100644
--- a/verilog/includes/includes.rtl.caravel_user_project
+++ b/verilog/includes/includes.rtl.caravel_user_project
@@ -1,5 +1,33 @@
++define+PRINTF_COND=1
++define+RANDOMIZE_MEM_INIT
++define+RANDOMIZE_REG_INIT
++define+RANDOMIZE_DELAY=1
++define+UART_HIGH_SPEED
++define+WAVEFORM
+
 # Caravel user project includes
 -v $(USER_PROJECT_VERILOG)/rtl/user_project_wrapper.v	     
--v $(USER_PROJECT_VERILOG)/rtl/user_proj_example.v
 
- 
\ No newline at end of file
++incdir+$(USER_PROJECT_VERILOG)/rtl/marmot
+-v $(USER_PROJECT_VERILOG)/rtl/marmot/AsyncResetReg.v
+-v $(USER_PROJECT_VERILOG)/rtl/marmot/BootROM.v
+-v $(USER_PROJECT_VERILOG)/rtl/marmot/Marmot_sram_control.v
+-v $(USER_PROJECT_VERILOG)/rtl/marmot/Marmot.v
+-v $(USER_PROJECT_VERILOG)/rtl/marmot/plusarg_reader.v
+-v $(USER_PROJECT_VERILOG)/rtl/marmot/shc.marmotcaravel.MarmotCaravelConfig.no_sram.v
+-v $(USER_PROJECT_VERILOG)/rtl/marmot/SRLatch.v
+ 
+-v $(USER_PROJECT_VERILOG)/lib/sky130_sram_1kbyte_1rw1r_32x256_8.v
+#-v $(USER_PROJECT_VERILOG)/lib/sky130_sram_2kbyte_1rw1r_32x512_8.v
+
+// SPI Flash model
++define+SPEEDSIM
+-v $(USER_PROJECT_VERILOG)/dv/vip/MX25U3235F.v
+
+// SPI RAM model (protected, Questa is needed)
+//-v $(USER_PROJECT_VERILOG)/dv/vip/APM_APS6404L-3SQN_SQPI_PSRAM_model_v2.9_encrypt.vp_modelsim
+
+// UART model
++incdir+$(USER_PROJECT_VERILOG)/dv/vip/uart
+-v $(USER_PROJECT_VERILOG)/dv/vip/uart/uart_tb.v
+
diff --git a/verilog/rtl/marmot/Marmot.v b/verilog/rtl/marmot/Marmot.v
index 3db80a6..f015f55 100644
--- a/verilog/rtl/marmot/Marmot.v
+++ b/verilog/rtl/marmot/Marmot.v
@@ -177,7 +177,7 @@
     // Logic Analyzer Signals
     wire [127:0] la_data_out;
 
-    assign la_data_out = 128'd0;
+    assign la_data_out[127:32] = 96'd0;
 
     //------------------------------------------------------------------------------
     // IRQ
@@ -304,6 +304,38 @@
      .io_oeb_35(io_oeb[35]),
      .io_oeb_36(io_oeb[36]),
      .io_oeb_37(io_oeb[37]),
+     .gpio_out_0(la_data_out[0]),
+     .gpio_out_1(la_data_out[1]),
+     .gpio_out_2(la_data_out[2]),
+     .gpio_out_3(la_data_out[3]),
+     .gpio_out_4(la_data_out[4]),
+     .gpio_out_5(la_data_out[5]),
+     .gpio_out_6(la_data_out[6]),
+     .gpio_out_7(la_data_out[7]),
+     .gpio_out_8(la_data_out[8]),
+     .gpio_out_9(la_data_out[9]),
+     .gpio_out_10(la_data_out[10]),
+     .gpio_out_11(la_data_out[11]),
+     .gpio_out_12(la_data_out[12]),
+     .gpio_out_13(la_data_out[13]),
+     .gpio_out_14(la_data_out[14]),
+     .gpio_out_15(la_data_out[15]),
+     .gpio_out_16(la_data_out[16]),
+     .gpio_out_17(la_data_out[17]),
+     .gpio_out_18(la_data_out[18]),
+     .gpio_out_19(la_data_out[19]),
+     .gpio_out_20(la_data_out[20]),
+     .gpio_out_21(la_data_out[21]),
+     .gpio_out_22(la_data_out[22]),
+     .gpio_out_23(la_data_out[23]),
+     .gpio_out_24(la_data_out[24]),
+     .gpio_out_25(la_data_out[25]),
+     .gpio_out_26(la_data_out[26]),
+     .gpio_out_27(la_data_out[27]),
+     .gpio_out_28(la_data_out[28]),
+     .gpio_out_29(la_data_out[29]),
+     .gpio_out_30(la_data_out[30]),
+     .gpio_out_31(la_data_out[31]),
      .data_arrays_0_ext_RW0_addr(data_arrays_0_ext_RW0_addr),
      .data_arrays_0_ext_RW0_en(data_arrays_0_ext_RW0_en),
      .data_arrays_0_ext_RW0_clk(data_arrays_0_ext_RW0_clk),
diff --git a/verilog/rtl/marmot/rom.vh b/verilog/rtl/marmot/rom.vh
index 028f46d..9f4243b 100644
--- a/verilog/rtl/marmot/rom.vh
+++ b/verilog/rtl/marmot/rom.vh
@@ -132,7 +132,7 @@
   11'h081: out <= 32'h80826105;
   11'h082: out <= 32'h6841872a;
   11'h083: out <= 32'h00f77793;
-  11'h084: out <= 32'h37880813;
+  11'h084: out <= 32'h36c80813;
   11'h085: out <= 32'hc50397c2;
   11'h086: out <= 32'h04130007;
   11'h087: out <= 32'h079300b1;
@@ -157,7 +157,7 @@
   11'h09a: out <= 32'hd741fff7;
   11'h09b: out <= 32'h1793b7dd;
   11'h09c: out <= 32'h65410025;
-  11'h09d: out <= 32'h36050513;
+  11'h09d: out <= 32'h35450513;
   11'h09e: out <= 32'h4118953e;
   11'h09f: out <= 32'hc71c4785;
   11'h0a0: out <= 32'h8a63c75c;
@@ -169,26 +169,26 @@
   11'h0a6: out <= 32'hbfcdcf1c;
   11'h0a7: out <= 32'h00251793;
   11'h0a8: out <= 32'h05136541;
-  11'h0a9: out <= 32'h953e3605;
+  11'h0a9: out <= 32'h953e3545;
   11'h0aa: out <= 32'h4388411c;
   11'h0ab: out <= 32'hfff54513;
   11'h0ac: out <= 32'h8082817d;
   11'h0ad: out <= 32'h00251793;
   11'h0ae: out <= 32'h05136541;
-  11'h0af: out <= 32'h953e3605;
+  11'h0af: out <= 32'h953e3545;
   11'h0b0: out <= 32'h431c4118;
   11'h0b1: out <= 32'hfe07cfe3;
   11'h0b2: out <= 32'h4501c30c;
   11'h0b3: out <= 32'h17938082;
   11'h0b4: out <= 32'h65410025;
-  11'h0b5: out <= 32'h36050513;
+  11'h0b5: out <= 32'h35450513;
   11'h0b6: out <= 32'h411c953e;
   11'h0b7: out <= 32'hc51343dc;
   11'h0b8: out <= 32'h8023fff7;
   11'h0b9: out <= 32'h817d00f5;
   11'h0ba: out <= 32'h17938082;
   11'h0bb: out <= 32'h65410025;
-  11'h0bc: out <= 32'h36050513;
+  11'h0bc: out <= 32'h35450513;
   11'h0bd: out <= 32'h411c953e;
   11'h0be: out <= 32'h4fe343c8;
   11'h0bf: out <= 32'h7513fe05;
@@ -199,7 +199,7 @@
   11'h0c4: out <= 32'h00050613;
   11'h0c5: out <= 32'h114165c1;
   11'h0c6: out <= 32'h40c78633;
-  11'h0c7: out <= 32'h38c58593;
+  11'h0c7: out <= 32'h38058593;
   11'h0c8: out <= 32'h00050513;
   11'h0c9: out <= 32'h3309c606;
   11'h0ca: out <= 32'h08000537;
@@ -209,24 +209,21 @@
   11'h0ce: out <= 32'h40c78633;
   11'h0cf: out <= 32'h05134581;
   11'h0d0: out <= 32'h31f10045;
-  11'h0d1: out <= 32'h080007b7;
-  11'h0d2: out <= 32'h0007a583;
-  11'h0d3: out <= 32'h37054501;
-  11'h0d4: out <= 32'h202007b7;
-  11'h0d5: out <= 32'h40b29782;
-  11'h0d6: out <= 32'h01414501;
-  11'h0d7: out <= 32'h00008082;
-  11'h0d8: out <= 32'h10013000;
-  11'h0d9: out <= 32'h10023000;
-  11'h0da: out <= 32'h10033000;
-  11'h0db: out <= 32'h10043000;
-  11'h0dc: out <= 32'h10053000;
-  11'h0dd: out <= 32'h10063000;
-  11'h0de: out <= 32'h33323130;
-  11'h0df: out <= 32'h37363534;
-  11'h0e0: out <= 32'h62613938;
-  11'h0e1: out <= 32'h66656463;
-  11'h0e2: out <= 32'h00000000;
-  11'h0e3: out <= 32'h00000001;
+  11'h0d1: out <= 32'h200007b7;
+  11'h0d2: out <= 32'h40b29782;
+  11'h0d3: out <= 32'h01414501;
+  11'h0d4: out <= 32'h00008082;
+  11'h0d5: out <= 32'h10013000;
+  11'h0d6: out <= 32'h10023000;
+  11'h0d7: out <= 32'h10033000;
+  11'h0d8: out <= 32'h10043000;
+  11'h0d9: out <= 32'h10053000;
+  11'h0da: out <= 32'h10063000;
+  11'h0db: out <= 32'h33323130;
+  11'h0dc: out <= 32'h37363534;
+  11'h0dd: out <= 32'h62613938;
+  11'h0de: out <= 32'h66656463;
+  11'h0df: out <= 32'h00000000;
+  11'h0e0: out <= 32'h00000001;
   default: out <= 32'hdeadbeef;
 endcase
diff --git a/verilog/rtl/marmot/shc.marmotcaravel.MarmotCaravelConfig.no_sram.v b/verilog/rtl/marmot/shc.marmotcaravel.MarmotCaravelConfig.no_sram.v
index e710c91..ea5751a 100644
--- a/verilog/rtl/marmot/shc.marmotcaravel.MarmotCaravelConfig.no_sram.v
+++ b/verilog/rtl/marmot/shc.marmotcaravel.MarmotCaravelConfig.no_sram.v
@@ -18531,16 +18531,16 @@
   wire [32:0] _T_510; // @[Parameters.scala 121:52:shc.marmotcaravel.MarmotCaravelConfig.fir@11376.4]
   wire [32:0] _T_511; // @[Parameters.scala 121:52:shc.marmotcaravel.MarmotCaravelConfig.fir@11377.4]
   wire  _T_512; // @[Parameters.scala 121:67:shc.marmotcaravel.MarmotCaravelConfig.fir@11378.4]
-  wire [1:0] _T_515; // @[Mux.scala 19:72:shc.marmotcaravel.MarmotCaravelConfig.fir@11380.4]
-  wire [2:0] _T_517; // @[Mux.scala 19:72:shc.marmotcaravel.MarmotCaravelConfig.fir@11382.4]
-  wire [2:0] _T_518; // @[Mux.scala 19:72:shc.marmotcaravel.MarmotCaravelConfig.fir@11383.4]
-  wire [1:0] _T_519; // @[Mux.scala 19:72:shc.marmotcaravel.MarmotCaravelConfig.fir@11384.4]
-  wire [1:0] _GEN_39; // @[Mux.scala 19:72:shc.marmotcaravel.MarmotCaravelConfig.fir@11386.4]
-  wire [1:0] _T_521; // @[Mux.scala 19:72:shc.marmotcaravel.MarmotCaravelConfig.fir@11386.4]
+  wire [1:0] _T_514; // @[Mux.scala 19:72:shc.marmotcaravel.MarmotCaravelConfig.fir@11379.4]
+  wire [2:0] _T_515; // @[Mux.scala 19:72:shc.marmotcaravel.MarmotCaravelConfig.fir@11380.4]
+  wire [1:0] _T_517; // @[Mux.scala 19:72:shc.marmotcaravel.MarmotCaravelConfig.fir@11382.4]
+  wire [2:0] _T_519; // @[Mux.scala 19:72:shc.marmotcaravel.MarmotCaravelConfig.fir@11384.4]
+  wire [2:0] _GEN_39; // @[Mux.scala 19:72:shc.marmotcaravel.MarmotCaravelConfig.fir@11385.4]
+  wire [2:0] _T_520; // @[Mux.scala 19:72:shc.marmotcaravel.MarmotCaravelConfig.fir@11385.4]
   wire [2:0] _GEN_40; // @[Mux.scala 19:72:shc.marmotcaravel.MarmotCaravelConfig.fir@11387.4]
   wire [2:0] _T_522; // @[Mux.scala 19:72:shc.marmotcaravel.MarmotCaravelConfig.fir@11387.4]
+  wire [2:0] _GEN_41; // @[Mux.scala 19:72:shc.marmotcaravel.MarmotCaravelConfig.fir@11388.4]
   wire [2:0] _T_523; // @[Mux.scala 19:72:shc.marmotcaravel.MarmotCaravelConfig.fir@11388.4]
-  wire [2:0] _GEN_41; // @[Mux.scala 19:72:shc.marmotcaravel.MarmotCaravelConfig.fir@11389.4]
   wire [2:0] _T_524; // @[Mux.scala 19:72:shc.marmotcaravel.MarmotCaravelConfig.fir@11389.4]
   wire  _T_527; // @[AtomicAutomata.scala 103:60:shc.marmotcaravel.MarmotCaravelConfig.fir@11392.4]
   wire  _T_528; // @[AtomicAutomata.scala 103:96:shc.marmotcaravel.MarmotCaravelConfig.fir@11393.4]
@@ -19058,17 +19058,17 @@
   assign _T_510 = $signed(_T_323) & $signed(33'shfe030000); // @[Parameters.scala 121:52:shc.marmotcaravel.MarmotCaravelConfig.fir@11376.4]
   assign _T_511 = $signed(_T_510); // @[Parameters.scala 121:52:shc.marmotcaravel.MarmotCaravelConfig.fir@11377.4]
   assign _T_512 = $signed(_T_511) == $signed(33'sh0); // @[Parameters.scala 121:67:shc.marmotcaravel.MarmotCaravelConfig.fir@11378.4]
-  assign _T_515 = _T_502 ? 2'h2 : 2'h0; // @[Mux.scala 19:72:shc.marmotcaravel.MarmotCaravelConfig.fir@11380.4]
-  assign _T_517 = _T_492 ? 3'h5 : 3'h0; // @[Mux.scala 19:72:shc.marmotcaravel.MarmotCaravelConfig.fir@11382.4]
-  assign _T_518 = _T_512 ? 3'h4 : 3'h0; // @[Mux.scala 19:72:shc.marmotcaravel.MarmotCaravelConfig.fir@11383.4]
-  assign _T_519 = _T_507 ? 2'h3 : 2'h0; // @[Mux.scala 19:72:shc.marmotcaravel.MarmotCaravelConfig.fir@11384.4]
-  assign _GEN_39 = {{1'd0}, _T_497}; // @[Mux.scala 19:72:shc.marmotcaravel.MarmotCaravelConfig.fir@11386.4]
-  assign _T_521 = _T_515 | _GEN_39; // @[Mux.scala 19:72:shc.marmotcaravel.MarmotCaravelConfig.fir@11386.4]
-  assign _GEN_40 = {{1'd0}, _T_521}; // @[Mux.scala 19:72:shc.marmotcaravel.MarmotCaravelConfig.fir@11387.4]
-  assign _T_522 = _GEN_40 | _T_517; // @[Mux.scala 19:72:shc.marmotcaravel.MarmotCaravelConfig.fir@11387.4]
-  assign _T_523 = _T_522 | _T_518; // @[Mux.scala 19:72:shc.marmotcaravel.MarmotCaravelConfig.fir@11388.4]
-  assign _GEN_41 = {{1'd0}, _T_519}; // @[Mux.scala 19:72:shc.marmotcaravel.MarmotCaravelConfig.fir@11389.4]
-  assign _T_524 = _T_523 | _GEN_41; // @[Mux.scala 19:72:shc.marmotcaravel.MarmotCaravelConfig.fir@11389.4]
+  assign _T_514 = _T_507 ? 2'h3 : 2'h0; // @[Mux.scala 19:72:shc.marmotcaravel.MarmotCaravelConfig.fir@11379.4]
+  assign _T_515 = _T_492 ? 3'h5 : 3'h0; // @[Mux.scala 19:72:shc.marmotcaravel.MarmotCaravelConfig.fir@11380.4]
+  assign _T_517 = _T_502 ? 2'h2 : 2'h0; // @[Mux.scala 19:72:shc.marmotcaravel.MarmotCaravelConfig.fir@11382.4]
+  assign _T_519 = _T_512 ? 3'h4 : 3'h0; // @[Mux.scala 19:72:shc.marmotcaravel.MarmotCaravelConfig.fir@11384.4]
+  assign _GEN_39 = {{1'd0}, _T_514}; // @[Mux.scala 19:72:shc.marmotcaravel.MarmotCaravelConfig.fir@11385.4]
+  assign _T_520 = _GEN_39 | _T_515; // @[Mux.scala 19:72:shc.marmotcaravel.MarmotCaravelConfig.fir@11385.4]
+  assign _GEN_40 = {{1'd0}, _T_517}; // @[Mux.scala 19:72:shc.marmotcaravel.MarmotCaravelConfig.fir@11387.4]
+  assign _T_522 = _T_520 | _GEN_40; // @[Mux.scala 19:72:shc.marmotcaravel.MarmotCaravelConfig.fir@11387.4]
+  assign _GEN_41 = {{2'd0}, _T_497}; // @[Mux.scala 19:72:shc.marmotcaravel.MarmotCaravelConfig.fir@11388.4]
+  assign _T_523 = _T_522 | _GEN_41; // @[Mux.scala 19:72:shc.marmotcaravel.MarmotCaravelConfig.fir@11388.4]
+  assign _T_524 = _T_523 | _T_519; // @[Mux.scala 19:72:shc.marmotcaravel.MarmotCaravelConfig.fir@11389.4]
   assign _T_527 = _T_269_0_fifoId == _T_524; // @[AtomicAutomata.scala 103:60:shc.marmotcaravel.MarmotCaravelConfig.fir@11392.4]
   assign _T_528 = _T_284 & _T_527; // @[AtomicAutomata.scala 103:96:shc.marmotcaravel.MarmotCaravelConfig.fir@11393.4]
   assign _T_532 = _T_269_0_bits_data[0]; // @[AtomicAutomata.scala 111:63:shc.marmotcaravel.MarmotCaravelConfig.fir@11397.4]
@@ -108750,32 +108750,32 @@
   wire [31:0] _T_420; // @[Parameters.scala 121:52:shc.marmotcaravel.MarmotCaravelConfig.fir@55261.4]
   wire [31:0] _T_421; // @[Parameters.scala 121:52:shc.marmotcaravel.MarmotCaravelConfig.fir@55262.4]
   wire  _T_422; // @[Parameters.scala 121:67:shc.marmotcaravel.MarmotCaravelConfig.fir@55263.4]
-  wire [3:0] _T_425; // @[Mux.scala 19:72:shc.marmotcaravel.MarmotCaravelConfig.fir@55265.4]
-  wire [2:0] _T_427; // @[Mux.scala 19:72:shc.marmotcaravel.MarmotCaravelConfig.fir@55267.4]
+  wire [1:0] _T_424; // @[Mux.scala 19:72:shc.marmotcaravel.MarmotCaravelConfig.fir@55264.4]
+  wire [2:0] _T_426; // @[Mux.scala 19:72:shc.marmotcaravel.MarmotCaravelConfig.fir@55266.4]
+  wire [3:0] _T_427; // @[Mux.scala 19:72:shc.marmotcaravel.MarmotCaravelConfig.fir@55267.4]
   wire [1:0] _T_428; // @[Mux.scala 19:72:shc.marmotcaravel.MarmotCaravelConfig.fir@55268.4]
   wire [2:0] _T_429; // @[Mux.scala 19:72:shc.marmotcaravel.MarmotCaravelConfig.fir@55269.4]
-  wire [1:0] _T_430; // @[Mux.scala 19:72:shc.marmotcaravel.MarmotCaravelConfig.fir@55270.4]
-  wire [3:0] _T_431; // @[Mux.scala 19:72:shc.marmotcaravel.MarmotCaravelConfig.fir@55271.4]
+  wire [3:0] _T_430; // @[Mux.scala 19:72:shc.marmotcaravel.MarmotCaravelConfig.fir@55270.4]
   wire [3:0] _T_432; // @[Mux.scala 19:72:shc.marmotcaravel.MarmotCaravelConfig.fir@55272.4]
-  wire [3:0] _T_433; // @[Mux.scala 19:72:shc.marmotcaravel.MarmotCaravelConfig.fir@55273.4]
+  wire [2:0] _T_433; // @[Mux.scala 19:72:shc.marmotcaravel.MarmotCaravelConfig.fir@55273.4]
   wire [2:0] _T_434; // @[Mux.scala 19:72:shc.marmotcaravel.MarmotCaravelConfig.fir@55274.4]
-  wire [2:0] _T_435; // @[Mux.scala 19:72:shc.marmotcaravel.MarmotCaravelConfig.fir@55275.4]
-  wire [3:0] _GEN_39; // @[Mux.scala 19:72:shc.marmotcaravel.MarmotCaravelConfig.fir@55276.4]
-  wire [3:0] _T_436; // @[Mux.scala 19:72:shc.marmotcaravel.MarmotCaravelConfig.fir@55276.4]
+  wire [3:0] _T_435; // @[Mux.scala 19:72:shc.marmotcaravel.MarmotCaravelConfig.fir@55275.4]
+  wire [2:0] _GEN_39; // @[Mux.scala 19:72:shc.marmotcaravel.MarmotCaravelConfig.fir@55277.4]
+  wire [2:0] _T_437; // @[Mux.scala 19:72:shc.marmotcaravel.MarmotCaravelConfig.fir@55277.4]
   wire [3:0] _GEN_40; // @[Mux.scala 19:72:shc.marmotcaravel.MarmotCaravelConfig.fir@55278.4]
   wire [3:0] _T_438; // @[Mux.scala 19:72:shc.marmotcaravel.MarmotCaravelConfig.fir@55278.4]
   wire [3:0] _GEN_41; // @[Mux.scala 19:72:shc.marmotcaravel.MarmotCaravelConfig.fir@55279.4]
   wire [3:0] _T_439; // @[Mux.scala 19:72:shc.marmotcaravel.MarmotCaravelConfig.fir@55279.4]
   wire [3:0] _GEN_42; // @[Mux.scala 19:72:shc.marmotcaravel.MarmotCaravelConfig.fir@55280.4]
   wire [3:0] _T_440; // @[Mux.scala 19:72:shc.marmotcaravel.MarmotCaravelConfig.fir@55280.4]
-  wire [3:0] _GEN_43; // @[Mux.scala 19:72:shc.marmotcaravel.MarmotCaravelConfig.fir@55281.4]
   wire [3:0] _T_441; // @[Mux.scala 19:72:shc.marmotcaravel.MarmotCaravelConfig.fir@55281.4]
+  wire [3:0] _GEN_43; // @[Mux.scala 19:72:shc.marmotcaravel.MarmotCaravelConfig.fir@55282.4]
   wire [3:0] _T_442; // @[Mux.scala 19:72:shc.marmotcaravel.MarmotCaravelConfig.fir@55282.4]
   wire [3:0] _T_443; // @[Mux.scala 19:72:shc.marmotcaravel.MarmotCaravelConfig.fir@55283.4]
+  wire [3:0] _GEN_44; // @[Mux.scala 19:72:shc.marmotcaravel.MarmotCaravelConfig.fir@55284.4]
   wire [3:0] _T_444; // @[Mux.scala 19:72:shc.marmotcaravel.MarmotCaravelConfig.fir@55284.4]
-  wire [3:0] _GEN_44; // @[Mux.scala 19:72:shc.marmotcaravel.MarmotCaravelConfig.fir@55285.4]
+  wire [3:0] _GEN_45; // @[Mux.scala 19:72:shc.marmotcaravel.MarmotCaravelConfig.fir@55285.4]
   wire [3:0] _T_445; // @[Mux.scala 19:72:shc.marmotcaravel.MarmotCaravelConfig.fir@55285.4]
-  wire [3:0] _GEN_45; // @[Mux.scala 19:72:shc.marmotcaravel.MarmotCaravelConfig.fir@55286.4]
   wire [3:0] _T_446; // @[Mux.scala 19:72:shc.marmotcaravel.MarmotCaravelConfig.fir@55286.4]
   wire  _T_449; // @[AtomicAutomata.scala 103:60:shc.marmotcaravel.MarmotCaravelConfig.fir@55289.4]
   wire  _T_450; // @[AtomicAutomata.scala 103:96:shc.marmotcaravel.MarmotCaravelConfig.fir@55290.4]
@@ -109294,33 +109294,33 @@
   assign _T_420 = $signed(_T_419) & $signed(32'sh60077000); // @[Parameters.scala 121:52:shc.marmotcaravel.MarmotCaravelConfig.fir@55261.4]
   assign _T_421 = $signed(_T_420); // @[Parameters.scala 121:52:shc.marmotcaravel.MarmotCaravelConfig.fir@55262.4]
   assign _T_422 = $signed(_T_421) == $signed(32'sh0); // @[Parameters.scala 121:67:shc.marmotcaravel.MarmotCaravelConfig.fir@55263.4]
-  assign _T_425 = _T_377 ? 4'ha : 4'h0; // @[Mux.scala 19:72:shc.marmotcaravel.MarmotCaravelConfig.fir@55265.4]
-  assign _T_427 = _T_311 ? 3'h7 : 3'h0; // @[Mux.scala 19:72:shc.marmotcaravel.MarmotCaravelConfig.fir@55267.4]
-  assign _T_428 = _T_407 ? 2'h3 : 2'h0; // @[Mux.scala 19:72:shc.marmotcaravel.MarmotCaravelConfig.fir@55268.4]
-  assign _T_429 = _T_422 ? 3'h4 : 3'h0; // @[Mux.scala 19:72:shc.marmotcaravel.MarmotCaravelConfig.fir@55269.4]
-  assign _T_430 = _T_397 ? 2'h2 : 2'h0; // @[Mux.scala 19:72:shc.marmotcaravel.MarmotCaravelConfig.fir@55270.4]
-  assign _T_431 = _T_412 ? 4'hb : 4'h0; // @[Mux.scala 19:72:shc.marmotcaravel.MarmotCaravelConfig.fir@55271.4]
+  assign _T_424 = _T_407 ? 2'h3 : 2'h0; // @[Mux.scala 19:72:shc.marmotcaravel.MarmotCaravelConfig.fir@55264.4]
+  assign _T_426 = _T_422 ? 3'h4 : 3'h0; // @[Mux.scala 19:72:shc.marmotcaravel.MarmotCaravelConfig.fir@55266.4]
+  assign _T_427 = _T_412 ? 4'hb : 4'h0; // @[Mux.scala 19:72:shc.marmotcaravel.MarmotCaravelConfig.fir@55267.4]
+  assign _T_428 = _T_397 ? 2'h2 : 2'h0; // @[Mux.scala 19:72:shc.marmotcaravel.MarmotCaravelConfig.fir@55268.4]
+  assign _T_429 = _T_387 ? 3'h6 : 3'h0; // @[Mux.scala 19:72:shc.marmotcaravel.MarmotCaravelConfig.fir@55269.4]
+  assign _T_430 = _T_377 ? 4'ha : 4'h0; // @[Mux.scala 19:72:shc.marmotcaravel.MarmotCaravelConfig.fir@55270.4]
   assign _T_432 = _T_417 ? 4'h8 : 4'h0; // @[Mux.scala 19:72:shc.marmotcaravel.MarmotCaravelConfig.fir@55272.4]
-  assign _T_433 = _T_392 ? 4'h9 : 4'h0; // @[Mux.scala 19:72:shc.marmotcaravel.MarmotCaravelConfig.fir@55273.4]
-  assign _T_434 = _T_387 ? 3'h6 : 3'h0; // @[Mux.scala 19:72:shc.marmotcaravel.MarmotCaravelConfig.fir@55274.4]
-  assign _T_435 = _T_372 ? 3'h5 : 3'h0; // @[Mux.scala 19:72:shc.marmotcaravel.MarmotCaravelConfig.fir@55275.4]
-  assign _GEN_39 = {{3'd0}, _T_382}; // @[Mux.scala 19:72:shc.marmotcaravel.MarmotCaravelConfig.fir@55276.4]
-  assign _T_436 = _GEN_39 | _T_425; // @[Mux.scala 19:72:shc.marmotcaravel.MarmotCaravelConfig.fir@55276.4]
-  assign _GEN_40 = {{1'd0}, _T_427}; // @[Mux.scala 19:72:shc.marmotcaravel.MarmotCaravelConfig.fir@55278.4]
-  assign _T_438 = _T_436 | _GEN_40; // @[Mux.scala 19:72:shc.marmotcaravel.MarmotCaravelConfig.fir@55278.4]
+  assign _T_433 = _T_311 ? 3'h7 : 3'h0; // @[Mux.scala 19:72:shc.marmotcaravel.MarmotCaravelConfig.fir@55273.4]
+  assign _T_434 = _T_372 ? 3'h5 : 3'h0; // @[Mux.scala 19:72:shc.marmotcaravel.MarmotCaravelConfig.fir@55274.4]
+  assign _T_435 = _T_392 ? 4'h9 : 4'h0; // @[Mux.scala 19:72:shc.marmotcaravel.MarmotCaravelConfig.fir@55275.4]
+  assign _GEN_39 = {{1'd0}, _T_424}; // @[Mux.scala 19:72:shc.marmotcaravel.MarmotCaravelConfig.fir@55277.4]
+  assign _T_437 = _GEN_39 | _T_426; // @[Mux.scala 19:72:shc.marmotcaravel.MarmotCaravelConfig.fir@55277.4]
+  assign _GEN_40 = {{1'd0}, _T_437}; // @[Mux.scala 19:72:shc.marmotcaravel.MarmotCaravelConfig.fir@55278.4]
+  assign _T_438 = _GEN_40 | _T_427; // @[Mux.scala 19:72:shc.marmotcaravel.MarmotCaravelConfig.fir@55278.4]
   assign _GEN_41 = {{2'd0}, _T_428}; // @[Mux.scala 19:72:shc.marmotcaravel.MarmotCaravelConfig.fir@55279.4]
   assign _T_439 = _T_438 | _GEN_41; // @[Mux.scala 19:72:shc.marmotcaravel.MarmotCaravelConfig.fir@55279.4]
   assign _GEN_42 = {{1'd0}, _T_429}; // @[Mux.scala 19:72:shc.marmotcaravel.MarmotCaravelConfig.fir@55280.4]
   assign _T_440 = _T_439 | _GEN_42; // @[Mux.scala 19:72:shc.marmotcaravel.MarmotCaravelConfig.fir@55280.4]
-  assign _GEN_43 = {{2'd0}, _T_430}; // @[Mux.scala 19:72:shc.marmotcaravel.MarmotCaravelConfig.fir@55281.4]
-  assign _T_441 = _T_440 | _GEN_43; // @[Mux.scala 19:72:shc.marmotcaravel.MarmotCaravelConfig.fir@55281.4]
-  assign _T_442 = _T_441 | _T_431; // @[Mux.scala 19:72:shc.marmotcaravel.MarmotCaravelConfig.fir@55282.4]
+  assign _T_441 = _T_440 | _T_430; // @[Mux.scala 19:72:shc.marmotcaravel.MarmotCaravelConfig.fir@55281.4]
+  assign _GEN_43 = {{3'd0}, _T_382}; // @[Mux.scala 19:72:shc.marmotcaravel.MarmotCaravelConfig.fir@55282.4]
+  assign _T_442 = _T_441 | _GEN_43; // @[Mux.scala 19:72:shc.marmotcaravel.MarmotCaravelConfig.fir@55282.4]
   assign _T_443 = _T_442 | _T_432; // @[Mux.scala 19:72:shc.marmotcaravel.MarmotCaravelConfig.fir@55283.4]
-  assign _T_444 = _T_443 | _T_433; // @[Mux.scala 19:72:shc.marmotcaravel.MarmotCaravelConfig.fir@55284.4]
-  assign _GEN_44 = {{1'd0}, _T_434}; // @[Mux.scala 19:72:shc.marmotcaravel.MarmotCaravelConfig.fir@55285.4]
-  assign _T_445 = _T_444 | _GEN_44; // @[Mux.scala 19:72:shc.marmotcaravel.MarmotCaravelConfig.fir@55285.4]
-  assign _GEN_45 = {{1'd0}, _T_435}; // @[Mux.scala 19:72:shc.marmotcaravel.MarmotCaravelConfig.fir@55286.4]
-  assign _T_446 = _T_445 | _GEN_45; // @[Mux.scala 19:72:shc.marmotcaravel.MarmotCaravelConfig.fir@55286.4]
+  assign _GEN_44 = {{1'd0}, _T_433}; // @[Mux.scala 19:72:shc.marmotcaravel.MarmotCaravelConfig.fir@55284.4]
+  assign _T_444 = _T_443 | _GEN_44; // @[Mux.scala 19:72:shc.marmotcaravel.MarmotCaravelConfig.fir@55284.4]
+  assign _GEN_45 = {{1'd0}, _T_434}; // @[Mux.scala 19:72:shc.marmotcaravel.MarmotCaravelConfig.fir@55285.4]
+  assign _T_445 = _T_444 | _GEN_45; // @[Mux.scala 19:72:shc.marmotcaravel.MarmotCaravelConfig.fir@55285.4]
+  assign _T_446 = _T_445 | _T_435; // @[Mux.scala 19:72:shc.marmotcaravel.MarmotCaravelConfig.fir@55286.4]
   assign _T_449 = _T_269_0_fifoId == _T_446; // @[AtomicAutomata.scala 103:60:shc.marmotcaravel.MarmotCaravelConfig.fir@55289.4]
   assign _T_450 = _T_284 & _T_449; // @[AtomicAutomata.scala 103:96:shc.marmotcaravel.MarmotCaravelConfig.fir@55290.4]
   assign _T_454 = _T_269_0_bits_data[0]; // @[AtomicAutomata.scala 111:63:shc.marmotcaravel.MarmotCaravelConfig.fir@55294.4]
@@ -340667,6 +340667,18 @@
   output        auto_io_out_pins_5_o_oval, // @[:shc.marmotcaravel.MarmotCaravelConfig.fir@291269.4]
   output        auto_io_out_pins_5_o_oe, // @[:shc.marmotcaravel.MarmotCaravelConfig.fir@291269.4]
   output        auto_io_out_pins_5_o_ie, // @[:shc.marmotcaravel.MarmotCaravelConfig.fir@291269.4]
+  output        auto_io_out_pins_6_o_oval, // @[:shc.marmotcaravel.MarmotCaravelConfig.fir@291269.4]
+  output        auto_io_out_pins_6_o_oe, // @[:shc.marmotcaravel.MarmotCaravelConfig.fir@291269.4]
+  output        auto_io_out_pins_7_o_oval, // @[:shc.marmotcaravel.MarmotCaravelConfig.fir@291269.4]
+  output        auto_io_out_pins_7_o_oe, // @[:shc.marmotcaravel.MarmotCaravelConfig.fir@291269.4]
+  output        auto_io_out_pins_8_o_oval, // @[:shc.marmotcaravel.MarmotCaravelConfig.fir@291269.4]
+  output        auto_io_out_pins_8_o_oe, // @[:shc.marmotcaravel.MarmotCaravelConfig.fir@291269.4]
+  output        auto_io_out_pins_9_o_oval, // @[:shc.marmotcaravel.MarmotCaravelConfig.fir@291269.4]
+  output        auto_io_out_pins_9_o_oe, // @[:shc.marmotcaravel.MarmotCaravelConfig.fir@291269.4]
+  output        auto_io_out_pins_10_o_oval, // @[:shc.marmotcaravel.MarmotCaravelConfig.fir@291269.4]
+  output        auto_io_out_pins_10_o_oe, // @[:shc.marmotcaravel.MarmotCaravelConfig.fir@291269.4]
+  output        auto_io_out_pins_11_o_oval, // @[:shc.marmotcaravel.MarmotCaravelConfig.fir@291269.4]
+  output        auto_io_out_pins_11_o_oe, // @[:shc.marmotcaravel.MarmotCaravelConfig.fir@291269.4]
   input         auto_io_out_pins_12_i_ival, // @[:shc.marmotcaravel.MarmotCaravelConfig.fir@291269.4]
   output        auto_io_out_pins_12_o_oval, // @[:shc.marmotcaravel.MarmotCaravelConfig.fir@291269.4]
   output        auto_io_out_pins_12_o_oe, // @[:shc.marmotcaravel.MarmotCaravelConfig.fir@291269.4]
@@ -340719,6 +340731,20 @@
   output        auto_io_out_pins_24_o_oval, // @[:shc.marmotcaravel.MarmotCaravelConfig.fir@291269.4]
   output        auto_io_out_pins_24_o_oe, // @[:shc.marmotcaravel.MarmotCaravelConfig.fir@291269.4]
   output        auto_io_out_pins_24_o_ie, // @[:shc.marmotcaravel.MarmotCaravelConfig.fir@291269.4]
+  output        auto_io_out_pins_25_o_oval, // @[:shc.marmotcaravel.MarmotCaravelConfig.fir@291269.4]
+  output        auto_io_out_pins_25_o_oe, // @[:shc.marmotcaravel.MarmotCaravelConfig.fir@291269.4]
+  output        auto_io_out_pins_26_o_oval, // @[:shc.marmotcaravel.MarmotCaravelConfig.fir@291269.4]
+  output        auto_io_out_pins_26_o_oe, // @[:shc.marmotcaravel.MarmotCaravelConfig.fir@291269.4]
+  output        auto_io_out_pins_27_o_oval, // @[:shc.marmotcaravel.MarmotCaravelConfig.fir@291269.4]
+  output        auto_io_out_pins_27_o_oe, // @[:shc.marmotcaravel.MarmotCaravelConfig.fir@291269.4]
+  output        auto_io_out_pins_28_o_oval, // @[:shc.marmotcaravel.MarmotCaravelConfig.fir@291269.4]
+  output        auto_io_out_pins_28_o_oe, // @[:shc.marmotcaravel.MarmotCaravelConfig.fir@291269.4]
+  output        auto_io_out_pins_29_o_oval, // @[:shc.marmotcaravel.MarmotCaravelConfig.fir@291269.4]
+  output        auto_io_out_pins_29_o_oe, // @[:shc.marmotcaravel.MarmotCaravelConfig.fir@291269.4]
+  output        auto_io_out_pins_30_o_oval, // @[:shc.marmotcaravel.MarmotCaravelConfig.fir@291269.4]
+  output        auto_io_out_pins_30_o_oe, // @[:shc.marmotcaravel.MarmotCaravelConfig.fir@291269.4]
+  output        auto_io_out_pins_31_o_oval, // @[:shc.marmotcaravel.MarmotCaravelConfig.fir@291269.4]
+  output        auto_io_out_pins_31_o_oe, // @[:shc.marmotcaravel.MarmotCaravelConfig.fir@291269.4]
   input         auto_io_out_iof_0_0_o_oval, // @[:shc.marmotcaravel.MarmotCaravelConfig.fir@291269.4]
   input         auto_io_out_iof_0_1_o_oval, // @[:shc.marmotcaravel.MarmotCaravelConfig.fir@291269.4]
   output        auto_io_out_iof_0_2_i_ival, // @[:shc.marmotcaravel.MarmotCaravelConfig.fir@291269.4]
@@ -341277,6 +341303,8 @@
   wire  _T_5225; // @[GPIO.scala 194:35:shc.marmotcaravel.MarmotCaravelConfig.fir@293662.4]
   wire  _T_5226; // @[GPIO.scala 194:51:shc.marmotcaravel.MarmotCaravelConfig.fir@293663.4]
   wire  _T_5227; // @[GPIO.scala 194:41:shc.marmotcaravel.MarmotCaravelConfig.fir@293664.4]
+  wire  swPinCtrl_6_oval; // @[GPIO.scala 155:37:shc.marmotcaravel.MarmotCaravelConfig.fir@293673.4]
+  wire  _T_5242; // @[GPIO.scala 188:51:shc.marmotcaravel.MarmotCaravelConfig.fir@293700.4]
   wire  _T_5244; // @[GPIO.scala 191:34:shc.marmotcaravel.MarmotCaravelConfig.fir@293703.4]
   wire  _T_5245; // @[GPIO.scala 191:51:shc.marmotcaravel.MarmotCaravelConfig.fir@293704.4]
   wire  _T_5246; // @[GPIO.scala 191:40:shc.marmotcaravel.MarmotCaravelConfig.fir@293705.4]
@@ -341291,6 +341319,8 @@
   wire  _T_5255; // @[GPIO.scala 194:35:shc.marmotcaravel.MarmotCaravelConfig.fir@293714.4]
   wire  _T_5256; // @[GPIO.scala 194:51:shc.marmotcaravel.MarmotCaravelConfig.fir@293715.4]
   wire  _T_5257; // @[GPIO.scala 194:41:shc.marmotcaravel.MarmotCaravelConfig.fir@293716.4]
+  wire  swPinCtrl_7_oval; // @[GPIO.scala 155:37:shc.marmotcaravel.MarmotCaravelConfig.fir@293725.4]
+  wire  _T_5272; // @[GPIO.scala 188:51:shc.marmotcaravel.MarmotCaravelConfig.fir@293752.4]
   wire  _T_5274; // @[GPIO.scala 191:34:shc.marmotcaravel.MarmotCaravelConfig.fir@293755.4]
   wire  _T_5275; // @[GPIO.scala 191:51:shc.marmotcaravel.MarmotCaravelConfig.fir@293756.4]
   wire  _T_5276; // @[GPIO.scala 191:40:shc.marmotcaravel.MarmotCaravelConfig.fir@293757.4]
@@ -341305,6 +341335,8 @@
   wire  _T_5285; // @[GPIO.scala 194:35:shc.marmotcaravel.MarmotCaravelConfig.fir@293766.4]
   wire  _T_5286; // @[GPIO.scala 194:51:shc.marmotcaravel.MarmotCaravelConfig.fir@293767.4]
   wire  _T_5287; // @[GPIO.scala 194:41:shc.marmotcaravel.MarmotCaravelConfig.fir@293768.4]
+  wire  swPinCtrl_8_oval; // @[GPIO.scala 155:37:shc.marmotcaravel.MarmotCaravelConfig.fir@293777.4]
+  wire  _T_5302; // @[GPIO.scala 188:51:shc.marmotcaravel.MarmotCaravelConfig.fir@293804.4]
   wire  _T_5304; // @[GPIO.scala 191:34:shc.marmotcaravel.MarmotCaravelConfig.fir@293807.4]
   wire  _T_5305; // @[GPIO.scala 191:51:shc.marmotcaravel.MarmotCaravelConfig.fir@293808.4]
   wire  _T_5306; // @[GPIO.scala 191:40:shc.marmotcaravel.MarmotCaravelConfig.fir@293809.4]
@@ -341319,6 +341351,8 @@
   wire  _T_5315; // @[GPIO.scala 194:35:shc.marmotcaravel.MarmotCaravelConfig.fir@293818.4]
   wire  _T_5316; // @[GPIO.scala 194:51:shc.marmotcaravel.MarmotCaravelConfig.fir@293819.4]
   wire  _T_5317; // @[GPIO.scala 194:41:shc.marmotcaravel.MarmotCaravelConfig.fir@293820.4]
+  wire  swPinCtrl_9_oval; // @[GPIO.scala 155:37:shc.marmotcaravel.MarmotCaravelConfig.fir@293829.4]
+  wire  _T_5332; // @[GPIO.scala 188:51:shc.marmotcaravel.MarmotCaravelConfig.fir@293856.4]
   wire  _T_5334; // @[GPIO.scala 191:34:shc.marmotcaravel.MarmotCaravelConfig.fir@293859.4]
   wire  _T_5335; // @[GPIO.scala 191:51:shc.marmotcaravel.MarmotCaravelConfig.fir@293860.4]
   wire  _T_5336; // @[GPIO.scala 191:40:shc.marmotcaravel.MarmotCaravelConfig.fir@293861.4]
@@ -341333,6 +341367,8 @@
   wire  _T_5345; // @[GPIO.scala 194:35:shc.marmotcaravel.MarmotCaravelConfig.fir@293870.4]
   wire  _T_5346; // @[GPIO.scala 194:51:shc.marmotcaravel.MarmotCaravelConfig.fir@293871.4]
   wire  _T_5347; // @[GPIO.scala 194:41:shc.marmotcaravel.MarmotCaravelConfig.fir@293872.4]
+  wire  swPinCtrl_10_oval; // @[GPIO.scala 155:37:shc.marmotcaravel.MarmotCaravelConfig.fir@293881.4]
+  wire  _T_5362; // @[GPIO.scala 188:51:shc.marmotcaravel.MarmotCaravelConfig.fir@293908.4]
   wire  _T_5364; // @[GPIO.scala 191:34:shc.marmotcaravel.MarmotCaravelConfig.fir@293911.4]
   wire  _T_5365; // @[GPIO.scala 191:51:shc.marmotcaravel.MarmotCaravelConfig.fir@293912.4]
   wire  _T_5366; // @[GPIO.scala 191:40:shc.marmotcaravel.MarmotCaravelConfig.fir@293913.4]
@@ -341347,6 +341383,8 @@
   wire  _T_5375; // @[GPIO.scala 194:35:shc.marmotcaravel.MarmotCaravelConfig.fir@293922.4]
   wire  _T_5376; // @[GPIO.scala 194:51:shc.marmotcaravel.MarmotCaravelConfig.fir@293923.4]
   wire  _T_5377; // @[GPIO.scala 194:41:shc.marmotcaravel.MarmotCaravelConfig.fir@293924.4]
+  wire  swPinCtrl_11_oval; // @[GPIO.scala 155:37:shc.marmotcaravel.MarmotCaravelConfig.fir@293933.4]
+  wire  _T_5392; // @[GPIO.scala 188:51:shc.marmotcaravel.MarmotCaravelConfig.fir@293960.4]
   wire  _T_5394; // @[GPIO.scala 191:34:shc.marmotcaravel.MarmotCaravelConfig.fir@293963.4]
   wire  _T_5395; // @[GPIO.scala 191:51:shc.marmotcaravel.MarmotCaravelConfig.fir@293964.4]
   wire  _T_5396; // @[GPIO.scala 191:40:shc.marmotcaravel.MarmotCaravelConfig.fir@293965.4]
@@ -341673,6 +341711,8 @@
   wire  _T_5795; // @[GPIO.scala 194:35:shc.marmotcaravel.MarmotCaravelConfig.fir@294650.4]
   wire  _T_5796; // @[GPIO.scala 194:51:shc.marmotcaravel.MarmotCaravelConfig.fir@294651.4]
   wire  _T_5797; // @[GPIO.scala 194:41:shc.marmotcaravel.MarmotCaravelConfig.fir@294652.4]
+  wire  swPinCtrl_25_oval; // @[GPIO.scala 155:37:shc.marmotcaravel.MarmotCaravelConfig.fir@294661.4]
+  wire  _T_5812; // @[GPIO.scala 188:51:shc.marmotcaravel.MarmotCaravelConfig.fir@294688.4]
   wire  _T_5814; // @[GPIO.scala 191:34:shc.marmotcaravel.MarmotCaravelConfig.fir@294691.4]
   wire  _T_5815; // @[GPIO.scala 191:51:shc.marmotcaravel.MarmotCaravelConfig.fir@294692.4]
   wire  _T_5816; // @[GPIO.scala 191:40:shc.marmotcaravel.MarmotCaravelConfig.fir@294693.4]
@@ -341687,6 +341727,8 @@
   wire  _T_5825; // @[GPIO.scala 194:35:shc.marmotcaravel.MarmotCaravelConfig.fir@294702.4]
   wire  _T_5826; // @[GPIO.scala 194:51:shc.marmotcaravel.MarmotCaravelConfig.fir@294703.4]
   wire  _T_5827; // @[GPIO.scala 194:41:shc.marmotcaravel.MarmotCaravelConfig.fir@294704.4]
+  wire  swPinCtrl_26_oval; // @[GPIO.scala 155:37:shc.marmotcaravel.MarmotCaravelConfig.fir@294713.4]
+  wire  _T_5842; // @[GPIO.scala 188:51:shc.marmotcaravel.MarmotCaravelConfig.fir@294740.4]
   wire  _T_5844; // @[GPIO.scala 191:34:shc.marmotcaravel.MarmotCaravelConfig.fir@294743.4]
   wire  _T_5845; // @[GPIO.scala 191:51:shc.marmotcaravel.MarmotCaravelConfig.fir@294744.4]
   wire  _T_5846; // @[GPIO.scala 191:40:shc.marmotcaravel.MarmotCaravelConfig.fir@294745.4]
@@ -341701,6 +341743,8 @@
   wire  _T_5855; // @[GPIO.scala 194:35:shc.marmotcaravel.MarmotCaravelConfig.fir@294754.4]
   wire  _T_5856; // @[GPIO.scala 194:51:shc.marmotcaravel.MarmotCaravelConfig.fir@294755.4]
   wire  _T_5857; // @[GPIO.scala 194:41:shc.marmotcaravel.MarmotCaravelConfig.fir@294756.4]
+  wire  swPinCtrl_27_oval; // @[GPIO.scala 155:37:shc.marmotcaravel.MarmotCaravelConfig.fir@294765.4]
+  wire  _T_5872; // @[GPIO.scala 188:51:shc.marmotcaravel.MarmotCaravelConfig.fir@294792.4]
   wire  _T_5874; // @[GPIO.scala 191:34:shc.marmotcaravel.MarmotCaravelConfig.fir@294795.4]
   wire  _T_5875; // @[GPIO.scala 191:51:shc.marmotcaravel.MarmotCaravelConfig.fir@294796.4]
   wire  _T_5876; // @[GPIO.scala 191:40:shc.marmotcaravel.MarmotCaravelConfig.fir@294797.4]
@@ -341715,6 +341759,8 @@
   wire  _T_5885; // @[GPIO.scala 194:35:shc.marmotcaravel.MarmotCaravelConfig.fir@294806.4]
   wire  _T_5886; // @[GPIO.scala 194:51:shc.marmotcaravel.MarmotCaravelConfig.fir@294807.4]
   wire  _T_5887; // @[GPIO.scala 194:41:shc.marmotcaravel.MarmotCaravelConfig.fir@294808.4]
+  wire  swPinCtrl_28_oval; // @[GPIO.scala 155:37:shc.marmotcaravel.MarmotCaravelConfig.fir@294817.4]
+  wire  _T_5902; // @[GPIO.scala 188:51:shc.marmotcaravel.MarmotCaravelConfig.fir@294844.4]
   wire  _T_5904; // @[GPIO.scala 191:34:shc.marmotcaravel.MarmotCaravelConfig.fir@294847.4]
   wire  _T_5905; // @[GPIO.scala 191:51:shc.marmotcaravel.MarmotCaravelConfig.fir@294848.4]
   wire  _T_5906; // @[GPIO.scala 191:40:shc.marmotcaravel.MarmotCaravelConfig.fir@294849.4]
@@ -341729,6 +341775,8 @@
   wire  _T_5915; // @[GPIO.scala 194:35:shc.marmotcaravel.MarmotCaravelConfig.fir@294858.4]
   wire  _T_5916; // @[GPIO.scala 194:51:shc.marmotcaravel.MarmotCaravelConfig.fir@294859.4]
   wire  _T_5917; // @[GPIO.scala 194:41:shc.marmotcaravel.MarmotCaravelConfig.fir@294860.4]
+  wire  swPinCtrl_29_oval; // @[GPIO.scala 155:37:shc.marmotcaravel.MarmotCaravelConfig.fir@294869.4]
+  wire  _T_5932; // @[GPIO.scala 188:51:shc.marmotcaravel.MarmotCaravelConfig.fir@294896.4]
   wire  _T_5934; // @[GPIO.scala 191:34:shc.marmotcaravel.MarmotCaravelConfig.fir@294899.4]
   wire  _T_5935; // @[GPIO.scala 191:51:shc.marmotcaravel.MarmotCaravelConfig.fir@294900.4]
   wire  _T_5936; // @[GPIO.scala 191:40:shc.marmotcaravel.MarmotCaravelConfig.fir@294901.4]
@@ -341743,6 +341791,8 @@
   wire  _T_5945; // @[GPIO.scala 194:35:shc.marmotcaravel.MarmotCaravelConfig.fir@294910.4]
   wire  _T_5946; // @[GPIO.scala 194:51:shc.marmotcaravel.MarmotCaravelConfig.fir@294911.4]
   wire  _T_5947; // @[GPIO.scala 194:41:shc.marmotcaravel.MarmotCaravelConfig.fir@294912.4]
+  wire  swPinCtrl_30_oval; // @[GPIO.scala 155:37:shc.marmotcaravel.MarmotCaravelConfig.fir@294921.4]
+  wire  _T_5962; // @[GPIO.scala 188:51:shc.marmotcaravel.MarmotCaravelConfig.fir@294948.4]
   wire  _T_5964; // @[GPIO.scala 191:34:shc.marmotcaravel.MarmotCaravelConfig.fir@294951.4]
   wire  _T_5965; // @[GPIO.scala 191:51:shc.marmotcaravel.MarmotCaravelConfig.fir@294952.4]
   wire  _T_5966; // @[GPIO.scala 191:40:shc.marmotcaravel.MarmotCaravelConfig.fir@294953.4]
@@ -341757,6 +341807,8 @@
   wire  _T_5975; // @[GPIO.scala 194:35:shc.marmotcaravel.MarmotCaravelConfig.fir@294962.4]
   wire  _T_5976; // @[GPIO.scala 194:51:shc.marmotcaravel.MarmotCaravelConfig.fir@294963.4]
   wire  _T_5977; // @[GPIO.scala 194:41:shc.marmotcaravel.MarmotCaravelConfig.fir@294964.4]
+  wire  swPinCtrl_31_oval; // @[GPIO.scala 155:37:shc.marmotcaravel.MarmotCaravelConfig.fir@294973.4]
+  wire  _T_5992; // @[GPIO.scala 188:51:shc.marmotcaravel.MarmotCaravelConfig.fir@295000.4]
   wire  _T_5994; // @[GPIO.scala 191:34:shc.marmotcaravel.MarmotCaravelConfig.fir@295003.4]
   wire  _T_5995; // @[GPIO.scala 191:51:shc.marmotcaravel.MarmotCaravelConfig.fir@295004.4]
   wire  _T_5996; // @[GPIO.scala 191:40:shc.marmotcaravel.MarmotCaravelConfig.fir@295005.4]
@@ -342283,6 +342335,8 @@
   assign _T_5225 = lowIpReg[5]; // @[GPIO.scala 194:35:shc.marmotcaravel.MarmotCaravelConfig.fir@293662.4]
   assign _T_5226 = lowIeReg[5]; // @[GPIO.scala 194:51:shc.marmotcaravel.MarmotCaravelConfig.fir@293663.4]
   assign _T_5227 = _T_5225 & _T_5226; // @[GPIO.scala 194:41:shc.marmotcaravel.MarmotCaravelConfig.fir@293664.4]
+  assign swPinCtrl_6_oval = portReg[6]; // @[GPIO.scala 155:37:shc.marmotcaravel.MarmotCaravelConfig.fir@293673.4]
+  assign _T_5242 = xorReg[6]; // @[GPIO.scala 188:51:shc.marmotcaravel.MarmotCaravelConfig.fir@293700.4]
   assign _T_5244 = riseIpReg[6]; // @[GPIO.scala 191:34:shc.marmotcaravel.MarmotCaravelConfig.fir@293703.4]
   assign _T_5245 = riseIeReg[6]; // @[GPIO.scala 191:51:shc.marmotcaravel.MarmotCaravelConfig.fir@293704.4]
   assign _T_5246 = _T_5244 & _T_5245; // @[GPIO.scala 191:40:shc.marmotcaravel.MarmotCaravelConfig.fir@293705.4]
@@ -342297,6 +342351,8 @@
   assign _T_5255 = lowIpReg[6]; // @[GPIO.scala 194:35:shc.marmotcaravel.MarmotCaravelConfig.fir@293714.4]
   assign _T_5256 = lowIeReg[6]; // @[GPIO.scala 194:51:shc.marmotcaravel.MarmotCaravelConfig.fir@293715.4]
   assign _T_5257 = _T_5255 & _T_5256; // @[GPIO.scala 194:41:shc.marmotcaravel.MarmotCaravelConfig.fir@293716.4]
+  assign swPinCtrl_7_oval = portReg[7]; // @[GPIO.scala 155:37:shc.marmotcaravel.MarmotCaravelConfig.fir@293725.4]
+  assign _T_5272 = xorReg[7]; // @[GPIO.scala 188:51:shc.marmotcaravel.MarmotCaravelConfig.fir@293752.4]
   assign _T_5274 = riseIpReg[7]; // @[GPIO.scala 191:34:shc.marmotcaravel.MarmotCaravelConfig.fir@293755.4]
   assign _T_5275 = riseIeReg[7]; // @[GPIO.scala 191:51:shc.marmotcaravel.MarmotCaravelConfig.fir@293756.4]
   assign _T_5276 = _T_5274 & _T_5275; // @[GPIO.scala 191:40:shc.marmotcaravel.MarmotCaravelConfig.fir@293757.4]
@@ -342311,6 +342367,8 @@
   assign _T_5285 = lowIpReg[7]; // @[GPIO.scala 194:35:shc.marmotcaravel.MarmotCaravelConfig.fir@293766.4]
   assign _T_5286 = lowIeReg[7]; // @[GPIO.scala 194:51:shc.marmotcaravel.MarmotCaravelConfig.fir@293767.4]
   assign _T_5287 = _T_5285 & _T_5286; // @[GPIO.scala 194:41:shc.marmotcaravel.MarmotCaravelConfig.fir@293768.4]
+  assign swPinCtrl_8_oval = portReg[8]; // @[GPIO.scala 155:37:shc.marmotcaravel.MarmotCaravelConfig.fir@293777.4]
+  assign _T_5302 = xorReg[8]; // @[GPIO.scala 188:51:shc.marmotcaravel.MarmotCaravelConfig.fir@293804.4]
   assign _T_5304 = riseIpReg[8]; // @[GPIO.scala 191:34:shc.marmotcaravel.MarmotCaravelConfig.fir@293807.4]
   assign _T_5305 = riseIeReg[8]; // @[GPIO.scala 191:51:shc.marmotcaravel.MarmotCaravelConfig.fir@293808.4]
   assign _T_5306 = _T_5304 & _T_5305; // @[GPIO.scala 191:40:shc.marmotcaravel.MarmotCaravelConfig.fir@293809.4]
@@ -342325,6 +342383,8 @@
   assign _T_5315 = lowIpReg[8]; // @[GPIO.scala 194:35:shc.marmotcaravel.MarmotCaravelConfig.fir@293818.4]
   assign _T_5316 = lowIeReg[8]; // @[GPIO.scala 194:51:shc.marmotcaravel.MarmotCaravelConfig.fir@293819.4]
   assign _T_5317 = _T_5315 & _T_5316; // @[GPIO.scala 194:41:shc.marmotcaravel.MarmotCaravelConfig.fir@293820.4]
+  assign swPinCtrl_9_oval = portReg[9]; // @[GPIO.scala 155:37:shc.marmotcaravel.MarmotCaravelConfig.fir@293829.4]
+  assign _T_5332 = xorReg[9]; // @[GPIO.scala 188:51:shc.marmotcaravel.MarmotCaravelConfig.fir@293856.4]
   assign _T_5334 = riseIpReg[9]; // @[GPIO.scala 191:34:shc.marmotcaravel.MarmotCaravelConfig.fir@293859.4]
   assign _T_5335 = riseIeReg[9]; // @[GPIO.scala 191:51:shc.marmotcaravel.MarmotCaravelConfig.fir@293860.4]
   assign _T_5336 = _T_5334 & _T_5335; // @[GPIO.scala 191:40:shc.marmotcaravel.MarmotCaravelConfig.fir@293861.4]
@@ -342339,6 +342399,8 @@
   assign _T_5345 = lowIpReg[9]; // @[GPIO.scala 194:35:shc.marmotcaravel.MarmotCaravelConfig.fir@293870.4]
   assign _T_5346 = lowIeReg[9]; // @[GPIO.scala 194:51:shc.marmotcaravel.MarmotCaravelConfig.fir@293871.4]
   assign _T_5347 = _T_5345 & _T_5346; // @[GPIO.scala 194:41:shc.marmotcaravel.MarmotCaravelConfig.fir@293872.4]
+  assign swPinCtrl_10_oval = portReg[10]; // @[GPIO.scala 155:37:shc.marmotcaravel.MarmotCaravelConfig.fir@293881.4]
+  assign _T_5362 = xorReg[10]; // @[GPIO.scala 188:51:shc.marmotcaravel.MarmotCaravelConfig.fir@293908.4]
   assign _T_5364 = riseIpReg[10]; // @[GPIO.scala 191:34:shc.marmotcaravel.MarmotCaravelConfig.fir@293911.4]
   assign _T_5365 = riseIeReg[10]; // @[GPIO.scala 191:51:shc.marmotcaravel.MarmotCaravelConfig.fir@293912.4]
   assign _T_5366 = _T_5364 & _T_5365; // @[GPIO.scala 191:40:shc.marmotcaravel.MarmotCaravelConfig.fir@293913.4]
@@ -342353,6 +342415,8 @@
   assign _T_5375 = lowIpReg[10]; // @[GPIO.scala 194:35:shc.marmotcaravel.MarmotCaravelConfig.fir@293922.4]
   assign _T_5376 = lowIeReg[10]; // @[GPIO.scala 194:51:shc.marmotcaravel.MarmotCaravelConfig.fir@293923.4]
   assign _T_5377 = _T_5375 & _T_5376; // @[GPIO.scala 194:41:shc.marmotcaravel.MarmotCaravelConfig.fir@293924.4]
+  assign swPinCtrl_11_oval = portReg[11]; // @[GPIO.scala 155:37:shc.marmotcaravel.MarmotCaravelConfig.fir@293933.4]
+  assign _T_5392 = xorReg[11]; // @[GPIO.scala 188:51:shc.marmotcaravel.MarmotCaravelConfig.fir@293960.4]
   assign _T_5394 = riseIpReg[11]; // @[GPIO.scala 191:34:shc.marmotcaravel.MarmotCaravelConfig.fir@293963.4]
   assign _T_5395 = riseIeReg[11]; // @[GPIO.scala 191:51:shc.marmotcaravel.MarmotCaravelConfig.fir@293964.4]
   assign _T_5396 = _T_5394 & _T_5395; // @[GPIO.scala 191:40:shc.marmotcaravel.MarmotCaravelConfig.fir@293965.4]
@@ -342679,6 +342743,8 @@
   assign _T_5795 = lowIpReg[24]; // @[GPIO.scala 194:35:shc.marmotcaravel.MarmotCaravelConfig.fir@294650.4]
   assign _T_5796 = lowIeReg[24]; // @[GPIO.scala 194:51:shc.marmotcaravel.MarmotCaravelConfig.fir@294651.4]
   assign _T_5797 = _T_5795 & _T_5796; // @[GPIO.scala 194:41:shc.marmotcaravel.MarmotCaravelConfig.fir@294652.4]
+  assign swPinCtrl_25_oval = portReg[25]; // @[GPIO.scala 155:37:shc.marmotcaravel.MarmotCaravelConfig.fir@294661.4]
+  assign _T_5812 = xorReg[25]; // @[GPIO.scala 188:51:shc.marmotcaravel.MarmotCaravelConfig.fir@294688.4]
   assign _T_5814 = riseIpReg[25]; // @[GPIO.scala 191:34:shc.marmotcaravel.MarmotCaravelConfig.fir@294691.4]
   assign _T_5815 = riseIeReg[25]; // @[GPIO.scala 191:51:shc.marmotcaravel.MarmotCaravelConfig.fir@294692.4]
   assign _T_5816 = _T_5814 & _T_5815; // @[GPIO.scala 191:40:shc.marmotcaravel.MarmotCaravelConfig.fir@294693.4]
@@ -342693,6 +342759,8 @@
   assign _T_5825 = lowIpReg[25]; // @[GPIO.scala 194:35:shc.marmotcaravel.MarmotCaravelConfig.fir@294702.4]
   assign _T_5826 = lowIeReg[25]; // @[GPIO.scala 194:51:shc.marmotcaravel.MarmotCaravelConfig.fir@294703.4]
   assign _T_5827 = _T_5825 & _T_5826; // @[GPIO.scala 194:41:shc.marmotcaravel.MarmotCaravelConfig.fir@294704.4]
+  assign swPinCtrl_26_oval = portReg[26]; // @[GPIO.scala 155:37:shc.marmotcaravel.MarmotCaravelConfig.fir@294713.4]
+  assign _T_5842 = xorReg[26]; // @[GPIO.scala 188:51:shc.marmotcaravel.MarmotCaravelConfig.fir@294740.4]
   assign _T_5844 = riseIpReg[26]; // @[GPIO.scala 191:34:shc.marmotcaravel.MarmotCaravelConfig.fir@294743.4]
   assign _T_5845 = riseIeReg[26]; // @[GPIO.scala 191:51:shc.marmotcaravel.MarmotCaravelConfig.fir@294744.4]
   assign _T_5846 = _T_5844 & _T_5845; // @[GPIO.scala 191:40:shc.marmotcaravel.MarmotCaravelConfig.fir@294745.4]
@@ -342707,6 +342775,8 @@
   assign _T_5855 = lowIpReg[26]; // @[GPIO.scala 194:35:shc.marmotcaravel.MarmotCaravelConfig.fir@294754.4]
   assign _T_5856 = lowIeReg[26]; // @[GPIO.scala 194:51:shc.marmotcaravel.MarmotCaravelConfig.fir@294755.4]
   assign _T_5857 = _T_5855 & _T_5856; // @[GPIO.scala 194:41:shc.marmotcaravel.MarmotCaravelConfig.fir@294756.4]
+  assign swPinCtrl_27_oval = portReg[27]; // @[GPIO.scala 155:37:shc.marmotcaravel.MarmotCaravelConfig.fir@294765.4]
+  assign _T_5872 = xorReg[27]; // @[GPIO.scala 188:51:shc.marmotcaravel.MarmotCaravelConfig.fir@294792.4]
   assign _T_5874 = riseIpReg[27]; // @[GPIO.scala 191:34:shc.marmotcaravel.MarmotCaravelConfig.fir@294795.4]
   assign _T_5875 = riseIeReg[27]; // @[GPIO.scala 191:51:shc.marmotcaravel.MarmotCaravelConfig.fir@294796.4]
   assign _T_5876 = _T_5874 & _T_5875; // @[GPIO.scala 191:40:shc.marmotcaravel.MarmotCaravelConfig.fir@294797.4]
@@ -342721,6 +342791,8 @@
   assign _T_5885 = lowIpReg[27]; // @[GPIO.scala 194:35:shc.marmotcaravel.MarmotCaravelConfig.fir@294806.4]
   assign _T_5886 = lowIeReg[27]; // @[GPIO.scala 194:51:shc.marmotcaravel.MarmotCaravelConfig.fir@294807.4]
   assign _T_5887 = _T_5885 & _T_5886; // @[GPIO.scala 194:41:shc.marmotcaravel.MarmotCaravelConfig.fir@294808.4]
+  assign swPinCtrl_28_oval = portReg[28]; // @[GPIO.scala 155:37:shc.marmotcaravel.MarmotCaravelConfig.fir@294817.4]
+  assign _T_5902 = xorReg[28]; // @[GPIO.scala 188:51:shc.marmotcaravel.MarmotCaravelConfig.fir@294844.4]
   assign _T_5904 = riseIpReg[28]; // @[GPIO.scala 191:34:shc.marmotcaravel.MarmotCaravelConfig.fir@294847.4]
   assign _T_5905 = riseIeReg[28]; // @[GPIO.scala 191:51:shc.marmotcaravel.MarmotCaravelConfig.fir@294848.4]
   assign _T_5906 = _T_5904 & _T_5905; // @[GPIO.scala 191:40:shc.marmotcaravel.MarmotCaravelConfig.fir@294849.4]
@@ -342735,6 +342807,8 @@
   assign _T_5915 = lowIpReg[28]; // @[GPIO.scala 194:35:shc.marmotcaravel.MarmotCaravelConfig.fir@294858.4]
   assign _T_5916 = lowIeReg[28]; // @[GPIO.scala 194:51:shc.marmotcaravel.MarmotCaravelConfig.fir@294859.4]
   assign _T_5917 = _T_5915 & _T_5916; // @[GPIO.scala 194:41:shc.marmotcaravel.MarmotCaravelConfig.fir@294860.4]
+  assign swPinCtrl_29_oval = portReg[29]; // @[GPIO.scala 155:37:shc.marmotcaravel.MarmotCaravelConfig.fir@294869.4]
+  assign _T_5932 = xorReg[29]; // @[GPIO.scala 188:51:shc.marmotcaravel.MarmotCaravelConfig.fir@294896.4]
   assign _T_5934 = riseIpReg[29]; // @[GPIO.scala 191:34:shc.marmotcaravel.MarmotCaravelConfig.fir@294899.4]
   assign _T_5935 = riseIeReg[29]; // @[GPIO.scala 191:51:shc.marmotcaravel.MarmotCaravelConfig.fir@294900.4]
   assign _T_5936 = _T_5934 & _T_5935; // @[GPIO.scala 191:40:shc.marmotcaravel.MarmotCaravelConfig.fir@294901.4]
@@ -342749,6 +342823,8 @@
   assign _T_5945 = lowIpReg[29]; // @[GPIO.scala 194:35:shc.marmotcaravel.MarmotCaravelConfig.fir@294910.4]
   assign _T_5946 = lowIeReg[29]; // @[GPIO.scala 194:51:shc.marmotcaravel.MarmotCaravelConfig.fir@294911.4]
   assign _T_5947 = _T_5945 & _T_5946; // @[GPIO.scala 194:41:shc.marmotcaravel.MarmotCaravelConfig.fir@294912.4]
+  assign swPinCtrl_30_oval = portReg[30]; // @[GPIO.scala 155:37:shc.marmotcaravel.MarmotCaravelConfig.fir@294921.4]
+  assign _T_5962 = xorReg[30]; // @[GPIO.scala 188:51:shc.marmotcaravel.MarmotCaravelConfig.fir@294948.4]
   assign _T_5964 = riseIpReg[30]; // @[GPIO.scala 191:34:shc.marmotcaravel.MarmotCaravelConfig.fir@294951.4]
   assign _T_5965 = riseIeReg[30]; // @[GPIO.scala 191:51:shc.marmotcaravel.MarmotCaravelConfig.fir@294952.4]
   assign _T_5966 = _T_5964 & _T_5965; // @[GPIO.scala 191:40:shc.marmotcaravel.MarmotCaravelConfig.fir@294953.4]
@@ -342763,6 +342839,8 @@
   assign _T_5975 = lowIpReg[30]; // @[GPIO.scala 194:35:shc.marmotcaravel.MarmotCaravelConfig.fir@294962.4]
   assign _T_5976 = lowIeReg[30]; // @[GPIO.scala 194:51:shc.marmotcaravel.MarmotCaravelConfig.fir@294963.4]
   assign _T_5977 = _T_5975 & _T_5976; // @[GPIO.scala 194:41:shc.marmotcaravel.MarmotCaravelConfig.fir@294964.4]
+  assign swPinCtrl_31_oval = portReg[31]; // @[GPIO.scala 155:37:shc.marmotcaravel.MarmotCaravelConfig.fir@294973.4]
+  assign _T_5992 = xorReg[31]; // @[GPIO.scala 188:51:shc.marmotcaravel.MarmotCaravelConfig.fir@295000.4]
   assign _T_5994 = riseIpReg[31]; // @[GPIO.scala 191:34:shc.marmotcaravel.MarmotCaravelConfig.fir@295003.4]
   assign _T_5995 = riseIeReg[31]; // @[GPIO.scala 191:51:shc.marmotcaravel.MarmotCaravelConfig.fir@295004.4]
   assign _T_5996 = _T_5994 & _T_5995; // @[GPIO.scala 191:40:shc.marmotcaravel.MarmotCaravelConfig.fir@295005.4]
@@ -342833,6 +342911,18 @@
   assign auto_io_out_pins_5_o_oval = _T_5211_oval ^ _T_5212; // @[LazyModule.scala 173:49:shc.marmotcaravel.MarmotCaravelConfig.fir@291343.4]
   assign auto_io_out_pins_5_o_oe = _T_5210 ? iofCtrl_5_oe : swPinCtrl_5_oe; // @[LazyModule.scala 173:49:shc.marmotcaravel.MarmotCaravelConfig.fir@291343.4]
   assign auto_io_out_pins_5_o_ie = _T_5210 ? iofCtrl_5_ie : swPinCtrl_5_ie; // @[LazyModule.scala 173:49:shc.marmotcaravel.MarmotCaravelConfig.fir@291343.4]
+  assign auto_io_out_pins_6_o_oval = swPinCtrl_6_oval ^ _T_5242; // @[LazyModule.scala 173:49:shc.marmotcaravel.MarmotCaravelConfig.fir@291343.4]
+  assign auto_io_out_pins_6_o_oe = oeReg_io_q[6]; // @[LazyModule.scala 173:49:shc.marmotcaravel.MarmotCaravelConfig.fir@291343.4]
+  assign auto_io_out_pins_7_o_oval = swPinCtrl_7_oval ^ _T_5272; // @[LazyModule.scala 173:49:shc.marmotcaravel.MarmotCaravelConfig.fir@291343.4]
+  assign auto_io_out_pins_7_o_oe = oeReg_io_q[7]; // @[LazyModule.scala 173:49:shc.marmotcaravel.MarmotCaravelConfig.fir@291343.4]
+  assign auto_io_out_pins_8_o_oval = swPinCtrl_8_oval ^ _T_5302; // @[LazyModule.scala 173:49:shc.marmotcaravel.MarmotCaravelConfig.fir@291343.4]
+  assign auto_io_out_pins_8_o_oe = oeReg_io_q[8]; // @[LazyModule.scala 173:49:shc.marmotcaravel.MarmotCaravelConfig.fir@291343.4]
+  assign auto_io_out_pins_9_o_oval = swPinCtrl_9_oval ^ _T_5332; // @[LazyModule.scala 173:49:shc.marmotcaravel.MarmotCaravelConfig.fir@291343.4]
+  assign auto_io_out_pins_9_o_oe = oeReg_io_q[9]; // @[LazyModule.scala 173:49:shc.marmotcaravel.MarmotCaravelConfig.fir@291343.4]
+  assign auto_io_out_pins_10_o_oval = swPinCtrl_10_oval ^ _T_5362; // @[LazyModule.scala 173:49:shc.marmotcaravel.MarmotCaravelConfig.fir@291343.4]
+  assign auto_io_out_pins_10_o_oe = oeReg_io_q[10]; // @[LazyModule.scala 173:49:shc.marmotcaravel.MarmotCaravelConfig.fir@291343.4]
+  assign auto_io_out_pins_11_o_oval = swPinCtrl_11_oval ^ _T_5392; // @[LazyModule.scala 173:49:shc.marmotcaravel.MarmotCaravelConfig.fir@291343.4]
+  assign auto_io_out_pins_11_o_oe = oeReg_io_q[11]; // @[LazyModule.scala 173:49:shc.marmotcaravel.MarmotCaravelConfig.fir@291343.4]
   assign auto_io_out_pins_12_o_oval = _T_5421_oval ^ _T_5422; // @[LazyModule.scala 173:49:shc.marmotcaravel.MarmotCaravelConfig.fir@291343.4]
   assign auto_io_out_pins_12_o_oe = _T_5420 ? iofCtrl_12_oe : swPinCtrl_12_oe; // @[LazyModule.scala 173:49:shc.marmotcaravel.MarmotCaravelConfig.fir@291343.4]
   assign auto_io_out_pins_12_o_ie = _T_5420 ? iofCtrl_12_ie : swPinCtrl_12_ie; // @[LazyModule.scala 173:49:shc.marmotcaravel.MarmotCaravelConfig.fir@291343.4]
@@ -342872,6 +342962,20 @@
   assign auto_io_out_pins_24_o_oval = _T_5781_oval ^ _T_5782; // @[LazyModule.scala 173:49:shc.marmotcaravel.MarmotCaravelConfig.fir@291343.4]
   assign auto_io_out_pins_24_o_oe = _T_5780 ? iofCtrl_24_oe : swPinCtrl_24_oe; // @[LazyModule.scala 173:49:shc.marmotcaravel.MarmotCaravelConfig.fir@291343.4]
   assign auto_io_out_pins_24_o_ie = _T_5780 ? iofCtrl_24_ie : swPinCtrl_24_ie; // @[LazyModule.scala 173:49:shc.marmotcaravel.MarmotCaravelConfig.fir@291343.4]
+  assign auto_io_out_pins_25_o_oval = swPinCtrl_25_oval ^ _T_5812; // @[LazyModule.scala 173:49:shc.marmotcaravel.MarmotCaravelConfig.fir@291343.4]
+  assign auto_io_out_pins_25_o_oe = oeReg_io_q[25]; // @[LazyModule.scala 173:49:shc.marmotcaravel.MarmotCaravelConfig.fir@291343.4]
+  assign auto_io_out_pins_26_o_oval = swPinCtrl_26_oval ^ _T_5842; // @[LazyModule.scala 173:49:shc.marmotcaravel.MarmotCaravelConfig.fir@291343.4]
+  assign auto_io_out_pins_26_o_oe = oeReg_io_q[26]; // @[LazyModule.scala 173:49:shc.marmotcaravel.MarmotCaravelConfig.fir@291343.4]
+  assign auto_io_out_pins_27_o_oval = swPinCtrl_27_oval ^ _T_5872; // @[LazyModule.scala 173:49:shc.marmotcaravel.MarmotCaravelConfig.fir@291343.4]
+  assign auto_io_out_pins_27_o_oe = oeReg_io_q[27]; // @[LazyModule.scala 173:49:shc.marmotcaravel.MarmotCaravelConfig.fir@291343.4]
+  assign auto_io_out_pins_28_o_oval = swPinCtrl_28_oval ^ _T_5902; // @[LazyModule.scala 173:49:shc.marmotcaravel.MarmotCaravelConfig.fir@291343.4]
+  assign auto_io_out_pins_28_o_oe = oeReg_io_q[28]; // @[LazyModule.scala 173:49:shc.marmotcaravel.MarmotCaravelConfig.fir@291343.4]
+  assign auto_io_out_pins_29_o_oval = swPinCtrl_29_oval ^ _T_5932; // @[LazyModule.scala 173:49:shc.marmotcaravel.MarmotCaravelConfig.fir@291343.4]
+  assign auto_io_out_pins_29_o_oe = oeReg_io_q[29]; // @[LazyModule.scala 173:49:shc.marmotcaravel.MarmotCaravelConfig.fir@291343.4]
+  assign auto_io_out_pins_30_o_oval = swPinCtrl_30_oval ^ _T_5962; // @[LazyModule.scala 173:49:shc.marmotcaravel.MarmotCaravelConfig.fir@291343.4]
+  assign auto_io_out_pins_30_o_oe = oeReg_io_q[30]; // @[LazyModule.scala 173:49:shc.marmotcaravel.MarmotCaravelConfig.fir@291343.4]
+  assign auto_io_out_pins_31_o_oval = swPinCtrl_31_oval ^ _T_5992; // @[LazyModule.scala 173:49:shc.marmotcaravel.MarmotCaravelConfig.fir@291343.4]
+  assign auto_io_out_pins_31_o_oe = oeReg_io_q[31]; // @[LazyModule.scala 173:49:shc.marmotcaravel.MarmotCaravelConfig.fir@291343.4]
   assign auto_io_out_iof_0_2_i_ival = inSyncReg_1[2]; // @[LazyModule.scala 173:49:shc.marmotcaravel.MarmotCaravelConfig.fir@291343.4]
   assign auto_io_out_iof_0_3_i_ival = inSyncReg_1[3]; // @[LazyModule.scala 173:49:shc.marmotcaravel.MarmotCaravelConfig.fir@291343.4]
   assign auto_io_out_iof_0_4_i_ival = inSyncReg_1[4]; // @[LazyModule.scala 173:49:shc.marmotcaravel.MarmotCaravelConfig.fir@291343.4]
@@ -361616,6 +361720,18 @@
   output  gpio_0_pins_5_o_oval, // @[:shc.marmotcaravel.MarmotCaravelConfig.fir@304551.4]
   output  gpio_0_pins_5_o_oe, // @[:shc.marmotcaravel.MarmotCaravelConfig.fir@304551.4]
   output  gpio_0_pins_5_o_ie, // @[:shc.marmotcaravel.MarmotCaravelConfig.fir@304551.4]
+  output  gpio_0_pins_6_o_oval, // @[:shc.marmotcaravel.MarmotCaravelConfig.fir@304551.4]
+  output  gpio_0_pins_6_o_oe, // @[:shc.marmotcaravel.MarmotCaravelConfig.fir@304551.4]
+  output  gpio_0_pins_7_o_oval, // @[:shc.marmotcaravel.MarmotCaravelConfig.fir@304551.4]
+  output  gpio_0_pins_7_o_oe, // @[:shc.marmotcaravel.MarmotCaravelConfig.fir@304551.4]
+  output  gpio_0_pins_8_o_oval, // @[:shc.marmotcaravel.MarmotCaravelConfig.fir@304551.4]
+  output  gpio_0_pins_8_o_oe, // @[:shc.marmotcaravel.MarmotCaravelConfig.fir@304551.4]
+  output  gpio_0_pins_9_o_oval, // @[:shc.marmotcaravel.MarmotCaravelConfig.fir@304551.4]
+  output  gpio_0_pins_9_o_oe, // @[:shc.marmotcaravel.MarmotCaravelConfig.fir@304551.4]
+  output  gpio_0_pins_10_o_oval, // @[:shc.marmotcaravel.MarmotCaravelConfig.fir@304551.4]
+  output  gpio_0_pins_10_o_oe, // @[:shc.marmotcaravel.MarmotCaravelConfig.fir@304551.4]
+  output  gpio_0_pins_11_o_oval, // @[:shc.marmotcaravel.MarmotCaravelConfig.fir@304551.4]
+  output  gpio_0_pins_11_o_oe, // @[:shc.marmotcaravel.MarmotCaravelConfig.fir@304551.4]
   input   gpio_0_pins_12_i_ival, // @[:shc.marmotcaravel.MarmotCaravelConfig.fir@304551.4]
   output  gpio_0_pins_12_o_oval, // @[:shc.marmotcaravel.MarmotCaravelConfig.fir@304551.4]
   output  gpio_0_pins_12_o_oe, // @[:shc.marmotcaravel.MarmotCaravelConfig.fir@304551.4]
@@ -361668,6 +361784,20 @@
   output  gpio_0_pins_24_o_oval, // @[:shc.marmotcaravel.MarmotCaravelConfig.fir@304551.4]
   output  gpio_0_pins_24_o_oe, // @[:shc.marmotcaravel.MarmotCaravelConfig.fir@304551.4]
   output  gpio_0_pins_24_o_ie, // @[:shc.marmotcaravel.MarmotCaravelConfig.fir@304551.4]
+  output  gpio_0_pins_25_o_oval, // @[:shc.marmotcaravel.MarmotCaravelConfig.fir@304551.4]
+  output  gpio_0_pins_25_o_oe, // @[:shc.marmotcaravel.MarmotCaravelConfig.fir@304551.4]
+  output  gpio_0_pins_26_o_oval, // @[:shc.marmotcaravel.MarmotCaravelConfig.fir@304551.4]
+  output  gpio_0_pins_26_o_oe, // @[:shc.marmotcaravel.MarmotCaravelConfig.fir@304551.4]
+  output  gpio_0_pins_27_o_oval, // @[:shc.marmotcaravel.MarmotCaravelConfig.fir@304551.4]
+  output  gpio_0_pins_27_o_oe, // @[:shc.marmotcaravel.MarmotCaravelConfig.fir@304551.4]
+  output  gpio_0_pins_28_o_oval, // @[:shc.marmotcaravel.MarmotCaravelConfig.fir@304551.4]
+  output  gpio_0_pins_28_o_oe, // @[:shc.marmotcaravel.MarmotCaravelConfig.fir@304551.4]
+  output  gpio_0_pins_29_o_oval, // @[:shc.marmotcaravel.MarmotCaravelConfig.fir@304551.4]
+  output  gpio_0_pins_29_o_oe, // @[:shc.marmotcaravel.MarmotCaravelConfig.fir@304551.4]
+  output  gpio_0_pins_30_o_oval, // @[:shc.marmotcaravel.MarmotCaravelConfig.fir@304551.4]
+  output  gpio_0_pins_30_o_oe, // @[:shc.marmotcaravel.MarmotCaravelConfig.fir@304551.4]
+  output  gpio_0_pins_31_o_oval, // @[:shc.marmotcaravel.MarmotCaravelConfig.fir@304551.4]
+  output  gpio_0_pins_31_o_oe, // @[:shc.marmotcaravel.MarmotCaravelConfig.fir@304551.4]
   input   gpio_0_iof_0_0_o_oval, // @[:shc.marmotcaravel.MarmotCaravelConfig.fir@304551.4]
   input   gpio_0_iof_0_1_o_oval, // @[:shc.marmotcaravel.MarmotCaravelConfig.fir@304551.4]
   output  gpio_0_iof_0_2_i_ival, // @[:shc.marmotcaravel.MarmotCaravelConfig.fir@304551.4]
@@ -362875,6 +363005,18 @@
   wire  gpio_0_1_auto_io_out_pins_5_o_oval; // @[GPIO.scala 224:26:shc.marmotcaravel.MarmotCaravelConfig.fir@304836.4]
   wire  gpio_0_1_auto_io_out_pins_5_o_oe; // @[GPIO.scala 224:26:shc.marmotcaravel.MarmotCaravelConfig.fir@304836.4]
   wire  gpio_0_1_auto_io_out_pins_5_o_ie; // @[GPIO.scala 224:26:shc.marmotcaravel.MarmotCaravelConfig.fir@304836.4]
+  wire  gpio_0_1_auto_io_out_pins_6_o_oval; // @[GPIO.scala 224:26:shc.marmotcaravel.MarmotCaravelConfig.fir@304836.4]
+  wire  gpio_0_1_auto_io_out_pins_6_o_oe; // @[GPIO.scala 224:26:shc.marmotcaravel.MarmotCaravelConfig.fir@304836.4]
+  wire  gpio_0_1_auto_io_out_pins_7_o_oval; // @[GPIO.scala 224:26:shc.marmotcaravel.MarmotCaravelConfig.fir@304836.4]
+  wire  gpio_0_1_auto_io_out_pins_7_o_oe; // @[GPIO.scala 224:26:shc.marmotcaravel.MarmotCaravelConfig.fir@304836.4]
+  wire  gpio_0_1_auto_io_out_pins_8_o_oval; // @[GPIO.scala 224:26:shc.marmotcaravel.MarmotCaravelConfig.fir@304836.4]
+  wire  gpio_0_1_auto_io_out_pins_8_o_oe; // @[GPIO.scala 224:26:shc.marmotcaravel.MarmotCaravelConfig.fir@304836.4]
+  wire  gpio_0_1_auto_io_out_pins_9_o_oval; // @[GPIO.scala 224:26:shc.marmotcaravel.MarmotCaravelConfig.fir@304836.4]
+  wire  gpio_0_1_auto_io_out_pins_9_o_oe; // @[GPIO.scala 224:26:shc.marmotcaravel.MarmotCaravelConfig.fir@304836.4]
+  wire  gpio_0_1_auto_io_out_pins_10_o_oval; // @[GPIO.scala 224:26:shc.marmotcaravel.MarmotCaravelConfig.fir@304836.4]
+  wire  gpio_0_1_auto_io_out_pins_10_o_oe; // @[GPIO.scala 224:26:shc.marmotcaravel.MarmotCaravelConfig.fir@304836.4]
+  wire  gpio_0_1_auto_io_out_pins_11_o_oval; // @[GPIO.scala 224:26:shc.marmotcaravel.MarmotCaravelConfig.fir@304836.4]
+  wire  gpio_0_1_auto_io_out_pins_11_o_oe; // @[GPIO.scala 224:26:shc.marmotcaravel.MarmotCaravelConfig.fir@304836.4]
   wire  gpio_0_1_auto_io_out_pins_12_i_ival; // @[GPIO.scala 224:26:shc.marmotcaravel.MarmotCaravelConfig.fir@304836.4]
   wire  gpio_0_1_auto_io_out_pins_12_o_oval; // @[GPIO.scala 224:26:shc.marmotcaravel.MarmotCaravelConfig.fir@304836.4]
   wire  gpio_0_1_auto_io_out_pins_12_o_oe; // @[GPIO.scala 224:26:shc.marmotcaravel.MarmotCaravelConfig.fir@304836.4]
@@ -362927,6 +363069,20 @@
   wire  gpio_0_1_auto_io_out_pins_24_o_oval; // @[GPIO.scala 224:26:shc.marmotcaravel.MarmotCaravelConfig.fir@304836.4]
   wire  gpio_0_1_auto_io_out_pins_24_o_oe; // @[GPIO.scala 224:26:shc.marmotcaravel.MarmotCaravelConfig.fir@304836.4]
   wire  gpio_0_1_auto_io_out_pins_24_o_ie; // @[GPIO.scala 224:26:shc.marmotcaravel.MarmotCaravelConfig.fir@304836.4]
+  wire  gpio_0_1_auto_io_out_pins_25_o_oval; // @[GPIO.scala 224:26:shc.marmotcaravel.MarmotCaravelConfig.fir@304836.4]
+  wire  gpio_0_1_auto_io_out_pins_25_o_oe; // @[GPIO.scala 224:26:shc.marmotcaravel.MarmotCaravelConfig.fir@304836.4]
+  wire  gpio_0_1_auto_io_out_pins_26_o_oval; // @[GPIO.scala 224:26:shc.marmotcaravel.MarmotCaravelConfig.fir@304836.4]
+  wire  gpio_0_1_auto_io_out_pins_26_o_oe; // @[GPIO.scala 224:26:shc.marmotcaravel.MarmotCaravelConfig.fir@304836.4]
+  wire  gpio_0_1_auto_io_out_pins_27_o_oval; // @[GPIO.scala 224:26:shc.marmotcaravel.MarmotCaravelConfig.fir@304836.4]
+  wire  gpio_0_1_auto_io_out_pins_27_o_oe; // @[GPIO.scala 224:26:shc.marmotcaravel.MarmotCaravelConfig.fir@304836.4]
+  wire  gpio_0_1_auto_io_out_pins_28_o_oval; // @[GPIO.scala 224:26:shc.marmotcaravel.MarmotCaravelConfig.fir@304836.4]
+  wire  gpio_0_1_auto_io_out_pins_28_o_oe; // @[GPIO.scala 224:26:shc.marmotcaravel.MarmotCaravelConfig.fir@304836.4]
+  wire  gpio_0_1_auto_io_out_pins_29_o_oval; // @[GPIO.scala 224:26:shc.marmotcaravel.MarmotCaravelConfig.fir@304836.4]
+  wire  gpio_0_1_auto_io_out_pins_29_o_oe; // @[GPIO.scala 224:26:shc.marmotcaravel.MarmotCaravelConfig.fir@304836.4]
+  wire  gpio_0_1_auto_io_out_pins_30_o_oval; // @[GPIO.scala 224:26:shc.marmotcaravel.MarmotCaravelConfig.fir@304836.4]
+  wire  gpio_0_1_auto_io_out_pins_30_o_oe; // @[GPIO.scala 224:26:shc.marmotcaravel.MarmotCaravelConfig.fir@304836.4]
+  wire  gpio_0_1_auto_io_out_pins_31_o_oval; // @[GPIO.scala 224:26:shc.marmotcaravel.MarmotCaravelConfig.fir@304836.4]
+  wire  gpio_0_1_auto_io_out_pins_31_o_oe; // @[GPIO.scala 224:26:shc.marmotcaravel.MarmotCaravelConfig.fir@304836.4]
   wire  gpio_0_1_auto_io_out_iof_0_0_o_oval; // @[GPIO.scala 224:26:shc.marmotcaravel.MarmotCaravelConfig.fir@304836.4]
   wire  gpio_0_1_auto_io_out_iof_0_1_o_oval; // @[GPIO.scala 224:26:shc.marmotcaravel.MarmotCaravelConfig.fir@304836.4]
   wire  gpio_0_1_auto_io_out_iof_0_2_i_ival; // @[GPIO.scala 224:26:shc.marmotcaravel.MarmotCaravelConfig.fir@304836.4]
@@ -364325,6 +364481,18 @@
     .auto_io_out_pins_5_o_oval(gpio_0_1_auto_io_out_pins_5_o_oval),
     .auto_io_out_pins_5_o_oe(gpio_0_1_auto_io_out_pins_5_o_oe),
     .auto_io_out_pins_5_o_ie(gpio_0_1_auto_io_out_pins_5_o_ie),
+    .auto_io_out_pins_6_o_oval(gpio_0_1_auto_io_out_pins_6_o_oval),
+    .auto_io_out_pins_6_o_oe(gpio_0_1_auto_io_out_pins_6_o_oe),
+    .auto_io_out_pins_7_o_oval(gpio_0_1_auto_io_out_pins_7_o_oval),
+    .auto_io_out_pins_7_o_oe(gpio_0_1_auto_io_out_pins_7_o_oe),
+    .auto_io_out_pins_8_o_oval(gpio_0_1_auto_io_out_pins_8_o_oval),
+    .auto_io_out_pins_8_o_oe(gpio_0_1_auto_io_out_pins_8_o_oe),
+    .auto_io_out_pins_9_o_oval(gpio_0_1_auto_io_out_pins_9_o_oval),
+    .auto_io_out_pins_9_o_oe(gpio_0_1_auto_io_out_pins_9_o_oe),
+    .auto_io_out_pins_10_o_oval(gpio_0_1_auto_io_out_pins_10_o_oval),
+    .auto_io_out_pins_10_o_oe(gpio_0_1_auto_io_out_pins_10_o_oe),
+    .auto_io_out_pins_11_o_oval(gpio_0_1_auto_io_out_pins_11_o_oval),
+    .auto_io_out_pins_11_o_oe(gpio_0_1_auto_io_out_pins_11_o_oe),
     .auto_io_out_pins_12_i_ival(gpio_0_1_auto_io_out_pins_12_i_ival),
     .auto_io_out_pins_12_o_oval(gpio_0_1_auto_io_out_pins_12_o_oval),
     .auto_io_out_pins_12_o_oe(gpio_0_1_auto_io_out_pins_12_o_oe),
@@ -364377,6 +364545,20 @@
     .auto_io_out_pins_24_o_oval(gpio_0_1_auto_io_out_pins_24_o_oval),
     .auto_io_out_pins_24_o_oe(gpio_0_1_auto_io_out_pins_24_o_oe),
     .auto_io_out_pins_24_o_ie(gpio_0_1_auto_io_out_pins_24_o_ie),
+    .auto_io_out_pins_25_o_oval(gpio_0_1_auto_io_out_pins_25_o_oval),
+    .auto_io_out_pins_25_o_oe(gpio_0_1_auto_io_out_pins_25_o_oe),
+    .auto_io_out_pins_26_o_oval(gpio_0_1_auto_io_out_pins_26_o_oval),
+    .auto_io_out_pins_26_o_oe(gpio_0_1_auto_io_out_pins_26_o_oe),
+    .auto_io_out_pins_27_o_oval(gpio_0_1_auto_io_out_pins_27_o_oval),
+    .auto_io_out_pins_27_o_oe(gpio_0_1_auto_io_out_pins_27_o_oe),
+    .auto_io_out_pins_28_o_oval(gpio_0_1_auto_io_out_pins_28_o_oval),
+    .auto_io_out_pins_28_o_oe(gpio_0_1_auto_io_out_pins_28_o_oe),
+    .auto_io_out_pins_29_o_oval(gpio_0_1_auto_io_out_pins_29_o_oval),
+    .auto_io_out_pins_29_o_oe(gpio_0_1_auto_io_out_pins_29_o_oe),
+    .auto_io_out_pins_30_o_oval(gpio_0_1_auto_io_out_pins_30_o_oval),
+    .auto_io_out_pins_30_o_oe(gpio_0_1_auto_io_out_pins_30_o_oe),
+    .auto_io_out_pins_31_o_oval(gpio_0_1_auto_io_out_pins_31_o_oval),
+    .auto_io_out_pins_31_o_oe(gpio_0_1_auto_io_out_pins_31_o_oe),
     .auto_io_out_iof_0_0_o_oval(gpio_0_1_auto_io_out_iof_0_0_o_oval),
     .auto_io_out_iof_0_1_o_oval(gpio_0_1_auto_io_out_iof_0_1_o_oval),
     .auto_io_out_iof_0_2_i_ival(gpio_0_1_auto_io_out_iof_0_2_i_ival),
@@ -364621,6 +364803,18 @@
   assign gpio_0_pins_5_o_oval = gpio_0_1_auto_io_out_pins_5_o_oval; // @[BundleBridge.scala 27:8:shc.marmotcaravel.MarmotCaravelConfig.fir@305130.4]
   assign gpio_0_pins_5_o_oe = gpio_0_1_auto_io_out_pins_5_o_oe; // @[BundleBridge.scala 27:8:shc.marmotcaravel.MarmotCaravelConfig.fir@305130.4]
   assign gpio_0_pins_5_o_ie = gpio_0_1_auto_io_out_pins_5_o_ie; // @[BundleBridge.scala 27:8:shc.marmotcaravel.MarmotCaravelConfig.fir@305130.4]
+  assign gpio_0_pins_6_o_oval = gpio_0_1_auto_io_out_pins_6_o_oval; // @[BundleBridge.scala 27:8:shc.marmotcaravel.MarmotCaravelConfig.fir@305130.4]
+  assign gpio_0_pins_6_o_oe = gpio_0_1_auto_io_out_pins_6_o_oe; // @[BundleBridge.scala 27:8:shc.marmotcaravel.MarmotCaravelConfig.fir@305130.4]
+  assign gpio_0_pins_7_o_oval = gpio_0_1_auto_io_out_pins_7_o_oval; // @[BundleBridge.scala 27:8:shc.marmotcaravel.MarmotCaravelConfig.fir@305130.4]
+  assign gpio_0_pins_7_o_oe = gpio_0_1_auto_io_out_pins_7_o_oe; // @[BundleBridge.scala 27:8:shc.marmotcaravel.MarmotCaravelConfig.fir@305130.4]
+  assign gpio_0_pins_8_o_oval = gpio_0_1_auto_io_out_pins_8_o_oval; // @[BundleBridge.scala 27:8:shc.marmotcaravel.MarmotCaravelConfig.fir@305130.4]
+  assign gpio_0_pins_8_o_oe = gpio_0_1_auto_io_out_pins_8_o_oe; // @[BundleBridge.scala 27:8:shc.marmotcaravel.MarmotCaravelConfig.fir@305130.4]
+  assign gpio_0_pins_9_o_oval = gpio_0_1_auto_io_out_pins_9_o_oval; // @[BundleBridge.scala 27:8:shc.marmotcaravel.MarmotCaravelConfig.fir@305130.4]
+  assign gpio_0_pins_9_o_oe = gpio_0_1_auto_io_out_pins_9_o_oe; // @[BundleBridge.scala 27:8:shc.marmotcaravel.MarmotCaravelConfig.fir@305130.4]
+  assign gpio_0_pins_10_o_oval = gpio_0_1_auto_io_out_pins_10_o_oval; // @[BundleBridge.scala 27:8:shc.marmotcaravel.MarmotCaravelConfig.fir@305130.4]
+  assign gpio_0_pins_10_o_oe = gpio_0_1_auto_io_out_pins_10_o_oe; // @[BundleBridge.scala 27:8:shc.marmotcaravel.MarmotCaravelConfig.fir@305130.4]
+  assign gpio_0_pins_11_o_oval = gpio_0_1_auto_io_out_pins_11_o_oval; // @[BundleBridge.scala 27:8:shc.marmotcaravel.MarmotCaravelConfig.fir@305130.4]
+  assign gpio_0_pins_11_o_oe = gpio_0_1_auto_io_out_pins_11_o_oe; // @[BundleBridge.scala 27:8:shc.marmotcaravel.MarmotCaravelConfig.fir@305130.4]
   assign gpio_0_pins_12_o_oval = gpio_0_1_auto_io_out_pins_12_o_oval; // @[BundleBridge.scala 27:8:shc.marmotcaravel.MarmotCaravelConfig.fir@305130.4]
   assign gpio_0_pins_12_o_oe = gpio_0_1_auto_io_out_pins_12_o_oe; // @[BundleBridge.scala 27:8:shc.marmotcaravel.MarmotCaravelConfig.fir@305130.4]
   assign gpio_0_pins_12_o_ie = gpio_0_1_auto_io_out_pins_12_o_ie; // @[BundleBridge.scala 27:8:shc.marmotcaravel.MarmotCaravelConfig.fir@305130.4]
@@ -364660,6 +364854,20 @@
   assign gpio_0_pins_24_o_oval = gpio_0_1_auto_io_out_pins_24_o_oval; // @[BundleBridge.scala 27:8:shc.marmotcaravel.MarmotCaravelConfig.fir@305130.4]
   assign gpio_0_pins_24_o_oe = gpio_0_1_auto_io_out_pins_24_o_oe; // @[BundleBridge.scala 27:8:shc.marmotcaravel.MarmotCaravelConfig.fir@305130.4]
   assign gpio_0_pins_24_o_ie = gpio_0_1_auto_io_out_pins_24_o_ie; // @[BundleBridge.scala 27:8:shc.marmotcaravel.MarmotCaravelConfig.fir@305130.4]
+  assign gpio_0_pins_25_o_oval = gpio_0_1_auto_io_out_pins_25_o_oval; // @[BundleBridge.scala 27:8:shc.marmotcaravel.MarmotCaravelConfig.fir@305130.4]
+  assign gpio_0_pins_25_o_oe = gpio_0_1_auto_io_out_pins_25_o_oe; // @[BundleBridge.scala 27:8:shc.marmotcaravel.MarmotCaravelConfig.fir@305130.4]
+  assign gpio_0_pins_26_o_oval = gpio_0_1_auto_io_out_pins_26_o_oval; // @[BundleBridge.scala 27:8:shc.marmotcaravel.MarmotCaravelConfig.fir@305130.4]
+  assign gpio_0_pins_26_o_oe = gpio_0_1_auto_io_out_pins_26_o_oe; // @[BundleBridge.scala 27:8:shc.marmotcaravel.MarmotCaravelConfig.fir@305130.4]
+  assign gpio_0_pins_27_o_oval = gpio_0_1_auto_io_out_pins_27_o_oval; // @[BundleBridge.scala 27:8:shc.marmotcaravel.MarmotCaravelConfig.fir@305130.4]
+  assign gpio_0_pins_27_o_oe = gpio_0_1_auto_io_out_pins_27_o_oe; // @[BundleBridge.scala 27:8:shc.marmotcaravel.MarmotCaravelConfig.fir@305130.4]
+  assign gpio_0_pins_28_o_oval = gpio_0_1_auto_io_out_pins_28_o_oval; // @[BundleBridge.scala 27:8:shc.marmotcaravel.MarmotCaravelConfig.fir@305130.4]
+  assign gpio_0_pins_28_o_oe = gpio_0_1_auto_io_out_pins_28_o_oe; // @[BundleBridge.scala 27:8:shc.marmotcaravel.MarmotCaravelConfig.fir@305130.4]
+  assign gpio_0_pins_29_o_oval = gpio_0_1_auto_io_out_pins_29_o_oval; // @[BundleBridge.scala 27:8:shc.marmotcaravel.MarmotCaravelConfig.fir@305130.4]
+  assign gpio_0_pins_29_o_oe = gpio_0_1_auto_io_out_pins_29_o_oe; // @[BundleBridge.scala 27:8:shc.marmotcaravel.MarmotCaravelConfig.fir@305130.4]
+  assign gpio_0_pins_30_o_oval = gpio_0_1_auto_io_out_pins_30_o_oval; // @[BundleBridge.scala 27:8:shc.marmotcaravel.MarmotCaravelConfig.fir@305130.4]
+  assign gpio_0_pins_30_o_oe = gpio_0_1_auto_io_out_pins_30_o_oe; // @[BundleBridge.scala 27:8:shc.marmotcaravel.MarmotCaravelConfig.fir@305130.4]
+  assign gpio_0_pins_31_o_oval = gpio_0_1_auto_io_out_pins_31_o_oval; // @[BundleBridge.scala 27:8:shc.marmotcaravel.MarmotCaravelConfig.fir@305130.4]
+  assign gpio_0_pins_31_o_oe = gpio_0_1_auto_io_out_pins_31_o_oe; // @[BundleBridge.scala 27:8:shc.marmotcaravel.MarmotCaravelConfig.fir@305130.4]
   assign gpio_0_iof_0_2_i_ival = gpio_0_1_auto_io_out_iof_0_2_i_ival; // @[BundleBridge.scala 27:8:shc.marmotcaravel.MarmotCaravelConfig.fir@305130.4]
   assign gpio_0_iof_0_3_i_ival = gpio_0_1_auto_io_out_iof_0_3_i_ival; // @[BundleBridge.scala 27:8:shc.marmotcaravel.MarmotCaravelConfig.fir@305130.4]
   assign gpio_0_iof_0_4_i_ival = gpio_0_1_auto_io_out_iof_0_4_i_ival; // @[BundleBridge.scala 27:8:shc.marmotcaravel.MarmotCaravelConfig.fir@305130.4]
@@ -365882,6 +366090,18 @@
   output  io_pins_gpio_pins_5_o_oval, // @[:shc.marmotcaravel.MarmotCaravelConfig.fir@306167.4]
   output  io_pins_gpio_pins_5_o_oe, // @[:shc.marmotcaravel.MarmotCaravelConfig.fir@306167.4]
   output  io_pins_gpio_pins_5_o_ie, // @[:shc.marmotcaravel.MarmotCaravelConfig.fir@306167.4]
+  output  io_pins_gpio_pins_6_o_oval, // @[:shc.marmotcaravel.MarmotCaravelConfig.fir@306167.4]
+  output  io_pins_gpio_pins_6_o_oe, // @[:shc.marmotcaravel.MarmotCaravelConfig.fir@306167.4]
+  output  io_pins_gpio_pins_7_o_oval, // @[:shc.marmotcaravel.MarmotCaravelConfig.fir@306167.4]
+  output  io_pins_gpio_pins_7_o_oe, // @[:shc.marmotcaravel.MarmotCaravelConfig.fir@306167.4]
+  output  io_pins_gpio_pins_8_o_oval, // @[:shc.marmotcaravel.MarmotCaravelConfig.fir@306167.4]
+  output  io_pins_gpio_pins_8_o_oe, // @[:shc.marmotcaravel.MarmotCaravelConfig.fir@306167.4]
+  output  io_pins_gpio_pins_9_o_oval, // @[:shc.marmotcaravel.MarmotCaravelConfig.fir@306167.4]
+  output  io_pins_gpio_pins_9_o_oe, // @[:shc.marmotcaravel.MarmotCaravelConfig.fir@306167.4]
+  output  io_pins_gpio_pins_10_o_oval, // @[:shc.marmotcaravel.MarmotCaravelConfig.fir@306167.4]
+  output  io_pins_gpio_pins_10_o_oe, // @[:shc.marmotcaravel.MarmotCaravelConfig.fir@306167.4]
+  output  io_pins_gpio_pins_11_o_oval, // @[:shc.marmotcaravel.MarmotCaravelConfig.fir@306167.4]
+  output  io_pins_gpio_pins_11_o_oe, // @[:shc.marmotcaravel.MarmotCaravelConfig.fir@306167.4]
   input   io_pins_gpio_pins_12_i_ival, // @[:shc.marmotcaravel.MarmotCaravelConfig.fir@306167.4]
   output  io_pins_gpio_pins_12_o_oval, // @[:shc.marmotcaravel.MarmotCaravelConfig.fir@306167.4]
   output  io_pins_gpio_pins_12_o_oe, // @[:shc.marmotcaravel.MarmotCaravelConfig.fir@306167.4]
@@ -365934,6 +366154,20 @@
   output  io_pins_gpio_pins_24_o_oval, // @[:shc.marmotcaravel.MarmotCaravelConfig.fir@306167.4]
   output  io_pins_gpio_pins_24_o_oe, // @[:shc.marmotcaravel.MarmotCaravelConfig.fir@306167.4]
   output  io_pins_gpio_pins_24_o_ie, // @[:shc.marmotcaravel.MarmotCaravelConfig.fir@306167.4]
+  output  io_pins_gpio_pins_25_o_oval, // @[:shc.marmotcaravel.MarmotCaravelConfig.fir@306167.4]
+  output  io_pins_gpio_pins_25_o_oe, // @[:shc.marmotcaravel.MarmotCaravelConfig.fir@306167.4]
+  output  io_pins_gpio_pins_26_o_oval, // @[:shc.marmotcaravel.MarmotCaravelConfig.fir@306167.4]
+  output  io_pins_gpio_pins_26_o_oe, // @[:shc.marmotcaravel.MarmotCaravelConfig.fir@306167.4]
+  output  io_pins_gpio_pins_27_o_oval, // @[:shc.marmotcaravel.MarmotCaravelConfig.fir@306167.4]
+  output  io_pins_gpio_pins_27_o_oe, // @[:shc.marmotcaravel.MarmotCaravelConfig.fir@306167.4]
+  output  io_pins_gpio_pins_28_o_oval, // @[:shc.marmotcaravel.MarmotCaravelConfig.fir@306167.4]
+  output  io_pins_gpio_pins_28_o_oe, // @[:shc.marmotcaravel.MarmotCaravelConfig.fir@306167.4]
+  output  io_pins_gpio_pins_29_o_oval, // @[:shc.marmotcaravel.MarmotCaravelConfig.fir@306167.4]
+  output  io_pins_gpio_pins_29_o_oe, // @[:shc.marmotcaravel.MarmotCaravelConfig.fir@306167.4]
+  output  io_pins_gpio_pins_30_o_oval, // @[:shc.marmotcaravel.MarmotCaravelConfig.fir@306167.4]
+  output  io_pins_gpio_pins_30_o_oe, // @[:shc.marmotcaravel.MarmotCaravelConfig.fir@306167.4]
+  output  io_pins_gpio_pins_31_o_oval, // @[:shc.marmotcaravel.MarmotCaravelConfig.fir@306167.4]
+  output  io_pins_gpio_pins_31_o_oe, // @[:shc.marmotcaravel.MarmotCaravelConfig.fir@306167.4]
   output  io_pins_qspi_sck_o_oval, // @[:shc.marmotcaravel.MarmotCaravelConfig.fir@306167.4]
   input   io_pins_qspi_dq_0_i_ival, // @[:shc.marmotcaravel.MarmotCaravelConfig.fir@306167.4]
   output  io_pins_qspi_dq_0_o_oval, // @[:shc.marmotcaravel.MarmotCaravelConfig.fir@306167.4]
@@ -366054,6 +366288,18 @@
   wire  sys_gpio_0_pins_5_o_oval; // @[Platform.scala 55:19:shc.marmotcaravel.MarmotCaravelConfig.fir@306172.4]
   wire  sys_gpio_0_pins_5_o_oe; // @[Platform.scala 55:19:shc.marmotcaravel.MarmotCaravelConfig.fir@306172.4]
   wire  sys_gpio_0_pins_5_o_ie; // @[Platform.scala 55:19:shc.marmotcaravel.MarmotCaravelConfig.fir@306172.4]
+  wire  sys_gpio_0_pins_6_o_oval; // @[Platform.scala 55:19:shc.marmotcaravel.MarmotCaravelConfig.fir@306172.4]
+  wire  sys_gpio_0_pins_6_o_oe; // @[Platform.scala 55:19:shc.marmotcaravel.MarmotCaravelConfig.fir@306172.4]
+  wire  sys_gpio_0_pins_7_o_oval; // @[Platform.scala 55:19:shc.marmotcaravel.MarmotCaravelConfig.fir@306172.4]
+  wire  sys_gpio_0_pins_7_o_oe; // @[Platform.scala 55:19:shc.marmotcaravel.MarmotCaravelConfig.fir@306172.4]
+  wire  sys_gpio_0_pins_8_o_oval; // @[Platform.scala 55:19:shc.marmotcaravel.MarmotCaravelConfig.fir@306172.4]
+  wire  sys_gpio_0_pins_8_o_oe; // @[Platform.scala 55:19:shc.marmotcaravel.MarmotCaravelConfig.fir@306172.4]
+  wire  sys_gpio_0_pins_9_o_oval; // @[Platform.scala 55:19:shc.marmotcaravel.MarmotCaravelConfig.fir@306172.4]
+  wire  sys_gpio_0_pins_9_o_oe; // @[Platform.scala 55:19:shc.marmotcaravel.MarmotCaravelConfig.fir@306172.4]
+  wire  sys_gpio_0_pins_10_o_oval; // @[Platform.scala 55:19:shc.marmotcaravel.MarmotCaravelConfig.fir@306172.4]
+  wire  sys_gpio_0_pins_10_o_oe; // @[Platform.scala 55:19:shc.marmotcaravel.MarmotCaravelConfig.fir@306172.4]
+  wire  sys_gpio_0_pins_11_o_oval; // @[Platform.scala 55:19:shc.marmotcaravel.MarmotCaravelConfig.fir@306172.4]
+  wire  sys_gpio_0_pins_11_o_oe; // @[Platform.scala 55:19:shc.marmotcaravel.MarmotCaravelConfig.fir@306172.4]
   wire  sys_gpio_0_pins_12_i_ival; // @[Platform.scala 55:19:shc.marmotcaravel.MarmotCaravelConfig.fir@306172.4]
   wire  sys_gpio_0_pins_12_o_oval; // @[Platform.scala 55:19:shc.marmotcaravel.MarmotCaravelConfig.fir@306172.4]
   wire  sys_gpio_0_pins_12_o_oe; // @[Platform.scala 55:19:shc.marmotcaravel.MarmotCaravelConfig.fir@306172.4]
@@ -366106,6 +366352,20 @@
   wire  sys_gpio_0_pins_24_o_oval; // @[Platform.scala 55:19:shc.marmotcaravel.MarmotCaravelConfig.fir@306172.4]
   wire  sys_gpio_0_pins_24_o_oe; // @[Platform.scala 55:19:shc.marmotcaravel.MarmotCaravelConfig.fir@306172.4]
   wire  sys_gpio_0_pins_24_o_ie; // @[Platform.scala 55:19:shc.marmotcaravel.MarmotCaravelConfig.fir@306172.4]
+  wire  sys_gpio_0_pins_25_o_oval; // @[Platform.scala 55:19:shc.marmotcaravel.MarmotCaravelConfig.fir@306172.4]
+  wire  sys_gpio_0_pins_25_o_oe; // @[Platform.scala 55:19:shc.marmotcaravel.MarmotCaravelConfig.fir@306172.4]
+  wire  sys_gpio_0_pins_26_o_oval; // @[Platform.scala 55:19:shc.marmotcaravel.MarmotCaravelConfig.fir@306172.4]
+  wire  sys_gpio_0_pins_26_o_oe; // @[Platform.scala 55:19:shc.marmotcaravel.MarmotCaravelConfig.fir@306172.4]
+  wire  sys_gpio_0_pins_27_o_oval; // @[Platform.scala 55:19:shc.marmotcaravel.MarmotCaravelConfig.fir@306172.4]
+  wire  sys_gpio_0_pins_27_o_oe; // @[Platform.scala 55:19:shc.marmotcaravel.MarmotCaravelConfig.fir@306172.4]
+  wire  sys_gpio_0_pins_28_o_oval; // @[Platform.scala 55:19:shc.marmotcaravel.MarmotCaravelConfig.fir@306172.4]
+  wire  sys_gpio_0_pins_28_o_oe; // @[Platform.scala 55:19:shc.marmotcaravel.MarmotCaravelConfig.fir@306172.4]
+  wire  sys_gpio_0_pins_29_o_oval; // @[Platform.scala 55:19:shc.marmotcaravel.MarmotCaravelConfig.fir@306172.4]
+  wire  sys_gpio_0_pins_29_o_oe; // @[Platform.scala 55:19:shc.marmotcaravel.MarmotCaravelConfig.fir@306172.4]
+  wire  sys_gpio_0_pins_30_o_oval; // @[Platform.scala 55:19:shc.marmotcaravel.MarmotCaravelConfig.fir@306172.4]
+  wire  sys_gpio_0_pins_30_o_oe; // @[Platform.scala 55:19:shc.marmotcaravel.MarmotCaravelConfig.fir@306172.4]
+  wire  sys_gpio_0_pins_31_o_oval; // @[Platform.scala 55:19:shc.marmotcaravel.MarmotCaravelConfig.fir@306172.4]
+  wire  sys_gpio_0_pins_31_o_oe; // @[Platform.scala 55:19:shc.marmotcaravel.MarmotCaravelConfig.fir@306172.4]
   wire  sys_gpio_0_iof_0_0_o_oval; // @[Platform.scala 55:19:shc.marmotcaravel.MarmotCaravelConfig.fir@306172.4]
   wire  sys_gpio_0_iof_0_1_o_oval; // @[Platform.scala 55:19:shc.marmotcaravel.MarmotCaravelConfig.fir@306172.4]
   wire  sys_gpio_0_iof_0_2_i_ival; // @[Platform.scala 55:19:shc.marmotcaravel.MarmotCaravelConfig.fir@306172.4]
@@ -366287,6 +366547,18 @@
     .gpio_0_pins_5_o_oval(sys_gpio_0_pins_5_o_oval),
     .gpio_0_pins_5_o_oe(sys_gpio_0_pins_5_o_oe),
     .gpio_0_pins_5_o_ie(sys_gpio_0_pins_5_o_ie),
+    .gpio_0_pins_6_o_oval(sys_gpio_0_pins_6_o_oval),
+    .gpio_0_pins_6_o_oe(sys_gpio_0_pins_6_o_oe),
+    .gpio_0_pins_7_o_oval(sys_gpio_0_pins_7_o_oval),
+    .gpio_0_pins_7_o_oe(sys_gpio_0_pins_7_o_oe),
+    .gpio_0_pins_8_o_oval(sys_gpio_0_pins_8_o_oval),
+    .gpio_0_pins_8_o_oe(sys_gpio_0_pins_8_o_oe),
+    .gpio_0_pins_9_o_oval(sys_gpio_0_pins_9_o_oval),
+    .gpio_0_pins_9_o_oe(sys_gpio_0_pins_9_o_oe),
+    .gpio_0_pins_10_o_oval(sys_gpio_0_pins_10_o_oval),
+    .gpio_0_pins_10_o_oe(sys_gpio_0_pins_10_o_oe),
+    .gpio_0_pins_11_o_oval(sys_gpio_0_pins_11_o_oval),
+    .gpio_0_pins_11_o_oe(sys_gpio_0_pins_11_o_oe),
     .gpio_0_pins_12_i_ival(sys_gpio_0_pins_12_i_ival),
     .gpio_0_pins_12_o_oval(sys_gpio_0_pins_12_o_oval),
     .gpio_0_pins_12_o_oe(sys_gpio_0_pins_12_o_oe),
@@ -366339,6 +366611,20 @@
     .gpio_0_pins_24_o_oval(sys_gpio_0_pins_24_o_oval),
     .gpio_0_pins_24_o_oe(sys_gpio_0_pins_24_o_oe),
     .gpio_0_pins_24_o_ie(sys_gpio_0_pins_24_o_ie),
+    .gpio_0_pins_25_o_oval(sys_gpio_0_pins_25_o_oval),
+    .gpio_0_pins_25_o_oe(sys_gpio_0_pins_25_o_oe),
+    .gpio_0_pins_26_o_oval(sys_gpio_0_pins_26_o_oval),
+    .gpio_0_pins_26_o_oe(sys_gpio_0_pins_26_o_oe),
+    .gpio_0_pins_27_o_oval(sys_gpio_0_pins_27_o_oval),
+    .gpio_0_pins_27_o_oe(sys_gpio_0_pins_27_o_oe),
+    .gpio_0_pins_28_o_oval(sys_gpio_0_pins_28_o_oval),
+    .gpio_0_pins_28_o_oe(sys_gpio_0_pins_28_o_oe),
+    .gpio_0_pins_29_o_oval(sys_gpio_0_pins_29_o_oval),
+    .gpio_0_pins_29_o_oe(sys_gpio_0_pins_29_o_oe),
+    .gpio_0_pins_30_o_oval(sys_gpio_0_pins_30_o_oval),
+    .gpio_0_pins_30_o_oe(sys_gpio_0_pins_30_o_oe),
+    .gpio_0_pins_31_o_oval(sys_gpio_0_pins_31_o_oval),
+    .gpio_0_pins_31_o_oe(sys_gpio_0_pins_31_o_oe),
     .gpio_0_iof_0_0_o_oval(sys_gpio_0_iof_0_0_o_oval),
     .gpio_0_iof_0_1_o_oval(sys_gpio_0_iof_0_1_o_oval),
     .gpio_0_iof_0_2_i_ival(sys_gpio_0_iof_0_2_i_ival),
@@ -366542,6 +366828,18 @@
   assign io_pins_gpio_pins_5_o_oval = sys_gpio_0_pins_5_o_oval; // @[GPIOPins.scala 37:11:shc.marmotcaravel.MarmotCaravelConfig.fir@306695.4]
   assign io_pins_gpio_pins_5_o_oe = sys_gpio_0_pins_5_o_oe; // @[GPIOPins.scala 37:11:shc.marmotcaravel.MarmotCaravelConfig.fir@306695.4]
   assign io_pins_gpio_pins_5_o_ie = sys_gpio_0_pins_5_o_ie; // @[GPIOPins.scala 37:11:shc.marmotcaravel.MarmotCaravelConfig.fir@306695.4]
+  assign io_pins_gpio_pins_6_o_oval = sys_gpio_0_pins_6_o_oval; // @[GPIOPins.scala 37:11:shc.marmotcaravel.MarmotCaravelConfig.fir@306696.4]
+  assign io_pins_gpio_pins_6_o_oe = sys_gpio_0_pins_6_o_oe; // @[GPIOPins.scala 37:11:shc.marmotcaravel.MarmotCaravelConfig.fir@306696.4]
+  assign io_pins_gpio_pins_7_o_oval = sys_gpio_0_pins_7_o_oval; // @[GPIOPins.scala 37:11:shc.marmotcaravel.MarmotCaravelConfig.fir@306697.4]
+  assign io_pins_gpio_pins_7_o_oe = sys_gpio_0_pins_7_o_oe; // @[GPIOPins.scala 37:11:shc.marmotcaravel.MarmotCaravelConfig.fir@306697.4]
+  assign io_pins_gpio_pins_8_o_oval = sys_gpio_0_pins_8_o_oval; // @[GPIOPins.scala 37:11:shc.marmotcaravel.MarmotCaravelConfig.fir@306698.4]
+  assign io_pins_gpio_pins_8_o_oe = sys_gpio_0_pins_8_o_oe; // @[GPIOPins.scala 37:11:shc.marmotcaravel.MarmotCaravelConfig.fir@306698.4]
+  assign io_pins_gpio_pins_9_o_oval = sys_gpio_0_pins_9_o_oval; // @[GPIOPins.scala 37:11:shc.marmotcaravel.MarmotCaravelConfig.fir@306699.4]
+  assign io_pins_gpio_pins_9_o_oe = sys_gpio_0_pins_9_o_oe; // @[GPIOPins.scala 37:11:shc.marmotcaravel.MarmotCaravelConfig.fir@306699.4]
+  assign io_pins_gpio_pins_10_o_oval = sys_gpio_0_pins_10_o_oval; // @[GPIOPins.scala 37:11:shc.marmotcaravel.MarmotCaravelConfig.fir@306700.4]
+  assign io_pins_gpio_pins_10_o_oe = sys_gpio_0_pins_10_o_oe; // @[GPIOPins.scala 37:11:shc.marmotcaravel.MarmotCaravelConfig.fir@306700.4]
+  assign io_pins_gpio_pins_11_o_oval = sys_gpio_0_pins_11_o_oval; // @[GPIOPins.scala 37:11:shc.marmotcaravel.MarmotCaravelConfig.fir@306701.4]
+  assign io_pins_gpio_pins_11_o_oe = sys_gpio_0_pins_11_o_oe; // @[GPIOPins.scala 37:11:shc.marmotcaravel.MarmotCaravelConfig.fir@306701.4]
   assign io_pins_gpio_pins_12_o_oval = sys_gpio_0_pins_12_o_oval; // @[GPIOPins.scala 37:11:shc.marmotcaravel.MarmotCaravelConfig.fir@306702.4]
   assign io_pins_gpio_pins_12_o_oe = sys_gpio_0_pins_12_o_oe; // @[GPIOPins.scala 37:11:shc.marmotcaravel.MarmotCaravelConfig.fir@306702.4]
   assign io_pins_gpio_pins_12_o_ie = sys_gpio_0_pins_12_o_ie; // @[GPIOPins.scala 37:11:shc.marmotcaravel.MarmotCaravelConfig.fir@306702.4]
@@ -366581,6 +366879,20 @@
   assign io_pins_gpio_pins_24_o_oval = sys_gpio_0_pins_24_o_oval; // @[GPIOPins.scala 37:11:shc.marmotcaravel.MarmotCaravelConfig.fir@306714.4]
   assign io_pins_gpio_pins_24_o_oe = sys_gpio_0_pins_24_o_oe; // @[GPIOPins.scala 37:11:shc.marmotcaravel.MarmotCaravelConfig.fir@306714.4]
   assign io_pins_gpio_pins_24_o_ie = sys_gpio_0_pins_24_o_ie; // @[GPIOPins.scala 37:11:shc.marmotcaravel.MarmotCaravelConfig.fir@306714.4]
+  assign io_pins_gpio_pins_25_o_oval = sys_gpio_0_pins_25_o_oval; // @[GPIOPins.scala 37:11:shc.marmotcaravel.MarmotCaravelConfig.fir@306715.4]
+  assign io_pins_gpio_pins_25_o_oe = sys_gpio_0_pins_25_o_oe; // @[GPIOPins.scala 37:11:shc.marmotcaravel.MarmotCaravelConfig.fir@306715.4]
+  assign io_pins_gpio_pins_26_o_oval = sys_gpio_0_pins_26_o_oval; // @[GPIOPins.scala 37:11:shc.marmotcaravel.MarmotCaravelConfig.fir@306716.4]
+  assign io_pins_gpio_pins_26_o_oe = sys_gpio_0_pins_26_o_oe; // @[GPIOPins.scala 37:11:shc.marmotcaravel.MarmotCaravelConfig.fir@306716.4]
+  assign io_pins_gpio_pins_27_o_oval = sys_gpio_0_pins_27_o_oval; // @[GPIOPins.scala 37:11:shc.marmotcaravel.MarmotCaravelConfig.fir@306717.4]
+  assign io_pins_gpio_pins_27_o_oe = sys_gpio_0_pins_27_o_oe; // @[GPIOPins.scala 37:11:shc.marmotcaravel.MarmotCaravelConfig.fir@306717.4]
+  assign io_pins_gpio_pins_28_o_oval = sys_gpio_0_pins_28_o_oval; // @[GPIOPins.scala 37:11:shc.marmotcaravel.MarmotCaravelConfig.fir@306718.4]
+  assign io_pins_gpio_pins_28_o_oe = sys_gpio_0_pins_28_o_oe; // @[GPIOPins.scala 37:11:shc.marmotcaravel.MarmotCaravelConfig.fir@306718.4]
+  assign io_pins_gpio_pins_29_o_oval = sys_gpio_0_pins_29_o_oval; // @[GPIOPins.scala 37:11:shc.marmotcaravel.MarmotCaravelConfig.fir@306719.4]
+  assign io_pins_gpio_pins_29_o_oe = sys_gpio_0_pins_29_o_oe; // @[GPIOPins.scala 37:11:shc.marmotcaravel.MarmotCaravelConfig.fir@306719.4]
+  assign io_pins_gpio_pins_30_o_oval = sys_gpio_0_pins_30_o_oval; // @[GPIOPins.scala 37:11:shc.marmotcaravel.MarmotCaravelConfig.fir@306720.4]
+  assign io_pins_gpio_pins_30_o_oe = sys_gpio_0_pins_30_o_oe; // @[GPIOPins.scala 37:11:shc.marmotcaravel.MarmotCaravelConfig.fir@306720.4]
+  assign io_pins_gpio_pins_31_o_oval = sys_gpio_0_pins_31_o_oval; // @[GPIOPins.scala 37:11:shc.marmotcaravel.MarmotCaravelConfig.fir@306721.4]
+  assign io_pins_gpio_pins_31_o_oe = sys_gpio_0_pins_31_o_oe; // @[GPIOPins.scala 37:11:shc.marmotcaravel.MarmotCaravelConfig.fir@306721.4]
   assign io_pins_qspi_sck_o_oval = sys_qspi_0_sck; // @[PinCtrl.scala 58:17:shc.marmotcaravel.MarmotCaravelConfig.fir@306723.4]
   assign io_pins_qspi_dq_0_o_oval = sys_qspi_0_dq_0_o; // @[PinCtrl.scala 58:17:shc.marmotcaravel.MarmotCaravelConfig.fir@306726.4]
   assign io_pins_qspi_dq_0_o_oe = sys_qspi_0_dq_0_oe; // @[PinCtrl.scala 59:17:shc.marmotcaravel.MarmotCaravelConfig.fir@306727.4 SPIPins.scala 28:16:shc.marmotcaravel.MarmotCaravelConfig.fir@306729.4]
@@ -366829,6 +367141,38 @@
   output  io_oeb_35, // @[:shc.marmotcaravel.MarmotCaravelConfig.fir@306899.4]
   output  io_oeb_36, // @[:shc.marmotcaravel.MarmotCaravelConfig.fir@306899.4]
   output  io_oeb_37, // @[:shc.marmotcaravel.MarmotCaravelConfig.fir@306899.4]
+  output  gpio_out_0, // @[:shc.marmotcaravel.MarmotCaravelConfig.fir@306900.4]
+  output  gpio_out_1, // @[:shc.marmotcaravel.MarmotCaravelConfig.fir@306900.4]
+  output  gpio_out_2, // @[:shc.marmotcaravel.MarmotCaravelConfig.fir@306900.4]
+  output  gpio_out_3, // @[:shc.marmotcaravel.MarmotCaravelConfig.fir@306900.4]
+  output  gpio_out_4, // @[:shc.marmotcaravel.MarmotCaravelConfig.fir@306900.4]
+  output  gpio_out_5, // @[:shc.marmotcaravel.MarmotCaravelConfig.fir@306900.4]
+  output  gpio_out_6, // @[:shc.marmotcaravel.MarmotCaravelConfig.fir@306900.4]
+  output  gpio_out_7, // @[:shc.marmotcaravel.MarmotCaravelConfig.fir@306900.4]
+  output  gpio_out_8, // @[:shc.marmotcaravel.MarmotCaravelConfig.fir@306900.4]
+  output  gpio_out_9, // @[:shc.marmotcaravel.MarmotCaravelConfig.fir@306900.4]
+  output  gpio_out_10, // @[:shc.marmotcaravel.MarmotCaravelConfig.fir@306900.4]
+  output  gpio_out_11, // @[:shc.marmotcaravel.MarmotCaravelConfig.fir@306900.4]
+  output  gpio_out_12, // @[:shc.marmotcaravel.MarmotCaravelConfig.fir@306900.4]
+  output  gpio_out_13, // @[:shc.marmotcaravel.MarmotCaravelConfig.fir@306900.4]
+  output  gpio_out_14, // @[:shc.marmotcaravel.MarmotCaravelConfig.fir@306900.4]
+  output  gpio_out_15, // @[:shc.marmotcaravel.MarmotCaravelConfig.fir@306900.4]
+  output  gpio_out_16, // @[:shc.marmotcaravel.MarmotCaravelConfig.fir@306900.4]
+  output  gpio_out_17, // @[:shc.marmotcaravel.MarmotCaravelConfig.fir@306900.4]
+  output  gpio_out_18, // @[:shc.marmotcaravel.MarmotCaravelConfig.fir@306900.4]
+  output  gpio_out_19, // @[:shc.marmotcaravel.MarmotCaravelConfig.fir@306900.4]
+  output  gpio_out_20, // @[:shc.marmotcaravel.MarmotCaravelConfig.fir@306900.4]
+  output  gpio_out_21, // @[:shc.marmotcaravel.MarmotCaravelConfig.fir@306900.4]
+  output  gpio_out_22, // @[:shc.marmotcaravel.MarmotCaravelConfig.fir@306900.4]
+  output  gpio_out_23, // @[:shc.marmotcaravel.MarmotCaravelConfig.fir@306900.4]
+  output  gpio_out_24, // @[:shc.marmotcaravel.MarmotCaravelConfig.fir@306900.4]
+  output  gpio_out_25, // @[:shc.marmotcaravel.MarmotCaravelConfig.fir@306900.4]
+  output  gpio_out_26, // @[:shc.marmotcaravel.MarmotCaravelConfig.fir@306900.4]
+  output  gpio_out_27, // @[:shc.marmotcaravel.MarmotCaravelConfig.fir@306900.4]
+  output  gpio_out_28, // @[:shc.marmotcaravel.MarmotCaravelConfig.fir@306900.4]
+  output  gpio_out_29, // @[:shc.marmotcaravel.MarmotCaravelConfig.fir@306900.4]
+  output  gpio_out_30, // @[:shc.marmotcaravel.MarmotCaravelConfig.fir@306900.4]
+  output  gpio_out_31, // @[:shc.marmotcaravel.MarmotCaravelConfig.fir@306900.4]
   output [11:0] data_arrays_0_ext_RW0_addr,
   output  data_arrays_0_ext_RW0_en,
   output  data_arrays_0_ext_RW0_clk,
@@ -366851,137 +367195,163 @@
   input  [63:0] data_arrays_0_0_ext_RW0_rdata,
   output [1:0] data_arrays_0_0_ext_RW0_wmask
 );
-  wire  MarmotCaravelPlatform_clock; // @[Chip.scala 41:21:shc.marmotcaravel.MarmotCaravelConfig.fir@306923.4]
-  wire  MarmotCaravelPlatform_io_pins_jtag_TCK_i_ival; // @[Chip.scala 41:21:shc.marmotcaravel.MarmotCaravelConfig.fir@306923.4]
-  wire  MarmotCaravelPlatform_io_pins_jtag_TMS_i_ival; // @[Chip.scala 41:21:shc.marmotcaravel.MarmotCaravelConfig.fir@306923.4]
-  wire  MarmotCaravelPlatform_io_pins_jtag_TDI_i_ival; // @[Chip.scala 41:21:shc.marmotcaravel.MarmotCaravelConfig.fir@306923.4]
-  wire  MarmotCaravelPlatform_io_pins_jtag_TDO_o_oval; // @[Chip.scala 41:21:shc.marmotcaravel.MarmotCaravelConfig.fir@306923.4]
-  wire  MarmotCaravelPlatform_io_pins_jtag_TDO_o_oe; // @[Chip.scala 41:21:shc.marmotcaravel.MarmotCaravelConfig.fir@306923.4]
-  wire  MarmotCaravelPlatform_io_pins_gpio_pins_0_i_ival; // @[Chip.scala 41:21:shc.marmotcaravel.MarmotCaravelConfig.fir@306923.4]
-  wire  MarmotCaravelPlatform_io_pins_gpio_pins_0_o_oval; // @[Chip.scala 41:21:shc.marmotcaravel.MarmotCaravelConfig.fir@306923.4]
-  wire  MarmotCaravelPlatform_io_pins_gpio_pins_0_o_oe; // @[Chip.scala 41:21:shc.marmotcaravel.MarmotCaravelConfig.fir@306923.4]
-  wire  MarmotCaravelPlatform_io_pins_gpio_pins_0_o_ie; // @[Chip.scala 41:21:shc.marmotcaravel.MarmotCaravelConfig.fir@306923.4]
-  wire  MarmotCaravelPlatform_io_pins_gpio_pins_1_i_ival; // @[Chip.scala 41:21:shc.marmotcaravel.MarmotCaravelConfig.fir@306923.4]
-  wire  MarmotCaravelPlatform_io_pins_gpio_pins_1_o_oval; // @[Chip.scala 41:21:shc.marmotcaravel.MarmotCaravelConfig.fir@306923.4]
-  wire  MarmotCaravelPlatform_io_pins_gpio_pins_1_o_oe; // @[Chip.scala 41:21:shc.marmotcaravel.MarmotCaravelConfig.fir@306923.4]
-  wire  MarmotCaravelPlatform_io_pins_gpio_pins_1_o_ie; // @[Chip.scala 41:21:shc.marmotcaravel.MarmotCaravelConfig.fir@306923.4]
-  wire  MarmotCaravelPlatform_io_pins_gpio_pins_2_i_ival; // @[Chip.scala 41:21:shc.marmotcaravel.MarmotCaravelConfig.fir@306923.4]
-  wire  MarmotCaravelPlatform_io_pins_gpio_pins_2_o_oval; // @[Chip.scala 41:21:shc.marmotcaravel.MarmotCaravelConfig.fir@306923.4]
-  wire  MarmotCaravelPlatform_io_pins_gpio_pins_2_o_oe; // @[Chip.scala 41:21:shc.marmotcaravel.MarmotCaravelConfig.fir@306923.4]
-  wire  MarmotCaravelPlatform_io_pins_gpio_pins_2_o_ie; // @[Chip.scala 41:21:shc.marmotcaravel.MarmotCaravelConfig.fir@306923.4]
-  wire  MarmotCaravelPlatform_io_pins_gpio_pins_3_i_ival; // @[Chip.scala 41:21:shc.marmotcaravel.MarmotCaravelConfig.fir@306923.4]
-  wire  MarmotCaravelPlatform_io_pins_gpio_pins_3_o_oval; // @[Chip.scala 41:21:shc.marmotcaravel.MarmotCaravelConfig.fir@306923.4]
-  wire  MarmotCaravelPlatform_io_pins_gpio_pins_3_o_oe; // @[Chip.scala 41:21:shc.marmotcaravel.MarmotCaravelConfig.fir@306923.4]
-  wire  MarmotCaravelPlatform_io_pins_gpio_pins_3_o_ie; // @[Chip.scala 41:21:shc.marmotcaravel.MarmotCaravelConfig.fir@306923.4]
-  wire  MarmotCaravelPlatform_io_pins_gpio_pins_4_i_ival; // @[Chip.scala 41:21:shc.marmotcaravel.MarmotCaravelConfig.fir@306923.4]
-  wire  MarmotCaravelPlatform_io_pins_gpio_pins_4_o_oval; // @[Chip.scala 41:21:shc.marmotcaravel.MarmotCaravelConfig.fir@306923.4]
-  wire  MarmotCaravelPlatform_io_pins_gpio_pins_4_o_oe; // @[Chip.scala 41:21:shc.marmotcaravel.MarmotCaravelConfig.fir@306923.4]
-  wire  MarmotCaravelPlatform_io_pins_gpio_pins_4_o_ie; // @[Chip.scala 41:21:shc.marmotcaravel.MarmotCaravelConfig.fir@306923.4]
-  wire  MarmotCaravelPlatform_io_pins_gpio_pins_5_i_ival; // @[Chip.scala 41:21:shc.marmotcaravel.MarmotCaravelConfig.fir@306923.4]
-  wire  MarmotCaravelPlatform_io_pins_gpio_pins_5_o_oval; // @[Chip.scala 41:21:shc.marmotcaravel.MarmotCaravelConfig.fir@306923.4]
-  wire  MarmotCaravelPlatform_io_pins_gpio_pins_5_o_oe; // @[Chip.scala 41:21:shc.marmotcaravel.MarmotCaravelConfig.fir@306923.4]
-  wire  MarmotCaravelPlatform_io_pins_gpio_pins_5_o_ie; // @[Chip.scala 41:21:shc.marmotcaravel.MarmotCaravelConfig.fir@306923.4]
-  wire  MarmotCaravelPlatform_io_pins_gpio_pins_12_i_ival; // @[Chip.scala 41:21:shc.marmotcaravel.MarmotCaravelConfig.fir@306923.4]
-  wire  MarmotCaravelPlatform_io_pins_gpio_pins_12_o_oval; // @[Chip.scala 41:21:shc.marmotcaravel.MarmotCaravelConfig.fir@306923.4]
-  wire  MarmotCaravelPlatform_io_pins_gpio_pins_12_o_oe; // @[Chip.scala 41:21:shc.marmotcaravel.MarmotCaravelConfig.fir@306923.4]
-  wire  MarmotCaravelPlatform_io_pins_gpio_pins_12_o_ie; // @[Chip.scala 41:21:shc.marmotcaravel.MarmotCaravelConfig.fir@306923.4]
-  wire  MarmotCaravelPlatform_io_pins_gpio_pins_13_i_ival; // @[Chip.scala 41:21:shc.marmotcaravel.MarmotCaravelConfig.fir@306923.4]
-  wire  MarmotCaravelPlatform_io_pins_gpio_pins_13_o_oval; // @[Chip.scala 41:21:shc.marmotcaravel.MarmotCaravelConfig.fir@306923.4]
-  wire  MarmotCaravelPlatform_io_pins_gpio_pins_13_o_oe; // @[Chip.scala 41:21:shc.marmotcaravel.MarmotCaravelConfig.fir@306923.4]
-  wire  MarmotCaravelPlatform_io_pins_gpio_pins_13_o_ie; // @[Chip.scala 41:21:shc.marmotcaravel.MarmotCaravelConfig.fir@306923.4]
-  wire  MarmotCaravelPlatform_io_pins_gpio_pins_14_i_ival; // @[Chip.scala 41:21:shc.marmotcaravel.MarmotCaravelConfig.fir@306923.4]
-  wire  MarmotCaravelPlatform_io_pins_gpio_pins_14_o_oval; // @[Chip.scala 41:21:shc.marmotcaravel.MarmotCaravelConfig.fir@306923.4]
-  wire  MarmotCaravelPlatform_io_pins_gpio_pins_14_o_oe; // @[Chip.scala 41:21:shc.marmotcaravel.MarmotCaravelConfig.fir@306923.4]
-  wire  MarmotCaravelPlatform_io_pins_gpio_pins_14_o_ie; // @[Chip.scala 41:21:shc.marmotcaravel.MarmotCaravelConfig.fir@306923.4]
-  wire  MarmotCaravelPlatform_io_pins_gpio_pins_15_i_ival; // @[Chip.scala 41:21:shc.marmotcaravel.MarmotCaravelConfig.fir@306923.4]
-  wire  MarmotCaravelPlatform_io_pins_gpio_pins_15_o_oval; // @[Chip.scala 41:21:shc.marmotcaravel.MarmotCaravelConfig.fir@306923.4]
-  wire  MarmotCaravelPlatform_io_pins_gpio_pins_15_o_oe; // @[Chip.scala 41:21:shc.marmotcaravel.MarmotCaravelConfig.fir@306923.4]
-  wire  MarmotCaravelPlatform_io_pins_gpio_pins_15_o_ie; // @[Chip.scala 41:21:shc.marmotcaravel.MarmotCaravelConfig.fir@306923.4]
-  wire  MarmotCaravelPlatform_io_pins_gpio_pins_16_i_ival; // @[Chip.scala 41:21:shc.marmotcaravel.MarmotCaravelConfig.fir@306923.4]
-  wire  MarmotCaravelPlatform_io_pins_gpio_pins_16_o_oval; // @[Chip.scala 41:21:shc.marmotcaravel.MarmotCaravelConfig.fir@306923.4]
-  wire  MarmotCaravelPlatform_io_pins_gpio_pins_16_o_oe; // @[Chip.scala 41:21:shc.marmotcaravel.MarmotCaravelConfig.fir@306923.4]
-  wire  MarmotCaravelPlatform_io_pins_gpio_pins_16_o_ie; // @[Chip.scala 41:21:shc.marmotcaravel.MarmotCaravelConfig.fir@306923.4]
-  wire  MarmotCaravelPlatform_io_pins_gpio_pins_17_i_ival; // @[Chip.scala 41:21:shc.marmotcaravel.MarmotCaravelConfig.fir@306923.4]
-  wire  MarmotCaravelPlatform_io_pins_gpio_pins_17_o_oval; // @[Chip.scala 41:21:shc.marmotcaravel.MarmotCaravelConfig.fir@306923.4]
-  wire  MarmotCaravelPlatform_io_pins_gpio_pins_17_o_oe; // @[Chip.scala 41:21:shc.marmotcaravel.MarmotCaravelConfig.fir@306923.4]
-  wire  MarmotCaravelPlatform_io_pins_gpio_pins_17_o_ie; // @[Chip.scala 41:21:shc.marmotcaravel.MarmotCaravelConfig.fir@306923.4]
-  wire  MarmotCaravelPlatform_io_pins_gpio_pins_18_i_ival; // @[Chip.scala 41:21:shc.marmotcaravel.MarmotCaravelConfig.fir@306923.4]
-  wire  MarmotCaravelPlatform_io_pins_gpio_pins_18_o_oval; // @[Chip.scala 41:21:shc.marmotcaravel.MarmotCaravelConfig.fir@306923.4]
-  wire  MarmotCaravelPlatform_io_pins_gpio_pins_18_o_oe; // @[Chip.scala 41:21:shc.marmotcaravel.MarmotCaravelConfig.fir@306923.4]
-  wire  MarmotCaravelPlatform_io_pins_gpio_pins_18_o_ie; // @[Chip.scala 41:21:shc.marmotcaravel.MarmotCaravelConfig.fir@306923.4]
-  wire  MarmotCaravelPlatform_io_pins_gpio_pins_19_i_ival; // @[Chip.scala 41:21:shc.marmotcaravel.MarmotCaravelConfig.fir@306923.4]
-  wire  MarmotCaravelPlatform_io_pins_gpio_pins_19_o_oval; // @[Chip.scala 41:21:shc.marmotcaravel.MarmotCaravelConfig.fir@306923.4]
-  wire  MarmotCaravelPlatform_io_pins_gpio_pins_19_o_oe; // @[Chip.scala 41:21:shc.marmotcaravel.MarmotCaravelConfig.fir@306923.4]
-  wire  MarmotCaravelPlatform_io_pins_gpio_pins_19_o_ie; // @[Chip.scala 41:21:shc.marmotcaravel.MarmotCaravelConfig.fir@306923.4]
-  wire  MarmotCaravelPlatform_io_pins_gpio_pins_20_i_ival; // @[Chip.scala 41:21:shc.marmotcaravel.MarmotCaravelConfig.fir@306923.4]
-  wire  MarmotCaravelPlatform_io_pins_gpio_pins_20_o_oval; // @[Chip.scala 41:21:shc.marmotcaravel.MarmotCaravelConfig.fir@306923.4]
-  wire  MarmotCaravelPlatform_io_pins_gpio_pins_20_o_oe; // @[Chip.scala 41:21:shc.marmotcaravel.MarmotCaravelConfig.fir@306923.4]
-  wire  MarmotCaravelPlatform_io_pins_gpio_pins_20_o_ie; // @[Chip.scala 41:21:shc.marmotcaravel.MarmotCaravelConfig.fir@306923.4]
-  wire  MarmotCaravelPlatform_io_pins_gpio_pins_21_i_ival; // @[Chip.scala 41:21:shc.marmotcaravel.MarmotCaravelConfig.fir@306923.4]
-  wire  MarmotCaravelPlatform_io_pins_gpio_pins_21_o_oval; // @[Chip.scala 41:21:shc.marmotcaravel.MarmotCaravelConfig.fir@306923.4]
-  wire  MarmotCaravelPlatform_io_pins_gpio_pins_21_o_oe; // @[Chip.scala 41:21:shc.marmotcaravel.MarmotCaravelConfig.fir@306923.4]
-  wire  MarmotCaravelPlatform_io_pins_gpio_pins_21_o_ie; // @[Chip.scala 41:21:shc.marmotcaravel.MarmotCaravelConfig.fir@306923.4]
-  wire  MarmotCaravelPlatform_io_pins_gpio_pins_22_i_ival; // @[Chip.scala 41:21:shc.marmotcaravel.MarmotCaravelConfig.fir@306923.4]
-  wire  MarmotCaravelPlatform_io_pins_gpio_pins_22_o_oval; // @[Chip.scala 41:21:shc.marmotcaravel.MarmotCaravelConfig.fir@306923.4]
-  wire  MarmotCaravelPlatform_io_pins_gpio_pins_22_o_oe; // @[Chip.scala 41:21:shc.marmotcaravel.MarmotCaravelConfig.fir@306923.4]
-  wire  MarmotCaravelPlatform_io_pins_gpio_pins_22_o_ie; // @[Chip.scala 41:21:shc.marmotcaravel.MarmotCaravelConfig.fir@306923.4]
-  wire  MarmotCaravelPlatform_io_pins_gpio_pins_23_i_ival; // @[Chip.scala 41:21:shc.marmotcaravel.MarmotCaravelConfig.fir@306923.4]
-  wire  MarmotCaravelPlatform_io_pins_gpio_pins_23_o_oval; // @[Chip.scala 41:21:shc.marmotcaravel.MarmotCaravelConfig.fir@306923.4]
-  wire  MarmotCaravelPlatform_io_pins_gpio_pins_23_o_oe; // @[Chip.scala 41:21:shc.marmotcaravel.MarmotCaravelConfig.fir@306923.4]
-  wire  MarmotCaravelPlatform_io_pins_gpio_pins_23_o_ie; // @[Chip.scala 41:21:shc.marmotcaravel.MarmotCaravelConfig.fir@306923.4]
-  wire  MarmotCaravelPlatform_io_pins_gpio_pins_24_i_ival; // @[Chip.scala 41:21:shc.marmotcaravel.MarmotCaravelConfig.fir@306923.4]
-  wire  MarmotCaravelPlatform_io_pins_gpio_pins_24_o_oval; // @[Chip.scala 41:21:shc.marmotcaravel.MarmotCaravelConfig.fir@306923.4]
-  wire  MarmotCaravelPlatform_io_pins_gpio_pins_24_o_oe; // @[Chip.scala 41:21:shc.marmotcaravel.MarmotCaravelConfig.fir@306923.4]
-  wire  MarmotCaravelPlatform_io_pins_gpio_pins_24_o_ie; // @[Chip.scala 41:21:shc.marmotcaravel.MarmotCaravelConfig.fir@306923.4]
-  wire  MarmotCaravelPlatform_io_pins_qspi_sck_o_oval; // @[Chip.scala 41:21:shc.marmotcaravel.MarmotCaravelConfig.fir@306923.4]
-  wire  MarmotCaravelPlatform_io_pins_qspi_dq_0_i_ival; // @[Chip.scala 41:21:shc.marmotcaravel.MarmotCaravelConfig.fir@306923.4]
-  wire  MarmotCaravelPlatform_io_pins_qspi_dq_0_o_oval; // @[Chip.scala 41:21:shc.marmotcaravel.MarmotCaravelConfig.fir@306923.4]
-  wire  MarmotCaravelPlatform_io_pins_qspi_dq_0_o_oe; // @[Chip.scala 41:21:shc.marmotcaravel.MarmotCaravelConfig.fir@306923.4]
-  wire  MarmotCaravelPlatform_io_pins_qspi_dq_0_o_ie; // @[Chip.scala 41:21:shc.marmotcaravel.MarmotCaravelConfig.fir@306923.4]
-  wire  MarmotCaravelPlatform_io_pins_qspi_dq_1_i_ival; // @[Chip.scala 41:21:shc.marmotcaravel.MarmotCaravelConfig.fir@306923.4]
-  wire  MarmotCaravelPlatform_io_pins_qspi_dq_1_o_oval; // @[Chip.scala 41:21:shc.marmotcaravel.MarmotCaravelConfig.fir@306923.4]
-  wire  MarmotCaravelPlatform_io_pins_qspi_dq_1_o_oe; // @[Chip.scala 41:21:shc.marmotcaravel.MarmotCaravelConfig.fir@306923.4]
-  wire  MarmotCaravelPlatform_io_pins_qspi_dq_1_o_ie; // @[Chip.scala 41:21:shc.marmotcaravel.MarmotCaravelConfig.fir@306923.4]
-  wire  MarmotCaravelPlatform_io_pins_qspi_dq_2_i_ival; // @[Chip.scala 41:21:shc.marmotcaravel.MarmotCaravelConfig.fir@306923.4]
-  wire  MarmotCaravelPlatform_io_pins_qspi_dq_2_o_oval; // @[Chip.scala 41:21:shc.marmotcaravel.MarmotCaravelConfig.fir@306923.4]
-  wire  MarmotCaravelPlatform_io_pins_qspi_dq_2_o_oe; // @[Chip.scala 41:21:shc.marmotcaravel.MarmotCaravelConfig.fir@306923.4]
-  wire  MarmotCaravelPlatform_io_pins_qspi_dq_2_o_ie; // @[Chip.scala 41:21:shc.marmotcaravel.MarmotCaravelConfig.fir@306923.4]
-  wire  MarmotCaravelPlatform_io_pins_qspi_dq_3_i_ival; // @[Chip.scala 41:21:shc.marmotcaravel.MarmotCaravelConfig.fir@306923.4]
-  wire  MarmotCaravelPlatform_io_pins_qspi_dq_3_o_oval; // @[Chip.scala 41:21:shc.marmotcaravel.MarmotCaravelConfig.fir@306923.4]
-  wire  MarmotCaravelPlatform_io_pins_qspi_dq_3_o_oe; // @[Chip.scala 41:21:shc.marmotcaravel.MarmotCaravelConfig.fir@306923.4]
-  wire  MarmotCaravelPlatform_io_pins_qspi_dq_3_o_ie; // @[Chip.scala 41:21:shc.marmotcaravel.MarmotCaravelConfig.fir@306923.4]
-  wire  MarmotCaravelPlatform_io_pins_qspi_cs_0_o_oval; // @[Chip.scala 41:21:shc.marmotcaravel.MarmotCaravelConfig.fir@306923.4]
-  wire  MarmotCaravelPlatform_io_pins_qspi_ram_sck_o_oval; // @[Chip.scala 41:21:shc.marmotcaravel.MarmotCaravelConfig.fir@306923.4]
-  wire  MarmotCaravelPlatform_io_pins_qspi_ram_dq_0_i_ival; // @[Chip.scala 41:21:shc.marmotcaravel.MarmotCaravelConfig.fir@306923.4]
-  wire  MarmotCaravelPlatform_io_pins_qspi_ram_dq_0_o_oval; // @[Chip.scala 41:21:shc.marmotcaravel.MarmotCaravelConfig.fir@306923.4]
-  wire  MarmotCaravelPlatform_io_pins_qspi_ram_dq_0_o_oe; // @[Chip.scala 41:21:shc.marmotcaravel.MarmotCaravelConfig.fir@306923.4]
-  wire  MarmotCaravelPlatform_io_pins_qspi_ram_dq_0_o_ie; // @[Chip.scala 41:21:shc.marmotcaravel.MarmotCaravelConfig.fir@306923.4]
-  wire  MarmotCaravelPlatform_io_pins_qspi_ram_dq_1_i_ival; // @[Chip.scala 41:21:shc.marmotcaravel.MarmotCaravelConfig.fir@306923.4]
-  wire  MarmotCaravelPlatform_io_pins_qspi_ram_dq_1_o_oval; // @[Chip.scala 41:21:shc.marmotcaravel.MarmotCaravelConfig.fir@306923.4]
-  wire  MarmotCaravelPlatform_io_pins_qspi_ram_dq_1_o_oe; // @[Chip.scala 41:21:shc.marmotcaravel.MarmotCaravelConfig.fir@306923.4]
-  wire  MarmotCaravelPlatform_io_pins_qspi_ram_dq_1_o_ie; // @[Chip.scala 41:21:shc.marmotcaravel.MarmotCaravelConfig.fir@306923.4]
-  wire  MarmotCaravelPlatform_io_pins_qspi_ram_dq_2_i_ival; // @[Chip.scala 41:21:shc.marmotcaravel.MarmotCaravelConfig.fir@306923.4]
-  wire  MarmotCaravelPlatform_io_pins_qspi_ram_dq_2_o_oval; // @[Chip.scala 41:21:shc.marmotcaravel.MarmotCaravelConfig.fir@306923.4]
-  wire  MarmotCaravelPlatform_io_pins_qspi_ram_dq_2_o_oe; // @[Chip.scala 41:21:shc.marmotcaravel.MarmotCaravelConfig.fir@306923.4]
-  wire  MarmotCaravelPlatform_io_pins_qspi_ram_dq_2_o_ie; // @[Chip.scala 41:21:shc.marmotcaravel.MarmotCaravelConfig.fir@306923.4]
-  wire  MarmotCaravelPlatform_io_pins_qspi_ram_dq_3_i_ival; // @[Chip.scala 41:21:shc.marmotcaravel.MarmotCaravelConfig.fir@306923.4]
-  wire  MarmotCaravelPlatform_io_pins_qspi_ram_dq_3_o_oval; // @[Chip.scala 41:21:shc.marmotcaravel.MarmotCaravelConfig.fir@306923.4]
-  wire  MarmotCaravelPlatform_io_pins_qspi_ram_dq_3_o_oe; // @[Chip.scala 41:21:shc.marmotcaravel.MarmotCaravelConfig.fir@306923.4]
-  wire  MarmotCaravelPlatform_io_pins_qspi_ram_dq_3_o_ie; // @[Chip.scala 41:21:shc.marmotcaravel.MarmotCaravelConfig.fir@306923.4]
-  wire  MarmotCaravelPlatform_io_pins_qspi_ram_cs_0_o_oval; // @[Chip.scala 41:21:shc.marmotcaravel.MarmotCaravelConfig.fir@306923.4]
-  wire  MarmotCaravelPlatform_io_pins_uart_rxd_i_ival; // @[Chip.scala 41:21:shc.marmotcaravel.MarmotCaravelConfig.fir@306923.4]
-  wire  MarmotCaravelPlatform_io_pins_uart_txd_o_oval; // @[Chip.scala 41:21:shc.marmotcaravel.MarmotCaravelConfig.fir@306923.4]
-  wire  MarmotCaravelPlatform_io_pins_aon_erst_n_i_ival; // @[Chip.scala 41:21:shc.marmotcaravel.MarmotCaravelConfig.fir@306923.4]
-  wire  MarmotCaravelPlatform_io_pins_aon_lfextclk_i_ival; // @[Chip.scala 41:21:shc.marmotcaravel.MarmotCaravelConfig.fir@306923.4]
-  wire  MarmotCaravelPlatform_io_jtag_reset; // @[Chip.scala 41:21:shc.marmotcaravel.MarmotCaravelConfig.fir@306923.4]
-  wire  _T_374; // @[Chip.scala 28:26:shc.marmotcaravel.MarmotCaravelConfig.fir@306908.4]
-  reg  slow_clock; // @[Chip.scala 29:33:shc.marmotcaravel.MarmotCaravelConfig.fir@306909.4]
+  wire  MarmotCaravelPlatform_clock; // @[Chip.scala 41:21:shc.marmotcaravel.MarmotCaravelConfig.fir@306925.4]
+  wire  MarmotCaravelPlatform_io_pins_jtag_TCK_i_ival; // @[Chip.scala 41:21:shc.marmotcaravel.MarmotCaravelConfig.fir@306925.4]
+  wire  MarmotCaravelPlatform_io_pins_jtag_TMS_i_ival; // @[Chip.scala 41:21:shc.marmotcaravel.MarmotCaravelConfig.fir@306925.4]
+  wire  MarmotCaravelPlatform_io_pins_jtag_TDI_i_ival; // @[Chip.scala 41:21:shc.marmotcaravel.MarmotCaravelConfig.fir@306925.4]
+  wire  MarmotCaravelPlatform_io_pins_jtag_TDO_o_oval; // @[Chip.scala 41:21:shc.marmotcaravel.MarmotCaravelConfig.fir@306925.4]
+  wire  MarmotCaravelPlatform_io_pins_jtag_TDO_o_oe; // @[Chip.scala 41:21:shc.marmotcaravel.MarmotCaravelConfig.fir@306925.4]
+  wire  MarmotCaravelPlatform_io_pins_gpio_pins_0_i_ival; // @[Chip.scala 41:21:shc.marmotcaravel.MarmotCaravelConfig.fir@306925.4]
+  wire  MarmotCaravelPlatform_io_pins_gpio_pins_0_o_oval; // @[Chip.scala 41:21:shc.marmotcaravel.MarmotCaravelConfig.fir@306925.4]
+  wire  MarmotCaravelPlatform_io_pins_gpio_pins_0_o_oe; // @[Chip.scala 41:21:shc.marmotcaravel.MarmotCaravelConfig.fir@306925.4]
+  wire  MarmotCaravelPlatform_io_pins_gpio_pins_0_o_ie; // @[Chip.scala 41:21:shc.marmotcaravel.MarmotCaravelConfig.fir@306925.4]
+  wire  MarmotCaravelPlatform_io_pins_gpio_pins_1_i_ival; // @[Chip.scala 41:21:shc.marmotcaravel.MarmotCaravelConfig.fir@306925.4]
+  wire  MarmotCaravelPlatform_io_pins_gpio_pins_1_o_oval; // @[Chip.scala 41:21:shc.marmotcaravel.MarmotCaravelConfig.fir@306925.4]
+  wire  MarmotCaravelPlatform_io_pins_gpio_pins_1_o_oe; // @[Chip.scala 41:21:shc.marmotcaravel.MarmotCaravelConfig.fir@306925.4]
+  wire  MarmotCaravelPlatform_io_pins_gpio_pins_1_o_ie; // @[Chip.scala 41:21:shc.marmotcaravel.MarmotCaravelConfig.fir@306925.4]
+  wire  MarmotCaravelPlatform_io_pins_gpio_pins_2_i_ival; // @[Chip.scala 41:21:shc.marmotcaravel.MarmotCaravelConfig.fir@306925.4]
+  wire  MarmotCaravelPlatform_io_pins_gpio_pins_2_o_oval; // @[Chip.scala 41:21:shc.marmotcaravel.MarmotCaravelConfig.fir@306925.4]
+  wire  MarmotCaravelPlatform_io_pins_gpio_pins_2_o_oe; // @[Chip.scala 41:21:shc.marmotcaravel.MarmotCaravelConfig.fir@306925.4]
+  wire  MarmotCaravelPlatform_io_pins_gpio_pins_2_o_ie; // @[Chip.scala 41:21:shc.marmotcaravel.MarmotCaravelConfig.fir@306925.4]
+  wire  MarmotCaravelPlatform_io_pins_gpio_pins_3_i_ival; // @[Chip.scala 41:21:shc.marmotcaravel.MarmotCaravelConfig.fir@306925.4]
+  wire  MarmotCaravelPlatform_io_pins_gpio_pins_3_o_oval; // @[Chip.scala 41:21:shc.marmotcaravel.MarmotCaravelConfig.fir@306925.4]
+  wire  MarmotCaravelPlatform_io_pins_gpio_pins_3_o_oe; // @[Chip.scala 41:21:shc.marmotcaravel.MarmotCaravelConfig.fir@306925.4]
+  wire  MarmotCaravelPlatform_io_pins_gpio_pins_3_o_ie; // @[Chip.scala 41:21:shc.marmotcaravel.MarmotCaravelConfig.fir@306925.4]
+  wire  MarmotCaravelPlatform_io_pins_gpio_pins_4_i_ival; // @[Chip.scala 41:21:shc.marmotcaravel.MarmotCaravelConfig.fir@306925.4]
+  wire  MarmotCaravelPlatform_io_pins_gpio_pins_4_o_oval; // @[Chip.scala 41:21:shc.marmotcaravel.MarmotCaravelConfig.fir@306925.4]
+  wire  MarmotCaravelPlatform_io_pins_gpio_pins_4_o_oe; // @[Chip.scala 41:21:shc.marmotcaravel.MarmotCaravelConfig.fir@306925.4]
+  wire  MarmotCaravelPlatform_io_pins_gpio_pins_4_o_ie; // @[Chip.scala 41:21:shc.marmotcaravel.MarmotCaravelConfig.fir@306925.4]
+  wire  MarmotCaravelPlatform_io_pins_gpio_pins_5_i_ival; // @[Chip.scala 41:21:shc.marmotcaravel.MarmotCaravelConfig.fir@306925.4]
+  wire  MarmotCaravelPlatform_io_pins_gpio_pins_5_o_oval; // @[Chip.scala 41:21:shc.marmotcaravel.MarmotCaravelConfig.fir@306925.4]
+  wire  MarmotCaravelPlatform_io_pins_gpio_pins_5_o_oe; // @[Chip.scala 41:21:shc.marmotcaravel.MarmotCaravelConfig.fir@306925.4]
+  wire  MarmotCaravelPlatform_io_pins_gpio_pins_5_o_ie; // @[Chip.scala 41:21:shc.marmotcaravel.MarmotCaravelConfig.fir@306925.4]
+  wire  MarmotCaravelPlatform_io_pins_gpio_pins_6_o_oval; // @[Chip.scala 41:21:shc.marmotcaravel.MarmotCaravelConfig.fir@306925.4]
+  wire  MarmotCaravelPlatform_io_pins_gpio_pins_6_o_oe; // @[Chip.scala 41:21:shc.marmotcaravel.MarmotCaravelConfig.fir@306925.4]
+  wire  MarmotCaravelPlatform_io_pins_gpio_pins_7_o_oval; // @[Chip.scala 41:21:shc.marmotcaravel.MarmotCaravelConfig.fir@306925.4]
+  wire  MarmotCaravelPlatform_io_pins_gpio_pins_7_o_oe; // @[Chip.scala 41:21:shc.marmotcaravel.MarmotCaravelConfig.fir@306925.4]
+  wire  MarmotCaravelPlatform_io_pins_gpio_pins_8_o_oval; // @[Chip.scala 41:21:shc.marmotcaravel.MarmotCaravelConfig.fir@306925.4]
+  wire  MarmotCaravelPlatform_io_pins_gpio_pins_8_o_oe; // @[Chip.scala 41:21:shc.marmotcaravel.MarmotCaravelConfig.fir@306925.4]
+  wire  MarmotCaravelPlatform_io_pins_gpio_pins_9_o_oval; // @[Chip.scala 41:21:shc.marmotcaravel.MarmotCaravelConfig.fir@306925.4]
+  wire  MarmotCaravelPlatform_io_pins_gpio_pins_9_o_oe; // @[Chip.scala 41:21:shc.marmotcaravel.MarmotCaravelConfig.fir@306925.4]
+  wire  MarmotCaravelPlatform_io_pins_gpio_pins_10_o_oval; // @[Chip.scala 41:21:shc.marmotcaravel.MarmotCaravelConfig.fir@306925.4]
+  wire  MarmotCaravelPlatform_io_pins_gpio_pins_10_o_oe; // @[Chip.scala 41:21:shc.marmotcaravel.MarmotCaravelConfig.fir@306925.4]
+  wire  MarmotCaravelPlatform_io_pins_gpio_pins_11_o_oval; // @[Chip.scala 41:21:shc.marmotcaravel.MarmotCaravelConfig.fir@306925.4]
+  wire  MarmotCaravelPlatform_io_pins_gpio_pins_11_o_oe; // @[Chip.scala 41:21:shc.marmotcaravel.MarmotCaravelConfig.fir@306925.4]
+  wire  MarmotCaravelPlatform_io_pins_gpio_pins_12_i_ival; // @[Chip.scala 41:21:shc.marmotcaravel.MarmotCaravelConfig.fir@306925.4]
+  wire  MarmotCaravelPlatform_io_pins_gpio_pins_12_o_oval; // @[Chip.scala 41:21:shc.marmotcaravel.MarmotCaravelConfig.fir@306925.4]
+  wire  MarmotCaravelPlatform_io_pins_gpio_pins_12_o_oe; // @[Chip.scala 41:21:shc.marmotcaravel.MarmotCaravelConfig.fir@306925.4]
+  wire  MarmotCaravelPlatform_io_pins_gpio_pins_12_o_ie; // @[Chip.scala 41:21:shc.marmotcaravel.MarmotCaravelConfig.fir@306925.4]
+  wire  MarmotCaravelPlatform_io_pins_gpio_pins_13_i_ival; // @[Chip.scala 41:21:shc.marmotcaravel.MarmotCaravelConfig.fir@306925.4]
+  wire  MarmotCaravelPlatform_io_pins_gpio_pins_13_o_oval; // @[Chip.scala 41:21:shc.marmotcaravel.MarmotCaravelConfig.fir@306925.4]
+  wire  MarmotCaravelPlatform_io_pins_gpio_pins_13_o_oe; // @[Chip.scala 41:21:shc.marmotcaravel.MarmotCaravelConfig.fir@306925.4]
+  wire  MarmotCaravelPlatform_io_pins_gpio_pins_13_o_ie; // @[Chip.scala 41:21:shc.marmotcaravel.MarmotCaravelConfig.fir@306925.4]
+  wire  MarmotCaravelPlatform_io_pins_gpio_pins_14_i_ival; // @[Chip.scala 41:21:shc.marmotcaravel.MarmotCaravelConfig.fir@306925.4]
+  wire  MarmotCaravelPlatform_io_pins_gpio_pins_14_o_oval; // @[Chip.scala 41:21:shc.marmotcaravel.MarmotCaravelConfig.fir@306925.4]
+  wire  MarmotCaravelPlatform_io_pins_gpio_pins_14_o_oe; // @[Chip.scala 41:21:shc.marmotcaravel.MarmotCaravelConfig.fir@306925.4]
+  wire  MarmotCaravelPlatform_io_pins_gpio_pins_14_o_ie; // @[Chip.scala 41:21:shc.marmotcaravel.MarmotCaravelConfig.fir@306925.4]
+  wire  MarmotCaravelPlatform_io_pins_gpio_pins_15_i_ival; // @[Chip.scala 41:21:shc.marmotcaravel.MarmotCaravelConfig.fir@306925.4]
+  wire  MarmotCaravelPlatform_io_pins_gpio_pins_15_o_oval; // @[Chip.scala 41:21:shc.marmotcaravel.MarmotCaravelConfig.fir@306925.4]
+  wire  MarmotCaravelPlatform_io_pins_gpio_pins_15_o_oe; // @[Chip.scala 41:21:shc.marmotcaravel.MarmotCaravelConfig.fir@306925.4]
+  wire  MarmotCaravelPlatform_io_pins_gpio_pins_15_o_ie; // @[Chip.scala 41:21:shc.marmotcaravel.MarmotCaravelConfig.fir@306925.4]
+  wire  MarmotCaravelPlatform_io_pins_gpio_pins_16_i_ival; // @[Chip.scala 41:21:shc.marmotcaravel.MarmotCaravelConfig.fir@306925.4]
+  wire  MarmotCaravelPlatform_io_pins_gpio_pins_16_o_oval; // @[Chip.scala 41:21:shc.marmotcaravel.MarmotCaravelConfig.fir@306925.4]
+  wire  MarmotCaravelPlatform_io_pins_gpio_pins_16_o_oe; // @[Chip.scala 41:21:shc.marmotcaravel.MarmotCaravelConfig.fir@306925.4]
+  wire  MarmotCaravelPlatform_io_pins_gpio_pins_16_o_ie; // @[Chip.scala 41:21:shc.marmotcaravel.MarmotCaravelConfig.fir@306925.4]
+  wire  MarmotCaravelPlatform_io_pins_gpio_pins_17_i_ival; // @[Chip.scala 41:21:shc.marmotcaravel.MarmotCaravelConfig.fir@306925.4]
+  wire  MarmotCaravelPlatform_io_pins_gpio_pins_17_o_oval; // @[Chip.scala 41:21:shc.marmotcaravel.MarmotCaravelConfig.fir@306925.4]
+  wire  MarmotCaravelPlatform_io_pins_gpio_pins_17_o_oe; // @[Chip.scala 41:21:shc.marmotcaravel.MarmotCaravelConfig.fir@306925.4]
+  wire  MarmotCaravelPlatform_io_pins_gpio_pins_17_o_ie; // @[Chip.scala 41:21:shc.marmotcaravel.MarmotCaravelConfig.fir@306925.4]
+  wire  MarmotCaravelPlatform_io_pins_gpio_pins_18_i_ival; // @[Chip.scala 41:21:shc.marmotcaravel.MarmotCaravelConfig.fir@306925.4]
+  wire  MarmotCaravelPlatform_io_pins_gpio_pins_18_o_oval; // @[Chip.scala 41:21:shc.marmotcaravel.MarmotCaravelConfig.fir@306925.4]
+  wire  MarmotCaravelPlatform_io_pins_gpio_pins_18_o_oe; // @[Chip.scala 41:21:shc.marmotcaravel.MarmotCaravelConfig.fir@306925.4]
+  wire  MarmotCaravelPlatform_io_pins_gpio_pins_18_o_ie; // @[Chip.scala 41:21:shc.marmotcaravel.MarmotCaravelConfig.fir@306925.4]
+  wire  MarmotCaravelPlatform_io_pins_gpio_pins_19_i_ival; // @[Chip.scala 41:21:shc.marmotcaravel.MarmotCaravelConfig.fir@306925.4]
+  wire  MarmotCaravelPlatform_io_pins_gpio_pins_19_o_oval; // @[Chip.scala 41:21:shc.marmotcaravel.MarmotCaravelConfig.fir@306925.4]
+  wire  MarmotCaravelPlatform_io_pins_gpio_pins_19_o_oe; // @[Chip.scala 41:21:shc.marmotcaravel.MarmotCaravelConfig.fir@306925.4]
+  wire  MarmotCaravelPlatform_io_pins_gpio_pins_19_o_ie; // @[Chip.scala 41:21:shc.marmotcaravel.MarmotCaravelConfig.fir@306925.4]
+  wire  MarmotCaravelPlatform_io_pins_gpio_pins_20_i_ival; // @[Chip.scala 41:21:shc.marmotcaravel.MarmotCaravelConfig.fir@306925.4]
+  wire  MarmotCaravelPlatform_io_pins_gpio_pins_20_o_oval; // @[Chip.scala 41:21:shc.marmotcaravel.MarmotCaravelConfig.fir@306925.4]
+  wire  MarmotCaravelPlatform_io_pins_gpio_pins_20_o_oe; // @[Chip.scala 41:21:shc.marmotcaravel.MarmotCaravelConfig.fir@306925.4]
+  wire  MarmotCaravelPlatform_io_pins_gpio_pins_20_o_ie; // @[Chip.scala 41:21:shc.marmotcaravel.MarmotCaravelConfig.fir@306925.4]
+  wire  MarmotCaravelPlatform_io_pins_gpio_pins_21_i_ival; // @[Chip.scala 41:21:shc.marmotcaravel.MarmotCaravelConfig.fir@306925.4]
+  wire  MarmotCaravelPlatform_io_pins_gpio_pins_21_o_oval; // @[Chip.scala 41:21:shc.marmotcaravel.MarmotCaravelConfig.fir@306925.4]
+  wire  MarmotCaravelPlatform_io_pins_gpio_pins_21_o_oe; // @[Chip.scala 41:21:shc.marmotcaravel.MarmotCaravelConfig.fir@306925.4]
+  wire  MarmotCaravelPlatform_io_pins_gpio_pins_21_o_ie; // @[Chip.scala 41:21:shc.marmotcaravel.MarmotCaravelConfig.fir@306925.4]
+  wire  MarmotCaravelPlatform_io_pins_gpio_pins_22_i_ival; // @[Chip.scala 41:21:shc.marmotcaravel.MarmotCaravelConfig.fir@306925.4]
+  wire  MarmotCaravelPlatform_io_pins_gpio_pins_22_o_oval; // @[Chip.scala 41:21:shc.marmotcaravel.MarmotCaravelConfig.fir@306925.4]
+  wire  MarmotCaravelPlatform_io_pins_gpio_pins_22_o_oe; // @[Chip.scala 41:21:shc.marmotcaravel.MarmotCaravelConfig.fir@306925.4]
+  wire  MarmotCaravelPlatform_io_pins_gpio_pins_22_o_ie; // @[Chip.scala 41:21:shc.marmotcaravel.MarmotCaravelConfig.fir@306925.4]
+  wire  MarmotCaravelPlatform_io_pins_gpio_pins_23_i_ival; // @[Chip.scala 41:21:shc.marmotcaravel.MarmotCaravelConfig.fir@306925.4]
+  wire  MarmotCaravelPlatform_io_pins_gpio_pins_23_o_oval; // @[Chip.scala 41:21:shc.marmotcaravel.MarmotCaravelConfig.fir@306925.4]
+  wire  MarmotCaravelPlatform_io_pins_gpio_pins_23_o_oe; // @[Chip.scala 41:21:shc.marmotcaravel.MarmotCaravelConfig.fir@306925.4]
+  wire  MarmotCaravelPlatform_io_pins_gpio_pins_23_o_ie; // @[Chip.scala 41:21:shc.marmotcaravel.MarmotCaravelConfig.fir@306925.4]
+  wire  MarmotCaravelPlatform_io_pins_gpio_pins_24_i_ival; // @[Chip.scala 41:21:shc.marmotcaravel.MarmotCaravelConfig.fir@306925.4]
+  wire  MarmotCaravelPlatform_io_pins_gpio_pins_24_o_oval; // @[Chip.scala 41:21:shc.marmotcaravel.MarmotCaravelConfig.fir@306925.4]
+  wire  MarmotCaravelPlatform_io_pins_gpio_pins_24_o_oe; // @[Chip.scala 41:21:shc.marmotcaravel.MarmotCaravelConfig.fir@306925.4]
+  wire  MarmotCaravelPlatform_io_pins_gpio_pins_24_o_ie; // @[Chip.scala 41:21:shc.marmotcaravel.MarmotCaravelConfig.fir@306925.4]
+  wire  MarmotCaravelPlatform_io_pins_gpio_pins_25_o_oval; // @[Chip.scala 41:21:shc.marmotcaravel.MarmotCaravelConfig.fir@306925.4]
+  wire  MarmotCaravelPlatform_io_pins_gpio_pins_25_o_oe; // @[Chip.scala 41:21:shc.marmotcaravel.MarmotCaravelConfig.fir@306925.4]
+  wire  MarmotCaravelPlatform_io_pins_gpio_pins_26_o_oval; // @[Chip.scala 41:21:shc.marmotcaravel.MarmotCaravelConfig.fir@306925.4]
+  wire  MarmotCaravelPlatform_io_pins_gpio_pins_26_o_oe; // @[Chip.scala 41:21:shc.marmotcaravel.MarmotCaravelConfig.fir@306925.4]
+  wire  MarmotCaravelPlatform_io_pins_gpio_pins_27_o_oval; // @[Chip.scala 41:21:shc.marmotcaravel.MarmotCaravelConfig.fir@306925.4]
+  wire  MarmotCaravelPlatform_io_pins_gpio_pins_27_o_oe; // @[Chip.scala 41:21:shc.marmotcaravel.MarmotCaravelConfig.fir@306925.4]
+  wire  MarmotCaravelPlatform_io_pins_gpio_pins_28_o_oval; // @[Chip.scala 41:21:shc.marmotcaravel.MarmotCaravelConfig.fir@306925.4]
+  wire  MarmotCaravelPlatform_io_pins_gpio_pins_28_o_oe; // @[Chip.scala 41:21:shc.marmotcaravel.MarmotCaravelConfig.fir@306925.4]
+  wire  MarmotCaravelPlatform_io_pins_gpio_pins_29_o_oval; // @[Chip.scala 41:21:shc.marmotcaravel.MarmotCaravelConfig.fir@306925.4]
+  wire  MarmotCaravelPlatform_io_pins_gpio_pins_29_o_oe; // @[Chip.scala 41:21:shc.marmotcaravel.MarmotCaravelConfig.fir@306925.4]
+  wire  MarmotCaravelPlatform_io_pins_gpio_pins_30_o_oval; // @[Chip.scala 41:21:shc.marmotcaravel.MarmotCaravelConfig.fir@306925.4]
+  wire  MarmotCaravelPlatform_io_pins_gpio_pins_30_o_oe; // @[Chip.scala 41:21:shc.marmotcaravel.MarmotCaravelConfig.fir@306925.4]
+  wire  MarmotCaravelPlatform_io_pins_gpio_pins_31_o_oval; // @[Chip.scala 41:21:shc.marmotcaravel.MarmotCaravelConfig.fir@306925.4]
+  wire  MarmotCaravelPlatform_io_pins_gpio_pins_31_o_oe; // @[Chip.scala 41:21:shc.marmotcaravel.MarmotCaravelConfig.fir@306925.4]
+  wire  MarmotCaravelPlatform_io_pins_qspi_sck_o_oval; // @[Chip.scala 41:21:shc.marmotcaravel.MarmotCaravelConfig.fir@306925.4]
+  wire  MarmotCaravelPlatform_io_pins_qspi_dq_0_i_ival; // @[Chip.scala 41:21:shc.marmotcaravel.MarmotCaravelConfig.fir@306925.4]
+  wire  MarmotCaravelPlatform_io_pins_qspi_dq_0_o_oval; // @[Chip.scala 41:21:shc.marmotcaravel.MarmotCaravelConfig.fir@306925.4]
+  wire  MarmotCaravelPlatform_io_pins_qspi_dq_0_o_oe; // @[Chip.scala 41:21:shc.marmotcaravel.MarmotCaravelConfig.fir@306925.4]
+  wire  MarmotCaravelPlatform_io_pins_qspi_dq_0_o_ie; // @[Chip.scala 41:21:shc.marmotcaravel.MarmotCaravelConfig.fir@306925.4]
+  wire  MarmotCaravelPlatform_io_pins_qspi_dq_1_i_ival; // @[Chip.scala 41:21:shc.marmotcaravel.MarmotCaravelConfig.fir@306925.4]
+  wire  MarmotCaravelPlatform_io_pins_qspi_dq_1_o_oval; // @[Chip.scala 41:21:shc.marmotcaravel.MarmotCaravelConfig.fir@306925.4]
+  wire  MarmotCaravelPlatform_io_pins_qspi_dq_1_o_oe; // @[Chip.scala 41:21:shc.marmotcaravel.MarmotCaravelConfig.fir@306925.4]
+  wire  MarmotCaravelPlatform_io_pins_qspi_dq_1_o_ie; // @[Chip.scala 41:21:shc.marmotcaravel.MarmotCaravelConfig.fir@306925.4]
+  wire  MarmotCaravelPlatform_io_pins_qspi_dq_2_i_ival; // @[Chip.scala 41:21:shc.marmotcaravel.MarmotCaravelConfig.fir@306925.4]
+  wire  MarmotCaravelPlatform_io_pins_qspi_dq_2_o_oval; // @[Chip.scala 41:21:shc.marmotcaravel.MarmotCaravelConfig.fir@306925.4]
+  wire  MarmotCaravelPlatform_io_pins_qspi_dq_2_o_oe; // @[Chip.scala 41:21:shc.marmotcaravel.MarmotCaravelConfig.fir@306925.4]
+  wire  MarmotCaravelPlatform_io_pins_qspi_dq_2_o_ie; // @[Chip.scala 41:21:shc.marmotcaravel.MarmotCaravelConfig.fir@306925.4]
+  wire  MarmotCaravelPlatform_io_pins_qspi_dq_3_i_ival; // @[Chip.scala 41:21:shc.marmotcaravel.MarmotCaravelConfig.fir@306925.4]
+  wire  MarmotCaravelPlatform_io_pins_qspi_dq_3_o_oval; // @[Chip.scala 41:21:shc.marmotcaravel.MarmotCaravelConfig.fir@306925.4]
+  wire  MarmotCaravelPlatform_io_pins_qspi_dq_3_o_oe; // @[Chip.scala 41:21:shc.marmotcaravel.MarmotCaravelConfig.fir@306925.4]
+  wire  MarmotCaravelPlatform_io_pins_qspi_dq_3_o_ie; // @[Chip.scala 41:21:shc.marmotcaravel.MarmotCaravelConfig.fir@306925.4]
+  wire  MarmotCaravelPlatform_io_pins_qspi_cs_0_o_oval; // @[Chip.scala 41:21:shc.marmotcaravel.MarmotCaravelConfig.fir@306925.4]
+  wire  MarmotCaravelPlatform_io_pins_qspi_ram_sck_o_oval; // @[Chip.scala 41:21:shc.marmotcaravel.MarmotCaravelConfig.fir@306925.4]
+  wire  MarmotCaravelPlatform_io_pins_qspi_ram_dq_0_i_ival; // @[Chip.scala 41:21:shc.marmotcaravel.MarmotCaravelConfig.fir@306925.4]
+  wire  MarmotCaravelPlatform_io_pins_qspi_ram_dq_0_o_oval; // @[Chip.scala 41:21:shc.marmotcaravel.MarmotCaravelConfig.fir@306925.4]
+  wire  MarmotCaravelPlatform_io_pins_qspi_ram_dq_0_o_oe; // @[Chip.scala 41:21:shc.marmotcaravel.MarmotCaravelConfig.fir@306925.4]
+  wire  MarmotCaravelPlatform_io_pins_qspi_ram_dq_0_o_ie; // @[Chip.scala 41:21:shc.marmotcaravel.MarmotCaravelConfig.fir@306925.4]
+  wire  MarmotCaravelPlatform_io_pins_qspi_ram_dq_1_i_ival; // @[Chip.scala 41:21:shc.marmotcaravel.MarmotCaravelConfig.fir@306925.4]
+  wire  MarmotCaravelPlatform_io_pins_qspi_ram_dq_1_o_oval; // @[Chip.scala 41:21:shc.marmotcaravel.MarmotCaravelConfig.fir@306925.4]
+  wire  MarmotCaravelPlatform_io_pins_qspi_ram_dq_1_o_oe; // @[Chip.scala 41:21:shc.marmotcaravel.MarmotCaravelConfig.fir@306925.4]
+  wire  MarmotCaravelPlatform_io_pins_qspi_ram_dq_1_o_ie; // @[Chip.scala 41:21:shc.marmotcaravel.MarmotCaravelConfig.fir@306925.4]
+  wire  MarmotCaravelPlatform_io_pins_qspi_ram_dq_2_i_ival; // @[Chip.scala 41:21:shc.marmotcaravel.MarmotCaravelConfig.fir@306925.4]
+  wire  MarmotCaravelPlatform_io_pins_qspi_ram_dq_2_o_oval; // @[Chip.scala 41:21:shc.marmotcaravel.MarmotCaravelConfig.fir@306925.4]
+  wire  MarmotCaravelPlatform_io_pins_qspi_ram_dq_2_o_oe; // @[Chip.scala 41:21:shc.marmotcaravel.MarmotCaravelConfig.fir@306925.4]
+  wire  MarmotCaravelPlatform_io_pins_qspi_ram_dq_2_o_ie; // @[Chip.scala 41:21:shc.marmotcaravel.MarmotCaravelConfig.fir@306925.4]
+  wire  MarmotCaravelPlatform_io_pins_qspi_ram_dq_3_i_ival; // @[Chip.scala 41:21:shc.marmotcaravel.MarmotCaravelConfig.fir@306925.4]
+  wire  MarmotCaravelPlatform_io_pins_qspi_ram_dq_3_o_oval; // @[Chip.scala 41:21:shc.marmotcaravel.MarmotCaravelConfig.fir@306925.4]
+  wire  MarmotCaravelPlatform_io_pins_qspi_ram_dq_3_o_oe; // @[Chip.scala 41:21:shc.marmotcaravel.MarmotCaravelConfig.fir@306925.4]
+  wire  MarmotCaravelPlatform_io_pins_qspi_ram_dq_3_o_ie; // @[Chip.scala 41:21:shc.marmotcaravel.MarmotCaravelConfig.fir@306925.4]
+  wire  MarmotCaravelPlatform_io_pins_qspi_ram_cs_0_o_oval; // @[Chip.scala 41:21:shc.marmotcaravel.MarmotCaravelConfig.fir@306925.4]
+  wire  MarmotCaravelPlatform_io_pins_uart_rxd_i_ival; // @[Chip.scala 41:21:shc.marmotcaravel.MarmotCaravelConfig.fir@306925.4]
+  wire  MarmotCaravelPlatform_io_pins_uart_txd_o_oval; // @[Chip.scala 41:21:shc.marmotcaravel.MarmotCaravelConfig.fir@306925.4]
+  wire  MarmotCaravelPlatform_io_pins_aon_erst_n_i_ival; // @[Chip.scala 41:21:shc.marmotcaravel.MarmotCaravelConfig.fir@306925.4]
+  wire  MarmotCaravelPlatform_io_pins_aon_lfextclk_i_ival; // @[Chip.scala 41:21:shc.marmotcaravel.MarmotCaravelConfig.fir@306925.4]
+  wire  MarmotCaravelPlatform_io_jtag_reset; // @[Chip.scala 41:21:shc.marmotcaravel.MarmotCaravelConfig.fir@306925.4]
+  wire  _T_479; // @[Chip.scala 28:26:shc.marmotcaravel.MarmotCaravelConfig.fir@306910.4]
+  reg  slow_clock; // @[Chip.scala 29:33:shc.marmotcaravel.MarmotCaravelConfig.fir@306911.4]
   reg [31:0] _RAND_0;
-  reg  value; // @[Counter.scala 26:33:shc.marmotcaravel.MarmotCaravelConfig.fir@306910.4]
+  reg  value; // @[Counter.scala 26:33:shc.marmotcaravel.MarmotCaravelConfig.fir@306912.4]
   reg [31:0] _RAND_1;
-  wire  _T_380; // @[Counter.scala 35:22:shc.marmotcaravel.MarmotCaravelConfig.fir@306914.6]
-  wire  _T_382; // @[Chip.scala 32:40:shc.marmotcaravel.MarmotCaravelConfig.fir@306919.6]
-  MarmotCaravelPlatform MarmotCaravelPlatform ( // @[Chip.scala 41:21:shc.marmotcaravel.MarmotCaravelConfig.fir@306923.4]
+  wire  _T_485; // @[Counter.scala 35:22:shc.marmotcaravel.MarmotCaravelConfig.fir@306916.6]
+  wire  _T_487; // @[Chip.scala 32:40:shc.marmotcaravel.MarmotCaravelConfig.fir@306921.6]
+  MarmotCaravelPlatform MarmotCaravelPlatform ( // @[Chip.scala 41:21:shc.marmotcaravel.MarmotCaravelConfig.fir@306925.4]
     .clock(MarmotCaravelPlatform_clock),
     .io_pins_jtag_TCK_i_ival(MarmotCaravelPlatform_io_pins_jtag_TCK_i_ival),
     .io_pins_jtag_TMS_i_ival(MarmotCaravelPlatform_io_pins_jtag_TMS_i_ival),
@@ -367012,6 +367382,18 @@
     .io_pins_gpio_pins_5_o_oval(MarmotCaravelPlatform_io_pins_gpio_pins_5_o_oval),
     .io_pins_gpio_pins_5_o_oe(MarmotCaravelPlatform_io_pins_gpio_pins_5_o_oe),
     .io_pins_gpio_pins_5_o_ie(MarmotCaravelPlatform_io_pins_gpio_pins_5_o_ie),
+    .io_pins_gpio_pins_6_o_oval(MarmotCaravelPlatform_io_pins_gpio_pins_6_o_oval),
+    .io_pins_gpio_pins_6_o_oe(MarmotCaravelPlatform_io_pins_gpio_pins_6_o_oe),
+    .io_pins_gpio_pins_7_o_oval(MarmotCaravelPlatform_io_pins_gpio_pins_7_o_oval),
+    .io_pins_gpio_pins_7_o_oe(MarmotCaravelPlatform_io_pins_gpio_pins_7_o_oe),
+    .io_pins_gpio_pins_8_o_oval(MarmotCaravelPlatform_io_pins_gpio_pins_8_o_oval),
+    .io_pins_gpio_pins_8_o_oe(MarmotCaravelPlatform_io_pins_gpio_pins_8_o_oe),
+    .io_pins_gpio_pins_9_o_oval(MarmotCaravelPlatform_io_pins_gpio_pins_9_o_oval),
+    .io_pins_gpio_pins_9_o_oe(MarmotCaravelPlatform_io_pins_gpio_pins_9_o_oe),
+    .io_pins_gpio_pins_10_o_oval(MarmotCaravelPlatform_io_pins_gpio_pins_10_o_oval),
+    .io_pins_gpio_pins_10_o_oe(MarmotCaravelPlatform_io_pins_gpio_pins_10_o_oe),
+    .io_pins_gpio_pins_11_o_oval(MarmotCaravelPlatform_io_pins_gpio_pins_11_o_oval),
+    .io_pins_gpio_pins_11_o_oe(MarmotCaravelPlatform_io_pins_gpio_pins_11_o_oe),
     .io_pins_gpio_pins_12_i_ival(MarmotCaravelPlatform_io_pins_gpio_pins_12_i_ival),
     .io_pins_gpio_pins_12_o_oval(MarmotCaravelPlatform_io_pins_gpio_pins_12_o_oval),
     .io_pins_gpio_pins_12_o_oe(MarmotCaravelPlatform_io_pins_gpio_pins_12_o_oe),
@@ -367064,6 +367446,20 @@
     .io_pins_gpio_pins_24_o_oval(MarmotCaravelPlatform_io_pins_gpio_pins_24_o_oval),
     .io_pins_gpio_pins_24_o_oe(MarmotCaravelPlatform_io_pins_gpio_pins_24_o_oe),
     .io_pins_gpio_pins_24_o_ie(MarmotCaravelPlatform_io_pins_gpio_pins_24_o_ie),
+    .io_pins_gpio_pins_25_o_oval(MarmotCaravelPlatform_io_pins_gpio_pins_25_o_oval),
+    .io_pins_gpio_pins_25_o_oe(MarmotCaravelPlatform_io_pins_gpio_pins_25_o_oe),
+    .io_pins_gpio_pins_26_o_oval(MarmotCaravelPlatform_io_pins_gpio_pins_26_o_oval),
+    .io_pins_gpio_pins_26_o_oe(MarmotCaravelPlatform_io_pins_gpio_pins_26_o_oe),
+    .io_pins_gpio_pins_27_o_oval(MarmotCaravelPlatform_io_pins_gpio_pins_27_o_oval),
+    .io_pins_gpio_pins_27_o_oe(MarmotCaravelPlatform_io_pins_gpio_pins_27_o_oe),
+    .io_pins_gpio_pins_28_o_oval(MarmotCaravelPlatform_io_pins_gpio_pins_28_o_oval),
+    .io_pins_gpio_pins_28_o_oe(MarmotCaravelPlatform_io_pins_gpio_pins_28_o_oe),
+    .io_pins_gpio_pins_29_o_oval(MarmotCaravelPlatform_io_pins_gpio_pins_29_o_oval),
+    .io_pins_gpio_pins_29_o_oe(MarmotCaravelPlatform_io_pins_gpio_pins_29_o_oe),
+    .io_pins_gpio_pins_30_o_oval(MarmotCaravelPlatform_io_pins_gpio_pins_30_o_oval),
+    .io_pins_gpio_pins_30_o_oe(MarmotCaravelPlatform_io_pins_gpio_pins_30_o_oe),
+    .io_pins_gpio_pins_31_o_oval(MarmotCaravelPlatform_io_pins_gpio_pins_31_o_oval),
+    .io_pins_gpio_pins_31_o_oe(MarmotCaravelPlatform_io_pins_gpio_pins_31_o_oe),
     .io_pins_qspi_sck_o_oval(MarmotCaravelPlatform_io_pins_qspi_sck_o_oval),
     .io_pins_qspi_dq_0_i_ival(MarmotCaravelPlatform_io_pins_qspi_dq_0_i_ival),
     .io_pins_qspi_dq_0_o_oval(MarmotCaravelPlatform_io_pins_qspi_dq_0_o_oval),
@@ -367127,120 +367523,152 @@
     .data_arrays_0_0_ext_RW0_rdata(data_arrays_0_0_ext_RW0_rdata),
     .data_arrays_0_0_ext_RW0_wmask(data_arrays_0_0_ext_RW0_wmask)
   );
-  assign _T_374 = ~ rst_n; // @[Chip.scala 28:26:shc.marmotcaravel.MarmotCaravelConfig.fir@306908.4]
-  assign _T_380 = value + 1'h1; // @[Counter.scala 35:22:shc.marmotcaravel.MarmotCaravelConfig.fir@306914.6]
-  assign _T_382 = ~ slow_clock; // @[Chip.scala 32:40:shc.marmotcaravel.MarmotCaravelConfig.fir@306919.6]
-  assign io_out_0 = 1'h0; // @[Chip.scala 48:15:shc.marmotcaravel.MarmotCaravelConfig.fir@306927.4]
-  assign io_out_1 = MarmotCaravelPlatform_io_pins_jtag_TDO_o_oval; // @[Chip.scala 52:15:shc.marmotcaravel.MarmotCaravelConfig.fir@306929.4]
-  assign io_out_2 = 1'h0; // @[Chip.scala 56:15:shc.marmotcaravel.MarmotCaravelConfig.fir@306932.4]
-  assign io_out_3 = 1'h0; // @[Chip.scala 61:15:shc.marmotcaravel.MarmotCaravelConfig.fir@306936.4]
-  assign io_out_4 = 1'h0; // @[Chip.scala 66:15:shc.marmotcaravel.MarmotCaravelConfig.fir@306940.4]
-  assign io_out_5 = 1'h0; // @[Chip.scala 82:15:shc.marmotcaravel.MarmotCaravelConfig.fir@306949.4]
-  assign io_out_6 = MarmotCaravelPlatform_io_pins_uart_txd_o_oval; // @[Chip.scala 87:15:shc.marmotcaravel.MarmotCaravelConfig.fir@306953.4]
-  assign io_out_7 = MarmotCaravelPlatform_io_pins_gpio_pins_24_o_oval; // @[Chip.scala 178:16:shc.marmotcaravel.MarmotCaravelConfig.fir@307104.4]
-  assign io_out_8 = MarmotCaravelPlatform_io_pins_qspi_cs_0_o_oval; // @[Chip.scala 95:16:shc.marmotcaravel.MarmotCaravelConfig.fir@306956.4]
-  assign io_out_9 = MarmotCaravelPlatform_io_pins_qspi_sck_o_oval; // @[Chip.scala 99:16:shc.marmotcaravel.MarmotCaravelConfig.fir@306959.4]
-  assign io_out_10 = MarmotCaravelPlatform_io_pins_qspi_dq_0_o_oval; // @[Chip.scala 103:16:shc.marmotcaravel.MarmotCaravelConfig.fir@306962.4]
-  assign io_out_11 = MarmotCaravelPlatform_io_pins_qspi_dq_1_o_oval; // @[Chip.scala 108:16:shc.marmotcaravel.MarmotCaravelConfig.fir@306967.4]
-  assign io_out_12 = MarmotCaravelPlatform_io_pins_qspi_dq_2_o_oval; // @[Chip.scala 113:16:shc.marmotcaravel.MarmotCaravelConfig.fir@306972.4]
-  assign io_out_13 = MarmotCaravelPlatform_io_pins_qspi_dq_3_o_oval; // @[Chip.scala 118:16:shc.marmotcaravel.MarmotCaravelConfig.fir@306977.4]
-  assign io_out_14 = MarmotCaravelPlatform_io_pins_gpio_pins_0_o_oval; // @[Chip.scala 160:23:shc.marmotcaravel.MarmotCaravelConfig.fir@307008.4]
-  assign io_out_15 = MarmotCaravelPlatform_io_pins_gpio_pins_1_o_oval; // @[Chip.scala 160:23:shc.marmotcaravel.MarmotCaravelConfig.fir@307013.4]
-  assign io_out_16 = MarmotCaravelPlatform_io_pins_gpio_pins_2_o_oval; // @[Chip.scala 160:23:shc.marmotcaravel.MarmotCaravelConfig.fir@307018.4]
-  assign io_out_17 = MarmotCaravelPlatform_io_pins_gpio_pins_3_o_oval; // @[Chip.scala 160:23:shc.marmotcaravel.MarmotCaravelConfig.fir@307023.4]
-  assign io_out_18 = MarmotCaravelPlatform_io_pins_gpio_pins_4_o_oval; // @[Chip.scala 160:23:shc.marmotcaravel.MarmotCaravelConfig.fir@307028.4]
-  assign io_out_19 = MarmotCaravelPlatform_io_pins_gpio_pins_5_o_oval; // @[Chip.scala 160:23:shc.marmotcaravel.MarmotCaravelConfig.fir@307033.4]
-  assign io_out_20 = MarmotCaravelPlatform_io_pins_qspi_ram_cs_0_o_oval; // @[Chip.scala 127:17:shc.marmotcaravel.MarmotCaravelConfig.fir@306982.4]
-  assign io_out_21 = MarmotCaravelPlatform_io_pins_qspi_ram_sck_o_oval; // @[Chip.scala 131:17:shc.marmotcaravel.MarmotCaravelConfig.fir@306985.4]
-  assign io_out_22 = MarmotCaravelPlatform_io_pins_qspi_ram_dq_0_o_oval; // @[Chip.scala 135:16:shc.marmotcaravel.MarmotCaravelConfig.fir@306988.4]
-  assign io_out_23 = MarmotCaravelPlatform_io_pins_qspi_ram_dq_1_o_oval; // @[Chip.scala 140:16:shc.marmotcaravel.MarmotCaravelConfig.fir@306993.4]
-  assign io_out_24 = MarmotCaravelPlatform_io_pins_qspi_ram_dq_2_o_oval; // @[Chip.scala 145:16:shc.marmotcaravel.MarmotCaravelConfig.fir@306998.4]
-  assign io_out_25 = MarmotCaravelPlatform_io_pins_qspi_ram_dq_3_o_oval; // @[Chip.scala 150:16:shc.marmotcaravel.MarmotCaravelConfig.fir@307003.4]
-  assign io_out_26 = MarmotCaravelPlatform_io_pins_gpio_pins_12_o_oval; // @[Chip.scala 172:23:shc.marmotcaravel.MarmotCaravelConfig.fir@307044.4]
-  assign io_out_27 = MarmotCaravelPlatform_io_pins_gpio_pins_13_o_oval; // @[Chip.scala 172:23:shc.marmotcaravel.MarmotCaravelConfig.fir@307049.4]
-  assign io_out_28 = MarmotCaravelPlatform_io_pins_gpio_pins_14_o_oval; // @[Chip.scala 172:23:shc.marmotcaravel.MarmotCaravelConfig.fir@307054.4]
-  assign io_out_29 = MarmotCaravelPlatform_io_pins_gpio_pins_15_o_oval; // @[Chip.scala 172:23:shc.marmotcaravel.MarmotCaravelConfig.fir@307059.4]
-  assign io_out_30 = MarmotCaravelPlatform_io_pins_gpio_pins_16_o_oval; // @[Chip.scala 172:23:shc.marmotcaravel.MarmotCaravelConfig.fir@307064.4]
-  assign io_out_31 = MarmotCaravelPlatform_io_pins_gpio_pins_17_o_oval; // @[Chip.scala 172:23:shc.marmotcaravel.MarmotCaravelConfig.fir@307069.4]
-  assign io_out_32 = MarmotCaravelPlatform_io_pins_gpio_pins_18_o_oval; // @[Chip.scala 172:23:shc.marmotcaravel.MarmotCaravelConfig.fir@307074.4]
-  assign io_out_33 = MarmotCaravelPlatform_io_pins_gpio_pins_19_o_oval; // @[Chip.scala 172:23:shc.marmotcaravel.MarmotCaravelConfig.fir@307079.4]
-  assign io_out_34 = MarmotCaravelPlatform_io_pins_gpio_pins_20_o_oval; // @[Chip.scala 172:23:shc.marmotcaravel.MarmotCaravelConfig.fir@307084.4]
-  assign io_out_35 = MarmotCaravelPlatform_io_pins_gpio_pins_21_o_oval; // @[Chip.scala 172:23:shc.marmotcaravel.MarmotCaravelConfig.fir@307089.4]
-  assign io_out_36 = MarmotCaravelPlatform_io_pins_gpio_pins_22_o_oval; // @[Chip.scala 172:23:shc.marmotcaravel.MarmotCaravelConfig.fir@307094.4]
-  assign io_out_37 = MarmotCaravelPlatform_io_pins_gpio_pins_23_o_oval; // @[Chip.scala 172:23:shc.marmotcaravel.MarmotCaravelConfig.fir@307099.4]
-  assign io_oeb_0 = 1'h1; // @[Chip.scala 49:15:shc.marmotcaravel.MarmotCaravelConfig.fir@306928.4]
-  assign io_oeb_1 = ~ MarmotCaravelPlatform_io_pins_jtag_TDO_o_oe; // @[Chip.scala 53:15:shc.marmotcaravel.MarmotCaravelConfig.fir@306931.4]
-  assign io_oeb_2 = 1'h1; // @[Chip.scala 57:15:shc.marmotcaravel.MarmotCaravelConfig.fir@306933.4]
-  assign io_oeb_3 = 1'h1; // @[Chip.scala 62:15:shc.marmotcaravel.MarmotCaravelConfig.fir@306937.4]
-  assign io_oeb_4 = 1'h1; // @[Chip.scala 67:15:shc.marmotcaravel.MarmotCaravelConfig.fir@306941.4]
-  assign io_oeb_5 = 1'h1; // @[Chip.scala 83:15:shc.marmotcaravel.MarmotCaravelConfig.fir@306950.4]
-  assign io_oeb_6 = 1'h0; // @[Chip.scala 88:15:shc.marmotcaravel.MarmotCaravelConfig.fir@306955.4]
-  assign io_oeb_7 = ~ MarmotCaravelPlatform_io_pins_gpio_pins_24_o_oe; // @[Chip.scala 179:16:shc.marmotcaravel.MarmotCaravelConfig.fir@307106.4]
-  assign io_oeb_8 = 1'h0; // @[Chip.scala 96:16:shc.marmotcaravel.MarmotCaravelConfig.fir@306958.4]
-  assign io_oeb_9 = 1'h0; // @[Chip.scala 100:16:shc.marmotcaravel.MarmotCaravelConfig.fir@306961.4]
-  assign io_oeb_10 = ~ MarmotCaravelPlatform_io_pins_qspi_dq_0_o_oe; // @[Chip.scala 104:16:shc.marmotcaravel.MarmotCaravelConfig.fir@306964.4]
-  assign io_oeb_11 = ~ MarmotCaravelPlatform_io_pins_qspi_dq_1_o_oe; // @[Chip.scala 109:16:shc.marmotcaravel.MarmotCaravelConfig.fir@306969.4]
-  assign io_oeb_12 = ~ MarmotCaravelPlatform_io_pins_qspi_dq_2_o_oe; // @[Chip.scala 114:16:shc.marmotcaravel.MarmotCaravelConfig.fir@306974.4]
-  assign io_oeb_13 = ~ MarmotCaravelPlatform_io_pins_qspi_dq_3_o_oe; // @[Chip.scala 119:16:shc.marmotcaravel.MarmotCaravelConfig.fir@306979.4]
-  assign io_oeb_14 = ~ MarmotCaravelPlatform_io_pins_gpio_pins_0_o_oe; // @[Chip.scala 161:23:shc.marmotcaravel.MarmotCaravelConfig.fir@307010.4]
-  assign io_oeb_15 = ~ MarmotCaravelPlatform_io_pins_gpio_pins_1_o_oe; // @[Chip.scala 161:23:shc.marmotcaravel.MarmotCaravelConfig.fir@307015.4]
-  assign io_oeb_16 = ~ MarmotCaravelPlatform_io_pins_gpio_pins_2_o_oe; // @[Chip.scala 161:23:shc.marmotcaravel.MarmotCaravelConfig.fir@307020.4]
-  assign io_oeb_17 = ~ MarmotCaravelPlatform_io_pins_gpio_pins_3_o_oe; // @[Chip.scala 161:23:shc.marmotcaravel.MarmotCaravelConfig.fir@307025.4]
-  assign io_oeb_18 = ~ MarmotCaravelPlatform_io_pins_gpio_pins_4_o_oe; // @[Chip.scala 161:23:shc.marmotcaravel.MarmotCaravelConfig.fir@307030.4]
-  assign io_oeb_19 = ~ MarmotCaravelPlatform_io_pins_gpio_pins_5_o_oe; // @[Chip.scala 161:23:shc.marmotcaravel.MarmotCaravelConfig.fir@307035.4]
-  assign io_oeb_20 = 1'h0; // @[Chip.scala 128:17:shc.marmotcaravel.MarmotCaravelConfig.fir@306984.4]
-  assign io_oeb_21 = 1'h0; // @[Chip.scala 132:17:shc.marmotcaravel.MarmotCaravelConfig.fir@306987.4]
-  assign io_oeb_22 = ~ MarmotCaravelPlatform_io_pins_qspi_ram_dq_0_o_oe; // @[Chip.scala 136:16:shc.marmotcaravel.MarmotCaravelConfig.fir@306990.4]
-  assign io_oeb_23 = ~ MarmotCaravelPlatform_io_pins_qspi_ram_dq_1_o_oe; // @[Chip.scala 141:16:shc.marmotcaravel.MarmotCaravelConfig.fir@306995.4]
-  assign io_oeb_24 = ~ MarmotCaravelPlatform_io_pins_qspi_ram_dq_2_o_oe; // @[Chip.scala 146:16:shc.marmotcaravel.MarmotCaravelConfig.fir@307000.4]
-  assign io_oeb_25 = ~ MarmotCaravelPlatform_io_pins_qspi_ram_dq_3_o_oe; // @[Chip.scala 151:16:shc.marmotcaravel.MarmotCaravelConfig.fir@307005.4]
-  assign io_oeb_26 = ~ MarmotCaravelPlatform_io_pins_gpio_pins_12_o_oe; // @[Chip.scala 173:23:shc.marmotcaravel.MarmotCaravelConfig.fir@307046.4]
-  assign io_oeb_27 = ~ MarmotCaravelPlatform_io_pins_gpio_pins_13_o_oe; // @[Chip.scala 173:23:shc.marmotcaravel.MarmotCaravelConfig.fir@307051.4]
-  assign io_oeb_28 = ~ MarmotCaravelPlatform_io_pins_gpio_pins_14_o_oe; // @[Chip.scala 173:23:shc.marmotcaravel.MarmotCaravelConfig.fir@307056.4]
-  assign io_oeb_29 = ~ MarmotCaravelPlatform_io_pins_gpio_pins_15_o_oe; // @[Chip.scala 173:23:shc.marmotcaravel.MarmotCaravelConfig.fir@307061.4]
-  assign io_oeb_30 = ~ MarmotCaravelPlatform_io_pins_gpio_pins_16_o_oe; // @[Chip.scala 173:23:shc.marmotcaravel.MarmotCaravelConfig.fir@307066.4]
-  assign io_oeb_31 = ~ MarmotCaravelPlatform_io_pins_gpio_pins_17_o_oe; // @[Chip.scala 173:23:shc.marmotcaravel.MarmotCaravelConfig.fir@307071.4]
-  assign io_oeb_32 = ~ MarmotCaravelPlatform_io_pins_gpio_pins_18_o_oe; // @[Chip.scala 173:23:shc.marmotcaravel.MarmotCaravelConfig.fir@307076.4]
-  assign io_oeb_33 = ~ MarmotCaravelPlatform_io_pins_gpio_pins_19_o_oe; // @[Chip.scala 173:23:shc.marmotcaravel.MarmotCaravelConfig.fir@307081.4]
-  assign io_oeb_34 = ~ MarmotCaravelPlatform_io_pins_gpio_pins_20_o_oe; // @[Chip.scala 173:23:shc.marmotcaravel.MarmotCaravelConfig.fir@307086.4]
-  assign io_oeb_35 = ~ MarmotCaravelPlatform_io_pins_gpio_pins_21_o_oe; // @[Chip.scala 173:23:shc.marmotcaravel.MarmotCaravelConfig.fir@307091.4]
-  assign io_oeb_36 = ~ MarmotCaravelPlatform_io_pins_gpio_pins_22_o_oe; // @[Chip.scala 173:23:shc.marmotcaravel.MarmotCaravelConfig.fir@307096.4]
-  assign io_oeb_37 = ~ MarmotCaravelPlatform_io_pins_gpio_pins_23_o_oe; // @[Chip.scala 173:23:shc.marmotcaravel.MarmotCaravelConfig.fir@307101.4]
-  assign MarmotCaravelPlatform_clock = clk; // @[:shc.marmotcaravel.MarmotCaravelConfig.fir@306925.4]
-  assign MarmotCaravelPlatform_io_pins_jtag_TCK_i_ival = io_in_4; // @[Chip.scala 68:33:shc.marmotcaravel.MarmotCaravelConfig.fir@306943.4]
-  assign MarmotCaravelPlatform_io_pins_jtag_TMS_i_ival = io_in_3; // @[Chip.scala 63:33:shc.marmotcaravel.MarmotCaravelConfig.fir@306939.4]
-  assign MarmotCaravelPlatform_io_pins_jtag_TDI_i_ival = io_in_2; // @[Chip.scala 58:33:shc.marmotcaravel.MarmotCaravelConfig.fir@306935.4]
-  assign MarmotCaravelPlatform_io_pins_gpio_pins_0_i_ival = io_in_14 & MarmotCaravelPlatform_io_pins_gpio_pins_0_o_ie; // @[Chip.scala 162:41:shc.marmotcaravel.MarmotCaravelConfig.fir@307012.4]
-  assign MarmotCaravelPlatform_io_pins_gpio_pins_1_i_ival = io_in_15 & MarmotCaravelPlatform_io_pins_gpio_pins_1_o_ie; // @[Chip.scala 162:41:shc.marmotcaravel.MarmotCaravelConfig.fir@307017.4]
-  assign MarmotCaravelPlatform_io_pins_gpio_pins_2_i_ival = io_in_16 & MarmotCaravelPlatform_io_pins_gpio_pins_2_o_ie; // @[Chip.scala 162:41:shc.marmotcaravel.MarmotCaravelConfig.fir@307022.4]
-  assign MarmotCaravelPlatform_io_pins_gpio_pins_3_i_ival = io_in_17 & MarmotCaravelPlatform_io_pins_gpio_pins_3_o_ie; // @[Chip.scala 162:41:shc.marmotcaravel.MarmotCaravelConfig.fir@307027.4]
-  assign MarmotCaravelPlatform_io_pins_gpio_pins_4_i_ival = io_in_18 & MarmotCaravelPlatform_io_pins_gpio_pins_4_o_ie; // @[Chip.scala 162:41:shc.marmotcaravel.MarmotCaravelConfig.fir@307032.4]
-  assign MarmotCaravelPlatform_io_pins_gpio_pins_5_i_ival = io_in_19 & MarmotCaravelPlatform_io_pins_gpio_pins_5_o_ie; // @[Chip.scala 162:41:shc.marmotcaravel.MarmotCaravelConfig.fir@307037.4]
-  assign MarmotCaravelPlatform_io_pins_gpio_pins_12_i_ival = io_in_26 & MarmotCaravelPlatform_io_pins_gpio_pins_12_o_ie; // @[Chip.scala 174:41:shc.marmotcaravel.MarmotCaravelConfig.fir@307048.4]
-  assign MarmotCaravelPlatform_io_pins_gpio_pins_13_i_ival = io_in_27 & MarmotCaravelPlatform_io_pins_gpio_pins_13_o_ie; // @[Chip.scala 174:41:shc.marmotcaravel.MarmotCaravelConfig.fir@307053.4]
-  assign MarmotCaravelPlatform_io_pins_gpio_pins_14_i_ival = io_in_28 & MarmotCaravelPlatform_io_pins_gpio_pins_14_o_ie; // @[Chip.scala 174:41:shc.marmotcaravel.MarmotCaravelConfig.fir@307058.4]
-  assign MarmotCaravelPlatform_io_pins_gpio_pins_15_i_ival = io_in_29 & MarmotCaravelPlatform_io_pins_gpio_pins_15_o_ie; // @[Chip.scala 174:41:shc.marmotcaravel.MarmotCaravelConfig.fir@307063.4]
-  assign MarmotCaravelPlatform_io_pins_gpio_pins_16_i_ival = io_in_30 & MarmotCaravelPlatform_io_pins_gpio_pins_16_o_ie; // @[Chip.scala 174:41:shc.marmotcaravel.MarmotCaravelConfig.fir@307068.4]
-  assign MarmotCaravelPlatform_io_pins_gpio_pins_17_i_ival = io_in_31 & MarmotCaravelPlatform_io_pins_gpio_pins_17_o_ie; // @[Chip.scala 174:41:shc.marmotcaravel.MarmotCaravelConfig.fir@307073.4]
-  assign MarmotCaravelPlatform_io_pins_gpio_pins_18_i_ival = io_in_32 & MarmotCaravelPlatform_io_pins_gpio_pins_18_o_ie; // @[Chip.scala 174:41:shc.marmotcaravel.MarmotCaravelConfig.fir@307078.4]
-  assign MarmotCaravelPlatform_io_pins_gpio_pins_19_i_ival = io_in_33 & MarmotCaravelPlatform_io_pins_gpio_pins_19_o_ie; // @[Chip.scala 174:41:shc.marmotcaravel.MarmotCaravelConfig.fir@307083.4]
-  assign MarmotCaravelPlatform_io_pins_gpio_pins_20_i_ival = io_in_34 & MarmotCaravelPlatform_io_pins_gpio_pins_20_o_ie; // @[Chip.scala 174:41:shc.marmotcaravel.MarmotCaravelConfig.fir@307088.4]
-  assign MarmotCaravelPlatform_io_pins_gpio_pins_21_i_ival = io_in_35 & MarmotCaravelPlatform_io_pins_gpio_pins_21_o_ie; // @[Chip.scala 174:41:shc.marmotcaravel.MarmotCaravelConfig.fir@307093.4]
-  assign MarmotCaravelPlatform_io_pins_gpio_pins_22_i_ival = io_in_36 & MarmotCaravelPlatform_io_pins_gpio_pins_22_o_ie; // @[Chip.scala 174:41:shc.marmotcaravel.MarmotCaravelConfig.fir@307098.4]
-  assign MarmotCaravelPlatform_io_pins_gpio_pins_23_i_ival = io_in_37 & MarmotCaravelPlatform_io_pins_gpio_pins_23_o_ie; // @[Chip.scala 174:41:shc.marmotcaravel.MarmotCaravelConfig.fir@307103.4]
-  assign MarmotCaravelPlatform_io_pins_gpio_pins_24_i_ival = io_in_7 & MarmotCaravelPlatform_io_pins_gpio_pins_24_o_ie; // @[Chip.scala 180:38:shc.marmotcaravel.MarmotCaravelConfig.fir@307108.4]
-  assign MarmotCaravelPlatform_io_pins_qspi_dq_0_i_ival = io_in_10 & MarmotCaravelPlatform_io_pins_qspi_dq_0_o_ie; // @[Chip.scala 105:35:shc.marmotcaravel.MarmotCaravelConfig.fir@306966.4]
-  assign MarmotCaravelPlatform_io_pins_qspi_dq_1_i_ival = io_in_11 & MarmotCaravelPlatform_io_pins_qspi_dq_1_o_ie; // @[Chip.scala 110:35:shc.marmotcaravel.MarmotCaravelConfig.fir@306971.4]
-  assign MarmotCaravelPlatform_io_pins_qspi_dq_2_i_ival = io_in_12 & MarmotCaravelPlatform_io_pins_qspi_dq_2_o_ie; // @[Chip.scala 115:35:shc.marmotcaravel.MarmotCaravelConfig.fir@306976.4]
-  assign MarmotCaravelPlatform_io_pins_qspi_dq_3_i_ival = io_in_13 & MarmotCaravelPlatform_io_pins_qspi_dq_3_o_ie; // @[Chip.scala 120:35:shc.marmotcaravel.MarmotCaravelConfig.fir@306981.4]
-  assign MarmotCaravelPlatform_io_pins_qspi_ram_dq_0_i_ival = io_in_22 & MarmotCaravelPlatform_io_pins_qspi_ram_dq_0_o_ie; // @[Chip.scala 137:39:shc.marmotcaravel.MarmotCaravelConfig.fir@306992.4]
-  assign MarmotCaravelPlatform_io_pins_qspi_ram_dq_1_i_ival = io_in_23 & MarmotCaravelPlatform_io_pins_qspi_ram_dq_1_o_ie; // @[Chip.scala 142:39:shc.marmotcaravel.MarmotCaravelConfig.fir@306997.4]
-  assign MarmotCaravelPlatform_io_pins_qspi_ram_dq_2_i_ival = io_in_24 & MarmotCaravelPlatform_io_pins_qspi_ram_dq_2_o_ie; // @[Chip.scala 147:39:shc.marmotcaravel.MarmotCaravelConfig.fir@307002.4]
-  assign MarmotCaravelPlatform_io_pins_qspi_ram_dq_3_i_ival = io_in_25 & MarmotCaravelPlatform_io_pins_qspi_ram_dq_3_o_ie; // @[Chip.scala 152:39:shc.marmotcaravel.MarmotCaravelConfig.fir@307007.4]
-  assign MarmotCaravelPlatform_io_pins_uart_rxd_i_ival = io_in_5; // @[Chip.scala 84:33:shc.marmotcaravel.MarmotCaravelConfig.fir@306952.4]
-  assign MarmotCaravelPlatform_io_pins_aon_erst_n_i_ival = rst_n; // @[Chip.scala 191:41:shc.marmotcaravel.MarmotCaravelConfig.fir@307116.4]
-  assign MarmotCaravelPlatform_io_pins_aon_lfextclk_i_ival = slow_clock; // @[Chip.scala 192:41:shc.marmotcaravel.MarmotCaravelConfig.fir@307117.4]
-  assign MarmotCaravelPlatform_io_jtag_reset = ~ rst_n; // @[Chip.scala 71:23:shc.marmotcaravel.MarmotCaravelConfig.fir@306945.4]
+  assign _T_479 = ~ rst_n; // @[Chip.scala 28:26:shc.marmotcaravel.MarmotCaravelConfig.fir@306910.4]
+  assign _T_485 = value + 1'h1; // @[Counter.scala 35:22:shc.marmotcaravel.MarmotCaravelConfig.fir@306916.6]
+  assign _T_487 = ~ slow_clock; // @[Chip.scala 32:40:shc.marmotcaravel.MarmotCaravelConfig.fir@306921.6]
+  assign io_out_0 = 1'h0; // @[Chip.scala 48:15:shc.marmotcaravel.MarmotCaravelConfig.fir@306929.4]
+  assign io_out_1 = MarmotCaravelPlatform_io_pins_jtag_TDO_o_oval; // @[Chip.scala 52:15:shc.marmotcaravel.MarmotCaravelConfig.fir@306931.4]
+  assign io_out_2 = 1'h0; // @[Chip.scala 56:15:shc.marmotcaravel.MarmotCaravelConfig.fir@306934.4]
+  assign io_out_3 = 1'h0; // @[Chip.scala 61:15:shc.marmotcaravel.MarmotCaravelConfig.fir@306938.4]
+  assign io_out_4 = 1'h0; // @[Chip.scala 66:15:shc.marmotcaravel.MarmotCaravelConfig.fir@306942.4]
+  assign io_out_5 = 1'h0; // @[Chip.scala 82:15:shc.marmotcaravel.MarmotCaravelConfig.fir@306951.4]
+  assign io_out_6 = MarmotCaravelPlatform_io_pins_uart_txd_o_oval; // @[Chip.scala 87:15:shc.marmotcaravel.MarmotCaravelConfig.fir@306955.4]
+  assign io_out_7 = MarmotCaravelPlatform_io_pins_gpio_pins_24_o_oval; // @[Chip.scala 183:16:shc.marmotcaravel.MarmotCaravelConfig.fir@307170.4]
+  assign io_out_8 = MarmotCaravelPlatform_io_pins_qspi_cs_0_o_oval; // @[Chip.scala 95:16:shc.marmotcaravel.MarmotCaravelConfig.fir@306958.4]
+  assign io_out_9 = MarmotCaravelPlatform_io_pins_qspi_sck_o_oval; // @[Chip.scala 99:16:shc.marmotcaravel.MarmotCaravelConfig.fir@306961.4]
+  assign io_out_10 = MarmotCaravelPlatform_io_pins_qspi_dq_0_o_oval; // @[Chip.scala 103:16:shc.marmotcaravel.MarmotCaravelConfig.fir@306964.4]
+  assign io_out_11 = MarmotCaravelPlatform_io_pins_qspi_dq_1_o_oval; // @[Chip.scala 108:16:shc.marmotcaravel.MarmotCaravelConfig.fir@306969.4]
+  assign io_out_12 = MarmotCaravelPlatform_io_pins_qspi_dq_2_o_oval; // @[Chip.scala 113:16:shc.marmotcaravel.MarmotCaravelConfig.fir@306974.4]
+  assign io_out_13 = MarmotCaravelPlatform_io_pins_qspi_dq_3_o_oval; // @[Chip.scala 118:16:shc.marmotcaravel.MarmotCaravelConfig.fir@306979.4]
+  assign io_out_14 = MarmotCaravelPlatform_io_pins_gpio_pins_0_o_oval; // @[Chip.scala 165:23:shc.marmotcaravel.MarmotCaravelConfig.fir@307074.4]
+  assign io_out_15 = MarmotCaravelPlatform_io_pins_gpio_pins_1_o_oval; // @[Chip.scala 165:23:shc.marmotcaravel.MarmotCaravelConfig.fir@307079.4]
+  assign io_out_16 = MarmotCaravelPlatform_io_pins_gpio_pins_2_o_oval; // @[Chip.scala 165:23:shc.marmotcaravel.MarmotCaravelConfig.fir@307084.4]
+  assign io_out_17 = MarmotCaravelPlatform_io_pins_gpio_pins_3_o_oval; // @[Chip.scala 165:23:shc.marmotcaravel.MarmotCaravelConfig.fir@307089.4]
+  assign io_out_18 = MarmotCaravelPlatform_io_pins_gpio_pins_4_o_oval; // @[Chip.scala 165:23:shc.marmotcaravel.MarmotCaravelConfig.fir@307094.4]
+  assign io_out_19 = MarmotCaravelPlatform_io_pins_gpio_pins_5_o_oval; // @[Chip.scala 165:23:shc.marmotcaravel.MarmotCaravelConfig.fir@307099.4]
+  assign io_out_20 = MarmotCaravelPlatform_io_pins_qspi_ram_cs_0_o_oval; // @[Chip.scala 127:17:shc.marmotcaravel.MarmotCaravelConfig.fir@306984.4]
+  assign io_out_21 = MarmotCaravelPlatform_io_pins_qspi_ram_sck_o_oval; // @[Chip.scala 131:17:shc.marmotcaravel.MarmotCaravelConfig.fir@306987.4]
+  assign io_out_22 = MarmotCaravelPlatform_io_pins_qspi_ram_dq_0_o_oval; // @[Chip.scala 135:16:shc.marmotcaravel.MarmotCaravelConfig.fir@306990.4]
+  assign io_out_23 = MarmotCaravelPlatform_io_pins_qspi_ram_dq_1_o_oval; // @[Chip.scala 140:16:shc.marmotcaravel.MarmotCaravelConfig.fir@306995.4]
+  assign io_out_24 = MarmotCaravelPlatform_io_pins_qspi_ram_dq_2_o_oval; // @[Chip.scala 145:16:shc.marmotcaravel.MarmotCaravelConfig.fir@307000.4]
+  assign io_out_25 = MarmotCaravelPlatform_io_pins_qspi_ram_dq_3_o_oval; // @[Chip.scala 150:16:shc.marmotcaravel.MarmotCaravelConfig.fir@307005.4]
+  assign io_out_26 = MarmotCaravelPlatform_io_pins_gpio_pins_12_o_oval; // @[Chip.scala 177:23:shc.marmotcaravel.MarmotCaravelConfig.fir@307110.4]
+  assign io_out_27 = MarmotCaravelPlatform_io_pins_gpio_pins_13_o_oval; // @[Chip.scala 177:23:shc.marmotcaravel.MarmotCaravelConfig.fir@307115.4]
+  assign io_out_28 = MarmotCaravelPlatform_io_pins_gpio_pins_14_o_oval; // @[Chip.scala 177:23:shc.marmotcaravel.MarmotCaravelConfig.fir@307120.4]
+  assign io_out_29 = MarmotCaravelPlatform_io_pins_gpio_pins_15_o_oval; // @[Chip.scala 177:23:shc.marmotcaravel.MarmotCaravelConfig.fir@307125.4]
+  assign io_out_30 = MarmotCaravelPlatform_io_pins_gpio_pins_16_o_oval; // @[Chip.scala 177:23:shc.marmotcaravel.MarmotCaravelConfig.fir@307130.4]
+  assign io_out_31 = MarmotCaravelPlatform_io_pins_gpio_pins_17_o_oval; // @[Chip.scala 177:23:shc.marmotcaravel.MarmotCaravelConfig.fir@307135.4]
+  assign io_out_32 = MarmotCaravelPlatform_io_pins_gpio_pins_18_o_oval; // @[Chip.scala 177:23:shc.marmotcaravel.MarmotCaravelConfig.fir@307140.4]
+  assign io_out_33 = MarmotCaravelPlatform_io_pins_gpio_pins_19_o_oval; // @[Chip.scala 177:23:shc.marmotcaravel.MarmotCaravelConfig.fir@307145.4]
+  assign io_out_34 = MarmotCaravelPlatform_io_pins_gpio_pins_20_o_oval; // @[Chip.scala 177:23:shc.marmotcaravel.MarmotCaravelConfig.fir@307150.4]
+  assign io_out_35 = MarmotCaravelPlatform_io_pins_gpio_pins_21_o_oval; // @[Chip.scala 177:23:shc.marmotcaravel.MarmotCaravelConfig.fir@307155.4]
+  assign io_out_36 = MarmotCaravelPlatform_io_pins_gpio_pins_22_o_oval; // @[Chip.scala 177:23:shc.marmotcaravel.MarmotCaravelConfig.fir@307160.4]
+  assign io_out_37 = MarmotCaravelPlatform_io_pins_gpio_pins_23_o_oval; // @[Chip.scala 177:23:shc.marmotcaravel.MarmotCaravelConfig.fir@307165.4]
+  assign io_oeb_0 = 1'h1; // @[Chip.scala 49:15:shc.marmotcaravel.MarmotCaravelConfig.fir@306930.4]
+  assign io_oeb_1 = ~ MarmotCaravelPlatform_io_pins_jtag_TDO_o_oe; // @[Chip.scala 53:15:shc.marmotcaravel.MarmotCaravelConfig.fir@306933.4]
+  assign io_oeb_2 = 1'h1; // @[Chip.scala 57:15:shc.marmotcaravel.MarmotCaravelConfig.fir@306935.4]
+  assign io_oeb_3 = 1'h1; // @[Chip.scala 62:15:shc.marmotcaravel.MarmotCaravelConfig.fir@306939.4]
+  assign io_oeb_4 = 1'h1; // @[Chip.scala 67:15:shc.marmotcaravel.MarmotCaravelConfig.fir@306943.4]
+  assign io_oeb_5 = 1'h1; // @[Chip.scala 83:15:shc.marmotcaravel.MarmotCaravelConfig.fir@306952.4]
+  assign io_oeb_6 = 1'h0; // @[Chip.scala 88:15:shc.marmotcaravel.MarmotCaravelConfig.fir@306957.4]
+  assign io_oeb_7 = ~ MarmotCaravelPlatform_io_pins_gpio_pins_24_o_oe; // @[Chip.scala 184:16:shc.marmotcaravel.MarmotCaravelConfig.fir@307172.4]
+  assign io_oeb_8 = 1'h0; // @[Chip.scala 96:16:shc.marmotcaravel.MarmotCaravelConfig.fir@306960.4]
+  assign io_oeb_9 = 1'h0; // @[Chip.scala 100:16:shc.marmotcaravel.MarmotCaravelConfig.fir@306963.4]
+  assign io_oeb_10 = ~ MarmotCaravelPlatform_io_pins_qspi_dq_0_o_oe; // @[Chip.scala 104:16:shc.marmotcaravel.MarmotCaravelConfig.fir@306966.4]
+  assign io_oeb_11 = ~ MarmotCaravelPlatform_io_pins_qspi_dq_1_o_oe; // @[Chip.scala 109:16:shc.marmotcaravel.MarmotCaravelConfig.fir@306971.4]
+  assign io_oeb_12 = ~ MarmotCaravelPlatform_io_pins_qspi_dq_2_o_oe; // @[Chip.scala 114:16:shc.marmotcaravel.MarmotCaravelConfig.fir@306976.4]
+  assign io_oeb_13 = ~ MarmotCaravelPlatform_io_pins_qspi_dq_3_o_oe; // @[Chip.scala 119:16:shc.marmotcaravel.MarmotCaravelConfig.fir@306981.4]
+  assign io_oeb_14 = ~ MarmotCaravelPlatform_io_pins_gpio_pins_0_o_oe; // @[Chip.scala 166:23:shc.marmotcaravel.MarmotCaravelConfig.fir@307076.4]
+  assign io_oeb_15 = ~ MarmotCaravelPlatform_io_pins_gpio_pins_1_o_oe; // @[Chip.scala 166:23:shc.marmotcaravel.MarmotCaravelConfig.fir@307081.4]
+  assign io_oeb_16 = ~ MarmotCaravelPlatform_io_pins_gpio_pins_2_o_oe; // @[Chip.scala 166:23:shc.marmotcaravel.MarmotCaravelConfig.fir@307086.4]
+  assign io_oeb_17 = ~ MarmotCaravelPlatform_io_pins_gpio_pins_3_o_oe; // @[Chip.scala 166:23:shc.marmotcaravel.MarmotCaravelConfig.fir@307091.4]
+  assign io_oeb_18 = ~ MarmotCaravelPlatform_io_pins_gpio_pins_4_o_oe; // @[Chip.scala 166:23:shc.marmotcaravel.MarmotCaravelConfig.fir@307096.4]
+  assign io_oeb_19 = ~ MarmotCaravelPlatform_io_pins_gpio_pins_5_o_oe; // @[Chip.scala 166:23:shc.marmotcaravel.MarmotCaravelConfig.fir@307101.4]
+  assign io_oeb_20 = 1'h0; // @[Chip.scala 128:17:shc.marmotcaravel.MarmotCaravelConfig.fir@306986.4]
+  assign io_oeb_21 = 1'h0; // @[Chip.scala 132:17:shc.marmotcaravel.MarmotCaravelConfig.fir@306989.4]
+  assign io_oeb_22 = ~ MarmotCaravelPlatform_io_pins_qspi_ram_dq_0_o_oe; // @[Chip.scala 136:16:shc.marmotcaravel.MarmotCaravelConfig.fir@306992.4]
+  assign io_oeb_23 = ~ MarmotCaravelPlatform_io_pins_qspi_ram_dq_1_o_oe; // @[Chip.scala 141:16:shc.marmotcaravel.MarmotCaravelConfig.fir@306997.4]
+  assign io_oeb_24 = ~ MarmotCaravelPlatform_io_pins_qspi_ram_dq_2_o_oe; // @[Chip.scala 146:16:shc.marmotcaravel.MarmotCaravelConfig.fir@307002.4]
+  assign io_oeb_25 = ~ MarmotCaravelPlatform_io_pins_qspi_ram_dq_3_o_oe; // @[Chip.scala 151:16:shc.marmotcaravel.MarmotCaravelConfig.fir@307007.4]
+  assign io_oeb_26 = ~ MarmotCaravelPlatform_io_pins_gpio_pins_12_o_oe; // @[Chip.scala 178:23:shc.marmotcaravel.MarmotCaravelConfig.fir@307112.4]
+  assign io_oeb_27 = ~ MarmotCaravelPlatform_io_pins_gpio_pins_13_o_oe; // @[Chip.scala 178:23:shc.marmotcaravel.MarmotCaravelConfig.fir@307117.4]
+  assign io_oeb_28 = ~ MarmotCaravelPlatform_io_pins_gpio_pins_14_o_oe; // @[Chip.scala 178:23:shc.marmotcaravel.MarmotCaravelConfig.fir@307122.4]
+  assign io_oeb_29 = ~ MarmotCaravelPlatform_io_pins_gpio_pins_15_o_oe; // @[Chip.scala 178:23:shc.marmotcaravel.MarmotCaravelConfig.fir@307127.4]
+  assign io_oeb_30 = ~ MarmotCaravelPlatform_io_pins_gpio_pins_16_o_oe; // @[Chip.scala 178:23:shc.marmotcaravel.MarmotCaravelConfig.fir@307132.4]
+  assign io_oeb_31 = ~ MarmotCaravelPlatform_io_pins_gpio_pins_17_o_oe; // @[Chip.scala 178:23:shc.marmotcaravel.MarmotCaravelConfig.fir@307137.4]
+  assign io_oeb_32 = ~ MarmotCaravelPlatform_io_pins_gpio_pins_18_o_oe; // @[Chip.scala 178:23:shc.marmotcaravel.MarmotCaravelConfig.fir@307142.4]
+  assign io_oeb_33 = ~ MarmotCaravelPlatform_io_pins_gpio_pins_19_o_oe; // @[Chip.scala 178:23:shc.marmotcaravel.MarmotCaravelConfig.fir@307147.4]
+  assign io_oeb_34 = ~ MarmotCaravelPlatform_io_pins_gpio_pins_20_o_oe; // @[Chip.scala 178:23:shc.marmotcaravel.MarmotCaravelConfig.fir@307152.4]
+  assign io_oeb_35 = ~ MarmotCaravelPlatform_io_pins_gpio_pins_21_o_oe; // @[Chip.scala 178:23:shc.marmotcaravel.MarmotCaravelConfig.fir@307157.4]
+  assign io_oeb_36 = ~ MarmotCaravelPlatform_io_pins_gpio_pins_22_o_oe; // @[Chip.scala 178:23:shc.marmotcaravel.MarmotCaravelConfig.fir@307162.4]
+  assign io_oeb_37 = ~ MarmotCaravelPlatform_io_pins_gpio_pins_23_o_oe; // @[Chip.scala 178:23:shc.marmotcaravel.MarmotCaravelConfig.fir@307167.4]
+  assign gpio_out_0 = MarmotCaravelPlatform_io_pins_gpio_pins_0_o_oe & MarmotCaravelPlatform_io_pins_gpio_pins_0_o_oval; // @[Chip.scala 160:21:shc.marmotcaravel.MarmotCaravelConfig.fir@307011.4]
+  assign gpio_out_1 = MarmotCaravelPlatform_io_pins_gpio_pins_1_o_oe & MarmotCaravelPlatform_io_pins_gpio_pins_1_o_oval; // @[Chip.scala 160:21:shc.marmotcaravel.MarmotCaravelConfig.fir@307013.4]
+  assign gpio_out_2 = MarmotCaravelPlatform_io_pins_gpio_pins_2_o_oe & MarmotCaravelPlatform_io_pins_gpio_pins_2_o_oval; // @[Chip.scala 160:21:shc.marmotcaravel.MarmotCaravelConfig.fir@307015.4]
+  assign gpio_out_3 = MarmotCaravelPlatform_io_pins_gpio_pins_3_o_oe & MarmotCaravelPlatform_io_pins_gpio_pins_3_o_oval; // @[Chip.scala 160:21:shc.marmotcaravel.MarmotCaravelConfig.fir@307017.4]
+  assign gpio_out_4 = MarmotCaravelPlatform_io_pins_gpio_pins_4_o_oe & MarmotCaravelPlatform_io_pins_gpio_pins_4_o_oval; // @[Chip.scala 160:21:shc.marmotcaravel.MarmotCaravelConfig.fir@307019.4]
+  assign gpio_out_5 = MarmotCaravelPlatform_io_pins_gpio_pins_5_o_oe & MarmotCaravelPlatform_io_pins_gpio_pins_5_o_oval; // @[Chip.scala 160:21:shc.marmotcaravel.MarmotCaravelConfig.fir@307021.4]
+  assign gpio_out_6 = MarmotCaravelPlatform_io_pins_gpio_pins_6_o_oe & MarmotCaravelPlatform_io_pins_gpio_pins_6_o_oval; // @[Chip.scala 160:21:shc.marmotcaravel.MarmotCaravelConfig.fir@307023.4]
+  assign gpio_out_7 = MarmotCaravelPlatform_io_pins_gpio_pins_7_o_oe & MarmotCaravelPlatform_io_pins_gpio_pins_7_o_oval; // @[Chip.scala 160:21:shc.marmotcaravel.MarmotCaravelConfig.fir@307025.4]
+  assign gpio_out_8 = MarmotCaravelPlatform_io_pins_gpio_pins_8_o_oe & MarmotCaravelPlatform_io_pins_gpio_pins_8_o_oval; // @[Chip.scala 160:21:shc.marmotcaravel.MarmotCaravelConfig.fir@307027.4]
+  assign gpio_out_9 = MarmotCaravelPlatform_io_pins_gpio_pins_9_o_oe & MarmotCaravelPlatform_io_pins_gpio_pins_9_o_oval; // @[Chip.scala 160:21:shc.marmotcaravel.MarmotCaravelConfig.fir@307029.4]
+  assign gpio_out_10 = MarmotCaravelPlatform_io_pins_gpio_pins_10_o_oe & MarmotCaravelPlatform_io_pins_gpio_pins_10_o_oval; // @[Chip.scala 160:21:shc.marmotcaravel.MarmotCaravelConfig.fir@307031.4]
+  assign gpio_out_11 = MarmotCaravelPlatform_io_pins_gpio_pins_11_o_oe & MarmotCaravelPlatform_io_pins_gpio_pins_11_o_oval; // @[Chip.scala 160:21:shc.marmotcaravel.MarmotCaravelConfig.fir@307033.4]
+  assign gpio_out_12 = MarmotCaravelPlatform_io_pins_gpio_pins_12_o_oe & MarmotCaravelPlatform_io_pins_gpio_pins_12_o_oval; // @[Chip.scala 160:21:shc.marmotcaravel.MarmotCaravelConfig.fir@307035.4]
+  assign gpio_out_13 = MarmotCaravelPlatform_io_pins_gpio_pins_13_o_oe & MarmotCaravelPlatform_io_pins_gpio_pins_13_o_oval; // @[Chip.scala 160:21:shc.marmotcaravel.MarmotCaravelConfig.fir@307037.4]
+  assign gpio_out_14 = MarmotCaravelPlatform_io_pins_gpio_pins_14_o_oe & MarmotCaravelPlatform_io_pins_gpio_pins_14_o_oval; // @[Chip.scala 160:21:shc.marmotcaravel.MarmotCaravelConfig.fir@307039.4]
+  assign gpio_out_15 = MarmotCaravelPlatform_io_pins_gpio_pins_15_o_oe & MarmotCaravelPlatform_io_pins_gpio_pins_15_o_oval; // @[Chip.scala 160:21:shc.marmotcaravel.MarmotCaravelConfig.fir@307041.4]
+  assign gpio_out_16 = MarmotCaravelPlatform_io_pins_gpio_pins_16_o_oe & MarmotCaravelPlatform_io_pins_gpio_pins_16_o_oval; // @[Chip.scala 160:21:shc.marmotcaravel.MarmotCaravelConfig.fir@307043.4]
+  assign gpio_out_17 = MarmotCaravelPlatform_io_pins_gpio_pins_17_o_oe & MarmotCaravelPlatform_io_pins_gpio_pins_17_o_oval; // @[Chip.scala 160:21:shc.marmotcaravel.MarmotCaravelConfig.fir@307045.4]
+  assign gpio_out_18 = MarmotCaravelPlatform_io_pins_gpio_pins_18_o_oe & MarmotCaravelPlatform_io_pins_gpio_pins_18_o_oval; // @[Chip.scala 160:21:shc.marmotcaravel.MarmotCaravelConfig.fir@307047.4]
+  assign gpio_out_19 = MarmotCaravelPlatform_io_pins_gpio_pins_19_o_oe & MarmotCaravelPlatform_io_pins_gpio_pins_19_o_oval; // @[Chip.scala 160:21:shc.marmotcaravel.MarmotCaravelConfig.fir@307049.4]
+  assign gpio_out_20 = MarmotCaravelPlatform_io_pins_gpio_pins_20_o_oe & MarmotCaravelPlatform_io_pins_gpio_pins_20_o_oval; // @[Chip.scala 160:21:shc.marmotcaravel.MarmotCaravelConfig.fir@307051.4]
+  assign gpio_out_21 = MarmotCaravelPlatform_io_pins_gpio_pins_21_o_oe & MarmotCaravelPlatform_io_pins_gpio_pins_21_o_oval; // @[Chip.scala 160:21:shc.marmotcaravel.MarmotCaravelConfig.fir@307053.4]
+  assign gpio_out_22 = MarmotCaravelPlatform_io_pins_gpio_pins_22_o_oe & MarmotCaravelPlatform_io_pins_gpio_pins_22_o_oval; // @[Chip.scala 160:21:shc.marmotcaravel.MarmotCaravelConfig.fir@307055.4]
+  assign gpio_out_23 = MarmotCaravelPlatform_io_pins_gpio_pins_23_o_oe & MarmotCaravelPlatform_io_pins_gpio_pins_23_o_oval; // @[Chip.scala 160:21:shc.marmotcaravel.MarmotCaravelConfig.fir@307057.4]
+  assign gpio_out_24 = MarmotCaravelPlatform_io_pins_gpio_pins_24_o_oe & MarmotCaravelPlatform_io_pins_gpio_pins_24_o_oval; // @[Chip.scala 160:21:shc.marmotcaravel.MarmotCaravelConfig.fir@307059.4]
+  assign gpio_out_25 = MarmotCaravelPlatform_io_pins_gpio_pins_25_o_oe & MarmotCaravelPlatform_io_pins_gpio_pins_25_o_oval; // @[Chip.scala 160:21:shc.marmotcaravel.MarmotCaravelConfig.fir@307061.4]
+  assign gpio_out_26 = MarmotCaravelPlatform_io_pins_gpio_pins_26_o_oe & MarmotCaravelPlatform_io_pins_gpio_pins_26_o_oval; // @[Chip.scala 160:21:shc.marmotcaravel.MarmotCaravelConfig.fir@307063.4]
+  assign gpio_out_27 = MarmotCaravelPlatform_io_pins_gpio_pins_27_o_oe & MarmotCaravelPlatform_io_pins_gpio_pins_27_o_oval; // @[Chip.scala 160:21:shc.marmotcaravel.MarmotCaravelConfig.fir@307065.4]
+  assign gpio_out_28 = MarmotCaravelPlatform_io_pins_gpio_pins_28_o_oe & MarmotCaravelPlatform_io_pins_gpio_pins_28_o_oval; // @[Chip.scala 160:21:shc.marmotcaravel.MarmotCaravelConfig.fir@307067.4]
+  assign gpio_out_29 = MarmotCaravelPlatform_io_pins_gpio_pins_29_o_oe & MarmotCaravelPlatform_io_pins_gpio_pins_29_o_oval; // @[Chip.scala 160:21:shc.marmotcaravel.MarmotCaravelConfig.fir@307069.4]
+  assign gpio_out_30 = MarmotCaravelPlatform_io_pins_gpio_pins_30_o_oe & MarmotCaravelPlatform_io_pins_gpio_pins_30_o_oval; // @[Chip.scala 160:21:shc.marmotcaravel.MarmotCaravelConfig.fir@307071.4]
+  assign gpio_out_31 = MarmotCaravelPlatform_io_pins_gpio_pins_31_o_oe & MarmotCaravelPlatform_io_pins_gpio_pins_31_o_oval; // @[Chip.scala 160:21:shc.marmotcaravel.MarmotCaravelConfig.fir@307073.4]
+  assign MarmotCaravelPlatform_clock = clk; // @[:shc.marmotcaravel.MarmotCaravelConfig.fir@306927.4]
+  assign MarmotCaravelPlatform_io_pins_jtag_TCK_i_ival = io_in_4; // @[Chip.scala 68:33:shc.marmotcaravel.MarmotCaravelConfig.fir@306945.4]
+  assign MarmotCaravelPlatform_io_pins_jtag_TMS_i_ival = io_in_3; // @[Chip.scala 63:33:shc.marmotcaravel.MarmotCaravelConfig.fir@306941.4]
+  assign MarmotCaravelPlatform_io_pins_jtag_TDI_i_ival = io_in_2; // @[Chip.scala 58:33:shc.marmotcaravel.MarmotCaravelConfig.fir@306937.4]
+  assign MarmotCaravelPlatform_io_pins_gpio_pins_0_i_ival = io_in_14 & MarmotCaravelPlatform_io_pins_gpio_pins_0_o_ie; // @[Chip.scala 167:41:shc.marmotcaravel.MarmotCaravelConfig.fir@307078.4]
+  assign MarmotCaravelPlatform_io_pins_gpio_pins_1_i_ival = io_in_15 & MarmotCaravelPlatform_io_pins_gpio_pins_1_o_ie; // @[Chip.scala 167:41:shc.marmotcaravel.MarmotCaravelConfig.fir@307083.4]
+  assign MarmotCaravelPlatform_io_pins_gpio_pins_2_i_ival = io_in_16 & MarmotCaravelPlatform_io_pins_gpio_pins_2_o_ie; // @[Chip.scala 167:41:shc.marmotcaravel.MarmotCaravelConfig.fir@307088.4]
+  assign MarmotCaravelPlatform_io_pins_gpio_pins_3_i_ival = io_in_17 & MarmotCaravelPlatform_io_pins_gpio_pins_3_o_ie; // @[Chip.scala 167:41:shc.marmotcaravel.MarmotCaravelConfig.fir@307093.4]
+  assign MarmotCaravelPlatform_io_pins_gpio_pins_4_i_ival = io_in_18 & MarmotCaravelPlatform_io_pins_gpio_pins_4_o_ie; // @[Chip.scala 167:41:shc.marmotcaravel.MarmotCaravelConfig.fir@307098.4]
+  assign MarmotCaravelPlatform_io_pins_gpio_pins_5_i_ival = io_in_19 & MarmotCaravelPlatform_io_pins_gpio_pins_5_o_ie; // @[Chip.scala 167:41:shc.marmotcaravel.MarmotCaravelConfig.fir@307103.4]
+  assign MarmotCaravelPlatform_io_pins_gpio_pins_12_i_ival = io_in_26 & MarmotCaravelPlatform_io_pins_gpio_pins_12_o_ie; // @[Chip.scala 179:41:shc.marmotcaravel.MarmotCaravelConfig.fir@307114.4]
+  assign MarmotCaravelPlatform_io_pins_gpio_pins_13_i_ival = io_in_27 & MarmotCaravelPlatform_io_pins_gpio_pins_13_o_ie; // @[Chip.scala 179:41:shc.marmotcaravel.MarmotCaravelConfig.fir@307119.4]
+  assign MarmotCaravelPlatform_io_pins_gpio_pins_14_i_ival = io_in_28 & MarmotCaravelPlatform_io_pins_gpio_pins_14_o_ie; // @[Chip.scala 179:41:shc.marmotcaravel.MarmotCaravelConfig.fir@307124.4]
+  assign MarmotCaravelPlatform_io_pins_gpio_pins_15_i_ival = io_in_29 & MarmotCaravelPlatform_io_pins_gpio_pins_15_o_ie; // @[Chip.scala 179:41:shc.marmotcaravel.MarmotCaravelConfig.fir@307129.4]
+  assign MarmotCaravelPlatform_io_pins_gpio_pins_16_i_ival = io_in_30 & MarmotCaravelPlatform_io_pins_gpio_pins_16_o_ie; // @[Chip.scala 179:41:shc.marmotcaravel.MarmotCaravelConfig.fir@307134.4]
+  assign MarmotCaravelPlatform_io_pins_gpio_pins_17_i_ival = io_in_31 & MarmotCaravelPlatform_io_pins_gpio_pins_17_o_ie; // @[Chip.scala 179:41:shc.marmotcaravel.MarmotCaravelConfig.fir@307139.4]
+  assign MarmotCaravelPlatform_io_pins_gpio_pins_18_i_ival = io_in_32 & MarmotCaravelPlatform_io_pins_gpio_pins_18_o_ie; // @[Chip.scala 179:41:shc.marmotcaravel.MarmotCaravelConfig.fir@307144.4]
+  assign MarmotCaravelPlatform_io_pins_gpio_pins_19_i_ival = io_in_33 & MarmotCaravelPlatform_io_pins_gpio_pins_19_o_ie; // @[Chip.scala 179:41:shc.marmotcaravel.MarmotCaravelConfig.fir@307149.4]
+  assign MarmotCaravelPlatform_io_pins_gpio_pins_20_i_ival = io_in_34 & MarmotCaravelPlatform_io_pins_gpio_pins_20_o_ie; // @[Chip.scala 179:41:shc.marmotcaravel.MarmotCaravelConfig.fir@307154.4]
+  assign MarmotCaravelPlatform_io_pins_gpio_pins_21_i_ival = io_in_35 & MarmotCaravelPlatform_io_pins_gpio_pins_21_o_ie; // @[Chip.scala 179:41:shc.marmotcaravel.MarmotCaravelConfig.fir@307159.4]
+  assign MarmotCaravelPlatform_io_pins_gpio_pins_22_i_ival = io_in_36 & MarmotCaravelPlatform_io_pins_gpio_pins_22_o_ie; // @[Chip.scala 179:41:shc.marmotcaravel.MarmotCaravelConfig.fir@307164.4]
+  assign MarmotCaravelPlatform_io_pins_gpio_pins_23_i_ival = io_in_37 & MarmotCaravelPlatform_io_pins_gpio_pins_23_o_ie; // @[Chip.scala 179:41:shc.marmotcaravel.MarmotCaravelConfig.fir@307169.4]
+  assign MarmotCaravelPlatform_io_pins_gpio_pins_24_i_ival = io_in_7 & MarmotCaravelPlatform_io_pins_gpio_pins_24_o_ie; // @[Chip.scala 185:38:shc.marmotcaravel.MarmotCaravelConfig.fir@307174.4]
+  assign MarmotCaravelPlatform_io_pins_qspi_dq_0_i_ival = io_in_10 & MarmotCaravelPlatform_io_pins_qspi_dq_0_o_ie; // @[Chip.scala 105:35:shc.marmotcaravel.MarmotCaravelConfig.fir@306968.4]
+  assign MarmotCaravelPlatform_io_pins_qspi_dq_1_i_ival = io_in_11 & MarmotCaravelPlatform_io_pins_qspi_dq_1_o_ie; // @[Chip.scala 110:35:shc.marmotcaravel.MarmotCaravelConfig.fir@306973.4]
+  assign MarmotCaravelPlatform_io_pins_qspi_dq_2_i_ival = io_in_12 & MarmotCaravelPlatform_io_pins_qspi_dq_2_o_ie; // @[Chip.scala 115:35:shc.marmotcaravel.MarmotCaravelConfig.fir@306978.4]
+  assign MarmotCaravelPlatform_io_pins_qspi_dq_3_i_ival = io_in_13 & MarmotCaravelPlatform_io_pins_qspi_dq_3_o_ie; // @[Chip.scala 120:35:shc.marmotcaravel.MarmotCaravelConfig.fir@306983.4]
+  assign MarmotCaravelPlatform_io_pins_qspi_ram_dq_0_i_ival = io_in_22 & MarmotCaravelPlatform_io_pins_qspi_ram_dq_0_o_ie; // @[Chip.scala 137:39:shc.marmotcaravel.MarmotCaravelConfig.fir@306994.4]
+  assign MarmotCaravelPlatform_io_pins_qspi_ram_dq_1_i_ival = io_in_23 & MarmotCaravelPlatform_io_pins_qspi_ram_dq_1_o_ie; // @[Chip.scala 142:39:shc.marmotcaravel.MarmotCaravelConfig.fir@306999.4]
+  assign MarmotCaravelPlatform_io_pins_qspi_ram_dq_2_i_ival = io_in_24 & MarmotCaravelPlatform_io_pins_qspi_ram_dq_2_o_ie; // @[Chip.scala 147:39:shc.marmotcaravel.MarmotCaravelConfig.fir@307004.4]
+  assign MarmotCaravelPlatform_io_pins_qspi_ram_dq_3_i_ival = io_in_25 & MarmotCaravelPlatform_io_pins_qspi_ram_dq_3_o_ie; // @[Chip.scala 152:39:shc.marmotcaravel.MarmotCaravelConfig.fir@307009.4]
+  assign MarmotCaravelPlatform_io_pins_uart_rxd_i_ival = io_in_5; // @[Chip.scala 84:33:shc.marmotcaravel.MarmotCaravelConfig.fir@306954.4]
+  assign MarmotCaravelPlatform_io_pins_aon_erst_n_i_ival = rst_n; // @[Chip.scala 196:41:shc.marmotcaravel.MarmotCaravelConfig.fir@307182.4]
+  assign MarmotCaravelPlatform_io_pins_aon_lfextclk_i_ival = slow_clock; // @[Chip.scala 197:41:shc.marmotcaravel.MarmotCaravelConfig.fir@307183.4]
+  assign MarmotCaravelPlatform_io_jtag_reset = ~ rst_n; // @[Chip.scala 71:23:shc.marmotcaravel.MarmotCaravelConfig.fir@306947.4]
 `ifdef RANDOMIZE_GARBAGE_ASSIGN
 `define RANDOMIZE
 `endif
@@ -367280,17 +367708,17 @@
   end
 `endif // RANDOMIZE
   always @(posedge clk) begin
-    if (_T_374) begin
+    if (_T_479) begin
       slow_clock <= 1'h0;
     end else begin
       if (value) begin
-        slow_clock <= _T_382;
+        slow_clock <= _T_487;
       end
     end
-    if (_T_374) begin
+    if (_T_479) begin
       value <= 1'h0;
     end else begin
-      value <= _T_380;
+      value <= _T_485;
     end
   end
 endmodule