Change EXTRA_GDS_FILES: Marmot.gds.gz -> Marmot.gds
diff --git a/gds/user_project_wrapper.gds b/gds/user_project_wrapper.gds
deleted file mode 100644
index a3f86a8..0000000
--- a/gds/user_project_wrapper.gds
+++ /dev/null
Binary files differ
diff --git a/gds/user_project_wrapper.gds.gz b/gds/user_project_wrapper.gds.gz
new file mode 100644
index 0000000..b787a4a
--- /dev/null
+++ b/gds/user_project_wrapper.gds.gz
Binary files differ
diff --git a/mag/user_project_wrapper.mag b/mag/user_project_wrapper.mag
index c031cd3..dc42b0c 100644
--- a/mag/user_project_wrapper.mag
+++ b/mag/user_project_wrapper.mag
@@ -1,7 +1,7 @@
 magic
 tech sky130A
 magscale 1 2
-timestamp 1653318569
+timestamp 1653410625
 << metal1 >>
 rect 300118 700680 300124 700732
 rect 300176 700720 300182 700732
diff --git a/maglef/user_project_wrapper.mag b/maglef/user_project_wrapper.mag
index 7584328..c2bae5d 100644
--- a/maglef/user_project_wrapper.mag
+++ b/maglef/user_project_wrapper.mag
@@ -1,7 +1,7 @@
 magic
 tech sky130A
 magscale 1 2
-timestamp 1653318692
+timestamp 1653411193
 << obsli1 >>
 rect 201104 62159 555856 416881
 << obsm1 >>
@@ -7173,8 +7173,8 @@
 string FIXED_BBOX 0 0 584000 704000
 string LEFclass BLOCK
 string LEFview TRUE
-string GDS_END 29028974
+string GDS_END 335523540
 string GDS_FILE /home/shc/Development/efabless/marmot_asic/openlane/user_project_wrapper/runs/user_project_wrapper/results/finishing/user_project_wrapper.magic.gds
-string GDS_START 25150374
+string GDS_START 331644940
 << end >>
 
diff --git a/openlane/user_project_wrapper/config.tcl b/openlane/user_project_wrapper/config.tcl
index 724724c..48b29a7 100644
--- a/openlane/user_project_wrapper/config.tcl
+++ b/openlane/user_project_wrapper/config.tcl
@@ -93,7 +93,7 @@
         $::env(PDK_ROOT)/sky130A/libs.ref/sky130_sram_macros/lef/sky130_sram_1kbyte_1rw1r_32x256_8.lef"
 
 set ::env(EXTRA_GDS_FILES) "\
-	$script_dir/../../gds/Marmot.gds.gz \
+	$script_dir/../../gds/Marmot.gds \
         $::env(PDK_ROOT)/sky130A/libs.ref/sky130_sram_macros/gds/sky130_sram_2kbyte_1rw1r_32x512_8.gds \
         $::env(PDK_ROOT)/sky130A/libs.ref/sky130_sram_macros/gds/sky130_sram_1kbyte_1rw1r_32x256_8.gds"
 
diff --git a/signoff/user_project_wrapper/final_summary_report.csv b/signoff/user_project_wrapper/final_summary_report.csv
index 5c1b593..0b061dc 100644
--- a/signoff/user_project_wrapper/final_summary_report.csv
+++ b/signoff/user_project_wrapper/final_summary_report.csv
@@ -1,2 +1,2 @@
 ,design,design_name,config,flow_status,total_runtime,routed_runtime,(Cell/mm^2)/Core_Util,DIEAREA_mm^2,CellPer_mm^2,OpenDP_Util,Peak_Memory_Usage_MB,cell_count,tritonRoute_violations,Short_violations,MetSpc_violations,OffGrid_violations,MinHole_violations,Other_violations,Magic_violations,antenna_violations,lvs_total_errors,cvc_total_errors,klayout_violations,wire_length,vias,wns,pl_wns,optimized_wns,fastroute_wns,spef_wns,tns,pl_tns,optimized_tns,fastroute_tns,spef_tns,HPWL,routing_layer1_pct,routing_layer2_pct,routing_layer3_pct,routing_layer4_pct,routing_layer5_pct,routing_layer6_pct,wires_count,wire_bits,public_wires_count,public_wire_bits,memories_count,memory_bits,processes_count,cells_pre_abc,AND,DFF,NAND,NOR,OR,XOR,XNOR,MUX,inputs,outputs,level,EndCaps,TapCells,Diodes,Total_Physical_Cells,suggested_clock_frequency,suggested_clock_period,CLOCK_PERIOD,SYNTH_STRATEGY,SYNTH_MAX_FANOUT,FP_CORE_UTIL,FP_ASPECT_RATIO,FP_PDN_VPITCH,FP_PDN_HPITCH,PL_TARGET_DENSITY,GLB_RT_ADJUSTMENT,STD_CELL_LIBRARY,CELL_PAD,DIODE_INSERTION_STRATEGY
-0,/home/shc/Development/efabless/marmot_asic/openlane/user_project_wrapper,user_project_wrapper,user_project_wrapper,flow completed,0h5m15s0ms,0h2m15s0ms,-2.0,-1,-1,-1,675.62,11,0,0,0,0,0,0,-1,0,0,-1,-1,1479257,6654,0.0,-1,-1,0.0,0.0,0.0,-1,-1,0.0,0.0,-1,0.0,7.77,10.46,1.52,1.42,-1,49,1150,49,1150,0,0,0,11,0,0,0,0,0,0,0,0,-1,-1,-1,0,0,0,0,25.0,40.0,40,AREA 0,5,50,1,100,105,0.55,0.3,sky130_fd_sc_hd,4,0
+0,/home/shc/Development/efabless/marmot_asic/openlane/user_project_wrapper,user_project_wrapper,user_project_wrapper,flow completed,0h12m40s0ms,0h2m17s0ms,-2.0,-1,-1,-1,656.47,11,0,0,0,0,0,0,-1,0,0,-1,-1,1479257,6654,0.0,-1,-1,0.0,0.0,0.0,-1,-1,0.0,0.0,-1,0.0,7.77,10.46,1.52,1.42,-1,49,1150,49,1150,0,0,0,11,0,0,0,0,0,0,0,0,-1,-1,-1,0,0,0,0,25.0,40.0,40,AREA 0,5,50,1,100,105,0.55,0.3,sky130_fd_sc_hd,4,0
diff --git a/verilog/gl/Marmot.v b/verilog/gl/Marmot.v
new file mode 100644
index 0000000..f8c61ff
--- /dev/null
+++ b/verilog/gl/Marmot.v
Binary files differ