eighth commit
diff --git a/gds/user_analog_project_wrapper.gds b/gds/user_analog_project_wrapper.gds
index 38162db..ee4fef3 100644
--- a/gds/user_analog_project_wrapper.gds
+++ b/gds/user_analog_project_wrapper.gds
Binary files differ
diff --git "a/mag/buffer_1\0430.mag" "b/mag/buffer_1\0430.mag"
index 77e1a0a..da5eeb5 100755
--- "a/mag/buffer_1\0430.mag"
+++ "b/mag/buffer_1\0430.mag"
@@ -1,12 +1,13 @@
 magic
 tech sky130A
-timestamp 1653304099
-use inv_W1#0  inv_W1_0
-timestamp 1645263751
-transform 1 0 50 0 1 36
-box -50 -36 194 439
+magscale 1 2
+timestamp 1654411931
+use inv_W1#0  inv_W1_0 ~/mycomparator_copy1/layout/myinv_layout2
+timestamp 0
+transform 1 0 100 0 1 72
+box 0 0 1 1
 use inv_W2#0  inv_W2_0
-timestamp 1653304099
-transform 1 0 294 0 1 36
-box -60 -36 202 439
+timestamp 1647355571
+transform 1 0 588 0 1 72
+box -120 -72 404 878
 << end >>
diff --git a/mag/comparator_v6.ext b/mag/comparator_v6.ext
index 3cd4565..8e73b29 100644
--- a/mag/comparator_v6.ext
+++ b/mag/comparator_v6.ext
@@ -33,145 +33,145 @@
 node "VDD" 9497 22226.6 -114 3130 nw 0 0 0 0 674228 4476 0 0 11696 480 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 9377032 30660 1241164 14616 9215660 22244 1155620 12124 9053420 17424 0 0 0 0
 node "w_782_5052#" 3460 140.755 782 5052 nw 0 0 0 0 44872 884 0 0 17784 612 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 21640 756 0 0 0 0 0 0 0 0 0 0 0 0
 substrate "a_86_n1150#" 0 0 86 -1150 ppd 0 0 0 0 0 0 0 0 0 0 12672 496 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 16760 636 0 0 0 0 0 0 0 0 0 0 0 0
-cap "fp" "Dn" 1004.44
-cap "VDD" "li_1370_1838#" 198
-cap "Dn" "CLKBAR" 340.023
-cap "Dp" "CLKBAR" 334.887
-cap "fp" "VDD" 83.6888
-cap "VDD" "w_782_5052#" 88.3518
-cap "a_528_1662#" "CLKBAR" 3.41584
-cap "Dp" "GND" 195.677
-cap "VDD" "CLKBAR" 1041.95
-cap "a_528_1662#" "w_64_1616#" 9.35
-cap "Vn" "CLK" 17.8378
-cap "li_1370_1838#" "CLKBAR" 85.2332
-cap "CLK" "fn" 481.001
-cap "w_64_1616#" "CLKBAR" 456.174
-cap "m1_544_166#" "CLK" 74.6341
 cap "GND" "CLKBAR" 102.027
 cap "GND" "w_64_1616#" 1.81319
-cap "a_1110_1656#" "CLK" 0.489362
-cap "Dp" "fn" 506.654
-cap "Vp" "CLK" 19.2233
-cap "Outp" "Outn" 6.09554
-cap "w_64_1616#" "fn" 77.088
-cap "fp" "m1_544_166#" 162.117
-cap "Dp" "a_652_4812#" 2.94872
-cap "Dn" "CLK" 347.603
-cap "Dp" "CLK" 359.008
-cap "a_1110_1656#" "CLKBAR" 2.875
-cap "CLK" "m3_266_1458#" 71.7444
-cap "a_528_1662#" "CLK" 0.242105
-cap "VDD" "CLK" 282.77
-cap "li_1370_1838#" "CLK" 4.34884
-cap "fp" "CLK" 535.142
-cap "CLK" "CLKBAR" 43.913
-cap "CLK" "w_64_1616#" 25.3904
-cap "CLK" "GND" 180.748
-cap "Dp" "Dn" 2.89071
+cap "li_1370_1838#" "CLKBAR" 85.2332
+cap "w_64_1616#" "CLKBAR" 456.174
+cap "m1_544_166#" "fp" 162.117
+cap "VDD" "fp" 83.6888
+cap "CLK" "a_1110_1656#" 0.489362
 cap "w_1110_1902#" "CLKBAR" 232.043
-cap "VDD" "Dn" 337.145
-cap "VDD" "Dp" 72.2468
-cap "preamp_part12_0/li_n718_n356#" "preamp_part12_0/li_n720_n474#" 8.06358
-cap "preamp_part12_0/a_n434_n660#" "preamp_part12_0/li_n720_n474#" 12.75
-cap "preamp_part12_0/li_n718_n356#" "preamp_part12_0/a_n656_n132#" 9.40738
-cap "preamp_part12_0/a_n434_n660#" "preamp_part12_0/a_80_n658#" -16.2825
-cap "preamp_part12_0/a_n434_n660#" "preamp_part12_0/li_n720_n474#" 12.75
-cap "preamp_part12_0/a_n434_n660#" "preamp_part12_0/sky130_fd_pr__nfet_01v8_G6PLX8_0/a_n221_n474#" 0.692308
-cap "preamp_part12_0/li_954_n358#" "preamp_part12_0/a_n168_604#" 1.07713
-cap "preamp_part12_0/li_954_n358#" "preamp_part12_0/li_n720_n474#" 0.692308
-cap "preamp_part12_0/a_388_n660#" "preamp_part12_0/a_80_n658#" -17.4051
+cap "Dn" "VDD" 337.145
+cap "m3_266_1458#" "CLK" 71.7444
+cap "Dn" "fp" 1004.44
+cap "Outp" "Outn" 6.09554
+cap "fn" "CLK" 481.001
+cap "Vn" "CLK" 17.8378
+cap "m1_544_166#" "CLK" 74.6341
+cap "CLK" "a_528_1662#" 0.242105
+cap "VDD" "CLK" 282.77
+cap "fp" "CLK" 535.142
+cap "Dn" "CLK" 347.603
+cap "fn" "Dp" 506.654
+cap "Dp" "VDD" 72.2468
+cap "a_1110_1656#" "CLKBAR" 2.875
+cap "Dn" "Dp" 2.89071
+cap "Dp" "a_652_4812#" 2.94872
+cap "li_1370_1838#" "VDD" 198
+cap "fn" "w_64_1616#" 77.088
+cap "Dp" "CLK" 359.008
+cap "a_528_1662#" "CLKBAR" 3.41584
+cap "w_64_1616#" "a_528_1662#" 9.35
+cap "VDD" "CLKBAR" 1041.95
+cap "Dn" "CLKBAR" 340.023
+cap "GND" "CLK" 180.748
+cap "w_782_5052#" "VDD" 88.3518
+cap "li_1370_1838#" "CLK" 4.34884
+cap "GND" "Dp" 195.677
+cap "CLK" "CLKBAR" 43.913
+cap "w_64_1616#" "CLK" 25.3904
+cap "Vp" "CLK" 19.2233
+cap "Dp" "CLKBAR" 334.887
+cap "preamp_part12_0/li_n720_n474#" "preamp_part12_0/li_n718_n356#" 8.06358
+cap "preamp_part12_0/li_n720_n474#" "preamp_part12_0/a_n434_n660#" 12.75
+cap "preamp_part12_0/a_n656_n132#" "preamp_part12_0/li_n718_n356#" 9.40738
+cap "preamp_part12_0/a_80_n658#" "preamp_part12_0/a_388_n660#" -17.4051
 cap "preamp_part12_0/a_n168_604#" "preamp_part12_0/sky130_fd_pr__nfet_01v8_G6PLX8_1/a_n221_n474#" 0.0142912
-cap "preamp_part12_0/li_954_n358#" "preamp_part12_0/sky130_fd_pr__nfet_01v8_F5U58G_1/a_n73_n500#" 0.692308
+cap "preamp_part12_0/a_n434_n660#" "preamp_part12_0/sky130_fd_pr__nfet_01v8_G6PLX8_0/a_n221_n474#" 0.692308
+cap "preamp_part12_0/a_80_n658#" "preamp_part12_0/a_n434_n660#" -16.2825
+cap "preamp_part12_0/a_n168_604#" "preamp_part12_0/li_954_n358#" 1.07713
+cap "preamp_part12_0/li_n720_n474#" "preamp_part12_0/li_954_n358#" 0.692308
+cap "preamp_part12_0/a_n434_n660#" "preamp_part12_0/li_n720_n474#" 12.75
 cap "preamp_part12_0/a_n168_604#" "preamp_part12_0/li_954_n358#" 21.7941
-cap "preamp_part12_0/a_n656_n132#" "preamp_part12_0/sky130_fd_pr__pfet_01v8_RFM3CD_0/a_n73_n100#" 33.3
+cap "preamp_part12_0/sky130_fd_pr__nfet_01v8_F5U58G_1/a_n73_n500#" "preamp_part12_0/li_954_n358#" 0.692308
+cap "preamp_part12_0/sky130_fd_pr__pfet_01v8_RFM3CD_0/a_n73_n100#" "preamp_part12_0/a_n656_n132#" 33.3
+cap "preamp_part12_0/a_n506_870#" "preamp_part12_0/a_n656_n132#" 37.3171
 cap "preamp_part12_0/w_n720_994#" "preamp_part12_0/a_n656_n132#" 69.7726
-cap "preamp_part12_0/a_n656_n132#" "preamp_part12_0/a_n506_870#" 37.3171
+cap "preamp_part12_0/a_n506_870#" "preamp_part12_0/sky130_fd_pr__pfet_01v8_RFM3CD_0/a_n73_n100#" 7.30889
 cap "preamp_part12_0/a_n656_n132#" "preamp_part12_0/li_n718_n356#" -4.40089
-cap "preamp_part12_0/sky130_fd_pr__pfet_01v8_RFM3CD_0/a_n73_n100#" "preamp_part12_0/a_n506_870#" 7.30889
-cap "preamp_part12_0/a_n656_n132#" "preamp_part12_0/a_n506_870#" 80.5263
-cap "preamp_part12_0/sky130_fd_pr__pfet_01v8_RFM3CD_1/a_n73_n100#" "preamp_part12_0/w_n720_994#" 66.6084
-cap "preamp_part12_0/a_706_862#" "preamp_part12_0/w_n720_994#" 6.08017
-cap "preamp_part12_0/li_954_n358#" "preamp_part12_0/sky130_fd_pr__pfet_01v8_RFM3CD_1/a_n73_n100#" 0.837766
-cap "preamp_part12_0/a_n656_n132#" "preamp_part12_0/a_706_862#" 1.82456
-cap "preamp_part12_0/a_n656_n132#" "preamp_part12_0/sky130_fd_pr__pfet_01v8_RFM3CD_1/a_n73_n100#" -0.121863
-cap "preamp_part12_0/sky130_fd_pr__pfet_01v8_RFM3CD_1/a_n73_n100#" "preamp_part12_0/sky130_fd_pr__nfet_01v8_G6PLX8_1/a_n221_n474#" 4.2676
 cap "preamp_part12_0/a_706_862#" "preamp_part12_0/sky130_fd_pr__pfet_01v8_RFM3CD_1/a_n73_n100#" 44.99
-cap "preamp_part12_0/a_n506_870#" "preamp_part12_0/w_n720_994#" 3.31259
+cap "preamp_part12_0/a_n656_n132#" "preamp_part12_0/a_706_862#" 1.82456
+cap "preamp_part12_0/li_954_n358#" "preamp_part12_0/sky130_fd_pr__pfet_01v8_RFM3CD_1/a_n73_n100#" 0.837766
+cap "preamp_part12_0/a_n506_870#" "preamp_part12_0/a_n656_n132#" 80.5263
+cap "preamp_part12_0/w_n720_994#" "preamp_part12_0/sky130_fd_pr__pfet_01v8_RFM3CD_1/a_n73_n100#" 66.6084
 cap "preamp_part12_0/a_n656_n132#" "preamp_part12_0/w_n720_994#" 16.3235
-cap "preamp_part12_0/sky130_fd_pr__pfet_01v8_RFM3CD_1/a_n73_n100#" "preamp_part12_0/sky130_fd_pr__nfet_01v8_RURP52_0/a_n125_n348#" 25.3554
+cap "preamp_part12_0/sky130_fd_pr__pfet_01v8_RFM3CD_1/a_n73_n100#" "preamp_part12_0/sky130_fd_pr__nfet_01v8_G6PLX8_1/a_n221_n474#" 4.2676
+cap "preamp_part12_0/a_706_862#" "preamp_part12_0/w_n720_994#" 6.08017
+cap "preamp_part12_0/sky130_fd_pr__nfet_01v8_RURP52_0/a_n125_n348#" "preamp_part12_0/sky130_fd_pr__pfet_01v8_RFM3CD_1/a_n73_n100#" 25.3554
+cap "preamp_part12_0/a_n506_870#" "preamp_part12_0/w_n720_994#" 3.31259
+cap "preamp_part12_0/a_n656_n132#" "preamp_part12_0/sky130_fd_pr__pfet_01v8_RFM3CD_1/a_n73_n100#" -0.121863
 cap "preamp_part12_0/a_706_862#" "preamp_part12_0/sky130_fd_pr__pfet_01v8_RFM3CD_1/a_15_n100#" 1.49202
 cap "preamp_part12_0/li_954_n358#" "preamp_part12_0/sky130_fd_pr__pfet_01v8_RFM3CD_1/a_n73_n100#" 1.85294
+cap "preamp_part12_0/sky130_fd_pr__pfet_01v8_RFM3CD_1/a_n73_n100#" "preamp_part12_0/sky130_fd_pr__pfet_01v8_RFM3CD_1/a_15_n100#" 11.6434
 cap "preamp_part12_0/a_706_862#" "preamp_part12_0/sky130_fd_pr__pfet_01v8_RFM3CD_1/a_n73_n100#" -1.77636e-15
-cap "preamp_part12_0/sky130_fd_pr__pfet_01v8_RFM3CD_1/a_15_n100#" "preamp_part12_0/sky130_fd_pr__pfet_01v8_RFM3CD_1/a_n73_n100#" 11.6434
-cap "preamp_part22_0/w_78_306#" "preamp_part22_0/sky130_fd_pr__pfet_01v8_RFM3CD#0_2/a_15_n100#" 0.942857
 cap "preamp_part12_0/sky130_fd_pr__pfet_01v8_RFM3CD_0/a_n73_n100#" "CLK" 5.88032
-cap "preamp_part12_0/sky130_fd_pr__pfet_01v8_RFM3CD_0/a_n73_n100#" "preamp_part22_0/sky130_fd_pr__pfet_01v8_RFM3CD#0_0/a_15_n100#" 26.455
-cap "preamp_part22_0/sky130_fd_pr__pfet_01v8_RFM3CD#0_2/a_15_n100#" "preamp_part22_0/sky130_fd_pr__pfet_01v8_RFM3CD#0_2/a_n15_n126#" 22.3092
-cap "preamp_part22_0/sky130_fd_pr__pfet_01v8_RFM3CD#0_0/a_n15_n126#" "preamp_part22_0/sky130_fd_pr__pfet_01v8_RFM3CD#0_2/a_n15_n126#" 0.242105
+cap "preamp_part22_0/sky130_fd_pr__pfet_01v8_RFM3CD#0_0/a_15_n100#" "preamp_part12_0/sky130_fd_pr__pfet_01v8_RFM3CD_0/a_n73_n100#" 26.455
+cap "preamp_part22_0/sky130_fd_pr__pfet_01v8_RFM3CD#0_2/a_15_n100#" "preamp_part22_0/w_78_306#" 0.942857
 cap "preamp_part22_0/sky130_fd_pr__pfet_01v8_RFM3CD#0_0/a_15_n100#" "preamp_part22_0/w_78_306#" -19.417
-cap "preamp_part22_0/a_392_716#" "preamp_part22_0/w_78_306#" 66.9678
-cap "preamp_part22_0/sky130_fd_pr__pfet_01v8_RFM3CD#0_0/a_15_n100#" "preamp_part22_0/sky130_fd_pr__pfet_01v8_RFM3CD#0_2/a_15_n100#" 12.5837
-cap "preamp_part22_0/sky130_fd_pr__pfet_01v8_RFM3CD#0_3/a_n15_n126#" "preamp_part22_0/w_78_306#" 29.9521
-cap "preamp_part22_0/sky130_fd_pr__pfet_01v8_RFM3CD#0_0/a_15_n100#" "preamp_part22_0/sky130_fd_pr__pfet_01v8_RFM3CD#0_0/a_n15_n126#" 16.8442
-cap "preamp_part22_0/w_78_306#" "preamp_part22_0/sky130_fd_pr__pfet_01v8_RFM3CD#0_2/a_15_n100#" 84.1635
-cap "preamp_part22_0/a_392_716#" "preamp_part22_0/sky130_fd_pr__pfet_01v8_RFM3CD#0_0/a_n15_n126#" 4.97835
-cap "preamp_part12_0/w_n720_994#" "CLK" 0.087766
-cap "preamp_part22_0/sky130_fd_pr__pfet_01v8_RFM3CD#0_0/a_n15_n126#" "preamp_part22_0/w_78_306#" 350.005
-cap "preamp_part22_0/a_392_716#" "preamp_part22_0/sky130_fd_pr__pfet_01v8_RFM3CD#0_1/a_15_n100#" 13.1013
-cap "preamp_part22_0/w_78_306#" "preamp_part22_0/sky130_fd_pr__pfet_01v8_RFM3CD#0_1/a_15_n100#" 70.7327
-cap "preamp_part22_0/sky130_fd_pr__pfet_01v8_RFM3CD#0_0/a_n15_n126#" "preamp_part22_0/sky130_fd_pr__pfet_01v8_RFM3CD#0_2/a_15_n100#" 153.083
-cap "preamp_part22_0/sky130_fd_pr__pfet_01v8_RFM3CD#0_3/a_n15_n126#" "preamp_part22_0/sky130_fd_pr__pfet_01v8_RFM3CD#0_1/a_15_n100#" 22.7509
-cap "latch_3_0/inv_W12_1/GND" "preamp_part22_0/sky130_fd_pr__pfet_01v8_RFM3CD#0_2/a_15_n100#" 1.49202
-cap "preamp_part22_0/sky130_fd_pr__pfet_01v8_RFM3CD#0_0/a_15_n100#" "preamp_part22_0/sky130_fd_pr__pfet_01v8_RFM3CD#0_1/a_n15_n126#" 40.233
-cap "preamp_part22_0/a_392_716#" "preamp_part22_0/sky130_fd_pr__pfet_01v8_RFM3CD#0_1/a_n15_n126#" 23.507
-cap "preamp_part22_0/sky130_fd_pr__pfet_01v8_RFM3CD#0_1/a_n15_n126#" "preamp_part22_0/w_78_306#" 191.461
-cap "latch_3_0/inv_W12_1/GND" "preamp_part22_0/sky130_fd_pr__pfet_01v8_RFM3CD#0_1/a_15_n100#" 1.49202
+cap "preamp_part22_0/sky130_fd_pr__pfet_01v8_RFM3CD#0_2/a_15_n100#" "latch_3_0/inv_W12_1/GND" 1.49202
 cap "preamp_part22_0/sky130_fd_pr__pfet_01v8_RFM3CD#0_3/a_n15_n126#" "preamp_part22_0/sky130_fd_pr__pfet_01v8_RFM3CD#0_1/a_n15_n126#" -0.244681
-cap "preamp_part12_0/w_n720_994#" "CLK" 4.6516
-cap "preamp_part22_0/w_78_306#" "preamp_part22_0/sky130_fd_pr__pfet_01v8_RFM3CD#0_2/a_n15_n126#" 8.62731
+cap "preamp_part22_0/sky130_fd_pr__pfet_01v8_RFM3CD#0_0/a_n15_n126#" "preamp_part22_0/w_78_306#" 350.005
+cap "preamp_part22_0/sky130_fd_pr__pfet_01v8_RFM3CD#0_0/a_n15_n126#" "preamp_part22_0/a_392_716#" 4.97835
+cap "preamp_part12_0/w_n720_994#" "CLK" 0.087766
+cap "preamp_part22_0/sky130_fd_pr__pfet_01v8_RFM3CD#0_2/a_n15_n126#" "preamp_part22_0/w_78_306#" 8.62731
+cap "preamp_part22_0/sky130_fd_pr__pfet_01v8_RFM3CD#0_2/a_15_n100#" "preamp_part22_0/w_78_306#" 84.1635
 cap "preamp_part22_0/sky130_fd_pr__pfet_01v8_RFM3CD#0_1/a_n15_n126#" "preamp_part22_0/sky130_fd_pr__pfet_01v8_RFM3CD#0_1/a_15_n100#" 160.099
-cap "preamp_part12_0/sky130_fd_pr__pfet_01v8_RFM3CD_1/a_15_n100#" "CLK" 1.49202
-cap "fp" "preamp_part12_0/sky130_fd_pr__pfet_01v8_RFM3CD_1/a_15_n100#" 1.42109e-14
-cap "preamp_part22_0/w_78_306#" "latch_3_0/inv_W12_1/GND" 5.6958
-cap "latch_3_0/inv_W12_1/Vout" "latch_3_0/inv_W12_1/GND" 0.261905
-cap "latch_3_0/w_n16_492#" "latch_3_0/inv_W12_1/Vout" 5.71154
-cap "latch_3_0/w_n16_492#" "latch_3_0/inv_W12_1/VDD" 44.5794
-cap "latch_3_0/inv_W12_1/Vin" "latch_3_0/inv_W12_1/GND" 0.991587
+cap "preamp_part22_0/sky130_fd_pr__pfet_01v8_RFM3CD#0_0/a_15_n100#" "preamp_part22_0/sky130_fd_pr__pfet_01v8_RFM3CD#0_0/a_n15_n126#" 16.8442
+cap "preamp_part12_0/w_n720_994#" "CLK" 4.6516
+cap "preamp_part22_0/sky130_fd_pr__pfet_01v8_RFM3CD#0_3/a_n15_n126#" "preamp_part22_0/sky130_fd_pr__pfet_01v8_RFM3CD#0_1/a_15_n100#" 22.7509
+cap "preamp_part22_0/sky130_fd_pr__pfet_01v8_RFM3CD#0_1/a_n15_n126#" "preamp_part22_0/w_78_306#" 191.461
+cap "preamp_part22_0/sky130_fd_pr__pfet_01v8_RFM3CD#0_1/a_n15_n126#" "preamp_part22_0/a_392_716#" 23.507
+cap "latch_3_0/inv_W12_1/GND" "preamp_part22_0/sky130_fd_pr__pfet_01v8_RFM3CD#0_1/a_15_n100#" 1.49202
+cap "preamp_part22_0/sky130_fd_pr__pfet_01v8_RFM3CD#0_3/a_n15_n126#" "preamp_part22_0/w_78_306#" 29.9521
+cap "preamp_part22_0/sky130_fd_pr__pfet_01v8_RFM3CD#0_0/a_15_n100#" "preamp_part22_0/sky130_fd_pr__pfet_01v8_RFM3CD#0_2/a_15_n100#" 12.5837
+cap "preamp_part22_0/sky130_fd_pr__pfet_01v8_RFM3CD#0_0/a_n15_n126#" "preamp_part22_0/sky130_fd_pr__pfet_01v8_RFM3CD#0_2/a_n15_n126#" 0.242105
+cap "preamp_part22_0/sky130_fd_pr__pfet_01v8_RFM3CD#0_2/a_15_n100#" "preamp_part22_0/sky130_fd_pr__pfet_01v8_RFM3CD#0_0/a_n15_n126#" 153.083
+cap "preamp_part22_0/sky130_fd_pr__pfet_01v8_RFM3CD#0_0/a_15_n100#" "preamp_part22_0/sky130_fd_pr__pfet_01v8_RFM3CD#0_1/a_n15_n126#" 40.233
+cap "preamp_part22_0/sky130_fd_pr__pfet_01v8_RFM3CD#0_1/a_15_n100#" "preamp_part22_0/w_78_306#" 70.7327
+cap "preamp_part22_0/a_392_716#" "preamp_part22_0/sky130_fd_pr__pfet_01v8_RFM3CD#0_1/a_15_n100#" 13.1013
+cap "preamp_part22_0/sky130_fd_pr__pfet_01v8_RFM3CD#0_2/a_15_n100#" "preamp_part22_0/sky130_fd_pr__pfet_01v8_RFM3CD#0_2/a_n15_n126#" 22.3092
+cap "preamp_part22_0/a_392_716#" "preamp_part22_0/w_78_306#" 66.9678
+cap "preamp_part12_0/sky130_fd_pr__pfet_01v8_RFM3CD_1/a_15_n100#" "fp" 1.42109e-14
+cap "CLK" "preamp_part12_0/sky130_fd_pr__pfet_01v8_RFM3CD_1/a_15_n100#" 1.49202
+cap "latch_3_0/inv_W12_1/GND" "latch_3_0/inv_W12_1/Vout" 0.261905
 cap "latch_3_0/w_n16_492#" "latch_3_0/inv_W12_1/Vin" -16.739
-cap "latch_3_0/inv_W12_1/Vout" "preamp_part22_0/w_78_306#" 3.20571
-cap "latch_3_0/a_646_808#" "latch_3_0/w_n16_492#" -30.386
-cap "preamp_part22_0/w_78_306#" "preamp_part22_0/sky130_fd_pr__pfet_01v8_RFM3CD#0_0/a_n15_n126#" 0.559322
-cap "latch_3_0/inv_W12_1/Vout" "latch_3_0/inv_W12_1/GND" 0.261905
-cap "preamp_part22_0/sky130_fd_pr__pfet_01v8_RFM3CD_1/a_15_n100#" "latch_3_0/inv_W12_1/GND" 1.49202
-cap "latch_3_0/inv_W12_1/Vout" "latch_3_0/inv_W12_1/Vin" 12.4717
+cap "preamp_part22_0/w_78_306#" "latch_3_0/inv_W12_1/GND" 5.6958
+cap "latch_3_0/inv_W12_1/GND" "latch_3_0/inv_W12_1/Vin" 0.991587
+cap "latch_3_0/inv_W12_1/VDD" "latch_3_0/w_n16_492#" 44.5794
+cap "latch_3_0/w_n16_492#" "latch_3_0/inv_W12_1/Vout" 5.71154
 cap "latch_3_0/inv_W12_1/Vout" "latch_3_0/inv_W12_1/VDD" 1.43617
-cap "latch_3_0/inv_W12_1/Vin" "latch_3_0/w_n16_492#" -152.501
-cap "latch_3_0/w_n16_492#" "latch_3_0/inv_W12_1/VDD" 44.5742
-cap "latch_3_0/inv_W12_1/Vout" "latch_3_0/w_n16_492#" -21.7885
-cap "preamp_part22_0/w_78_306#" "preamp_part22_0/sky130_fd_pr__pfet_01v8_RFM3CD#0_1/a_n15_n126#" 4.59725
-cap "preamp_part22_0/w_78_306#" "latch_3_0/inv_W12_1/GND" 199.078
-cap "latch_3_0/a_646_808#" "latch_3_0/inv_W12_1/VDD" 192.149
-cap "preamp_part22_0/sky130_fd_pr__pfet_01v8_RFM3CD_0/a_n73_n100#" "latch_3_0/inv_W12_1/GND" 1.49202
 cap "preamp_part22_0/w_78_306#" "latch_3_0/inv_W12_1/Vin" 3.22414
-cap "latch_3_0/inv_W12_0/pmos_2uf2_0/a_33_n130#" "latch_3_0/inv_W12_0/pmos_2uf2_0/a_n139_n100#" 14.5665
-cap "latch_3_0/inv_W12_0/nmos_1u_0/sky130_fd_pr__nfet_01v8_7RYEVP_0/a_n73_n69#" "li_1370_1838#" 11.5789
+cap "preamp_part22_0/w_78_306#" "latch_3_0/inv_W12_1/GND" 199.078
+cap "latch_3_0/inv_W12_1/Vout" "latch_3_0/inv_W12_1/Vin" 12.4717
+cap "preamp_part22_0/w_78_306#" "preamp_part22_0/sky130_fd_pr__pfet_01v8_RFM3CD#0_0/a_n15_n126#" 0.559322
+cap "latch_3_0/inv_W12_1/GND" "latch_3_0/inv_W12_1/Vout" 0.261905
+cap "latch_3_0/inv_W12_1/GND" "preamp_part22_0/sky130_fd_pr__pfet_01v8_RFM3CD_0/a_n73_n100#" 1.49202
+cap "latch_3_0/w_n16_492#" "latch_3_0/a_646_808#" -30.386
+cap "preamp_part22_0/w_78_306#" "preamp_part22_0/sky130_fd_pr__pfet_01v8_RFM3CD#0_1/a_n15_n126#" 4.59725
+cap "latch_3_0/inv_W12_1/Vout" "latch_3_0/w_n16_492#" -21.7885
+cap "latch_3_0/inv_W12_1/GND" "preamp_part22_0/sky130_fd_pr__pfet_01v8_RFM3CD_1/a_15_n100#" 1.49202
+cap "latch_3_0/w_n16_492#" "latch_3_0/inv_W12_1/VDD" 44.5742
+cap "preamp_part22_0/w_78_306#" "latch_3_0/inv_W12_1/Vout" 3.20571
+cap "latch_3_0/w_n16_492#" "latch_3_0/inv_W12_1/Vin" -152.501
+cap "latch_3_0/a_646_808#" "latch_3_0/inv_W12_1/VDD" 192.149
 cap "latch_3_0/inv_W12_0/pmos_2uf2_0/a_33_n130#" "latch_3_0/sky130_fd_pr__pfet_01v8_GJYUB2_0/w_n305_n200#" -32.82
+cap "latch_3_0/inv_W12_0/pmos_2uf2_0/a_33_n130#" "latch_3_0/inv_W12_0/pmos_2uf2_0/a_n139_n100#" 14.5665
 cap "latch_3_0/inv_W12_0/pmos_2uf2_0/a_33_n130#" "latch_3_0/inv_W12_0/Vout" 28.9979
-cap "latch_3_0/w_n16_492#" "latch_3_0/a_646_808#" 0.763006
+cap "li_1370_1838#" "latch_3_0/inv_W12_0/nmos_1u_0/sky130_fd_pr__nfet_01v8_7RYEVP_0/a_n73_n69#" 11.5789
 cap "SR_latch_0/GND" "SR_latch_0/a_518_392#" 8.77457
-cap "latch_3_0/inv_W12_1/VDD" "latch_3_0/a_646_808#" 16.3447
 cap "SR_latch_0/VDD" "SR_latch_0/a_518_392#" 11.4371
-cap "SR_latch_0/a_262_508#" "SR_latch_0/GND" 5.93258
-cap "SR_latch_0/sky130_fd_pr__nfet_01v8_F5U58G_1/a_n15_n126#" "SR_latch_0/GND" 8.2
 cap "SR_latch_0/sky130_fd_pr__nfet_01v8_F5U58G_0/a_n15_n126#" "SR_latch_0/GND" 26.4556
-cap "SR_latch_0/a_262_508#" "SR_latch_0/a_518_392#" -6.09554
-cap "SR_latch_0/a_262_508#" "SR_latch_0/VDD" 33.2791
 cap "SR_latch_0/sky130_fd_pr__nfet_01v8_F5U58G_0/a_n15_n126#" "SR_latch_0/a_518_392#" 0.402439
+cap "latch_3_0/a_646_808#" "latch_3_0/w_n16_492#" 0.763006
+cap "latch_3_0/a_646_808#" "latch_3_0/inv_W12_1/VDD" 16.3447
+cap "SR_latch_0/GND" "SR_latch_0/a_262_508#" 5.93258
+cap "SR_latch_0/sky130_fd_pr__nfet_01v8_F5U58G_1/a_n15_n126#" "SR_latch_0/GND" 8.2
+cap "SR_latch_0/a_262_508#" "SR_latch_0/VDD" 33.2791
+cap "SR_latch_0/a_262_508#" "SR_latch_0/a_518_392#" -6.09554
 cap "VDD" "Dn" -26.8
-cap "SR_latch_0/a_518_392#" "SR_latch_0/VDD" 46.8405
-cap "SR_latch_0/a_518_392#" "SR_latch_0/a_262_508#" 8.88178e-16
 cap "SR_latch_0/VDD" "SR_latch_0/a_262_508#" 63.5933
+cap "SR_latch_0/VDD" "SR_latch_0/a_518_392#" 46.8405
+cap "SR_latch_0/a_262_508#" "SR_latch_0/a_518_392#" 8.88178e-16
 merge "latch_3_0/a_646_808#" "preamp_part22_0/sky130_fd_pr__pfet_01v8_RFM3CD#0_1/a_n15_n126#" -4082.38 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 -636128 -812 0 0 -831388 0 -643856 0 336716 -1158 -89784 -2812 0 0 0 0 0 0
 merge "preamp_part22_0/sky130_fd_pr__pfet_01v8_RFM3CD#0_1/a_n15_n126#" "a_1110_1656#"
 merge "a_1110_1656#" "preamp_part22_0/sky130_fd_pr__pfet_01v8_RFM3CD#0_0/a_n15_n126#"
diff --git a/mag/latch_3.ext b/mag/latch_3.ext
index 0dea578..d3c6557 100644
--- a/mag/latch_3.ext
+++ b/mag/latch_3.ext
@@ -20,47 +20,47 @@
 node "a_646_808#" 554 39.4956 646 808 p 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 14904 900 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0
 node "w_n16_492#" 11438 1959.6 -16 492 nw 0 0 0 0 653200 4816 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0
 substrate "VSUBS" 0 0 -1073741817 -1073741817 space 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0
-cap "li_192_434#" "li_1408_254#" 31.1746
-cap "li_192_434#" "li_302_244#" 18.6614
-cap "li_480_436#" "li_192_434#" 12.6212
-cap "li_480_436#" "li_452_900#" 13.252
-cap "a_646_808#" "a_646_528#" 39.0246
-cap "m1_686_734#" "li_192_434#" 18.1579
-cap "m1_686_734#" "a_646_808#" 53.206
+cap "li_480_436#" "li_474_0#" 55
+cap "w_n16_492#" "li_452_900#" 344.818
+cap "li_192_434#" "li_480_436#" 12.6212
+cap "w_n16_492#" "a_646_808#" 247.5
+cap "w_n16_492#" "m1_686_734#" 247.667
 cap "a_646_808#" "li_452_900#" 60.1928
-cap "m1_686_734#" "li_452_900#" 156.465
-cap "li_480_436#" "w_n16_492#" 131.2
-cap "a_646_528#" "w_n16_492#" 247.5
-cap "li_474_0#" "li_480_436#" 55
+cap "li_452_900#" "m1_686_734#" 156.465
+cap "li_192_434#" "li_302_244#" 18.6614
+cap "w_n16_492#" "a_646_528#" 247.5
+cap "a_646_808#" "m1_686_734#" 53.206
 cap "li_192_434#" "w_n16_492#" 68.8525
-cap "a_646_808#" "w_n16_492#" 247.5
-cap "m1_686_734#" "w_n16_492#" 247.667
-cap "li_452_900#" "w_n16_492#" 344.818
-cap "inv_W12_1/Vout" "sky130_fd_pr__pfet_01v8_GJYUB2_0/w_n305_n200#" -3.3815
-cap "sky130_fd_pr__pfet_01v8_GJYUB2_0/w_n305_n200#" "inv_W12_1/Vin" -17.0349
-cap "sky130_fd_pr__pfet_01v8_GJYUB2_0/a_n269_n100#" "sky130_fd_pr__pfet_01v8_GJYUB2_0/a_n177_n100#" 196.5
-cap "sky130_fd_pr__pfet_01v8_GJYUB2_0/a_n269_n100#" "inv_W12_1/GND" -1.77636e-15
-cap "sky130_fd_pr__pfet_01v8_GJYUB2_0/a_n207_n128#" "inv_W12_1/Vout" 10.1115
-cap "sky130_fd_pr__pfet_01v8_GJYUB2_0/a_n207_n128#" "inv_W12_1/Vin" 12.4249
-cap "sky130_fd_pr__pfet_01v8_GJYUB2_0/a_n269_n100#" "inv_W12_1/Vout" 46.1642
+cap "li_192_434#" "li_1408_254#" 31.1746
+cap "w_n16_492#" "li_480_436#" 131.2
+cap "a_646_808#" "a_646_528#" 39.0246
+cap "li_452_900#" "li_480_436#" 13.252
+cap "li_192_434#" "m1_686_734#" 18.1579
 cap "sky130_fd_pr__pfet_01v8_GJYUB2_0/a_n269_n100#" "inv_W12_1/Vin" 68.5137
-cap "sky130_fd_pr__pfet_01v8_GJYUB2_0/a_n207_n128#" "sky130_fd_pr__pfet_01v8_GJYUB2_0/w_n305_n200#" -153.45
-cap "sky130_fd_pr__pfet_01v8_GJYUB2_0/a_n269_n100#" "sky130_fd_pr__pfet_01v8_GJYUB2_0/w_n305_n200#" -17.0554
+cap "sky130_fd_pr__pfet_01v8_GJYUB2_0/a_n207_n128#" "inv_W12_1/Vout" 10.1115
 cap "sky130_fd_pr__pfet_01v8_GJYUB2_0/a_n177_n100#" "inv_W12_1/Vin" 19.864
-cap "inv_W12_1/Vout" "sky130_fd_pr__pfet_01v8_GJYUB2_0/a_n177_n100#" 53.0501
-cap "inv_W12_1/Vout" "inv_W12_1/GND" -5.89777
+cap "sky130_fd_pr__pfet_01v8_GJYUB2_0/a_n269_n100#" "inv_W12_1/GND" -1.77636e-15
+cap "sky130_fd_pr__pfet_01v8_GJYUB2_0/a_n207_n128#" "sky130_fd_pr__pfet_01v8_GJYUB2_0/w_n305_n200#" -153.45
+cap "sky130_fd_pr__pfet_01v8_GJYUB2_0/w_n305_n200#" "inv_W12_1/Vout" -3.3815
+cap "sky130_fd_pr__pfet_01v8_GJYUB2_0/a_n269_n100#" "inv_W12_1/Vout" 46.1642
+cap "sky130_fd_pr__pfet_01v8_GJYUB2_0/a_n177_n100#" "inv_W12_1/Vout" 53.0501
 cap "inv_W12_1/GND" "inv_W12_1/Vin" 123.372
-cap "inv_W12_1/Vout" "inv_W12_1/Vin" 86.8557
+cap "sky130_fd_pr__pfet_01v8_GJYUB2_0/a_n269_n100#" "sky130_fd_pr__pfet_01v8_GJYUB2_0/w_n305_n200#" -17.0554
 cap "sky130_fd_pr__pfet_01v8_GJYUB2_0/w_n305_n200#" "sky130_fd_pr__pfet_01v8_GJYUB2_0/a_n177_n100#" -98.7684
-cap "sky130_fd_pr__pfet_01v8_GJYUB2_0/a_207_n100#" "inv_W12_0/VDD" 14.0512
+cap "sky130_fd_pr__pfet_01v8_GJYUB2_0/a_n207_n128#" "inv_W12_1/Vin" 12.4249
+cap "sky130_fd_pr__pfet_01v8_GJYUB2_0/a_n269_n100#" "sky130_fd_pr__pfet_01v8_GJYUB2_0/a_n177_n100#" 196.5
+cap "inv_W12_1/Vout" "inv_W12_1/Vin" 86.8557
+cap "inv_W12_1/GND" "inv_W12_1/Vout" -5.89777
+cap "sky130_fd_pr__pfet_01v8_GJYUB2_0/w_n305_n200#" "inv_W12_1/Vin" -17.0349
+cap "inv_W12_0/VDD" "sky130_fd_pr__pfet_01v8_GJYUB2_0/a_207_n100#" 14.0512
+cap "inv_W12_0/VDD" "sky130_fd_pr__pfet_01v8_GJYUB2_0/w_n305_n200#" -38.3565
+cap "inv_W12_0/VDD" "inv_W12_0/Vout" 24.5687
+cap "inv_W12_0/Vin" "sky130_fd_pr__pfet_01v8_GJYUB2_0/a_177_n128#" 4.22145
+cap "sky130_fd_pr__pfet_01v8_GJYUB2_0/a_207_n100#" "inv_W12_0/Vout" 10.2987
 cap "inv_W12_0/Vout" "sky130_fd_pr__pfet_01v8_GJYUB2_0/w_n305_n200#" -1.06581e-14
 cap "inv_W12_0/Vout" "inv_W12_0/GND" -4.00714
-cap "inv_W12_0/Vout" "sky130_fd_pr__pfet_01v8_GJYUB2_0/a_207_n100#" 10.2987
-cap "inv_W12_0/Vout" "inv_W12_0/Vin" -87.3484
-cap "inv_W12_0/Vout" "inv_W12_0/VDD" 24.5687
 cap "inv_W12_0/Vin" "sky130_fd_pr__pfet_01v8_GJYUB2_0/w_n305_n200#" -12.1
-cap "inv_W12_0/VDD" "sky130_fd_pr__pfet_01v8_GJYUB2_0/w_n305_n200#" -38.3565
-cap "inv_W12_0/Vin" "sky130_fd_pr__pfet_01v8_GJYUB2_0/a_177_n128#" 4.22145
+cap "inv_W12_0/Vin" "inv_W12_0/Vout" -87.3484
 merge "inv_W12_0/Vout" "inv_W12_0/nmos_1u_0/sky130_fd_pr__nfet_01v8_7RYEVP_0/a_15_n69#" -210.297 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 -300 -80 0 0 -17084 -788 0 0 0 0 0 0 0 0 0 0 0 0
 merge "inv_W12_0/nmos_1u_0/sky130_fd_pr__nfet_01v8_7RYEVP_0/a_15_n69#" "li_1408_254#"
 merge "li_1408_254#" "inv_W12_1/Vin"
diff --git a/mag/sky130_fd_pr__diode_pd2nw_05v5_RT56W3.ext b/mag/sky130_fd_pr__diode_pd2nw_05v5_RT56W3.ext
index 6a48662..883b67f 100644
--- a/mag/sky130_fd_pr__diode_pd2nw_05v5_RT56W3.ext
+++ b/mag/sky130_fd_pr__diode_pd2nw_05v5_RT56W3.ext
@@ -1,4 +1,4 @@
-timestamp 1654337383
+timestamp 1654421061
 version 8.3
 tech sky130A
 style ngspice()
@@ -12,4 +12,4 @@
 cap "a_n45_n45#" "li_n285_n285#" 51.2341
 cap "a_n45_n45#" "w_n183_n183#" 285.521
 cap "w_n183_n183#" "li_n285_n285#" 455.11
-device pdiode sky130_fd_pr__diode_pd2nw_05v5 -45 -45 -44 -44 a=8100 p=360 "w_n183_n183#" "a_n45_n45#" 132 0 "w_n183_n183#" 128 0
+device pdiode sky130_fd_pr__diode_pd2nw_05v5 -45 -45 -44 -44 a=8100 p=360 "w_n183_n183#" "a_n45_n45#" 132 0 "w_n183_n183#" 0 0
diff --git a/mag/sky130_fd_pr__diode_pd2nw_05v5_RT56W3.mag b/mag/sky130_fd_pr__diode_pd2nw_05v5_RT56W3.mag
index 968374b..027b023 100644
--- a/mag/sky130_fd_pr__diode_pd2nw_05v5_RT56W3.mag
+++ b/mag/sky130_fd_pr__diode_pd2nw_05v5_RT56W3.mag
@@ -1,7 +1,7 @@
 magic
 tech sky130A
 magscale 1 2
-timestamp 1654337383
+timestamp 1654421061
 << nwell >>
 rect -183 -183 183 183
 << pwell >>
diff --git a/mag/sky130_fd_pr__diode_pw2nd_05v5_GT7E3L.ext b/mag/sky130_fd_pr__diode_pw2nd_05v5_GT7E3L.ext
new file mode 100644
index 0000000..b2bc49f
--- /dev/null
+++ b/mag/sky130_fd_pr__diode_pw2nd_05v5_GT7E3L.ext
@@ -0,0 +1,10 @@
+timestamp 1654419227
+version 8.3
+tech sky130A
+style ngspice()
+scale 1000 1 500000
+resistclasses 4400000 2200000 1700000 3050000 120000 197000 114000 191000 120000 197000 114000 191000 48200 319800 2000000 48200 48200 12200 125 125 47 47 29 5
+parameters sky130_fd_pr__diode_pw2nd_05v5 a=area p=pj
+node "a_n45_n45#" 18 210.081 -45 -45 ndi 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 6468 328 0 0 0 0 0 0 0 0 0 0 0 0
+substrate "w_n183_n183#" 0 0 -183 -183 pw 133956 1464 0 0 0 0 0 0 0 0 35360 2080 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 35360 2080 0 0 0 0 0 0 0 0 0 0 0 0
+device ndiode sky130_fd_pr__diode_pw2nd_05v5 -45 -45 -44 -44 a=8100 p=360 "w_n183_n183#" "a_n45_n45#" 132 0 "w_n183_n183#" 0 0
diff --git a/mag/sky130_fd_pr__diode_pw2nd_05v5_GT7E3L.mag b/mag/sky130_fd_pr__diode_pw2nd_05v5_GT7E3L.mag
new file mode 100644
index 0000000..4c36c5a
--- /dev/null
+++ b/mag/sky130_fd_pr__diode_pw2nd_05v5_GT7E3L.mag
@@ -0,0 +1,38 @@
+magic
+tech sky130A
+magscale 1 2
+timestamp 1654419227
+<< pwell >>
+rect -183 -183 183 183
+<< psubdiff >>
+rect -147 113 147 147
+rect -147 51 -113 113
+rect 113 51 147 113
+rect -147 -113 -113 -51
+rect 113 -113 147 -51
+rect -147 -147 147 -113
+<< psubdiffcont >>
+rect -147 -51 -113 51
+rect 113 -51 147 51
+<< ndiode >>
+rect -45 33 45 45
+rect -45 -33 -33 33
+rect 33 -33 45 33
+rect -45 -45 45 -33
+<< ndiodec >>
+rect -33 -33 33 33
+<< locali >>
+rect -147 113 147 147
+rect -147 51 -113 113
+rect 113 51 147 113
+rect -49 -33 -33 33
+rect 33 -33 49 33
+rect -147 -113 -113 -51
+rect 113 -113 147 -51
+rect -147 -147 147 -113
+<< properties >>
+string FIXED_BBOX -130 -130 130 130
+string gencell sky130_fd_pr__diode_pw2nd_05v5
+string library sky130
+string parameters w 0.45 l 0.45 area 202.5m peri 1.8 nx 1 ny 1 dummy 0 lmin 0.45 wmin 0.45 elc 1 erc 1 etc 0 ebc 0 doverlap 0 compatible {sky130_fd_pr__diode_pw2nd_05v5 sky130_fd_pr__diode_pw2nd_05v5_lvt  sky130_fd_pr__diode_pw2nd_05v5_nvt sky130_fd_pr__diode_pw2nd_11v0} full_metal 1 vias 0 viagb 0 viagt 0 viagl 0 viagr 0
+<< end >>
diff --git a/mag/sky130_fd_pr__diode_pw2nd_05v5_GT7G3L.ext b/mag/sky130_fd_pr__diode_pw2nd_05v5_GT7G3L.ext
index 5029b34..e77b3da 100644
--- a/mag/sky130_fd_pr__diode_pw2nd_05v5_GT7G3L.ext
+++ b/mag/sky130_fd_pr__diode_pw2nd_05v5_GT7G3L.ext
@@ -1,4 +1,4 @@
-timestamp 1654337383
+timestamp 1654421061
 version 8.3
 tech sky130A
 style ngspice()
@@ -7,4 +7,4 @@
 parameters sky130_fd_pr__diode_pw2nd_05v5 a=area p=pj
 node "a_n45_n45#" 18 219.921 -45 -45 ndi 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 6468 328 7020 336 0 0 0 0 0 0 0 0 0 0
 substrate "w_n183_n183#" 0 0 -183 -183 pw 133956 1464 0 0 0 0 0 0 0 0 35360 2080 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 35360 2080 0 0 0 0 0 0 0 0 0 0 0 0
-device ndiode sky130_fd_pr__diode_pw2nd_05v5 -45 -45 -44 -44 a=8100 p=360 "w_n183_n183#" "a_n45_n45#" 132 0 "w_n183_n183#" 128 0
+device ndiode sky130_fd_pr__diode_pw2nd_05v5 -45 -45 -44 -44 a=8100 p=360 "w_n183_n183#" "a_n45_n45#" 132 0 "w_n183_n183#" 0 0
diff --git a/mag/sky130_fd_pr__diode_pw2nd_05v5_GT7G3L.mag b/mag/sky130_fd_pr__diode_pw2nd_05v5_GT7G3L.mag
index 767f7c7..fb73755 100644
--- a/mag/sky130_fd_pr__diode_pw2nd_05v5_GT7G3L.mag
+++ b/mag/sky130_fd_pr__diode_pw2nd_05v5_GT7G3L.mag
@@ -1,7 +1,7 @@
 magic
 tech sky130A
 magscale 1 2
-timestamp 1654337383
+timestamp 1654421061
 << pwell >>
 rect -183 -183 183 183
 << psubdiff >>
diff --git a/mag/user_analog_project_wrapper.ext b/mag/user_analog_project_wrapper.ext
index 6d5347d..054798b 100644
--- a/mag/user_analog_project_wrapper.ext
+++ b/mag/user_analog_project_wrapper.ext
@@ -1,30 +1,32 @@
-timestamp 1654353386
+timestamp 1654422557
 version 8.3
 tech sky130A
 style ngspice()
 scale 1000 1 500000
 resistclasses 4400000 2200000 1700000 3050000 120000 197000 114000 191000 120000 197000 114000 191000 48200 319800 2000000 48200 48200 12200 125 125 47 47 29 5
-use sky130_fd_pr__diode_pd2nw_05v5_RT56W3 sky130_fd_pr__diode_pd2nw_05v5_RT56W3_0 1 0 73460 0 1 687284
-use sky130_fd_pr__diode_pw2nd_05v5_GT7G3L sky130_fd_pr__diode_pw2nd_05v5_GT7G3L_0 1 0 67548 0 1 687302
-use sky130_fd_sc_hd__buf_2 sky130_fd_sc_hd__buf_2_2 0 1 70516 -1 0 673768
-use sky130_fd_pr__diode_pd2nw_05v5_RT56W3 sky130_fd_pr__diode_pd2nw_05v5_RT56W3_1 1 0 125458 0 1 695284
-use sky130_fd_pr__diode_pw2nd_05v5_3P6M5Y sky130_fd_pr__diode_pw2nd_05v5_3P6M5Y_0 1 0 119582 0 1 695306
-use sky130_fd_sc_hd__buf_2 sky130_fd_sc_hd__buf_2_3 0 1 122500 -1 0 673516
-use comparator_v6 comparator_v6_0 0 1 419250 1 0 584722
-use sky130_fd_sc_hd__buf_16 sky130_fd_sc_hd__buf_16_0 0 -1 415748 1 0 686664
-use sky130_fd_sc_hd__buf_16 sky130_fd_sc_hd__buf_16_2 1 0 406656 0 1 587358
-use sky130_fd_sc_hd__buf_16 sky130_fd_sc_hd__buf_16_3 1 0 406646 0 1 585256
-use sky130_fd_sc_hd__buf_2 sky130_fd_sc_hd__buf_2_0 0 -1 440640 1 0 591294
-use sky130_fd_sc_hd__buf_2 sky130_fd_sc_hd__buf_2_1 0 -1 448994 1 0 591314
-use sky130_fd_sc_hd__buf_16 sky130_fd_sc_hd__buf_16_1 0 -1 467556 1 0 686698
-use sky130_fd_sc_hd__buf_2 sky130_fd_sc_hd__buf_2_4 0 1 569338 -1 0 689064
-use sky130_fd_sc_hd__buf_16 sky130_fd_sc_hd__buf_16_4 0 1 569338 -1 0 688388
+use sky130_fd_sc_hd__buf_2 sky130_fd_sc_hd__buf_2_0 1 0 85632 0 1 585240
+use sky130_fd_sc_hd__buf_2 sky130_fd_sc_hd__buf_2_1 1 0 103250 0 1 567442
+use sky130_fd_sc_hd__buf_16 sky130_fd_sc_hd__buf_16_0 1 0 87054 0 1 585244
+use sky130_fd_sc_hd__buf_16 sky130_fd_sc_hd__buf_16_1 1 0 108006 0 1 567526
+use sky130_fd_sc_hd__buf_2 sky130_fd_sc_hd__buf_2_2 1 0 134624 0 1 599244
+use sky130_fd_sc_hd__buf_16 sky130_fd_sc_hd__buf_16_2 1 0 136168 0 1 599250
+use comparator_v6 comparator_v6_0 0 1 419266 1 0 584802
+use sky130_fd_pr__diode_pd2nw_05v5_RT56W3 sky130_fd_pr__diode_pd2nw_05v5_RT56W3_0 1 0 74128 0 1 662860
+use sky130_fd_pr__diode_pw2nd_05v5_GT7E3L sky130_fd_pr__diode_pw2nd_05v5_GT7E3L_0 1 0 67028 0 1 663058
+use sky130_fd_sc_hd__buf_2 sky130_fd_sc_hd__buf_2_3 0 -1 440656 1 0 655486
+use sky130_fd_sc_hd__buf_2 sky130_fd_sc_hd__buf_2_4 0 -1 449242 1 0 655494
+use sky130_fd_pr__diode_pw2nd_05v5_GT7G3L sky130_fd_pr__diode_pw2nd_05v5_GT7G3L_0 1 0 118990 0 1 695058
+use sky130_fd_pr__diode_pd2nw_05v5_RT56W3 sky130_fd_pr__diode_pd2nw_05v5_RT56W3_1 1 0 126100 0 1 694854
+use sky130_fd_sc_hd__buf_16 sky130_fd_sc_hd__buf_16_3 0 -1 415762 1 0 686886
+use sky130_fd_sc_hd__buf_16 sky130_fd_sc_hd__buf_16_4 0 -1 467696 1 0 686840
 port "io_analog[4]" 41 329294 702300 334294 704800 m5
 port "io_analog[4]" 47 318994 702300 323994 704800 m5
 port "io_analog[4]" 41 329294 702300 334294 704800 m4
 port "io_analog[4]" 47 318994 702300 323994 704800 m4
-port "io_analog[5]" 42 227594 702300 232594 704800 m4
 port "io_analog[5]" 48 217294 702300 222294 704800 m4
+port "io_analog[5]" 42 227594 702300 232594 704800 m4
+port "io_analog[6]" 49 165594 702300 170594 704800 m4
+port "io_analog[6]" 43 175894 702300 180894 704800 m4
 port "io_in_3v3[0]" 83 583520 1544 584800 1656 m3
 port "io_oeb[26]" 128 -800 1544 480 1656 m3
 port "io_in[0]" 56 583520 2726 584800 2838 m3
@@ -183,9 +185,9 @@
 port "io_in[13]" 60 583520 587108 584800 587220 m3
 port "io_out[13]" 141 583520 588290 584800 588402 m3
 port "io_oeb[13]" 114 583520 589472 584800 589584 m3
+port "io_analog[0]" 36 582300 677984 584800 682984 m3
 port "vccd2" 555 0 633842 1660 638642 m3
 port "vccd2" 554 0 643842 1660 648642 m3
-port "io_analog[10]" 37 0 680242 1700 685242 m3
 port "io_analog[4]" 41 329294 702300 334294 704800 m3
 port "io_clamp_high[0]" 50 326794 702300 328994 704800 m3
 port "io_clamp_low[0]" 53 324294 702300 326494 704800 m3
@@ -193,6 +195,8 @@
 port "io_analog[5]" 42 227594 702300 232594 704800 m3
 port "io_analog[5]" 48 217294 702300 222294 704800 m3
 port "io_analog[6]" 43 175894 702300 180894 704800 m3
+port "io_analog[6]" 49 165594 702300 170594 704800 m3
+port "io_analog[10]" 37 0 680242 1700 685242 m3
 port "io_analog[9]" 46 16194 702300 21194 704800 m3
 port "user_irq[2]" 551 583250 -800 583362 480 m2
 port "user_irq[1]" 550 582068 -800 582180 480 m2
@@ -689,34 +693,28 @@
 port "wb_rst_i" 573 1706 -800 1818 480 m2
 port "wb_clk_i" 572 524 -800 636 480 m2
 port "io_analog[6]" 49 165594 702300 170594 704800 m5
-port "io_analog[5]" 42 227594 702300 232594 704800 m5
+port "io_analog[6]" 43 175894 702300 180894 704800 m5
 port "io_analog[5]" 48 217294 702300 222294 704800 m5
-port "io_analog[8]" 45 68194 702300 73194 704800 m3
-port "io_analog[7]" 44 120194 702300 125194 704800 m3
-port "io_analog[0]" 36 582300 677984 584800 682984 m3
+port "io_analog[5]" 42 227594 702300 232594 704800 m5
 port "io_analog[1]" 38 566594 702300 571594 704800 m3
+port "io_analog[7]" 44 120194 702300 125194 704800 m3
+port "io_analog[8]" 45 68194 702300 73194 704800 m3
 port "io_analog[3]" 40 413394 702300 418394 704800 m3
 port "io_analog[2]" 39 465394 702300 470394 704800 m3
-port "io_clamp_high[1]" 51 225094 702300 227294 704800 m3
-port "io_clamp_high[2]" 52 173394 702300 175594 704800 m3
-port "vccd1" 552 582340 639784 584800 644584 m3
-port "vccd1" 553 582340 629784 584800 634584 m3
-port "io_clamp_low[1]" 54 222594 702300 224794 704800 m3
-port "io_clamp_low[2]" 55 170894 702300 173094 704800 m3
-port "vssa1" 562 520594 702340 525394 704800 m3
 port "vssa1" 563 510594 702340 515394 704800 m3
+port "vssa1" 562 520594 702340 525394 704800 m3
+port "io_clamp_low[2]" 55 170894 702300 173094 704800 m3
+port "io_clamp_low[1]" 54 222594 702300 224794 704800 m3
+port "vccd1" 553 582340 629784 584800 634584 m3
+port "vccd1" 552 582340 639784 584800 644584 m3
+port "io_clamp_high[2]" 52 173394 702300 175594 704800 m3
+port "io_clamp_high[1]" 51 225094 702300 227294 704800 m3
 node "io_analog[4]" 0 2925 329294 702300 m5 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 12500000 15000 0 0
 node "io_analog[4]" 0 2925 318994 702300 m5 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 12500000 15000 0 0
-node "m4_141154_541976#" 0 136634 141154 541976 m4 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 64946256 32764 0 0 0 0
-node "m4_204098_586508#" 0 29225.1 204098 586508 m4 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 102988136 48164 0 0 0 0
-node "m4_186716_584374#" 0 28885.8 186716 584374 m4 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 99919296 50928 0 0 0 0
 node "io_analog[4]" 0 2775 329294 702300 m4 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 12500000 15000 0 0 0 0
 node "io_analog[4]" 0 2775 318994 702300 m4 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 12500000 15000 0 0 0 0
-node "m4_180902_677200#" 0 18042.4 180902 677200 m4 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 59779532 32900 0 0 0 0
-node "m4_170578_677212#" 0 32740.5 170578 677212 m4 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 29023892 21576 0 0 0 0
-node "io_analog[5]" 0 123156 217294 702300 m4 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 379084312 156980 0 0 0 0
-node "m4_175894_702434#" 0 1784.14 175894 702434 m4 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 11829828 14732 0 0 0 0
-node "m4_165578_698240#" 0 4284.6 165578 698240 m4 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 32838712 23160 0 0 0 0
+node "io_analog[5]" 0 93629.5 227594 702300 m4 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 379159248 156980 0 0 0 0
+node "io_analog[6]" 0 81324.7 175894 702300 m4 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 365806656 152224 0 0 0 0
 node "io_in_3v3[0]" 1 613.728 583520 1544 m3 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 143360 2784 0 0 0 0 0 0
 node "io_oeb[26]" 1 613.728 -800 1544 m3 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 143360 2784 0 0 0 0 0 0
 node "io_in[0]" 1 613.728 583520 2726 m3 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 143360 2784 0 0 0 0 0 0
@@ -875,18 +873,19 @@
 node "io_in[13]" 1 613.728 583520 587108 m3 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 143360 2784 0 0 0 0 0 0
 node "io_out[13]" 1 613.728 583520 588290 m3 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 143360 2784 0 0 0 0 0 0
 node "io_oeb[13]" 1 613.728 583520 589472 m3 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 143360 2784 0 0 0 0 0 0
+node "io_analog[0]" 0 6825 582300 677984 m3 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 12500000 15000 0 0 0 0 0 0
 node "vccd2" 0 6519 0 633842 m3 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 11808000 14520 0 0 0 0 0 0
 node "vccd2" 0 6519 0 643842 m3 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 11808000 14520 0 0 0 0 0 0
-node "io_analog[10]" 0 6825 0 680242 m3 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 12500000 15000 0 0 0 0 0 0
 node "io_analog[4]" 0 6825 329294 702300 m3 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 12500000 15000 0 0 0 0 0 0
 node "io_clamp_high[0]" 0 3577 326794 702300 m3 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 5500000 9400 0 0 0 0 0 0
 node "io_clamp_low[0]" 0 3577 324294 702300 m3 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 5500000 9400 0 0 0 0 0 0
 node "io_analog[4]" 0 6825 318994 702300 m3 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 12500000 15000 0 0 0 0 0 0
 node "io_analog[5]" 0 6825 227594 702300 m3 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 12500000 15000 0 0 0 0 0 0
-node "io_analog[5]" 0 7448.51 217294 702300 m3 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 12500000 15000 0 0 0 0 0 0
+node "io_analog[5]" 0 6825 217294 702300 m3 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 12500000 15000 0 0 0 0 0 0
 node "io_analog[6]" 0 6825 175894 702300 m3 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 12500000 15000 0 0 0 0 0 0
-node "m3_165578_698240#" 0 16000 165578 698240 m3 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 32838712 23160 0 0 0 0 0 0
-node "io_analog[9]" 0 6825 16194 702300 m3 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 12500000 15000 0 0 0 0 0 0
+node "io_analog[6]" 0 22864.5 165594 702300 m3 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 54473936 31816 0 0 0 0 0 0
+node "io_analog[10]" 0 6825 0 680242 m3 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 12500000 15000 0 0 0 0 0 0
+node "io_analog[9]" 0 6978.18 16194 702300 m3 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 12884868 15184 0 0 0 0 0 0
 node "user_irq[2]" 1 589.888 583250 -800 m2 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 143360 2784 0 0 0 0 0 0 0 0
 node "user_irq[1]" 1 589.888 582068 -800 m2 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 143360 2784 0 0 0 0 0 0 0 0
 node "user_irq[0]" 1 589.888 580886 -800 m2 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 143360 2784 0 0 0 0 0 0 0 0
@@ -1381,596 +1380,387 @@
 node "wbs_ack_o" 1 589.888 2888 -800 m2 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 143360 2784 0 0 0 0 0 0 0 0
 node "wb_rst_i" 1 589.888 1706 -800 m2 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 143360 2784 0 0 0 0 0 0 0 0
 node "wb_clk_i" 1 589.888 524 -800 m2 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 143360 2784 0 0 0 0 0 0 0 0
-node "io_analog[6]" 1 266501 165594 702300 m5 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 758240 4648 487552 2836 487552 2836 487552 2836 930690840 795464 0 0
-node "Vp" 1 230327 416354 585850 m1 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 753048 4592 497772 2864 497772 2864 497772 2864 985495104 756744 0 0
+node "Vn" 1 221975 416354 584586 m1 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 796084 5048 487552 2836 487552 2836 487552 2836 932051384 795236 0 0
+equiv "Vn" "io_analog[6]"
+node "Vp" 1 212511 416354 586296 m1 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 866280 5396 516208 2908 516208 2908 516208 2908 985744088 755564 0 0
 equiv "Vp" "io_analog[5]"
-node "m1_415698_686666#" 0 839.56 415698 686666 m1 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 7906 370 0 0 0 0 0 0 0 0 0 0
-node "li_408616_585444#" 200 4813.02 408616 585444 li 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 185508 3680 47824 880 47824 880 1431072 15116 0 0 0 0 0 0
-node "li_408624_587536#" 210 7054.83 408624 587536 li 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 177152 3668 47824 880 47824 880 2521520 24668 0 0 0 0 0 0
-node "li_448544_589824#" 161 26718.7 448544 589824 li 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 302976 4280 8447328 56284 952444 8292 17361320 17592 0 0 0 0 0 0
-node "li_440216_589824#" 152 19897.6 440216 589824 li 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 326300 4232 5978996 39464 1130228 8540 13391408 14952 0 0 0 0 0 0
-node "li_122782_673260#" 51 21.7085 122782 673260 li 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 420 104 0 0 0 0 0 0 0 0 0 0 0 0
-node "li_122514_671986#" 334 303230 122514 671986 li 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 480132 7504 293164 2892 293164 2892 493763220 741464 0 0 0 0 0 0
-node "li_70526_671944#" 396 354575 70526 671944 li 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 471968 8052 297084 2932 297084 2932 510970164 849980 0 0 0 0 0 0
-node "CLK" 115 79983.5 70536 674544 li 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 299876 3704 8993628 17764 8707556 14796 155062342 72188 0 0 0 0 0 0
-equiv "CLK" "io_analog[8]"
-node "CLKBAR" 146 76438.2 122524 674586 li 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 323456 4244 9096896 17828 8707556 14796 155929756 71972 0 0 0 0 0 0
+node "li_103479_567664#" 364 3170.67 103479 567664 li 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 152130 4390 92120 1724 92120 1724 633864 6860 0 0 0 0 0 0
+node "io_analog[1]" 823 419251 566594 702300 m3 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 213592 7704 46256 864 46256 864 577143860 1191224 0 0 0 0 0 0
+node "li_85872_585458#" 366 511.049 85872 585458 li 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 49848 2528 0 0 0 0 0 0 0 0 0 0 0 0
+node "li_89012_585476#" 422 155779 89012 585476 li 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 219708 5660 47824 880 456960 4880 64567272 653344 0 0 0 0 0 0
+node "li_134864_599468#" 479 602.834 134864 599468 li 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 45356 2736 0 0 0 0 0 0 0 0 0 0 0 0
+node "li_138120_599476#" 391 142079 138120 599476 li 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 218412 5456 47824 880 47824 880 66123132 588404 0 0 0 0 0 0
+node "CLKBAR" 91 222743 133984 599410 li 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 81312 1752 7927876 18320 7522632 14032 545542564 241728 0 0 0 0 0 0
 equiv "CLKBAR" "io_analog[7]"
-node "io_analog[0]" 313 433200 582300 677984 m3 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 450868 7068 236588 1968 236588 1968 789330364 774148 0 0 0 0 0 0
-node "li_448556_593328#" 407 190256 448556 593328 li 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 692668 9884 491168 4040 491168 4040 398242964 232504 0 0 0 0 0 0
-node "li_415494_686562#" 416 194969 415494 686562 li 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 703472 10064 487892 3988 487892 3988 424194036 244140 0 0 0 0 0 0
-node "li_569558_688285#" 197 312.728 569558 688285 li 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 18700 1168 0 0 0 0 0 0 0 0 0 0 0 0
-node "li_415508_688595#" 46 40.9975 415508 688595 li 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 1500 190 0 0 0 0 0 0 0 0 0 0 0 0
-node "io_analog[1]" 108 31775.7 566594 702300 m3 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 827428 6012 241116 1984 241116 1984 71338080 38544 0 0 0 0 0 0
-node "Outn" 289 33716.8 415348 690926 li 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 415564 6536 244512 1996 244512 1996 76835756 40900 0 0 0 0 0 0
+node "li_424114_584792#" 169 110612 424114 584792 li 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 361172 4772 8365812 55408 952444 8292 210788912 146420 0 0 0 0 0 0
+node "li_424124_585892#" 189 103956 424124 585892 li 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 349036 4836 5865900 38336 1130228 8540 207249076 143980 0 0 0 0 0 0
+node "CLK" 218 298348 66986 663018 v0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 151808 3468 7971288 19160 7568496 14892 710250760 368836 0 0 0 0 0 0
+equiv "CLK" "io_analog[8]"
+node "L2" 399 86724 448816 657328 li 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 692388 9796 487892 3988 487892 3988 205784452 104536 0 0 0 0 0 0
+node "L1" 417 96354.4 415416 685126 li 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 678524 9900 487892 3988 487892 3988 230099472 115480 0 0 0 0 0 0
+node "Outn" 220 31016 415416 690926 li 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 495672 6300 244512 1996 244512 1996 69461904 37908 0 0 0 0 0 0
 equiv "Outn" "io_analog[3]"
-node "Outp" 278 32990.3 467252 691026 li 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 453936 6712 242248 1988 242248 1988 74700256 39932 0 0 0 0 0 0
+node "Outp" 294 31067.2 467316 691026 li 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 398220 6448 242248 1988 242248 1988 69766312 37932 0 0 0 0 0 0
 equiv "Outp" "io_analog[2]"
-node "VDD" 13 2.77411e+06 73573 687233 v0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 22500516 60264 24137236 73496 11832200 57460 2522201016 832088 7255638204 1901932 0 0 0 0
-equiv "VDD" "io_clamp_high[1]"
-equiv "VDD" "io_clamp_high[2]"
-equiv "VDD" "vccd1"
-node "w_408694_585520#" 2464 281.238 408694 585520 nw 0 0 0 0 87680 1188 0 0 13184 540 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 19240 864 0 0 0 0 0 0 0 0 0 0 0 0
-node "w_408706_587622#" 2274 350.61 408706 587622 nw 0 0 0 0 107484 1312 0 0 12288 512 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 23184 1004 0 0 0 0 0 0 0 0 0 0 0 0
-node "w_448410_591030#" 2561 281.612 448410 591030 nw 0 0 0 0 85536 1176 0 0 11160 492 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 22472 972 0 0 0 0 0 0 0 0 0 0 0 0
-node "w_440058_591014#" 2312 306.852 440058 591014 nw 0 0 0 0 91584 1212 0 0 12276 504 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 23796 980 0 0 0 0 0 0 0 0 0 0 0 0
-node "w_122758_673528#" 3130 233.162 122758 673528 nw 0 0 0 0 68040 1068 0 0 10044 480 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 16432 804 0 0 0 0 0 0 0 0 0 0 0 0
-node "w_70778_673802#" 4759 193.32 70778 673802 nw 0 0 0 0 59930 1082 0 0 8448 448 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 15514 806 0 0 0 0 0 0 0 0 0 0 0 0
-node "w_466974_686458#" 2894 229.241 466974 686458 nw 0 0 0 0 71680 1088 0 0 10556 480 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 17500 812 0 0 0 0 0 0 0 0 0 0 0 0
-node "w_415450_686636#" 8500 0.24 415450 686636 nw 0 0 0 0 80 48 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0
-node "w_569596_688404#" 4306 394.815 569596 688404 nw 0 0 0 0 97296 1356 0 0 15688 572 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 44436 1208 0 0 0 0 0 0 0 0 0 0 0 0
-node "w_415106_686340#" 37235 1263.75 415106 686340 nw 0 0 0 0 429724 6380 0 0 11656 500 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 16784 808 0 0 0 0 0 0 0 0 0 0 0 0
-substrate "GND" 0 0 70480 673296 pw 949888 11768 0 0 0 0 0 0 0 0 132016 5420 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 24096668 91008 46565512 81936 13104640 41468 492504316 334300 518484004 311836 10560063916 3114056 0 0
-equiv "GND" "io_clamp_low[1]"
-equiv "GND" "io_clamp_low[2]"
+node "GND" 24 2.36909e+06 66881 663007 v0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 22038232 72072 61075920 96488 38208512 83904 517763484 376736 340905360 267388 10542947592 2821560 0 0
 equiv "GND" "vssa1"
+equiv "GND" "io_clamp_low[2]"
+equiv "GND" "io_clamp_low[1]"
+node "w_86014_585500#" 5837 992.012 86014 585500 nw 0 0 0 0 327172 2680 0 0 8640 428 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 15228 752 0 0 0 0 0 0 0 0 0 0 0 0
+node "VDD" 34687 2.50588e+06 103604 567702 nw 0 0 0 0 792028 8104 0 0 42668 2116 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 26086612 77320 20483168 77684 19366448 73448 2885319180 957516 8092399716 2003600 0 0 0 0
+equiv "VDD" "vccd1"
+equiv "VDD" "io_clamp_high[2]"
+equiv "VDD" "io_clamp_high[1]"
+node "w_467114_686536#" 2336 300.037 467114 686536 nw 0 0 0 0 92736 1220 0 0 8424 428 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 18088 724 0 0 0 0 0 0 0 0 0 0 0 0
+node "w_415156_686674#" 3529 247.155 415156 686674 nw 0 0 0 0 65376 1072 0 0 7992 404 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 21408 716 0 0 0 0 0 0 0 0 0 0 0 0
+substrate "VSUBS" 0 0 -1073741817 -1073741817 space 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0
+cap "GND" "io_analog[6]" 29547.4
+cap "Vp" "li_138120_599476#" 82863.7
+cap "li_103479_567664#" "GND" 43.3027
+cap "li_85872_585458#" "CLK" 9.64286
+cap "io_analog[1]" "VDD" 66543.8
+cap "io_analog[6]" "GND" 2381.24
+cap "li_134864_599468#" "VDD" 18.6154
+cap "li_424114_584792#" "VDD" 66916
+cap "io_analog[4]" "io_analog[4]" 26250
+cap "li_89012_585476#" "VDD" 9595.37
+cap "L2" "GND" 17260.8
+cap "li_85872_585458#" "GND" 105.373
+cap "w_415156_686674#" "GND" 1.96216
+cap "li_89012_585476#" "Vn" 2324.19
+cap "io_analog[5]" "VDD" 525
+cap "L1" "w_415156_686674#" 57.2274
+cap "Vn" "VDD" 107769
+cap "L2" "w_467114_686536#" 62.275
+cap "io_analog[5]" "io_analog[5]" 27466.5
+cap "Vp" "io_analog[5]" 792.12
+cap "VDD" "CLK" 243.002
+cap "Outp" "VDD" 35296.1
+cap "io_analog[5]" "VDD" 27239.7
+cap "io_analog[6]" "io_analog[6]" 27348.2
+cap "Vp" "VDD" 121128
+cap "io_analog[5]" "io_analog[5]" 27459.6
+cap "io_analog[1]" "GND" 9856.55
+cap "io_analog[6]" "io_analog[6]" 115952
+cap "Vp" "io_analog[5]" 787.64
 cap "Outn" "VDD" 35146.4
 cap "io_analog[4]" "io_analog[4]" 21250
-cap "io_analog[6]" "li_70526_671944#" 1462.39
-cap "li_408616_585444#" "Vp" 221.824
-cap "w_440058_591014#" "li_440216_589824#" 119.695
-cap "m4_170578_677212#" "VDD" 30182.1
-cap "li_408616_585444#" "VDD" 11.5413
-cap "m4_204098_586508#" "Vp" 176617
-cap "m3_165578_698240#" "m4_165578_698240#" 68961.3
-cap "w_440058_591014#" "VDD" 222.609
-cap "VDD" "CLKBAR" 276.75
-cap "w_448410_591030#" "li_448544_589824#" 52.0925
-cap "w_448410_591030#" "VDD" 208.239
-cap "w_466974_686458#" "li_448556_593328#" 36.8428
-cap "io_analog[5]" "Vp" 645751
-cap "VDD" "io_analog[5]" 27239.7
-cap "w_415106_686340#" "VDD" 516.95
-cap "m4_186716_584374#" "io_analog[6]" 185468
-cap "li_440216_589824#" "VDD" 8992.53
-cap "w_122758_673528#" "CLKBAR" 89.216
-cap "VDD" "Vp" 126787
-cap "li_415494_686562#" "w_415106_686340#" 21.7895
-cap "m4_186716_584374#" "li_122514_671986#" 4197.46
-cap "li_415494_686562#" "li_440216_589824#" 7.61538
-cap "io_analog[4]" "io_analog[4]" 26250
-cap "li_122782_673260#" "li_122514_671986#" 17.0116
-cap "li_448544_589824#" "li_448556_593328#" 7.61538
-cap "li_415494_686562#" "VDD" 66349.8
-cap "w_70778_673802#" "CLK" 80.5226
-cap "VDD" "li_448556_593328#" 66349.8
-cap "VDD" "io_analog[0]" 115675
-cap "io_analog[6]" "io_analog[6]" 1034.81
-cap "Vp" "w_408706_587622#" 161.518
-cap "VDD" "w_408706_587622#" 97.5825
-cap "li_122514_671986#" "io_analog[6]" 1462.39
-cap "io_analog[4]" "io_analog[4]" 21250
-cap "m4_175894_702434#" "io_analog[6]" 26648.9
-cap "io_clamp_low[0]" "io_analog[4]" 525
-cap "w_569596_688404#" "VDD" 7.26786
-cap "m4_170578_677212#" "io_analog[6]" 53585.1
-cap "li_408616_585444#" "io_analog[6]" 534.831
-cap "io_analog[4]" "io_clamp_high[0]" 525
-cap "m4_175894_702434#" "io_analog[6]" 21306.4
-cap "Vp" "li_408624_587536#" 3070.78
-cap "io_analog[4]" "io_analog[4]" 26250
-cap "io_analog[5]" "io_analog[5]" 27466.5
-cap "li_569558_688285#" "w_569596_688404#" 149.685
-cap "w_408694_585520#" "li_408616_585444#" 119.086
-cap "VDD" "li_408624_587536#" 23.0057
-cap "io_analog[5]" "Vp" 792.12
-cap "m4_186716_584374#" "li_70526_671944#" 4197.46
-cap "m3_165578_698240#" "io_analog[6]" 716.24
-cap "li_122514_671986#" "m4_204098_586508#" 4772.33
-cap "li_569558_688285#" "io_analog[1]" 6.13115
+cap "io_analog[5]" "GND" 623.508
+cap "li_424114_584792#" "GND" 61.8619
+cap "li_89012_585476#" "GND" 2211.51
+cap "CLKBAR" "li_134864_599468#" 5.61
+cap "VDD" "li_424124_585892#" 66943.3
+cap "VDD" "GND" 285368
 cap "io_clamp_low[0]" "io_clamp_high[0]" 525
-cap "li_122514_671986#" "CLKBAR" 7.7
-cap "io_analog[6]" "VDD" 525
-cap "io_analog[6]" "m4_165578_698240#" 57028.1
-cap "io_analog[5]" "io_analog[5]" 27459.6
-cap "CLK" "VDD" 201.667
-cap "Outn" "li_415508_688595#" 11.8897
-cap "io_analog[5]" "Vp" 787.64
-cap "VDD" "io_analog[6]" 110982
-cap "io_analog[5]" "VDD" 525
-cap "m4_180902_677200#" "io_analog[6]" 104054
-cap "li_122514_671986#" "Vp" 75646.4
-cap "Outn" "w_415106_686340#" 80.3796
-cap "w_408694_585520#" "VDD" 63.3697
-cap "li_122514_671986#" "VDD" 121932
-cap "CLK" "li_70526_671944#" 8.3494
-cap "li_408624_587536#" "w_408706_587622#" 148.376
-cap "comparator_v6_0/GND" "comparator_v6_0/GND" 18.88
-cap "comparator_v6_0/GND" "io_analog[6]" 0.368431
+cap "L1" "VDD" 590.263
+cap "Vn" "GND" 29595.5
+cap "Vp" "io_analog[5]" 645879
+cap "CLKBAR" "VDD" 122523
+cap "li_85872_585458#" "w_86014_585500#" 86.0072
+cap "io_analog[4]" "io_analog[4]" 21250
+cap "GND" "CLK" 10405.3
+cap "io_analog[5]" "GND" 29541.5
+cap "Vp" "GND" 33079.8
+cap "io_analog[4]" "io_clamp_low[0]" 525
+cap "io_analog[4]" "io_clamp_high[0]" 525
+cap "VDD" "io_analog[6]" 30182.1
+cap "GND" "li_424124_585892#" 60.0339
+cap "Vn" "io_analog[6]" 623011
+cap "L1" "li_424124_585892#" 5.88119
+cap "L1" "GND" 13750.2
+cap "li_103479_567664#" "VDD" 176.904
+cap "CLKBAR" "GND" 289.176
+cap "VDD" "w_86014_585500#" 65.0991
+cap "VDD" "io_analog[6]" 842.1
+cap "io_analog[4]" "io_analog[4]" 26250
+cap "li_138120_599476#" "Vn" 1997.66
+cap "Vn" "io_analog[6]" 715.12
+cap "L2" "li_424114_584792#" 5.12069
+cap "io_analog[6]" "Vn" 1013.88
+cap "li_103479_567664#" "CLK" 7.89101
+cap "L2" "VDD" 601.337
+cap "sky130_fd_sc_hd__buf_2_1/VGND" "sky130_fd_sc_hd__buf_2_1/a_27_47#" 15.3679
+cap "sky130_fd_sc_hd__buf_2_1/VGND" "sky130_fd_sc_hd__buf_2_1/A" 4.45231
+cap "sky130_fd_sc_hd__buf_2_1/VGND" "sky130_fd_sc_hd__buf_2_1/X" 10.918
+cap "sky130_fd_sc_hd__buf_16_1/A" "sky130_fd_sc_hd__buf_16_1/VGND" -1.82575
+cap "sky130_fd_sc_hd__buf_16_1/VGND" "sky130_fd_sc_hd__buf_16_1/X" -3.31474
+cap "sky130_fd_sc_hd__buf_2_1/X" "sky130_fd_sc_hd__buf_2_1/a_27_47#" 13.9713
+cap "sky130_fd_sc_hd__buf_2_1/VPWR" "sky130_fd_sc_hd__buf_2_1/A" 8.04932
+cap "sky130_fd_sc_hd__buf_2_1/X" "sky130_fd_sc_hd__buf_2_1/A" -0.930706
+cap "sky130_fd_sc_hd__buf_2_1/a_27_47#" "sky130_fd_sc_hd__buf_2_1/A" 16.7578
+cap "sky130_fd_sc_hd__buf_2_1/a_27_47#" "GND" 4.99583
+cap "sky130_fd_sc_hd__buf_2_1/VGND" "sky130_fd_sc_hd__buf_2_1/X" 52.0976
+cap "sky130_fd_sc_hd__buf_2_1/A" "GND" 2.93609
+cap "sky130_fd_sc_hd__buf_2_1/VGND" "sky130_fd_sc_hd__buf_2_1/A" 1.51622
+cap "sky130_fd_sc_hd__buf_2_1/VPWR" "sky130_fd_sc_hd__buf_2_1/X" 60.2478
+cap "sky130_fd_sc_hd__buf_2_1/VPWR" "sky130_fd_sc_hd__buf_2_1/a_27_47#" 2.27374e-13
+cap "sky130_fd_sc_hd__buf_2_1/VPWR" "VDD" 42.4615
+cap "sky130_fd_sc_hd__buf_16_1/VPWR" "sky130_fd_sc_hd__buf_16_1/A" -0.966182
+cap "sky130_fd_sc_hd__buf_16_1/A" "sky130_fd_sc_hd__buf_16_1/VGND" -1.82575
+cap "sky130_fd_sc_hd__buf_16_1/VPWR" "sky130_fd_sc_hd__buf_16_1/VPB" -71.4005
+cap "sky130_fd_sc_hd__buf_16_1/VPWR" "sky130_fd_sc_hd__buf_16_1/VPB" -407.245
+cap "sky130_fd_sc_hd__buf_16_1/VPB" "sky130_fd_sc_hd__buf_16_1/X" 7.92441
+cap "sky130_fd_sc_hd__buf_16_1/a_109_47#" "sky130_fd_sc_hd__buf_16_1/X" -5.68434e-14
+cap "sky130_fd_sc_hd__buf_16_1/X" "sky130_fd_sc_hd__buf_16_1/VGND" -3.31474
+cap "comparator_v6_0/GND" "Vn" 0.625256
+cap "comparator_v6_0/GND" "comparator_v6_0/GND" 17.6
 cap "comparator_v6_0/GND" "comparator_v6_0/GND" 56
-cap "io_analog[6]" "comparator_v6_0/GND" 6.79235
-cap "sky130_fd_sc_hd__buf_16_3/VNB" "sky130_fd_sc_hd__buf_16_3/a_109_47#" 9.59428
-cap "sky130_fd_sc_hd__buf_16_3/VNB" "sky130_fd_sc_hd__buf_16_3/A" -7.10543e-15
-cap "sky130_fd_sc_hd__buf_16_3/A" "sky130_fd_sc_hd__buf_16_3/VPWR" 2.07235
-cap "sky130_fd_sc_hd__buf_16_3/a_109_47#" "sky130_fd_sc_hd__buf_16_3/VNB" 125.667
-cap "sky130_fd_sc_hd__buf_16_3/X" "sky130_fd_sc_hd__buf_16_3/VNB" 89.7633
-cap "sky130_fd_sc_hd__buf_16_3/VPWR" "sky130_fd_sc_hd__buf_16_3/X" 41.0217
-cap "sky130_fd_sc_hd__buf_16_3/a_109_47#" "sky130_fd_sc_hd__buf_16_3/VPWR" 25.5145
-cap "sky130_fd_sc_hd__buf_16_3/VPWR" "sky130_fd_sc_hd__buf_16_3/VNB" 3.16438
-cap "sky130_fd_sc_hd__buf_16_3/A" "sky130_fd_sc_hd__buf_16_3/VNB" 50.8318
-cap "sky130_fd_sc_hd__buf_16_3/VPWR" "sky130_fd_sc_hd__buf_16_3/X" 80.0331
-cap "sky130_fd_sc_hd__buf_16_3/a_109_47#" "sky130_fd_sc_hd__buf_16_3/VGND" 44.3359
-cap "sky130_fd_sc_hd__buf_16_3/VPWR" "sky130_fd_sc_hd__buf_16_3/a_109_47#" -4.125
-cap "sky130_fd_sc_hd__buf_16_3/X" "sky130_fd_sc_hd__buf_16_3/VGND" 76.1998
-cap "comparator_v6_0/Vn" "comparator_v6_0/CLK" 1295.87
-cap "comparator_v6_0/Vn" "comparator_v6_0/preamp_part12_0/a_80_n658#" 334.65
-cap "comparator_v6_0/latch_3_0/inv_W12_0/GND" "comparator_v6_0/latch_3_0/inv_W12_1/Vout" -3.69531
-cap "comparator_v6_0/latch_3_0/inv_W12_1/VDD" "comparator_v6_0/latch_3_0/inv_W12_1/GND" 3.64286
-cap "comparator_v6_0/latch_3_0/inv_W12_1/GND" "comparator_v6_0/latch_3_0/inv_W12_1/Vout" 14.2667
-cap "comparator_v6_0/w_64_1616#" "comparator_v6_0/latch_3_0/inv_W12_1/GND" 4.14912
-cap "comparator_v6_0/SR_latch_0/VDD" "comparator_v6_0/Outp" 76.7922
-cap "comparator_v6_0/SR_latch_0/GND" "comparator_v6_0/Outp" 4.29936
-cap "comparator_v6_0/Outn" "comparator_v6_0/SR_latch_0/VDD" 8.67188
-cap "comparator_v6_0/Dn" "comparator_v6_0/Outn" 32.552
-cap "comparator_v6_0/Outn" "comparator_v6_0/SR_latch_0/GND" 134.887
-cap "comparator_v6_0/Dp" "comparator_v6_0/Outp" 416.18
-cap "sky130_fd_sc_hd__buf_16_2/X" "sky130_fd_sc_hd__buf_16_2/VNB" 40.4144
-cap "sky130_fd_sc_hd__buf_16_3/VPWR" "sky130_fd_sc_hd__buf_16_2/VNB" 1.51178
-cap "sky130_fd_sc_hd__buf_16_3/a_109_47#" "sky130_fd_sc_hd__buf_16_3/VPWR" 25.5145
-cap "sky130_fd_sc_hd__buf_16_2/a_109_47#" "sky130_fd_sc_hd__buf_16_2/VNB" 25.548
-cap "sky130_fd_sc_hd__buf_16_3/VPB" "sky130_fd_sc_hd__buf_16_3/VPWR" 0.8876
-cap "sky130_fd_sc_hd__buf_16_3/VPWR" "sky130_fd_sc_hd__buf_16_3/X" 41.0217
-cap "sky130_fd_sc_hd__buf_16_3/A" "sky130_fd_sc_hd__buf_16_3/VPWR" 0.97495
-cap "sky130_fd_sc_hd__buf_16_3/X" "sky130_fd_sc_hd__buf_16_3/VPWR" 19.9896
-cap "sky130_fd_sc_hd__buf_16_2/X" "sky130_fd_sc_hd__buf_16_2/VGND" 33.6443
-cap "comparator_v6_0/CLK" "comparator_v6_0/Vp" 792.396
-cap "comparator_v6_0/CLK" "comparator_v6_0/Vp" 522.139
-cap "li_408624_587536#" "comparator_v6_0/CLK" 3.18987
-cap "li_408624_587536#" "comparator_v6_0/CLK" 61.2057
-cap "comparator_v6_0/a_1110_1656#" "comparator_v6_0/CLK" 4.78481
-cap "comparator_v6_0/Outn" "comparator_v6_0/SR_latch_0/VDD" 242.649
-cap "comparator_v6_0/Outn" "comparator_v6_0/SR_latch_0/GND" 10.9087
-cap "comparator_v6_0/Outn" "comparator_v6_0/Dn" 257.721
-cap "sky130_fd_sc_hd__buf_16_2/A" "Vp" 0.32
-cap "sky130_fd_sc_hd__buf_16_2/VNB" "sky130_fd_sc_hd__buf_16_2/a_109_47#" 5.92271
-cap "Vp" "sky130_fd_sc_hd__buf_16_2/a_109_47#" 360.782
-cap "sky130_fd_sc_hd__buf_16_2/X" "Vp" 105.991
-cap "Vp" "sky130_fd_sc_hd__buf_16_2/VPWR" 835.704
-cap "sky130_fd_sc_hd__buf_16_2/VNB" "Vp" 787.327
-cap "sky130_fd_sc_hd__buf_16_2/A" "sky130_fd_sc_hd__buf_16_2/VPWR" 2.05495
-cap "sky130_fd_sc_hd__buf_16_2/VPWR" "sky130_fd_sc_hd__buf_16_2/X" 88.865
-cap "sky130_fd_sc_hd__buf_16_2/VNB" "sky130_fd_sc_hd__buf_16_2/A" 8.153
-cap "sky130_fd_sc_hd__buf_16_2/VPB" "sky130_fd_sc_hd__buf_16_2/VPWR" 0.4516
-cap "Vp" "sky130_fd_sc_hd__buf_16_2/A" 31.2338
-cap "Vp" "sky130_fd_sc_hd__buf_16_2/X" 137.559
-cap "sky130_fd_sc_hd__buf_16_2/a_109_47#" "sky130_fd_sc_hd__buf_16_2/VPWR" 55.8092
-cap "sky130_fd_sc_hd__buf_16_2/VNB" "sky130_fd_sc_hd__buf_16_2/a_109_47#" 3.97075
-cap "sky130_fd_sc_hd__buf_16_2/VNB" "sky130_fd_sc_hd__buf_16_2/X" 40.4144
-cap "sky130_fd_sc_hd__buf_16_2/VPB" "Vp" 275.516
-cap "Vp" "sky130_fd_sc_hd__buf_16_2/VNB" -154.743
-cap "Vp" "sky130_fd_sc_hd__buf_16_2/a_109_47#" -787.061
-cap "sky130_fd_sc_hd__buf_16_2/VGND" "Vp" 116.101
-cap "sky130_fd_sc_hd__buf_16_2/VPWR" "sky130_fd_sc_hd__buf_16_2/a_109_47#" -1.375
-cap "sky130_fd_sc_hd__buf_16_2/X" "sky130_fd_sc_hd__buf_16_2/VNB" -164.41
-cap "sky130_fd_sc_hd__buf_16_2/X" "sky130_fd_sc_hd__buf_16_2/a_109_47#" -104.885
-cap "sky130_fd_sc_hd__buf_16_2/X" "sky130_fd_sc_hd__buf_16_2/VGND" 37.1288
-cap "Vp" "sky130_fd_sc_hd__buf_16_2/VPWR" 114.093
-cap "sky130_fd_sc_hd__buf_16_2/X" "Vp" -1084.42
-cap "sky130_fd_sc_hd__buf_16_2/X" "sky130_fd_sc_hd__buf_16_2/VPWR" 123.49
-cap "li_408624_587536#" "comparator_v6_0/CLK" 274.582
-cap "li_408624_587536#" "comparator_v6_0/CLK" 795.539
-cap "li_408624_587536#" "comparator_v6_0/CLK" 801.818
-cap "li_408624_587536#" "comparator_v6_0/VDD" 208.476
-cap "comparator_v6_0/VDD" "comparator_v6_0/CLKBAR" 12.6
-cap "comparator_v6_0/CLK" "comparator_v6_0/CLKBAR" 27.4909
-cap "comparator_v6_0/VDD" "comparator_v6_0/VDD" 13.2
-cap "comparator_v6_0/VDD" "comparator_v6_0/VDD" 29.04
+cap "comparator_v6_0/GND" "Vn" 34.2429
+cap "sky130_fd_sc_hd__buf_2_0/X" "sky130_fd_sc_hd__buf_2_0/A" -8.9979
+cap "sky130_fd_sc_hd__buf_2_0/VGND" "sky130_fd_sc_hd__buf_2_0/X" 58.3547
+cap "sky130_fd_sc_hd__buf_2_0/VGND" "sky130_fd_sc_hd__buf_2_0/a_27_47#" 19.268
+cap "sky130_fd_sc_hd__buf_2_0/VGND" "sky130_fd_sc_hd__buf_2_0/A" 3.3034
+cap "sky130_fd_sc_hd__buf_2_0/X" "sky130_fd_sc_hd__buf_2_0/VPWR" 64.8266
+cap "sky130_fd_sc_hd__buf_2_0/VPWR" "sky130_fd_sc_hd__buf_2_0/a_27_47#" 6.94702
+cap "sky130_fd_sc_hd__buf_2_0/X" "sky130_fd_sc_hd__buf_2_0/a_27_47#" 10.7525
+cap "sky130_fd_sc_hd__buf_2_0/VPWR" "sky130_fd_sc_hd__buf_2_0/A" 12.0708
+cap "sky130_fd_sc_hd__buf_2_0/X" "sky130_fd_sc_hd__buf_2_0/VGND" 29.8466
+cap "sky130_fd_sc_hd__buf_2_0/VPWR" "sky130_fd_sc_hd__buf_16_0/a_109_47#" 9.26809
+cap "sky130_fd_sc_hd__buf_16_0/a_109_47#" "sky130_fd_sc_hd__buf_2_0/VGND" 31.6845
+cap "sky130_fd_sc_hd__buf_2_0/X" "sky130_fd_sc_hd__buf_2_0/A" 0.0171464
+cap "sky130_fd_sc_hd__buf_2_0/a_27_47#" "sky130_fd_sc_hd__buf_2_0/X" 3.93101
+cap "sky130_fd_sc_hd__buf_2_0/VPWR" "sky130_fd_sc_hd__buf_2_0/X" 12.6564
+cap "sky130_fd_sc_hd__buf_16_0/X" "sky130_fd_sc_hd__buf_16_0/VPWR" 32.4383
+cap "sky130_fd_sc_hd__buf_16_0/VPWR" "sky130_fd_sc_hd__buf_16_0/a_109_47#" 4.63404
+cap "sky130_fd_sc_hd__buf_16_0/VGND" "sky130_fd_sc_hd__buf_16_0/X" 141.395
+cap "sky130_fd_sc_hd__buf_16_0/VGND" "sky130_fd_sc_hd__buf_16_0/a_109_47#" 128.564
+cap "sky130_fd_sc_hd__buf_16_0/A" "sky130_fd_sc_hd__buf_16_0/VGND" 13.0787
+cap "sky130_fd_sc_hd__buf_16_0/X" "sky130_fd_sc_hd__buf_16_0/VPB" -77.8656
+cap "sky130_fd_sc_hd__buf_16_0/VGND" "sky130_fd_sc_hd__buf_16_0/a_109_47#" 11.7795
+cap "sky130_fd_sc_hd__buf_16_0/VGND" "sky130_fd_sc_hd__buf_16_0/X" 30.8918
+cap "sky130_fd_sc_hd__buf_16_0/VPWR" "sky130_fd_sc_hd__buf_16_0/X" -71.4876
+cap "comparator_v6_0/CLK" "comparator_v6_0/Vn" 1183.6
+cap "comparator_v6_0/Vn" "comparator_v6_0/CLK" 458.771
+cap "comparator_v6_0/Outp" "comparator_v6_0/SR_latch_0/VDD" 33.0397
+cap "comparator_v6_0/SR_latch_0/GND" "comparator_v6_0/Outp" 50.8457
+cap "comparator_v6_0/Dp" "comparator_v6_0/Outp" 67.9008
+cap "sky130_fd_sc_hd__buf_2_0/VPWR" "sky130_fd_sc_hd__buf_2_0/X" 4.45592
+cap "sky130_fd_sc_hd__buf_2_0/A" "sky130_fd_sc_hd__buf_2_0/VPWR" 0.967241
+cap "sky130_fd_sc_hd__buf_2_0/a_27_47#" "sky130_fd_sc_hd__buf_2_0/VPWR" 6.94702
+cap "sky130_fd_sc_hd__buf_2_0/VPWR" "sky130_fd_sc_hd__buf_2_0/X" -4.68119
+cap "sky130_fd_sc_hd__buf_2_0/VPWR" "sky130_fd_sc_hd__buf_16_0/a_109_47#" 9.26809
+cap "sky130_fd_sc_hd__buf_16_0/X" "sky130_fd_sc_hd__buf_16_0/VPWR" 32.4383
+cap "sky130_fd_sc_hd__buf_16_0/a_109_47#" "sky130_fd_sc_hd__buf_16_0/VPWR" 4.63404
+cap "sky130_fd_sc_hd__buf_16_0/VPB" "sky130_fd_sc_hd__buf_16_0/VPWR" -127.92
+cap "sky130_fd_sc_hd__buf_16_0/VPWR" "sky130_fd_sc_hd__buf_16_0/X" 9.30096
+cap "comparator_v6_0/Vp" "li_89012_585476#" 766.48
+cap "comparator_v6_0/Vp" "comparator_v6_0/CLK" 496.8
+cap "comparator_v6_0/Dn" "comparator_v6_0/Outn" 55.7174
+cap "comparator_v6_0/SR_latch_0/GND" "comparator_v6_0/Outn" 7.19242
+cap "comparator_v6_0/SR_latch_0/VDD" "comparator_v6_0/Outn" 276.999
+cap "comparator_v6_0/CLK" "li_138120_599476#" 218.039
+cap "comparator_v6_0/CLK" "li_138120_599476#" 653.853
+cap "comparator_v6_0/CLK" "li_138120_599476#" 658.209
+cap "comparator_v6_0/VDD" "li_138120_599476#" 259.288
+cap "comparator_v6_0/VDD" "comparator_v6_0/CLKBAR" 148.145
+cap "comparator_v6_0/CLK" "comparator_v6_0/CLKBAR" 30.0896
+cap "comparator_v6_0/VDD" "comparator_v6_0/VDD" 7.7
+cap "comparator_v6_0/VDD" "comparator_v6_0/VDD" 16.94
 cap "comparator_v6_0/VDD" "comparator_v6_0/VDD" 154.22
 cap "comparator_v6_0/VDD" "comparator_v6_0/VDD" 70.1
-cap "sky130_fd_sc_hd__buf_2_0/VPWR" "sky130_fd_sc_hd__buf_2_0/A" -2.36455
-cap "sky130_fd_sc_hd__buf_2_0/VPWR" "sky130_fd_sc_hd__buf_2_0/X" 2.45646
-cap "sky130_fd_sc_hd__buf_2_0/VPWR" "sky130_fd_sc_hd__buf_2_0/a_27_47#" 9.77095
-cap "sky130_fd_sc_hd__buf_2_0/VPWR" "sky130_fd_sc_hd__buf_2_0/A" -2.08797
-cap "sky130_fd_sc_hd__buf_2_0/VGND" "sky130_fd_sc_hd__buf_2_0/X" 6.68431
-cap "sky130_fd_sc_hd__buf_2_0/X" "sky130_fd_sc_hd__buf_2_0/A" -3.69695
-cap "sky130_fd_sc_hd__buf_2_0/a_27_47#" "sky130_fd_sc_hd__buf_2_0/VPWR" 16.5922
-cap "sky130_fd_sc_hd__buf_2_0/VGND" "sky130_fd_sc_hd__buf_2_0/A" 0.18232
-cap "sky130_fd_sc_hd__buf_2_0/VPWR" "sky130_fd_sc_hd__buf_2_0/X" 4.17135
-cap "sky130_fd_sc_hd__buf_2_0/a_27_47#" "sky130_fd_sc_hd__buf_2_0/VGND" 37.5028
-cap "sky130_fd_sc_hd__buf_2_0/a_27_47#" "sky130_fd_sc_hd__buf_2_0/A" 1.43638
-cap "sky130_fd_sc_hd__buf_2_1/A" "sky130_fd_sc_hd__buf_2_1/VPWR" -1.27009
-cap "sky130_fd_sc_hd__buf_2_1/X" "sky130_fd_sc_hd__buf_2_1/VPWR" 4.5375
-cap "sky130_fd_sc_hd__buf_2_1/VPWR" "sky130_fd_sc_hd__buf_2_1/a_27_47#" 17.8525
-cap "sky130_fd_sc_hd__buf_2_1/VGND" "sky130_fd_sc_hd__buf_2_1/A" -0.170577
-cap "sky130_fd_sc_hd__buf_2_1/VPWR" "sky130_fd_sc_hd__buf_2_1/A" -1.27009
-cap "sky130_fd_sc_hd__buf_2_1/X" "sky130_fd_sc_hd__buf_2_1/A" -3.80769
-cap "sky130_fd_sc_hd__buf_2_1/VGND" "sky130_fd_sc_hd__buf_2_1/X" 6.77439
-cap "sky130_fd_sc_hd__buf_2_1/a_27_47#" "sky130_fd_sc_hd__buf_2_1/VGND" 48.3043
-cap "sky130_fd_sc_hd__buf_2_1/VPWR" "sky130_fd_sc_hd__buf_2_1/X" 2.84167
-cap "sky130_fd_sc_hd__buf_2_1/VPWR" "sky130_fd_sc_hd__buf_2_1/a_27_47#" 11.1803
-cap "sky130_fd_sc_hd__buf_2_0/X" "sky130_fd_sc_hd__buf_2_0/VPWR" 7.29753
-cap "sky130_fd_sc_hd__buf_2_0/VPWR" "sky130_fd_sc_hd__buf_2_0/A" 1.77636e-15
-cap "sky130_fd_sc_hd__buf_2_0/a_27_47#" "sky130_fd_sc_hd__buf_2_0/VPWR" -2.84217e-14
-cap "sky130_fd_sc_hd__buf_2_0/X" "sky130_fd_sc_hd__buf_2_0/a_27_47#" 10.255
-cap "sky130_fd_sc_hd__buf_2_0/A" "sky130_fd_sc_hd__buf_2_0/VGND" 4.44089e-15
-cap "sky130_fd_sc_hd__buf_2_0/X" "sky130_fd_sc_hd__buf_2_0/A" -3.69695
-cap "sky130_fd_sc_hd__buf_2_0/A" "sky130_fd_sc_hd__buf_2_0/a_27_47#" 0.257812
-cap "sky130_fd_sc_hd__buf_2_0/X" "sky130_fd_sc_hd__buf_2_0/VPWR" 49.2519
-cap "sky130_fd_sc_hd__buf_2_0/X" "sky130_fd_sc_hd__buf_2_0/VGND" 65.1401
-cap "sky130_fd_sc_hd__buf_2_0/VPWR" "sky130_fd_sc_hd__buf_2_0/a_27_47#" 1.42109e-14
-cap "sky130_fd_sc_hd__buf_2_0/VGND" "sky130_fd_sc_hd__buf_2_0/a_27_47#" 0.0800971
-cap "sky130_fd_sc_hd__buf_2_1/VPWR" "sky130_fd_sc_hd__buf_2_1/a_27_47#" -5.68434e-14
-cap "sky130_fd_sc_hd__buf_2_1/X" "sky130_fd_sc_hd__buf_2_1/VGND" 2.72005e-14
-cap "sky130_fd_sc_hd__buf_2_1/VPWR" "sky130_fd_sc_hd__buf_2_1/X" 9.97225
-cap "sky130_fd_sc_hd__buf_2_1/X" "sky130_fd_sc_hd__buf_2_1/VPWR" 50.0926
-cap "sky130_fd_sc_hd__buf_2_1/a_27_47#" "sky130_fd_sc_hd__buf_2_1/X" 10.1025
-cap "sky130_fd_sc_hd__buf_2_1/X" "sky130_fd_sc_hd__buf_2_1/VGND" 64.5466
-cap "sky130_fd_sc_hd__buf_2_1/a_27_47#" "sky130_fd_sc_hd__buf_2_1/VPWR" 1.42109e-14
-cap "sky130_fd_sc_hd__buf_2_1/a_27_47#" "sky130_fd_sc_hd__buf_2_1/VGND" -2.84217e-14
-cap "sky130_fd_sc_hd__buf_2_1/X" "sky130_fd_sc_hd__buf_2_1/A" -3.80769
-cap "sky130_fd_sc_hd__buf_2_2/VGND" "sky130_fd_sc_hd__buf_2_2/A" 1.51622
-cap "sky130_fd_sc_hd__buf_2_2/X" "sky130_fd_sc_hd__buf_2_2/VGND" 7.15941
-cap "sky130_fd_sc_hd__buf_2_2/VGND" "sky130_fd_sc_hd__buf_2_2/a_27_47#" 0.689552
-cap "sky130_fd_sc_hd__buf_2_2/VPWR" "sky130_fd_sc_hd__buf_2_2/X" 104.851
-cap "sky130_fd_sc_hd__buf_2_2/VGND" "sky130_fd_sc_hd__buf_2_2/A" 1.51622
-cap "sky130_fd_sc_hd__buf_2_2/VPWR" "sky130_fd_sc_hd__buf_2_2/a_27_47#" 50.7913
-cap "sky130_fd_sc_hd__buf_2_2/VPWR" "sky130_fd_sc_hd__buf_2_2/VGND" 1.54286
-cap "sky130_fd_sc_hd__buf_2_2/a_27_47#" "sky130_fd_sc_hd__buf_2_2/X" 9.7975
-cap "sky130_fd_sc_hd__buf_2_2/VGND" "sky130_fd_sc_hd__buf_2_2/X" 62.374
-cap "sky130_fd_sc_hd__buf_2_2/VPWR" "sky130_fd_sc_hd__buf_2_2/A" 7.83918
-cap "sky130_fd_sc_hd__buf_2_2/A" "sky130_fd_sc_hd__buf_2_2/X" -8.3494
-cap "sky130_fd_sc_hd__buf_2_2/a_27_47#" "sky130_fd_sc_hd__buf_2_2/VGND" 5.84071
-cap "sky130_fd_sc_hd__buf_2_3/a_27_47#" "sky130_fd_sc_hd__buf_2_3/VGND" -160.58
-cap "sky130_fd_sc_hd__buf_2_3/A" "sky130_fd_sc_hd__buf_2_3/VGND" -34.78
-cap "sky130_fd_sc_hd__buf_2_3/X" "sky130_fd_sc_hd__buf_2_3/VGND" -91.02
-cap "sky130_fd_sc_hd__buf_2_3/A" "sky130_fd_sc_hd__buf_2_3/VPWR" 1.66384
-cap "sky130_fd_sc_hd__buf_2_3/VGND" "sky130_fd_sc_hd__buf_2_3/A" 3.16993
-cap "sky130_fd_sc_hd__buf_2_3/X" "sky130_fd_sc_hd__buf_2_3/a_27_47#" 17.3874
-cap "sky130_fd_sc_hd__buf_2_3/X" "sky130_fd_sc_hd__buf_2_3/VPWR" 103.043
-cap "sky130_fd_sc_hd__buf_2_3/VGND" "sky130_fd_sc_hd__buf_2_3/X" 61.5426
-cap "sky130_fd_sc_hd__buf_2_3/a_27_47#" "sky130_fd_sc_hd__buf_2_3/VPWR" 46.2
-cap "sky130_fd_sc_hd__buf_2_3/VGND" "sky130_fd_sc_hd__buf_2_3/a_27_47#" 4.40608
-cap "sky130_fd_sc_hd__buf_2_3/VGND" "sky130_fd_sc_hd__buf_2_3/VPWR" 1.66387
-cap "sky130_fd_sc_hd__buf_2_3/X" "sky130_fd_sc_hd__buf_2_3/A" -7.51356
-cap "sky130_fd_sc_hd__buf_2_3/a_27_47#" "sky130_fd_sc_hd__buf_2_3/A" 0.803934
-cap "sky130_fd_sc_hd__buf_16_0/A" "sky130_fd_sc_hd__buf_16_0/VGND" 22.5281
-cap "sky130_fd_sc_hd__buf_16_0/VPB" "sky130_fd_sc_hd__buf_16_0/a_109_47#" 6.40588
-cap "sky130_fd_sc_hd__buf_16_0/A" "sky130_fd_sc_hd__buf_16_0/a_109_47#" 15.6479
-cap "sky130_fd_sc_hd__buf_16_1/a_109_47#" "sky130_fd_sc_hd__buf_16_1/VNB" 14.5913
-cap "sky130_fd_sc_hd__buf_16_1/a_109_47#" "sky130_fd_sc_hd__buf_16_1/VPB" 19.0207
-cap "GND" "sky130_fd_sc_hd__buf_16_4/VGND" 11.287
-cap "sky130_fd_sc_hd__buf_16_4/a_109_47#" "sky130_fd_sc_hd__buf_16_4/VGND" -90.71
-cap "sky130_fd_sc_hd__buf_16_4/VNB" "sky130_fd_sc_hd__buf_16_4/VGND" -316.52
-cap "sky130_fd_sc_hd__buf_16_4/X" "sky130_fd_sc_hd__buf_16_4/VGND" -79.13
-cap "sky130_fd_sc_hd__buf_16_4/VGND" "sky130_fd_sc_hd__buf_16_4/VGND" -79.13
-cap "sky130_fd_sc_hd__buf_16_4/VPWR" "sky130_fd_sc_hd__buf_16_4/VPB" 0.578
-cap "sky130_fd_sc_hd__buf_16_4/VPWR" "VDD" 27.1359
-cap "sky130_fd_sc_hd__buf_16_4/X" "sky130_fd_sc_hd__buf_16_4/VGND" 3.4
-cap "sky130_fd_sc_hd__buf_16_4/VPWR" "sky130_fd_sc_hd__buf_16_4/X" 2.14943
-cap "sky130_fd_sc_hd__buf_16_4/X" "sky130_fd_sc_hd__buf_16_4/VPB" 10.5044
-cap "sky130_fd_sc_hd__buf_16_4/X" "VDD" 10.4976
-cap "GND" "sky130_fd_sc_hd__buf_16_4/VGND" 11.287
-cap "sky130_fd_pr__diode_pw2nd_05v5_GT7G3L_0/w_n183_n183#" "sky130_fd_pr__diode_pw2nd_05v5_GT7G3L_0/a_n45_n45#" 292.528
-cap "sky130_fd_pr__diode_pw2nd_05v5_GT7G3L_0/w_n183_n183#" "sky130_fd_pr__diode_pw2nd_05v5_GT7G3L_0/a_n45_n45#" -4.21875
-cap "sky130_fd_pr__diode_pd2nw_05v5_RT56W3_0/w_n321_n321#" "sky130_fd_pr__diode_pd2nw_05v5_RT56W3_0/w_n183_n183#" 8.13314
-cap "CLK" "sky130_fd_pr__diode_pd2nw_05v5_RT56W3_0/w_n183_n183#" 19.24
-cap "sky130_fd_pr__diode_pd2nw_05v5_RT56W3_0/w_n183_n183#" "sky130_fd_pr__diode_pd2nw_05v5_RT56W3_0/a_n45_n45#" -207.137
-cap "CLK" "sky130_fd_pr__diode_pd2nw_05v5_RT56W3_0/w_n321_n321#" 205.98
-cap "sky130_fd_pr__diode_pd2nw_05v5_RT56W3_0/w_n321_n321#" "sky130_fd_pr__diode_pd2nw_05v5_RT56W3_0/a_n45_n45#" 133.305
-cap "sky130_fd_pr__diode_pd2nw_05v5_RT56W3_0/li_n285_n285#" "sky130_fd_pr__diode_pd2nw_05v5_RT56W3_0/w_n321_n321#" 34.5349
-cap "sky130_fd_pr__diode_pd2nw_05v5_RT56W3_0/li_n285_n285#" "sky130_fd_pr__diode_pd2nw_05v5_RT56W3_0/a_n45_n45#" 153.236
-cap "CLK" "sky130_fd_pr__diode_pd2nw_05v5_RT56W3_0/li_n285_n285#" 141.633
-cap "sky130_fd_pr__diode_pd2nw_05v5_RT56W3_0/w_n321_n321#" "sky130_fd_pr__diode_pd2nw_05v5_RT56W3_0/w_n183_n183#" 146.895
-cap "sky130_fd_pr__diode_pd2nw_05v5_RT56W3_0/w_n183_n183#" "sky130_fd_pr__diode_pd2nw_05v5_RT56W3_0/a_n45_n45#" -849.88
-cap "sky130_fd_pr__diode_pd2nw_05v5_RT56W3_0/w_n321_n321#" "sky130_fd_pr__diode_pd2nw_05v5_RT56W3_0/li_n285_n285#" 28.5152
-cap "CLK" "sky130_fd_pr__diode_pd2nw_05v5_RT56W3_0/a_n45_n45#" -304.22
-cap "sky130_fd_pr__diode_pd2nw_05v5_RT56W3_0/w_n183_n183#" "CLK" -245.085
-cap "sky130_fd_pr__diode_pd2nw_05v5_RT56W3_0/w_n183_n183#" "sky130_fd_pr__diode_pd2nw_05v5_RT56W3_0/li_n285_n285#" 128.21
-cap "sky130_fd_sc_hd__buf_16_0/a_109_47#" "sky130_fd_sc_hd__buf_16_0/VPB" -59.22
-cap "sky130_fd_sc_hd__buf_16_0/a_109_47#" "sky130_fd_sc_hd__buf_16_0/VPB" -59.22
-cap "sky130_fd_sc_hd__buf_16_0/a_109_47#" "sky130_fd_sc_hd__buf_16_0/VPB" -59.22
-cap "sky130_fd_sc_hd__buf_16_0/A" "sky130_fd_sc_hd__buf_16_0/VPB" -59.22
-cap "sky130_fd_sc_hd__buf_16_0/a_109_47#" "sky130_fd_sc_hd__buf_16_0/VPB" -59.22
-cap "sky130_fd_sc_hd__buf_16_0/a_109_47#" "sky130_fd_sc_hd__buf_16_0/VPB" -59.22
-cap "VDD" "sky130_fd_sc_hd__buf_16_0/a_109_47#" 4.27059
-cap "VDD" "sky130_fd_sc_hd__buf_16_0/VPB" -180.876
-cap "sky130_fd_sc_hd__buf_16_0/a_109_47#" "sky130_fd_sc_hd__buf_16_0/VPB" -103.32
-cap "VDD" "sky130_fd_sc_hd__buf_16_0/X" 4.27059
-cap "sky130_fd_sc_hd__buf_16_0/a_109_47#" "sky130_fd_sc_hd__buf_16_0/VPB" -59.22
-cap "VDD" "sky130_fd_sc_hd__buf_16_0/a_109_47#" 3.42941
-cap "VDD" "sky130_fd_sc_hd__buf_16_0/X" 4.27059
-cap "sky130_fd_sc_hd__buf_16_0/X" "sky130_fd_sc_hd__buf_16_0/VPB" -154.98
-cap "sky130_fd_sc_hd__buf_16_0/a_109_47#" "sky130_fd_sc_hd__buf_16_0/VPB" -103.32
-cap "sky130_fd_sc_hd__buf_16_0/a_109_47#" "sky130_fd_sc_hd__buf_16_0/VPB" -59.22
-cap "sky130_fd_sc_hd__buf_16_0/X" "sky130_fd_sc_hd__buf_16_0/VPB" -103.32
-cap "VDD" "sky130_fd_sc_hd__buf_16_0/X" 4.27059
-cap "VDD" "sky130_fd_sc_hd__buf_16_0/X" 4.27059
-cap "sky130_fd_sc_hd__buf_16_0/X" "sky130_fd_sc_hd__buf_16_0/VPB" -103.32
-cap "sky130_fd_sc_hd__buf_16_0/X" "sky130_fd_sc_hd__buf_16_0/VPB" -154.98
-cap "sky130_fd_sc_hd__buf_16_0/A" "sky130_fd_sc_hd__buf_16_0/VPB" -59.22
-cap "sky130_fd_sc_hd__buf_16_0/A" "sky130_fd_sc_hd__buf_16_0/VPB" -59.22
-cap "VDD" "sky130_fd_sc_hd__buf_16_0/X" 3.68824
-cap "sky130_fd_sc_hd__buf_16_0/a_109_47#" "sky130_fd_sc_hd__buf_16_0/VPB" -59.22
-cap "sky130_fd_sc_hd__buf_16_0/X" "sky130_fd_sc_hd__buf_16_0/VPB" -103.32
-cap "sky130_fd_sc_hd__buf_16_0/a_109_47#" "VDD" 36.7143
-cap "sky130_fd_sc_hd__buf_16_0/VGND" "sky130_fd_sc_hd__buf_16_0/A" 53.6071
-cap "sky130_fd_sc_hd__buf_16_0/X" "GND" 31.3744
-cap "VDD" "sky130_fd_sc_hd__buf_16_0/VPB" -2356.72
-cap "sky130_fd_sc_hd__buf_16_0/X" "VDD" 94.3613
-cap "sky130_fd_sc_hd__buf_16_0/a_109_47#" "GND" 12.7899
-cap "sky130_fd_sc_hd__buf_16_0/VGND" "sky130_fd_sc_hd__buf_16_0/VNB" 1.2625
-cap "sky130_fd_sc_hd__buf_16_0/a_109_47#" "sky130_fd_sc_hd__buf_16_0/VPB" 6.40588
-cap "sky130_fd_sc_hd__buf_16_0/a_109_47#" "sky130_fd_sc_hd__buf_16_0/A" 33.3896
-cap "sky130_fd_sc_hd__buf_16_0/A" "sky130_fd_sc_hd__buf_16_0/VPB" 0.127938
-cap "sky130_fd_sc_hd__buf_16_0/VGND" "GND" 130.528
-cap "sky130_fd_sc_hd__buf_16_0/X" "sky130_fd_sc_hd__buf_16_0/VPB" 3.97904e-12
-cap "sky130_fd_sc_hd__buf_16_0/a_109_47#" "sky130_fd_sc_hd__buf_16_0/VGND" 0.4243
-cap "sky130_fd_sc_hd__buf_16_1/a_109_47#" "sky130_fd_sc_hd__buf_16_1/VPB" -61.1
-cap "sky130_fd_sc_hd__buf_16_1/a_109_47#" "sky130_fd_sc_hd__buf_16_1/VPB" -61.1
-cap "sky130_fd_sc_hd__buf_16_1/VPB" "sky130_fd_sc_hd__buf_16_1/A" -61.1
-cap "sky130_fd_sc_hd__buf_16_1/a_109_47#" "sky130_fd_sc_hd__buf_16_1/VPB" -61.1
-cap "sky130_fd_sc_hd__buf_16_1/A" "sky130_fd_sc_hd__buf_16_1/VPB" -61.1
-cap "sky130_fd_sc_hd__buf_16_1/VPB" "sky130_fd_sc_hd__buf_16_1/a_109_47#" -61.1
-cap "sky130_fd_sc_hd__buf_16_1/a_109_47#" "sky130_fd_sc_hd__buf_16_1/VPB" -61.1
-cap "sky130_fd_sc_hd__buf_16_1/a_109_47#" "sky130_fd_sc_hd__buf_16_1/VPB" -61.1
-cap "sky130_fd_sc_hd__buf_16_1/X" "sky130_fd_sc_hd__buf_16_1/VPB" -159.9
-cap "sky130_fd_sc_hd__buf_16_1/X" "sky130_fd_sc_hd__buf_16_1/VPB" -106.6
-cap "sky130_fd_sc_hd__buf_16_1/A" "sky130_fd_sc_hd__buf_16_1/VPB" -61.1
-cap "sky130_fd_sc_hd__buf_16_1/A" "sky130_fd_sc_hd__buf_16_1/VPB" -61.1
-cap "sky130_fd_sc_hd__buf_16_1/X" "sky130_fd_sc_hd__buf_16_1/VPB" -106.6
-cap "sky130_fd_sc_hd__buf_16_1/a_109_47#" "sky130_fd_sc_hd__buf_16_1/VPB" -61.1
-cap "sky130_fd_sc_hd__buf_16_1/a_109_47#" "sky130_fd_sc_hd__buf_16_1/VPB" -61.1
-cap "sky130_fd_sc_hd__buf_16_1/a_109_47#" "sky130_fd_sc_hd__buf_16_1/VPB" -106.6
-cap "sky130_fd_sc_hd__buf_16_1/VPB" "sky130_fd_sc_hd__buf_16_1/X" -106.6
-cap "sky130_fd_sc_hd__buf_16_1/X" "sky130_fd_sc_hd__buf_16_1/VPB" -159.9
-cap "sky130_fd_sc_hd__buf_16_1/a_109_47#" "sky130_fd_sc_hd__buf_16_1/VPB" -106.6
-cap "sky130_fd_sc_hd__buf_16_1/VPB" "sky130_fd_sc_hd__buf_16_1/X" 3.18323e-12
-cap "sky130_fd_sc_hd__buf_16_1/VPB" "sky130_fd_sc_hd__buf_16_1/A" 0.126957
-cap "sky130_fd_sc_hd__buf_16_1/VNB" "sky130_fd_sc_hd__buf_16_1/VPB" 8.88178e-16
-cap "sky130_fd_sc_hd__buf_16_1/VNB" "sky130_fd_sc_hd__buf_16_1/X" -2.27374e-12
-cap "sky130_fd_sc_hd__buf_16_1/VNB" "sky130_fd_sc_hd__buf_16_1/A" 0.188663
-cap "sky130_fd_sc_hd__buf_16_1/a_109_47#" "sky130_fd_sc_hd__buf_16_1/VPB" 19.0207
-cap "sky130_fd_sc_hd__buf_16_1/a_109_47#" "sky130_fd_sc_hd__buf_16_1/VNB" 35.355
-cap "sky130_fd_sc_hd__buf_16_4/VGND" "sky130_fd_sc_hd__buf_16_4/a_109_47#" -90.71
-cap "sky130_fd_sc_hd__buf_16_4/VGND" "sky130_fd_sc_hd__buf_16_4/VGND" -79.13
-cap "sky130_fd_sc_hd__buf_16_4/VGND" "sky130_fd_sc_hd__buf_16_4/X" -79.13
-cap "sky130_fd_sc_hd__buf_16_4/VGND" "sky130_fd_sc_hd__buf_16_4/a_109_47#" -90.71
-cap "sky130_fd_sc_hd__buf_16_4/a_109_47#" "sky130_fd_sc_hd__buf_16_4/VGND" -90.71
-cap "sky130_fd_sc_hd__buf_16_4/VGND" "sky130_fd_sc_hd__buf_16_4/a_109_47#" -90.71
-cap "sky130_fd_sc_hd__buf_16_4/VGND" "sky130_fd_sc_hd__buf_16_4/X" -79.13
-cap "sky130_fd_sc_hd__buf_16_4/VGND" "sky130_fd_sc_hd__buf_16_4/a_109_47#" -90.71
-cap "sky130_fd_sc_hd__buf_16_4/VGND" "sky130_fd_sc_hd__buf_16_4/a_109_47#" -90.71
-cap "sky130_fd_sc_hd__buf_16_4/a_109_47#" "sky130_fd_sc_hd__buf_16_4/VGND" -79.13
-cap "sky130_fd_sc_hd__buf_16_4/VGND" "sky130_fd_sc_hd__buf_16_4/VGND" -79.13
-cap "sky130_fd_sc_hd__buf_16_4/a_109_47#" "sky130_fd_sc_hd__buf_16_4/VGND" -90.71
-cap "sky130_fd_sc_hd__buf_16_4/a_109_47#" "sky130_fd_sc_hd__buf_16_4/VGND" -90.71
-cap "sky130_fd_sc_hd__buf_16_4/a_109_47#" "sky130_fd_sc_hd__buf_16_4/VGND" -90.71
-cap "sky130_fd_sc_hd__buf_16_4/VGND" "sky130_fd_sc_hd__buf_16_4/a_109_47#" -90.71
-cap "sky130_fd_sc_hd__buf_16_4/VGND" "sky130_fd_sc_hd__buf_16_4/VGND" -79.13
-cap "sky130_fd_sc_hd__buf_16_4/VGND" "sky130_fd_sc_hd__buf_16_4/VGND" -158.26
-cap "sky130_fd_sc_hd__buf_16_4/VGND" "sky130_fd_sc_hd__buf_16_4/VGND" -79.13
-cap "sky130_fd_sc_hd__buf_16_4/VGND" "sky130_fd_sc_hd__buf_16_4/VGND" -79.13
-cap "sky130_fd_sc_hd__buf_16_4/VGND" "sky130_fd_sc_hd__buf_16_4/X" -158.26
-cap "sky130_fd_sc_hd__buf_16_4/VGND" "sky130_fd_sc_hd__buf_16_4/X" -79.13
-cap "sky130_fd_sc_hd__buf_16_4/VGND" "sky130_fd_sc_hd__buf_16_4/a_109_47#" -90.71
-cap "sky130_fd_sc_hd__buf_16_4/A" "sky130_fd_sc_hd__buf_16_4/VGND" -90.71
-cap "sky130_fd_sc_hd__buf_16_4/VGND" "sky130_fd_sc_hd__buf_16_4/a_109_47#" -90.71
-cap "sky130_fd_sc_hd__buf_16_4/VGND" "sky130_fd_sc_hd__buf_16_4/X" -79.13
-cap "GND" "sky130_fd_sc_hd__buf_16_4/VGND" -2.82
-cap "sky130_fd_sc_hd__buf_16_4/VGND" "sky130_fd_sc_hd__buf_16_4/a_109_47#" -90.71
-cap "sky130_fd_sc_hd__buf_16_4/A" "sky130_fd_sc_hd__buf_16_4/VGND" -90.71
-cap "sky130_fd_sc_hd__buf_16_4/VGND" "sky130_fd_sc_hd__buf_16_4/VGND" -79.13
-cap "sky130_fd_sc_hd__buf_16_4/VGND" "sky130_fd_sc_hd__buf_16_4/a_109_47#" -90.71
-cap "sky130_fd_sc_hd__buf_16_4/VGND" "sky130_fd_sc_hd__buf_16_4/VGND" -79.13
-cap "GND" "sky130_fd_sc_hd__buf_16_4/VGND" 41.2915
-cap "sky130_fd_sc_hd__buf_16_4/X" "sky130_fd_sc_hd__buf_16_4/VGND" -79.13
-cap "sky130_fd_sc_hd__buf_16_4/VGND" "sky130_fd_sc_hd__buf_16_4/VNB" -2532.16
-cap "sky130_fd_sc_hd__buf_16_4/VGND" "sky130_fd_sc_hd__buf_16_4/X" -79.13
-cap "sky130_fd_sc_hd__buf_16_4/VGND" "sky130_fd_sc_hd__buf_16_4/VGND" -79.13
-cap "VDD" "sky130_fd_sc_hd__buf_16_4/VPWR" 160.976
-cap "VDD" "sky130_fd_sc_hd__buf_16_4/a_109_47#" 6.13521
-cap "sky130_fd_sc_hd__buf_16_4/X" "VDD" 43.4113
-cap "GND" "sky130_fd_sc_hd__buf_16_4/VGND" 66.9565
-cap "sky130_fd_sc_hd__buf_16_0/X" "VDD" 4.27059
-cap "sky130_fd_sc_hd__buf_16_0/VPWR" "sky130_fd_sc_hd__buf_16_0/X" -103.32
-cap "sky130_fd_sc_hd__buf_16_0/X" "VDD" 4.27059
-cap "sky130_fd_sc_hd__buf_16_0/VPWR" "sky130_fd_sc_hd__buf_16_0/X" -154.98
-cap "sky130_fd_sc_hd__buf_16_0/VPWR" "VDD" -101.053
-cap "sky130_fd_sc_hd__buf_16_0/VPWR" "sky130_fd_sc_hd__buf_16_0/a_109_47#" -59.22
-cap "sky130_fd_sc_hd__buf_16_0/VPWR" "sky130_fd_sc_hd__buf_16_0/a_109_47#" -59.22
-cap "sky130_fd_sc_hd__buf_16_0/VPWR" "sky130_fd_sc_hd__buf_16_0/a_109_47#" -59.22
-cap "sky130_fd_sc_hd__buf_16_0/VPWR" "sky130_fd_sc_hd__buf_16_0/a_109_47#" -59.22
-cap "sky130_fd_sc_hd__buf_16_0/VPWR" "sky130_fd_sc_hd__buf_16_0/VPB" -315.7
-cap "sky130_fd_sc_hd__buf_16_0/X" "VDD" 4.27059
-cap "sky130_fd_sc_hd__buf_16_0/VPWR" "sky130_fd_sc_hd__buf_16_0/a_109_47#" -59.22
-cap "sky130_fd_sc_hd__buf_16_0/VPWR" "sky130_fd_sc_hd__buf_16_0/a_109_47#" -59.22
-cap "sky130_fd_sc_hd__buf_16_0/VPWR" "sky130_fd_sc_hd__buf_16_0/X" -154.98
-cap "sky130_fd_sc_hd__buf_16_0/X" "VDD" 0.582353
-cap "sky130_fd_sc_hd__buf_16_0/VPWR" "sky130_fd_sc_hd__buf_16_0/a_109_47#" -59.22
-cap "sky130_fd_sc_hd__buf_16_0/X" "sky130_fd_sc_hd__buf_16_0/VPB" 8.27405
-cap "sky130_fd_sc_hd__buf_16_0/VPWR" "sky130_fd_sc_hd__buf_16_0/X" 3.09945
-cap "sky130_fd_sc_hd__buf_16_0/X" "VDD" 73.5966
-cap "sky130_fd_sc_hd__buf_16_0/VPWR" "sky130_fd_sc_hd__buf_16_0/VPB" -146.165
-cap "sky130_fd_sc_hd__buf_16_0/a_109_47#" "sky130_fd_sc_hd__buf_16_0/VGND" -6.25278e-13
-cap "GND" "sky130_fd_sc_hd__buf_16_0/VGND" 72.9734
-cap "sky130_fd_sc_hd__buf_16_0/VPWR" "VDD" -1328.34
-cap "sky130_fd_sc_hd__buf_16_0/X" "GND" 20.2101
-cap "sky130_fd_sc_hd__buf_16_0/X" "sky130_fd_sc_hd__buf_16_0/VGND" 177.382
-cap "GND" "sky130_fd_sc_hd__buf_16_0/VGND" -5.68434e-14
-cap "sky130_fd_sc_hd__buf_16_1/a_109_47#" "sky130_fd_sc_hd__buf_16_1/VPWR" -61.1
-cap "sky130_fd_sc_hd__buf_16_1/X" "sky130_fd_sc_hd__buf_16_1/VPWR" -106.6
-cap "sky130_fd_sc_hd__buf_16_1/VPWR" "sky130_fd_sc_hd__buf_16_1/a_109_47#" -61.1
-cap "sky130_fd_sc_hd__buf_16_1/VPWR" "sky130_fd_sc_hd__buf_16_1/a_109_47#" -61.1
-cap "sky130_fd_sc_hd__buf_16_1/VPWR" "sky130_fd_sc_hd__buf_16_1/X" -159.9
-cap "sky130_fd_sc_hd__buf_16_1/VPWR" "sky130_fd_sc_hd__buf_16_1/X" -53.3
-cap "sky130_fd_sc_hd__buf_16_1/VPWR" "sky130_fd_sc_hd__buf_16_1/VPB" -426.4
-cap "sky130_fd_sc_hd__buf_16_1/X" "sky130_fd_sc_hd__buf_16_1/VPWR" -159.9
-cap "sky130_fd_sc_hd__buf_16_1/a_109_47#" "sky130_fd_sc_hd__buf_16_1/VPWR" -61.1
-cap "sky130_fd_sc_hd__buf_16_1/VPWR" "sky130_fd_sc_hd__buf_16_1/a_109_47#" -61.1
-cap "sky130_fd_sc_hd__buf_16_1/VPWR" "sky130_fd_sc_hd__buf_16_1/a_109_47#" -61.1
-cap "sky130_fd_sc_hd__buf_16_1/VPWR" "sky130_fd_sc_hd__buf_16_1/a_109_47#" -61.1
-cap "sky130_fd_sc_hd__buf_16_1/VPB" "sky130_fd_sc_hd__buf_16_1/VPWR" -1.13687e-13
-cap "sky130_fd_sc_hd__buf_16_1/X" "sky130_fd_sc_hd__buf_16_1/VGND" 5.4466
-cap "sky130_fd_sc_hd__buf_16_1/X" "sky130_fd_sc_hd__buf_16_1/VPWR" 1.73684
-cap "sky130_fd_sc_hd__buf_2_4/VGND" "sky130_fd_sc_hd__buf_16_4/a_109_47#" -79.13
-cap "sky130_fd_sc_hd__buf_2_4/VGND" "sky130_fd_sc_hd__buf_16_4/A" -90.71
-cap "sky130_fd_sc_hd__buf_2_4/VGND" "sky130_fd_sc_hd__buf_16_4/A" -90.71
-cap "sky130_fd_sc_hd__buf_2_4/VGND" "sky130_fd_sc_hd__buf_16_4/a_109_47#" -158.26
-cap "sky130_fd_sc_hd__buf_2_4/VGND" "sky130_fd_sc_hd__buf_2_4/VGND" -158.26
-cap "sky130_fd_sc_hd__buf_2_4/X" "sky130_fd_sc_hd__buf_2_4/VGND" -158.26
-cap "sky130_fd_sc_hd__buf_2_4/VGND" "GND" 33.287
-cap "sky130_fd_sc_hd__buf_2_4/VGND" "sky130_fd_sc_hd__buf_2_4/a_27_47#" -158.26
-cap "sky130_fd_sc_hd__buf_16_4/VGND" "sky130_fd_sc_hd__buf_2_4/VGND" -158.26
-cap "sky130_fd_sc_hd__buf_2_4/VGND" "sky130_fd_sc_hd__buf_16_4/VGND" -79.13
-cap "sky130_fd_sc_hd__buf_2_4/VGND" "sky130_fd_sc_hd__buf_16_4/A" -90.71
-cap "sky130_fd_sc_hd__buf_2_4/VGND" "sky130_fd_sc_hd__buf_16_4/A" -90.71
-cap "sky130_fd_sc_hd__buf_2_4/VGND" "sky130_fd_sc_hd__buf_16_4/VGND" -79.13
-cap "sky130_fd_sc_hd__buf_2_4/VGND" "sky130_fd_sc_hd__buf_2_4/A" -90.71
-cap "sky130_fd_sc_hd__buf_2_4/VGND" "sky130_fd_sc_hd__buf_2_4/a_27_47#" -90.71
-cap "sky130_fd_sc_hd__buf_2_4/a_27_47#" "sky130_fd_sc_hd__buf_2_4/VGND" -90.71
-cap "sky130_fd_sc_hd__buf_2_4/X" "VDD" 4.74085
-cap "VDD" "sky130_fd_sc_hd__buf_2_4/a_27_47#" 3.16056
-cap "sky130_fd_sc_hd__buf_16_4/a_109_47#" "sky130_fd_sc_hd__buf_2_4/VGND" 24.5024
-cap "sky130_fd_sc_hd__buf_2_4/VGND" "GND" 33.287
-cap "sky130_fd_sc_hd__buf_16_4/a_109_47#" "sky130_fd_sc_hd__buf_2_4/VPWR" 22.0027
-cap "sky130_fd_sc_hd__buf_2_4/X" "sky130_fd_sc_hd__buf_2_4/VGND" 72.4438
-cap "sky130_fd_sc_hd__buf_2_4/VGND" "sky130_fd_sc_hd__buf_2_4/a_27_47#" 2.44083
-cap "sky130_fd_sc_hd__buf_2_4/A" "sky130_fd_sc_hd__buf_2_4/X" -6.13115
-cap "sky130_fd_sc_hd__buf_2_4/X" "sky130_fd_sc_hd__buf_2_4/VPWR" 49.363
-cap "sky130_fd_sc_hd__buf_2_4/A" "sky130_fd_sc_hd__buf_2_4/a_27_47#" 29.4706
-cap "sky130_fd_sc_hd__buf_2_4/VPWR" "sky130_fd_sc_hd__buf_2_4/a_27_47#" 3.42453
-cap "VDD" "sky130_fd_sc_hd__buf_2_4/VPWR" 86.9268
-cap "VDD" "sky130_fd_sc_hd__buf_16_4/a_109_47#" 12.2704
-cap "sky130_fd_sc_hd__buf_2_4/X" "sky130_fd_sc_hd__buf_2_4/a_27_47#" 10.4075
-cap "sky130_fd_sc_hd__buf_2_4/A" "sky130_fd_sc_hd__buf_2_4/VGND" 6.40592
-cap "sky130_fd_sc_hd__buf_2_4/VGND" "sky130_fd_sc_hd__buf_2_4/VPWR" -0.572089
-cap "sky130_fd_sc_hd__buf_2_4/A" "sky130_fd_sc_hd__buf_2_4/VPWR" 13.3934
-cap "sky130_fd_sc_hd__buf_2_4/a_27_47#" "sky130_fd_sc_hd__buf_2_4/A" 4.66981
-cap "sky130_fd_sc_hd__buf_2_4/A" "sky130_fd_sc_hd__buf_2_4/a_27_47#" 3.51348
-cap "sky130_fd_pr__diode_pw2nd_05v5_3P6M5Y_0/a_n100_n100#" "sky130_fd_pr__diode_pw2nd_05v5_3P6M5Y_0/w_n238_n238#" 5.62291
-cap "sky130_fd_pr__diode_pw2nd_05v5_3P6M5Y_0/w_n238_n238#" "sky130_fd_pr__diode_pw2nd_05v5_3P6M5Y_0/a_n100_n100#" 3.96369
-cap "CLKBAR" "sky130_fd_pr__diode_pd2nw_05v5_RT56W3_1/w_n321_n321#" 5.1255
-cap "sky130_fd_pr__diode_pd2nw_05v5_RT56W3_1/w_n321_n321#" "sky130_fd_pr__diode_pd2nw_05v5_RT56W3_1/li_n285_n285#" 6.41007
-cap "CLKBAR" "sky130_fd_pr__diode_pd2nw_05v5_RT56W3_1/li_n285_n285#" 2.04707
-cap "sky130_fd_pr__diode_pd2nw_05v5_RT56W3_1/w_n321_n321#" "sky130_fd_pr__diode_pd2nw_05v5_RT56W3_1/a_n45_n45#" 4.13555
-cap "sky130_fd_pr__diode_pd2nw_05v5_RT56W3_1/w_n321_n321#" "sky130_fd_pr__diode_pd2nw_05v5_RT56W3_1/w_n183_n183#" 16.0086
-cap "sky130_fd_pr__diode_pd2nw_05v5_RT56W3_1/w_n321_n321#" "sky130_fd_pr__diode_pd2nw_05v5_RT56W3_1/li_n285_n285#" 96.8633
-cap "sky130_fd_pr__diode_pw2nd_05v5_3P6M5Y_0/w_n238_n238#" "sky130_fd_pr__diode_pw2nd_05v5_3P6M5Y_0/a_n100_n100#" 236.545
-cap "sky130_fd_pr__diode_pw2nd_05v5_3P6M5Y_0/a_n100_n100#" "sky130_fd_pr__diode_pw2nd_05v5_3P6M5Y_0/w_n238_n238#" 52.9456
-cap "sky130_fd_pr__diode_pd2nw_05v5_RT56W3_1/w_n321_n321#" "sky130_fd_pr__diode_pd2nw_05v5_RT56W3_1/li_n285_n285#" 3.18214
-cap "CLKBAR" "sky130_fd_pr__diode_pd2nw_05v5_RT56W3_1/a_n45_n45#" -5.68434e-14
-cap "sky130_fd_pr__diode_pd2nw_05v5_RT56W3_1/a_n45_n45#" "sky130_fd_pr__diode_pd2nw_05v5_RT56W3_1/w_n183_n183#" -966.098
-cap "sky130_fd_pr__diode_pd2nw_05v5_RT56W3_1/a_n45_n45#" "sky130_fd_pr__diode_pd2nw_05v5_RT56W3_1/li_n285_n285#" 82.8705
-cap "CLKBAR" "sky130_fd_pr__diode_pd2nw_05v5_RT56W3_1/li_n285_n285#" 184.038
-cap "sky130_fd_pr__diode_pd2nw_05v5_RT56W3_1/w_n321_n321#" "sky130_fd_pr__diode_pd2nw_05v5_RT56W3_1/a_n45_n45#" 58.446
-cap "CLKBAR" "sky130_fd_pr__diode_pd2nw_05v5_RT56W3_1/w_n321_n321#" 253.34
-cap "sky130_fd_pr__diode_pd2nw_05v5_RT56W3_1/li_n285_n285#" "sky130_fd_pr__diode_pd2nw_05v5_RT56W3_1/w_n183_n183#" 129.997
-cap "sky130_fd_pr__diode_pd2nw_05v5_RT56W3_1/w_n321_n321#" "sky130_fd_pr__diode_pd2nw_05v5_RT56W3_1/a_n45_n45#" -796.691
-cap "sky130_fd_pr__diode_pd2nw_05v5_RT56W3_1/li_n285_n285#" "sky130_fd_pr__diode_pd2nw_05v5_RT56W3_1/a_n45_n45#" -378.019
-cap "sky130_fd_pr__diode_pd2nw_05v5_RT56W3_1/li_n285_n285#" "sky130_fd_pr__diode_pd2nw_05v5_RT56W3_1/w_n321_n321#" 48.0857
-cap "sky130_fd_pr__diode_pd2nw_05v5_RT56W3_1/w_n183_n183#" "sky130_fd_pr__diode_pd2nw_05v5_RT56W3_1/a_n45_n45#" 486.588
-cap "sky130_fd_pr__diode_pd2nw_05v5_RT56W3_1/w_n321_n321#" "sky130_fd_pr__diode_pd2nw_05v5_RT56W3_1/w_n183_n183#" 145.798
-merge "sky130_fd_pr__diode_pd2nw_05v5_RT56W3_1/w_n183_n183#" "sky130_fd_sc_hd__buf_2_4/VPWR" -22504.6 0 0 0 0 46578 -11814 0 0 -23092 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 684318 -13464 -5934000 -23344 1489870 -2004 -33126130 -49844 -5097974 -21474 0 0 0 0
-merge "sky130_fd_sc_hd__buf_2_4/VPWR" "sky130_fd_sc_hd__buf_2_4/VPB"
-merge "sky130_fd_sc_hd__buf_2_4/VPB" "sky130_fd_pr__diode_pd2nw_05v5_RT56W3_0/w_n183_n183#"
-merge "sky130_fd_pr__diode_pd2nw_05v5_RT56W3_0/w_n183_n183#" "sky130_fd_sc_hd__buf_16_4/VPB"
-merge "sky130_fd_sc_hd__buf_16_4/VPB" "w_569596_688404#"
-merge "w_569596_688404#" "sky130_fd_sc_hd__buf_16_4/VPWR"
-merge "sky130_fd_sc_hd__buf_16_4/VPWR" "sky130_fd_sc_hd__buf_16_1/VPWR"
-merge "sky130_fd_sc_hd__buf_16_1/VPWR" "sky130_fd_sc_hd__buf_16_1/VPB"
-merge "sky130_fd_sc_hd__buf_16_1/VPB" "w_466974_686458#"
-merge "w_466974_686458#" "sky130_fd_sc_hd__buf_16_0/VPWR"
-merge "sky130_fd_sc_hd__buf_16_0/VPWR" "w_415450_686636#"
-merge "w_415450_686636#" "sky130_fd_sc_hd__buf_16_0/VPB"
-merge "sky130_fd_sc_hd__buf_16_0/VPB" "w_415106_686340#"
-merge "w_415106_686340#" "sky130_fd_sc_hd__buf_2_3/VPWR"
-merge "sky130_fd_sc_hd__buf_2_3/VPWR" "sky130_fd_sc_hd__buf_2_3/VPB"
-merge "sky130_fd_sc_hd__buf_2_3/VPB" "w_122758_673528#"
-merge "w_122758_673528#" "sky130_fd_sc_hd__buf_2_2/VPWR"
-merge "sky130_fd_sc_hd__buf_2_2/VPWR" "sky130_fd_sc_hd__buf_2_2/VPB"
-merge "sky130_fd_sc_hd__buf_2_2/VPB" "w_70778_673802#"
-merge "w_70778_673802#" "sky130_fd_sc_hd__buf_2_1/VPWR"
-merge "sky130_fd_sc_hd__buf_2_1/VPWR" "sky130_fd_sc_hd__buf_2_1/VPB"
-merge "sky130_fd_sc_hd__buf_2_1/VPB" "w_448410_591030#"
-merge "w_448410_591030#" "sky130_fd_sc_hd__buf_2_0/VPWR"
-merge "sky130_fd_sc_hd__buf_2_0/VPWR" "sky130_fd_sc_hd__buf_2_0/VPB"
-merge "sky130_fd_sc_hd__buf_2_0/VPB" "w_440058_591014#"
-merge "w_440058_591014#" "comparator_v6_0/VDD"
-merge "comparator_v6_0/VDD" "sky130_fd_sc_hd__buf_16_2/VPWR"
-merge "sky130_fd_sc_hd__buf_16_2/VPWR" "sky130_fd_sc_hd__buf_16_2/VPB"
-merge "sky130_fd_sc_hd__buf_16_2/VPB" "w_408706_587622#"
-merge "w_408706_587622#" "sky130_fd_sc_hd__buf_16_3/VPWR"
-merge "sky130_fd_sc_hd__buf_16_3/VPWR" "VDD"
-merge "VDD" "sky130_fd_sc_hd__buf_16_3/VPB"
-merge "sky130_fd_sc_hd__buf_16_3/VPB" "w_408694_585520#"
-merge "sky130_fd_pr__diode_pd2nw_05v5_RT56W3_1/w_n321_n321#" "sky130_fd_pr__diode_pw2nd_05v5_3P6M5Y_0/w_n238_n238#" -26144.8 -926092 -6660 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 301053 -14044 -8517981 -24690 178160 -3442 -34484072 -51470 144588 -3470 -8918894 -28524 0 0
-merge "sky130_fd_pr__diode_pw2nd_05v5_3P6M5Y_0/w_n238_n238#" "sky130_fd_sc_hd__buf_2_4/VGND"
-merge "sky130_fd_sc_hd__buf_2_4/VGND" "sky130_fd_sc_hd__buf_2_4/VNB"
-merge "sky130_fd_sc_hd__buf_2_4/VNB" "sky130_fd_pr__diode_pw2nd_05v5_GT7G3L_0/w_n183_n183#"
-merge "sky130_fd_pr__diode_pw2nd_05v5_GT7G3L_0/w_n183_n183#" "sky130_fd_sc_hd__buf_16_4/VNB"
-merge "sky130_fd_sc_hd__buf_16_4/VNB" "sky130_fd_sc_hd__buf_16_4/VGND"
-merge "sky130_fd_sc_hd__buf_16_4/VGND" "sky130_fd_sc_hd__buf_16_1/VGND"
-merge "sky130_fd_sc_hd__buf_16_1/VGND" "sky130_fd_sc_hd__buf_16_1/VNB"
-merge "sky130_fd_sc_hd__buf_16_1/VNB" "sky130_fd_sc_hd__buf_16_0/VGND"
-merge "sky130_fd_sc_hd__buf_16_0/VGND" "m1_415698_686666#"
-merge "m1_415698_686666#" "sky130_fd_sc_hd__buf_16_0/VNB"
-merge "sky130_fd_sc_hd__buf_16_0/VNB" "sky130_fd_pr__diode_pd2nw_05v5_RT56W3_0/w_n321_n321#"
-merge "sky130_fd_pr__diode_pd2nw_05v5_RT56W3_0/w_n321_n321#" "sky130_fd_sc_hd__buf_2_3/VGND"
-merge "sky130_fd_sc_hd__buf_2_3/VGND" "sky130_fd_sc_hd__buf_2_3/VNB"
-merge "sky130_fd_sc_hd__buf_2_3/VNB" "sky130_fd_sc_hd__buf_2_2/VGND"
-merge "sky130_fd_sc_hd__buf_2_2/VGND" "sky130_fd_sc_hd__buf_2_2/VNB"
-merge "sky130_fd_sc_hd__buf_2_2/VNB" "sky130_fd_sc_hd__buf_2_1/VGND"
-merge "sky130_fd_sc_hd__buf_2_1/VGND" "sky130_fd_sc_hd__buf_2_1/VNB"
-merge "sky130_fd_sc_hd__buf_2_1/VNB" "sky130_fd_sc_hd__buf_2_0/VGND"
-merge "sky130_fd_sc_hd__buf_2_0/VGND" "sky130_fd_sc_hd__buf_2_0/VNB"
-merge "sky130_fd_sc_hd__buf_2_0/VNB" "sky130_fd_sc_hd__buf_16_2/VGND"
-merge "sky130_fd_sc_hd__buf_16_2/VGND" "sky130_fd_sc_hd__buf_16_2/VNB"
-merge "sky130_fd_sc_hd__buf_16_2/VNB" "comparator_v6_0/latch_3_0/inv_W12_1/GND"
-merge "comparator_v6_0/latch_3_0/inv_W12_1/GND" "comparator_v6_0/latch_3_0/inv_W12_0/GND"
-merge "comparator_v6_0/latch_3_0/inv_W12_0/GND" "sky130_fd_sc_hd__buf_16_3/VGND"
+cap "sky130_fd_sc_hd__buf_2_2/VGND" "sky130_fd_sc_hd__buf_2_2/a_27_47#" 17.8051
+cap "sky130_fd_sc_hd__buf_2_2/X" "sky130_fd_sc_hd__buf_2_2/a_27_47#" 10.4075
+cap "sky130_fd_sc_hd__buf_2_2/VPWR" "sky130_fd_sc_hd__buf_2_2/a_27_47#" 7.03452
+cap "sky130_fd_sc_hd__buf_2_2/X" "sky130_fd_sc_hd__buf_2_2/VGND" 59.611
+cap "sky130_fd_sc_hd__buf_2_2/X" "sky130_fd_sc_hd__buf_2_2/VPWR" 54.0827
+cap "sky130_fd_sc_hd__buf_2_2/X" "sky130_fd_sc_hd__buf_2_2/A" -5.61
+cap "sky130_fd_sc_hd__buf_2_2/VGND" "sky130_fd_sc_hd__buf_2_2/A" 7.26214
+cap "sky130_fd_sc_hd__buf_2_2/VPWR" "sky130_fd_sc_hd__buf_2_2/A" 12.6538
+cap "sky130_fd_sc_hd__buf_2_2/X" "sky130_fd_sc_hd__buf_2_2/A" 0.278274
+cap "sky130_fd_sc_hd__buf_16_2/a_109_47#" "sky130_fd_sc_hd__buf_2_2/VGND" 15.1691
+cap "sky130_fd_sc_hd__buf_16_2/a_109_47#" "sky130_fd_sc_hd__buf_2_2/X" 5.68434e-14
+cap "sky130_fd_sc_hd__buf_2_2/VGND" "sky130_fd_sc_hd__buf_2_2/X" 2.79104
+cap "sky130_fd_sc_hd__buf_16_2/a_109_47#" "sky130_fd_sc_hd__buf_2_2/VPWR" 7.36072
+cap "sky130_fd_sc_hd__buf_2_2/a_27_47#" "sky130_fd_sc_hd__buf_2_2/X" 3.09945
+cap "sky130_fd_sc_hd__buf_2_2/VPWR" "sky130_fd_sc_hd__buf_2_2/X" 2.04
+cap "sky130_fd_sc_hd__buf_16_2/VPWR" "sky130_fd_sc_hd__buf_16_2/X" 55.0659
+cap "sky130_fd_sc_hd__buf_16_2/VGND" "sky130_fd_sc_hd__buf_16_2/X" 116.314
+cap "sky130_fd_sc_hd__buf_16_2/a_109_47#" "sky130_fd_sc_hd__buf_16_2/VPWR" 18.1538
+cap "sky130_fd_sc_hd__buf_16_2/a_109_47#" "sky130_fd_sc_hd__buf_16_2/A" 2.27374e-13
+cap "sky130_fd_sc_hd__buf_16_2/a_109_47#" "sky130_fd_sc_hd__buf_16_2/VGND" 38.4659
+cap "sky130_fd_sc_hd__buf_16_2/VPWR" "sky130_fd_sc_hd__buf_16_2/X" 22.9319
+cap "sky130_fd_sc_hd__buf_16_2/a_109_47#" "sky130_fd_sc_hd__buf_16_2/X" -2.27374e-13
+cap "sky130_fd_sc_hd__buf_16_2/VGND" "sky130_fd_sc_hd__buf_16_2/X" 45.3414
+cap "sky130_fd_sc_hd__buf_16_2/VPB" "sky130_fd_sc_hd__buf_16_2/X" -77.8656
+cap "sky130_fd_sc_hd__buf_2_2/VPWR" "sky130_fd_sc_hd__buf_2_2/A" 0.967241
+cap "sky130_fd_sc_hd__buf_2_2/VPWR" "sky130_fd_sc_hd__buf_2_2/a_27_47#" 7.03452
+cap "sky130_fd_sc_hd__buf_2_2/VPWR" "sky130_fd_sc_hd__buf_2_2/X" 6.51541
+cap "sky130_fd_sc_hd__buf_16_2/a_109_47#" "sky130_fd_sc_hd__buf_2_2/VPWR" 7.36072
+cap "sky130_fd_sc_hd__buf_2_2/X" "sky130_fd_sc_hd__buf_2_2/VPWR" 0.941275
+cap "sky130_fd_sc_hd__buf_16_2/X" "sky130_fd_sc_hd__buf_16_2/VPWR" 55.0659
+cap "sky130_fd_sc_hd__buf_16_2/VPB" "sky130_fd_sc_hd__buf_16_2/VPWR" -3.69
+cap "sky130_fd_sc_hd__buf_16_2/a_109_47#" "sky130_fd_sc_hd__buf_16_2/VPWR" 18.1538
+cap "sky130_fd_sc_hd__buf_16_2/VPWR" "sky130_fd_sc_hd__buf_16_2/X" 21.5431
+cap "sky130_fd_sc_hd__buf_2_3/X" "sky130_fd_sc_hd__buf_2_3/VPWR" 3.46651
+cap "sky130_fd_sc_hd__buf_2_3/VPWR" "sky130_fd_sc_hd__buf_2_3/A" -2.27235
+cap "sky130_fd_sc_hd__buf_2_3/a_27_47#" "sky130_fd_sc_hd__buf_2_3/VPWR" 12.0891
+cap "sky130_fd_sc_hd__buf_2_3/VPWR" "sky130_fd_sc_hd__buf_2_3/X" 28.7568
+cap "sky130_fd_sc_hd__buf_2_3/VGND" "sky130_fd_sc_hd__buf_2_3/X" 11.2539
+cap "sky130_fd_sc_hd__buf_2_3/A" "sky130_fd_sc_hd__buf_2_3/X" -5.88119
+cap "sky130_fd_sc_hd__buf_2_3/a_27_47#" "sky130_fd_sc_hd__buf_2_3/X" 10.255
+cap "sky130_fd_sc_hd__buf_2_3/VPWR" "sky130_fd_sc_hd__buf_2_3/VGND" -1.77636e-15
+cap "sky130_fd_sc_hd__buf_2_3/VPWR" "sky130_fd_sc_hd__buf_2_3/A" -2.27235
+cap "sky130_fd_sc_hd__buf_2_3/A" "sky130_fd_sc_hd__buf_2_3/VGND" -6.95924
+cap "sky130_fd_sc_hd__buf_2_3/VPWR" "sky130_fd_sc_hd__buf_2_3/a_27_47#" -32.5244
+cap "sky130_fd_sc_hd__buf_2_3/A" "sky130_fd_sc_hd__buf_2_3/a_27_47#" 0.502538
+cap "sky130_fd_sc_hd__buf_2_4/A" "sky130_fd_sc_hd__buf_2_4/VPWR" 1.51249
+cap "sky130_fd_sc_hd__buf_2_4/VPWR" "sky130_fd_sc_hd__buf_2_4/X" 1.07037
+cap "sky130_fd_sc_hd__buf_2_4/a_27_47#" "sky130_fd_sc_hd__buf_2_4/VPWR" -64.284
+cap "sky130_fd_sc_hd__buf_2_4/VPWR" "sky130_fd_sc_hd__buf_2_4/a_27_47#" 50.0791
+cap "sky130_fd_sc_hd__buf_2_4/VGND" "sky130_fd_sc_hd__buf_2_4/VPWR" 4.44089e-16
+cap "sky130_fd_sc_hd__buf_2_4/X" "sky130_fd_sc_hd__buf_2_4/VPWR" 21.3812
+cap "sky130_fd_sc_hd__buf_2_4/A" "sky130_fd_sc_hd__buf_2_4/a_27_47#" 0.78337
+cap "sky130_fd_sc_hd__buf_2_4/VGND" "sky130_fd_sc_hd__buf_2_4/A" -0.529516
+cap "sky130_fd_sc_hd__buf_2_4/X" "sky130_fd_sc_hd__buf_2_4/A" -4.96279
+cap "sky130_fd_sc_hd__buf_2_4/X" "sky130_fd_sc_hd__buf_2_4/a_27_47#" 10.255
+cap "sky130_fd_sc_hd__buf_2_4/X" "sky130_fd_sc_hd__buf_2_4/VGND" 4.49722
+cap "sky130_fd_sc_hd__buf_2_4/A" "sky130_fd_sc_hd__buf_2_4/VPWR" 8.23821
+cap "sky130_fd_sc_hd__buf_2_3/X" "sky130_fd_sc_hd__buf_2_3/VPWR" -0.468325
+cap "sky130_fd_sc_hd__buf_2_3/X" "sky130_fd_sc_hd__buf_2_3/VPWR" 28.4932
+cap "sky130_fd_sc_hd__buf_2_3/A" "sky130_fd_sc_hd__buf_2_3/X" -2.32031
+cap "sky130_fd_sc_hd__buf_2_3/X" "sky130_fd_sc_hd__buf_2_3/VGND" 26.3552
+cap "sky130_fd_sc_hd__buf_2_4/VPWR" "sky130_fd_sc_hd__buf_2_4/X" -1.41062
+cap "sky130_fd_sc_hd__buf_2_4/X" "sky130_fd_sc_hd__buf_2_4/A" -2.07692
+cap "sky130_fd_sc_hd__buf_2_4/X" "sky130_fd_sc_hd__buf_2_4/VGND" 35.8734
+cap "sky130_fd_sc_hd__buf_2_4/X" "sky130_fd_sc_hd__buf_2_4/VPWR" 30.0845
+cap "sky130_fd_pr__diode_pd2nw_05v5_RT56W3_0/w_n321_n321#" "sky130_fd_pr__diode_pd2nw_05v5_RT56W3_0/a_n45_n45#" 58.608
+cap "sky130_fd_pr__diode_pd2nw_05v5_RT56W3_0/li_n285_n285#" "sky130_fd_pr__diode_pd2nw_05v5_RT56W3_0/a_n45_n45#" 23.437
+cap "sky130_fd_pr__diode_pd2nw_05v5_RT56W3_0/w_n183_n183#" "sky130_fd_pr__diode_pd2nw_05v5_RT56W3_0/a_n45_n45#" 89.5495
+cap "sky130_fd_pr__diode_pd2nw_05v5_RT56W3_0/w_n183_n183#" "sky130_fd_pr__diode_pd2nw_05v5_RT56W3_0/w_n321_n321#" 57.1208
+cap "sky130_fd_pr__diode_pd2nw_05v5_RT56W3_0/w_n183_n183#" "sky130_fd_pr__diode_pd2nw_05v5_RT56W3_0/li_n285_n285#" 13.6052
+cap "sky130_fd_pr__diode_pw2nd_05v5_GT7E3L_0/w_n183_n183#" "sky130_fd_pr__diode_pw2nd_05v5_GT7E3L_0/a_n45_n45#" 378.257
+cap "sky130_fd_pr__diode_pd2nw_05v5_RT56W3_0/a_n45_n45#" "sky130_fd_pr__diode_pd2nw_05v5_RT56W3_0/li_n285_n285#" 92.4578
+cap "sky130_fd_pr__diode_pd2nw_05v5_RT56W3_0/w_n183_n183#" "sky130_fd_pr__diode_pd2nw_05v5_RT56W3_0/a_n45_n45#" 184.696
+cap "sky130_fd_pr__diode_pd2nw_05v5_RT56W3_0/w_n183_n183#" "sky130_fd_pr__diode_pd2nw_05v5_RT56W3_0/w_n321_n321#" 129.594
+cap "sky130_fd_pr__diode_pd2nw_05v5_RT56W3_0/a_n45_n45#" "sky130_fd_pr__diode_pd2nw_05v5_RT56W3_0/w_n321_n321#" 131.081
+cap "sky130_fd_pr__diode_pd2nw_05v5_RT56W3_0/w_n183_n183#" "sky130_fd_pr__diode_pd2nw_05v5_RT56W3_0/li_n285_n285#" 82.626
+cap "sky130_fd_sc_hd__buf_16_3/X" "sky130_fd_sc_hd__buf_16_3/VPB" 3.55762
+cap "sky130_fd_sc_hd__buf_16_3/a_109_47#" "sky130_fd_sc_hd__buf_16_3/VPB" 1.96283
+cap "sky130_fd_sc_hd__buf_16_3/X" "sky130_fd_sc_hd__buf_16_3/VPB" 0.184015
+cap "sky130_fd_sc_hd__buf_16_3/a_109_47#" "sky130_fd_sc_hd__buf_16_3/VPB" 3.06691
+cap "sky130_fd_sc_hd__buf_16_3/X" "sky130_fd_sc_hd__buf_16_3/VPB" 1.96283
+cap "sky130_fd_sc_hd__buf_16_3/X" "sky130_fd_sc_hd__buf_16_3/VPB" 2.57621
+cap "sky130_fd_sc_hd__buf_16_3/a_109_47#" "sky130_fd_sc_hd__buf_16_3/VPB" 2.0855
+cap "GND" "sky130_fd_sc_hd__buf_16_3/VGND" -1.13687e-13
+cap "sky130_fd_sc_hd__buf_16_3/VPB" "sky130_fd_sc_hd__buf_16_3/X" 5.68434e-13
+cap "GND" "sky130_fd_sc_hd__buf_16_3/VGND" 148.5
+cap "sky130_fd_sc_hd__buf_16_3/A" "sky130_fd_sc_hd__buf_16_3/VPB" 0.523858
+cap "sky130_fd_sc_hd__buf_16_3/VPB" "sky130_fd_sc_hd__buf_16_3/a_109_47#" 20.809
+cap "sky130_fd_sc_hd__buf_16_3/A" "sky130_fd_sc_hd__buf_16_3/VGND" 2.52962
+cap "sky130_fd_sc_hd__buf_16_3/VPB" "sky130_fd_sc_hd__buf_16_3/VGND" 1.42493
+cap "sky130_fd_sc_hd__buf_16_4/VPB" "sky130_fd_sc_hd__buf_16_4/VPWR" -983.385
+cap "sky130_fd_sc_hd__buf_16_4/VPB" "sky130_fd_sc_hd__buf_16_4/X" 4.54747e-13
+cap "sky130_fd_sc_hd__buf_16_4/a_109_47#" "sky130_fd_sc_hd__buf_16_4/VPB" 18.7853
+cap "sky130_fd_sc_hd__buf_16_4/VPB" "sky130_fd_sc_hd__buf_16_4/VGND" 3.32853
+cap "sky130_fd_sc_hd__buf_16_4/A" "sky130_fd_sc_hd__buf_16_4/VGND" 2.79104
+cap "sky130_fd_sc_hd__buf_16_4/VPB" "sky130_fd_sc_hd__buf_16_4/A" 3.00449
+cap "sky130_fd_sc_hd__buf_16_4/VGND" "GND" 123.109
+cap "GND" "sky130_fd_sc_hd__buf_16_4/VGND" -2.84217e-14
+cap "sky130_fd_sc_hd__buf_16_3/VPB" "sky130_fd_sc_hd__buf_16_3/VPWR" -578.1
+cap "sky130_fd_sc_hd__buf_16_3/X" "sky130_fd_sc_hd__buf_16_3/VPWR" 2.33086
+cap "sky130_fd_sc_hd__buf_16_3/VPWR" "sky130_fd_sc_hd__buf_16_3/X" 3.31227
+cap "sky130_fd_sc_hd__buf_16_3/X" "sky130_fd_sc_hd__buf_16_3/VPWR" 3.31227
+cap "sky130_fd_sc_hd__buf_16_3/X" "sky130_fd_sc_hd__buf_16_3/VPWR" 1.77881
+cap "sky130_fd_sc_hd__buf_16_3/VPB" "sky130_fd_sc_hd__buf_16_3/X" 0.948625
+cap "sky130_fd_sc_hd__buf_16_3/VGND" "GND" 84.0938
+cap "sky130_fd_sc_hd__buf_16_3/VGND" "GND" -5.68434e-14
+cap "sky130_fd_sc_hd__buf_16_3/VPB" "sky130_fd_sc_hd__buf_16_3/VPWR" -116.824
+cap "sky130_fd_sc_hd__buf_16_3/VGND" "sky130_fd_sc_hd__buf_16_3/X" 3.03243
+cap "sky130_fd_sc_hd__buf_16_3/VPWR" "sky130_fd_sc_hd__buf_16_3/X" 2.8191
+cap "sky130_fd_sc_hd__buf_16_4/VPB" "sky130_fd_sc_hd__buf_16_4/VPWR" -605.16
+cap "sky130_fd_sc_hd__buf_16_4/X" "sky130_fd_sc_hd__buf_16_4/VGND" 3
+cap "sky130_fd_sc_hd__buf_16_4/VPWR" "sky130_fd_sc_hd__buf_16_4/VPB" -116.027
+cap "sky130_fd_sc_hd__buf_16_4/X" "sky130_fd_sc_hd__buf_16_4/VPB" 8.96312
+cap "sky130_fd_sc_hd__buf_16_4/a_109_47#" "sky130_fd_sc_hd__buf_16_4/VPWR" -2.84217e-14
+cap "sky130_fd_sc_hd__buf_16_4/VGND" "GND" 69.715
+cap "sky130_fd_sc_hd__buf_16_4/X" "sky130_fd_sc_hd__buf_16_4/VPWR" 2.23506
+cap "sky130_fd_pr__diode_pw2nd_05v5_GT7G3L_0/a_n45_n45#" "sky130_fd_pr__diode_pw2nd_05v5_GT7G3L_0/w_n183_n183#" 128.106
+cap "sky130_fd_pr__diode_pd2nw_05v5_RT56W3_1/li_n285_n285#" "sky130_fd_pr__diode_pd2nw_05v5_RT56W3_1/w_n183_n183#" 86.2012
+cap "sky130_fd_pr__diode_pd2nw_05v5_RT56W3_1/li_n285_n285#" "sky130_fd_pr__diode_pd2nw_05v5_RT56W3_1/a_n45_n45#" 105.865
+cap "sky130_fd_pr__diode_pd2nw_05v5_RT56W3_1/w_n183_n183#" "sky130_fd_pr__diode_pd2nw_05v5_RT56W3_1/w_n321_n321#" 123.165
+cap "sky130_fd_pr__diode_pd2nw_05v5_RT56W3_1/w_n183_n183#" "sky130_fd_pr__diode_pd2nw_05v5_RT56W3_1/a_n45_n45#" 207.181
+cap "sky130_fd_pr__diode_pd2nw_05v5_RT56W3_1/w_n321_n321#" "sky130_fd_pr__diode_pd2nw_05v5_RT56W3_1/a_n45_n45#" 126.139
+cap "sky130_fd_pr__diode_pw2nd_05v5_GT7G3L_0/a_n45_n45#" "sky130_fd_pr__diode_pw2nd_05v5_GT7G3L_0/w_n183_n183#" 306.509
+merge "sky130_fd_pr__diode_pd2nw_05v5_RT56W3_1/w_n321_n321#" "sky130_fd_pr__diode_pw2nd_05v5_GT7G3L_0/w_n183_n183#" -38110.2 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 -3302577 -12930 2281515 -17514 193880 -6808 -34484912 -68916 110452 -6864 -6338728 -23372 0 0
+merge "sky130_fd_pr__diode_pw2nd_05v5_GT7G3L_0/w_n183_n183#" "sky130_fd_sc_hd__buf_16_4/VGND"
+merge "sky130_fd_sc_hd__buf_16_4/VGND" "sky130_fd_sc_hd__buf_16_4/VNB"
+merge "sky130_fd_sc_hd__buf_16_4/VNB" "sky130_fd_sc_hd__buf_16_3/VGND"
 merge "sky130_fd_sc_hd__buf_16_3/VGND" "sky130_fd_sc_hd__buf_16_3/VNB"
-merge "sky130_fd_sc_hd__buf_16_3/VNB" "comparator_v6_0/a_86_n1150#"
-merge "comparator_v6_0/a_86_n1150#" "comparator_v6_0/GND"
-merge "comparator_v6_0/GND" "GND"
-merge "sky130_fd_pr__diode_pd2nw_05v5_RT56W3_1/a_n45_n45#" "sky130_fd_pr__diode_pw2nd_05v5_3P6M5Y_0/a_n100_n100#" -7474 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 -36254 -216 -857453 -1112 -29400 -2944 -13102342 -21562 0 0 0 0 0 0
-merge "sky130_fd_pr__diode_pw2nd_05v5_3P6M5Y_0/a_n100_n100#" "sky130_fd_sc_hd__buf_2_3/A"
-merge "sky130_fd_sc_hd__buf_2_3/A" "CLKBAR"
-merge "sky130_fd_sc_hd__buf_2_4/X" "sky130_fd_sc_hd__buf_16_4/A" -92.0647 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 -7004 -376 0 0 0 0 0 0 0 0 0 0 0 0
-merge "sky130_fd_sc_hd__buf_16_4/A" "li_569558_688285#"
-merge "sky130_fd_sc_hd__buf_2_1/A" "comparator_v6_0/Outp" -371.169 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 6524 -226 -123728 -1298 0 0 0 0 0 0 0 0 0 0
-merge "comparator_v6_0/Outp" "li_448544_589824#"
-merge "sky130_fd_sc_hd__buf_16_1/X" "Outp" -6860.87 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 16632 -250 0 0 0 0 -12500000 -15000 0 0 0 0 0 0
-merge "sky130_fd_sc_hd__buf_16_0/A" "sky130_fd_sc_hd__buf_2_0/X" -44.3971 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 7422 -232 0 0 0 0 0 0 0 0 0 0 0 0
-merge "sky130_fd_sc_hd__buf_2_0/X" "li_415494_686562#"
-merge "comparator_v6_0/CLK" "sky130_fd_sc_hd__buf_16_3/X" -662.445 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 -77592 -354 0 0 0 0 -139600 -400 0 0 0 0 0 0
-merge "sky130_fd_sc_hd__buf_16_3/X" "li_408616_585444#"
-merge "sky130_fd_sc_hd__buf_2_2/X" "sky130_fd_sc_hd__buf_16_3/A" -477.479 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 -435685 -208 0 0 0 0 0 0 0 0 0 0 0 0
-merge "sky130_fd_sc_hd__buf_16_3/A" "li_70526_671944#"
-merge "comparator_v6_0/CLKBAR" "sky130_fd_sc_hd__buf_16_2/X" -2312.81 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 -433496 -286 0 0 0 0 350736 -10730 0 0 0 0 0 0
-merge "sky130_fd_sc_hd__buf_16_2/X" "li_408624_587536#"
-merge "sky130_fd_pr__diode_pd2nw_05v5_RT56W3_0/a_n45_n45#" "sky130_fd_pr__diode_pw2nd_05v5_GT7G3L_0/a_n45_n45#" -7970.48 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 3276 -258 2572 -792 -37544 -2940 -12625630 -21852 0 0 0 0 0 0
+merge "sky130_fd_sc_hd__buf_16_3/VNB" "sky130_fd_pr__diode_pd2nw_05v5_RT56W3_0/w_n321_n321#"
+merge "sky130_fd_pr__diode_pd2nw_05v5_RT56W3_0/w_n321_n321#" "sky130_fd_pr__diode_pw2nd_05v5_GT7E3L_0/w_n183_n183#"
+merge "sky130_fd_pr__diode_pw2nd_05v5_GT7E3L_0/w_n183_n183#" "sky130_fd_sc_hd__buf_2_4/VNB"
+merge "sky130_fd_sc_hd__buf_2_4/VNB" "sky130_fd_sc_hd__buf_2_3/VNB"
+merge "sky130_fd_sc_hd__buf_2_3/VNB" "sky130_fd_sc_hd__buf_16_2/VNB"
+merge "sky130_fd_sc_hd__buf_16_2/VNB" "sky130_fd_sc_hd__buf_2_2/VNB"
+merge "sky130_fd_sc_hd__buf_2_2/VNB" "sky130_fd_sc_hd__buf_16_0/VNB"
+merge "sky130_fd_sc_hd__buf_16_0/VNB" "sky130_fd_sc_hd__buf_2_0/VNB"
+merge "sky130_fd_sc_hd__buf_2_0/VNB" "comparator_v6_0/a_86_n1150#"
+merge "comparator_v6_0/a_86_n1150#" "sky130_fd_sc_hd__buf_16_1/VNB"
+merge "sky130_fd_sc_hd__buf_16_1/VNB" "sky130_fd_sc_hd__buf_2_1/VNB"
+merge "sky130_fd_sc_hd__buf_2_1/VNB" "VSUBS"
+merge "VSUBS" "sky130_fd_sc_hd__buf_2_4/VGND"
+merge "sky130_fd_sc_hd__buf_2_4/VGND" "sky130_fd_sc_hd__buf_2_3/VGND"
+merge "sky130_fd_sc_hd__buf_2_3/VGND" "sky130_fd_sc_hd__buf_16_2/VGND"
+merge "sky130_fd_sc_hd__buf_16_2/VGND" "sky130_fd_sc_hd__buf_2_2/VGND"
+merge "sky130_fd_sc_hd__buf_2_2/VGND" "sky130_fd_sc_hd__buf_16_0/VGND"
+merge "sky130_fd_sc_hd__buf_16_0/VGND" "sky130_fd_sc_hd__buf_2_0/VGND"
+merge "sky130_fd_sc_hd__buf_2_0/VGND" "comparator_v6_0/GND"
+merge "comparator_v6_0/GND" "sky130_fd_sc_hd__buf_16_1/VGND"
+merge "sky130_fd_sc_hd__buf_16_1/VGND" "sky130_fd_sc_hd__buf_2_1/VGND"
+merge "sky130_fd_sc_hd__buf_2_1/VGND" "GND"
+merge "sky130_fd_pr__diode_pd2nw_05v5_RT56W3_1/w_n183_n183#" "sky130_fd_sc_hd__buf_16_4/VPWR" -37266.8 0 0 0 0 -360878 -6920 0 0 39168 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 -7255461 -14132 -283760 -13798 -9328 -5246 -34629696 -57494 -7469316 -23890 0 0 0 0
+merge "sky130_fd_sc_hd__buf_16_4/VPWR" "sky130_fd_sc_hd__buf_16_4/VPB"
+merge "sky130_fd_sc_hd__buf_16_4/VPB" "w_467114_686536#"
+merge "w_467114_686536#" "sky130_fd_sc_hd__buf_16_3/VPWR"
+merge "sky130_fd_sc_hd__buf_16_3/VPWR" "sky130_fd_sc_hd__buf_16_3/VPB"
+merge "sky130_fd_sc_hd__buf_16_3/VPB" "w_415156_686674#"
+merge "w_415156_686674#" "sky130_fd_pr__diode_pd2nw_05v5_RT56W3_0/w_n183_n183#"
+merge "sky130_fd_pr__diode_pd2nw_05v5_RT56W3_0/w_n183_n183#" "sky130_fd_sc_hd__buf_2_4/VPWR"
+merge "sky130_fd_sc_hd__buf_2_4/VPWR" "sky130_fd_sc_hd__buf_2_4/VPB"
+merge "sky130_fd_sc_hd__buf_2_4/VPB" "sky130_fd_sc_hd__buf_2_3/VPWR"
+merge "sky130_fd_sc_hd__buf_2_3/VPWR" "sky130_fd_sc_hd__buf_2_3/VPB"
+merge "sky130_fd_sc_hd__buf_2_3/VPB" "sky130_fd_sc_hd__buf_16_2/VPWR"
+merge "sky130_fd_sc_hd__buf_16_2/VPWR" "sky130_fd_sc_hd__buf_16_2/VPB"
+merge "sky130_fd_sc_hd__buf_16_2/VPB" "sky130_fd_sc_hd__buf_2_2/VPWR"
+merge "sky130_fd_sc_hd__buf_2_2/VPWR" "sky130_fd_sc_hd__buf_2_2/VPB"
+merge "sky130_fd_sc_hd__buf_2_2/VPB" "comparator_v6_0/VDD"
+merge "comparator_v6_0/VDD" "sky130_fd_sc_hd__buf_16_0/VPWR"
+merge "sky130_fd_sc_hd__buf_16_0/VPWR" "sky130_fd_sc_hd__buf_16_0/VPB"
+merge "sky130_fd_sc_hd__buf_16_0/VPB" "sky130_fd_sc_hd__buf_2_0/VPWR"
+merge "sky130_fd_sc_hd__buf_2_0/VPWR" "sky130_fd_sc_hd__buf_2_0/VPB"
+merge "sky130_fd_sc_hd__buf_2_0/VPB" "w_86014_585500#"
+merge "w_86014_585500#" "sky130_fd_sc_hd__buf_16_1/VPWR"
+merge "sky130_fd_sc_hd__buf_16_1/VPWR" "sky130_fd_sc_hd__buf_16_1/VPB"
+merge "sky130_fd_sc_hd__buf_16_1/VPB" "sky130_fd_sc_hd__buf_2_1/VPWR"
+merge "sky130_fd_sc_hd__buf_2_1/VPWR" "sky130_fd_sc_hd__buf_2_1/VPB"
+merge "sky130_fd_sc_hd__buf_2_1/VPB" "VDD"
+merge "sky130_fd_pr__diode_pd2nw_05v5_RT56W3_1/a_n45_n45#" "sky130_fd_pr__diode_pw2nd_05v5_GT7G3L_0/a_n45_n45#" -8682.38 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 -225342 -182 -248680 -672 0 0 -12500000 -20000 0 0 0 0 0 0
 merge "sky130_fd_pr__diode_pw2nd_05v5_GT7G3L_0/a_n45_n45#" "sky130_fd_sc_hd__buf_2_2/A"
-merge "sky130_fd_sc_hd__buf_2_2/A" "CLK"
-merge "sky130_fd_sc_hd__buf_2_4/A" "io_analog[1]" -6835.76 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 38842 -178 0 0 0 0 -12500000 -15000 0 0 0 0 0 0
-merge "sky130_fd_sc_hd__buf_2_0/A" "comparator_v6_0/Outn" -386.897 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 14180 -272 -1796 -1456 0 0 0 0 0 0 0 0 0 0
-merge "comparator_v6_0/Outn" "li_440216_589824#"
-merge "sky130_fd_sc_hd__buf_2_3/X" "li_122782_673260#" -130.151 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 -32714 -332 0 0 0 0 0 0 0 0 0 0 0 0
-merge "li_122782_673260#" "sky130_fd_sc_hd__buf_16_2/A"
-merge "sky130_fd_sc_hd__buf_16_2/A" "li_122514_671986#"
-merge "sky130_fd_sc_hd__buf_16_1/A" "sky130_fd_sc_hd__buf_2_1/X" -203.39 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 -8391 -938 0 0 0 0 0 0 0 0 0 0 0 0
-merge "sky130_fd_sc_hd__buf_2_1/X" "li_448556_593328#"
-merge "sky130_fd_sc_hd__buf_16_4/X" "io_analog[0]" -55.687 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 -3192 -206 0 0 0 0 0 0 0 0 0 0 0 0
-merge "comparator_v6_0/Vn" "io_analog[6]" -14842.4 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 37044 -752 0 0 -12500000 -15000 0 0 -25000000 -30000 0 0
-merge "sky130_fd_sc_hd__buf_16_0/X" "li_415508_688595#" -6951.87 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 17804 -364 0 0 0 0 -12500000 -15000 0 0 0 0 0 0
-merge "li_415508_688595#" "Outn"
-merge "comparator_v6_0/Vp" "Vp" -11140.5 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 -750736 -672 0 0 0 0 0 0 -25965118 -38323 0 0
+merge "sky130_fd_sc_hd__buf_2_2/A" "CLKBAR"
+merge "sky130_fd_pr__diode_pd2nw_05v5_RT56W3_0/a_n45_n45#" "sky130_fd_pr__diode_pw2nd_05v5_GT7E3L_0/a_n45_n45#" -8746.23 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 -203095 -750 -3330 -336 81704 0 -12424306 -20000 0 0 0 0 0 0
+merge "sky130_fd_pr__diode_pw2nd_05v5_GT7E3L_0/a_n45_n45#" "sky130_fd_sc_hd__buf_2_0/A"
+merge "sky130_fd_sc_hd__buf_2_0/A" "sky130_fd_sc_hd__buf_2_1/A"
+merge "sky130_fd_sc_hd__buf_2_1/A" "CLK"
+merge "comparator_v6_0/CLK" "sky130_fd_sc_hd__buf_16_0/X" -1311.46 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 -465124 -246 0 0 277340 -1970 -14160 -892 0 0 0 0 0 0
+merge "sky130_fd_sc_hd__buf_16_0/X" "li_89012_585476#"
+merge "sky130_fd_sc_hd__buf_16_4/A" "sky130_fd_sc_hd__buf_2_4/X" -136.417 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 -3854 -630 0 0 0 0 0 0 0 0 0 0 0 0
+merge "sky130_fd_sc_hd__buf_2_4/X" "L2"
+merge "sky130_fd_sc_hd__buf_16_4/X" "Outp" -7901.56 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 4032 -226 0 0 0 0 -12500000 -20000 0 0 0 0 0 0
+merge "sky130_fd_sc_hd__buf_16_0/A" "sky130_fd_sc_hd__buf_2_0/X" -425.972 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 -525653 -240 0 0 0 0 0 0 0 0 0 0 0 0
+merge "sky130_fd_sc_hd__buf_2_0/X" "li_85872_585458#"
+merge "sky130_fd_sc_hd__buf_16_3/X" "Outn" -7922.47 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 252 -350 0 0 0 0 -12500000 -20000 0 0 0 0 0 0
+merge "sky130_fd_sc_hd__buf_16_2/A" "sky130_fd_sc_hd__buf_2_2/X" -381.311 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 -509335 -208 0 0 0 0 0 0 0 0 0 0 0 0
+merge "sky130_fd_sc_hd__buf_2_2/X" "li_134864_599468#"
+merge "sky130_fd_sc_hd__buf_16_2/X" "comparator_v6_0/CLKBAR" -2868.07 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 -431824 -270 0 0 0 0 -1152744 -10866 0 0 0 0 0 0
+merge "comparator_v6_0/CLKBAR" "li_138120_599476#"
+merge "sky130_fd_sc_hd__buf_16_1/A" "sky130_fd_sc_hd__buf_2_1/X" -316.424 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 -311799 -258 -54090 0 -54090 0 -54090 0 0 0 0 0 0 0
+merge "sky130_fd_sc_hd__buf_2_1/X" "li_103479_567664#"
+merge "sky130_fd_sc_hd__buf_16_1/X" "io_analog[1]" -7881.92 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 8274 -182 0 0 0 0 -12500000 -20000 0 0 0 0 0 0
+merge "sky130_fd_sc_hd__buf_2_3/A" "comparator_v6_0/Outn" -333.166 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 11092 -538 61120 -1164 0 0 0 0 0 0 0 0 0 0
+merge "comparator_v6_0/Outn" "li_424124_585892#"
+merge "sky130_fd_sc_hd__buf_16_3/A" "sky130_fd_sc_hd__buf_2_3/X" -123.758 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 -6568 -556 0 0 0 0 0 0 0 0 0 0 0 0
+merge "sky130_fd_sc_hd__buf_2_3/X" "L1"
+merge "sky130_fd_sc_hd__buf_2_4/A" "comparator_v6_0/Outp" -422.153 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 -21971 -356 -129348 -1152 0 0 0 0 0 0 0 0 0 0
+merge "comparator_v6_0/Outp" "li_424114_584792#"
+merge "comparator_v6_0/Vn" "Vn" -9937.94 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 54684 -664 0 0 0 0 0 0 -25000000 -40000 0 0
+merge "comparator_v6_0/Vp" "Vp" -10526.9 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 -209752 -652 75168 0 75168 0 75168 0 -24992318 -41561 0 0
diff --git a/mag/user_analog_project_wrapper.mag b/mag/user_analog_project_wrapper.mag
index 2b38cf9..a62a996 100644
--- a/mag/user_analog_project_wrapper.mag
+++ b/mag/user_analog_project_wrapper.mag
@@ -1,728 +1,671 @@
 magic
 tech sky130A
 magscale 1 2
-timestamp 1654353386
+timestamp 1654422557
 << nwell >>
-rect 415108 688720 415488 688888
-rect 415108 686652 415228 688720
-rect 569596 688682 569878 688684
-rect 569596 688404 569920 688682
-rect 569694 688330 569784 688404
-rect 415108 686634 415410 686652
-rect 415450 686636 415470 686640
-rect 415108 686624 415488 686634
-rect 415106 686340 415488 686624
-rect 466974 686458 467294 686682
-rect 70778 673802 71096 673962
-rect 70788 673782 71096 673802
-rect 70806 673776 71078 673782
-rect 71044 673739 71078 673776
-rect 122758 673528 123082 673738
-rect 440058 591014 440376 591302
-rect 448410 591030 448734 591294
-rect 408706 587622 409044 587940
-rect 408694 585520 408968 585840
-<< pwell >>
-rect 125134 694640 125776 694988
-rect 73140 686438 73778 686980
-rect 415534 688716 415722 688870
-rect 415544 688590 415698 688716
-rect 569316 688586 569542 688714
-rect 569318 688294 569542 688586
-rect 467352 686498 467522 686728
-rect 70480 673296 70722 673462
-rect 122548 673038 122704 673200
-rect 440480 591110 440636 591312
-rect 448836 591186 448968 591338
-rect 406400 587394 406680 587556
-rect 406440 585304 406674 585460
-<< psubdiff >>
-rect 125346 694758 125370 694852
-rect 125578 694758 125602 694852
-rect 415572 688744 415596 688792
-rect 415678 688744 415702 688792
-rect 569352 688490 569376 688548
-rect 569474 688490 569498 688548
-rect 73332 686672 73356 686780
-rect 73570 686672 73594 686780
-rect 467370 686592 467394 686640
-rect 467476 686592 467500 686640
-rect 70532 673316 70556 673364
-rect 70682 673316 70706 673364
-rect 122550 673072 122574 673118
-rect 122670 673072 122694 673118
-rect 448834 591232 448858 591280
-rect 448952 591232 448976 591280
-rect 440494 591184 440518 591232
-rect 440604 591184 440628 591232
-rect 406548 587500 406600 587524
-rect 406548 587392 406600 587416
-rect 421984 585556 422008 585642
-rect 422150 585556 422174 585642
-rect 406522 585420 406578 585444
-rect 406522 585314 406578 585338
+rect 415156 686850 415500 686862
+rect 415156 686674 415504 686850
+rect 467114 686536 467436 686824
+rect 440076 655268 440396 655476
+rect 448734 655462 448948 655486
+rect 448660 655336 448980 655462
+rect 135002 599506 136140 599832
+rect 86264 585822 87030 585824
+rect 86014 585502 87030 585822
+rect 86014 585500 86274 585502
+rect 103604 567702 103892 568026
+rect 110034 567782 110676 568118
 << nsubdiff >>
-rect 569646 688494 569670 688568
-rect 569834 688494 569858 688568
-rect 467044 686534 467068 686592
-rect 467202 686534 467226 686592
-rect 415174 686450 415198 686512
-rect 415338 686450 415362 686512
-rect 70824 673848 70848 673896
-rect 70976 673848 71000 673896
-rect 122842 673596 122866 673650
-rect 123004 673596 123028 673650
-rect 440104 591072 440128 591138
-rect 440266 591072 440290 591138
-rect 448478 591098 448502 591158
-rect 448640 591098 448664 591158
-rect 408830 587846 408894 587870
-rect 408830 587678 408894 587702
-rect 408794 585778 408858 585802
-rect 408794 585596 408858 585620
-<< psubdiffcont >>
-rect 125370 694758 125578 694852
-rect 415596 688744 415678 688792
-rect 569376 688490 569474 688548
-rect 73356 686672 73570 686780
-rect 467394 686592 467476 686640
-rect 70556 673316 70682 673364
-rect 122574 673072 122670 673118
-rect 448858 591232 448952 591280
-rect 440518 591184 440604 591232
-rect 406548 587416 406600 587500
-rect 422008 585556 422150 585642
-rect 406522 585338 406578 585420
+rect 415238 686750 415262 686804
+rect 415362 686750 415386 686804
+rect 467192 686674 467216 686726
+rect 467330 686674 467354 686726
+rect 440186 655360 440210 655420
+rect 440320 655360 440344 655420
+rect 448760 655394 448784 655432
+rect 448874 655394 448898 655432
+rect 135308 599714 135352 599738
+rect 135308 599572 135352 599596
+rect 86102 585728 86156 585752
+rect 86102 585592 86156 585616
+rect 110358 568032 110426 568056
+rect 103728 567908 103784 567932
+rect 110358 567876 110426 567900
+rect 103728 567782 103784 567806
 << nsubdiffcont >>
-rect 569670 688494 569834 688568
-rect 467068 686534 467202 686592
-rect 415198 686450 415338 686512
-rect 70848 673848 70976 673896
-rect 122866 673596 123004 673650
-rect 440128 591072 440266 591138
-rect 448502 591098 448640 591158
-rect 408830 587702 408894 587846
-rect 408794 585620 408858 585778
+rect 415262 686750 415362 686804
+rect 467216 686674 467330 686726
+rect 440210 655360 440320 655420
+rect 448784 655394 448874 655432
+rect 135308 599596 135352 599714
+rect 86102 585616 86156 585728
+rect 103728 567806 103784 567908
+rect 110358 567900 110426 568032
 << locali >>
-rect 119054 695204 119058 695352
-rect 119054 694860 119226 695204
-rect 119054 694852 125608 694860
-rect 119054 694758 125370 694852
-rect 125578 694758 125608 694852
-rect 119054 694752 125608 694758
-rect 467252 691416 467818 691454
-rect 415410 691358 415468 691360
-rect 415348 691316 415914 691358
-rect 415348 691208 415392 691316
-rect 415494 691208 415752 691316
-rect 415854 691208 415914 691316
-rect 415348 691076 415914 691208
-rect 415348 690968 415392 691076
-rect 415494 690968 415752 691076
-rect 415854 690968 415914 691076
-rect 467252 691308 467296 691416
-rect 467398 691308 467656 691416
-rect 467758 691308 467818 691416
-rect 467252 691176 467818 691308
-rect 467252 691068 467296 691176
-rect 467398 691068 467656 691176
-rect 467758 691068 467818 691176
-rect 467252 691026 467818 691068
-rect 569216 691416 569782 691454
-rect 569216 691308 569260 691416
-rect 569362 691308 569620 691416
-rect 569722 691308 569782 691416
-rect 569216 691176 569782 691308
-rect 569216 691068 569260 691176
-rect 569362 691068 569620 691176
-rect 569722 691068 569782 691176
-rect 569216 691028 569782 691068
-rect 415348 690926 415914 690968
-rect 415402 689342 415478 690926
-rect 467318 690860 467482 691026
-rect 415402 688666 415476 689342
-rect 415580 688744 415596 688792
-rect 415678 688744 415764 688792
-rect 415408 688658 415474 688666
+rect 467316 691416 467882 691454
+rect 415478 691358 415536 691360
+rect 415416 691316 415982 691358
+rect 415416 691208 415460 691316
+rect 415562 691208 415820 691316
+rect 415922 691208 415982 691316
+rect 415416 691076 415982 691208
+rect 415416 690968 415460 691076
+rect 415562 690968 415820 691076
+rect 415922 690968 415982 691076
+rect 467316 691308 467360 691416
+rect 467462 691308 467720 691416
+rect 467822 691308 467882 691416
+rect 467316 691176 467882 691308
+rect 467316 691068 467360 691176
+rect 467462 691068 467720 691176
+rect 467822 691068 467882 691176
+rect 467316 691026 467882 691068
+rect 415416 690926 415982 690968
+rect 415476 690760 415534 690926
+rect 415434 688844 415560 690760
+rect 467430 690536 467494 691026
+rect 467420 690510 467494 690536
+rect 467420 688806 467492 690510
 rect 412670 688608 414760 688612
-rect 412670 688376 415068 688608
-rect 415508 688595 415528 688670
-rect 415728 688666 415764 688744
-rect 467352 688664 467436 690860
-rect 569394 690760 569728 691028
-rect 569398 690550 569724 690760
-rect 566276 689754 568936 689756
-rect 566276 689750 568962 689754
-rect 416130 688586 416872 688598
+rect 412670 688376 415206 688608
+rect 465730 688604 466792 688608
 rect 412670 688212 413812 688376
 rect 413996 688212 414212 688376
-rect 414396 688212 415068 688376
-rect 412670 687976 415068 688212
+rect 414394 688212 415206 688376
+rect 412670 687976 415206 688212
 rect 412670 687812 413812 687976
 rect 413996 687812 414212 687976
-rect 414396 687812 415068 687976
-rect 412670 687576 415068 687812
-rect 67006 687402 67294 687430
-rect 67006 687178 67028 687402
-rect 67270 687178 67294 687402
+rect 414394 687812 415206 687976
+rect 412670 687576 415206 687812
 rect 412670 687412 413812 687576
 rect 413996 687412 414212 687576
-rect 414396 687412 415068 687576
-rect 67006 686784 67294 687178
-rect 412670 687176 415068 687412
+rect 414394 687412 415206 687576
+rect 412670 687176 415206 687412
 rect 412670 687012 413812 687176
 rect 413996 687012 414212 687176
-rect 414396 687012 415068 687176
-rect 412670 686948 415068 687012
-rect 416034 688376 416872 688586
-rect 416034 688212 416278 688376
+rect 414394 687012 415206 687176
+rect 412670 686948 415206 687012
+rect 412670 686942 414760 686948
+rect 414930 686946 415206 686948
+rect 415774 688586 416000 688592
+rect 416130 688586 416872 688598
+rect 415774 688376 416872 688586
+rect 415774 688212 416212 688376
 rect 416396 688212 416612 688376
 rect 416796 688212 416872 688376
-rect 416034 687976 416872 688212
-rect 416034 687812 416278 687976
+rect 415774 687976 416872 688212
+rect 415774 687812 416212 687976
 rect 416396 687812 416612 687976
 rect 416796 687812 416872 687976
-rect 416034 687576 416872 687812
-rect 416034 687412 416278 687576
+rect 415774 687576 416872 687812
+rect 415774 687412 416212 687576
 rect 416396 687412 416612 687576
 rect 416796 687412 416872 687576
-rect 416034 687176 416872 687412
-rect 416034 687012 416278 687176
+rect 415774 687176 416872 687412
+rect 415774 687012 416212 687176
 rect 416396 687012 416622 687176
 rect 416806 687012 416872 687176
-rect 412670 686942 414760 686948
-rect 416034 686944 416872 687012
-rect 465730 688376 466492 688608
-rect 468130 688586 468872 688598
+rect 415330 686868 415404 686946
+rect 415774 686944 416872 687012
+rect 465730 688376 467146 688604
 rect 465730 688212 465812 688376
 rect 465996 688212 466212 688376
-rect 466396 688212 466492 688376
-rect 465730 687976 466492 688212
+rect 466370 688212 467146 688376
+rect 465730 687976 467146 688212
 rect 465730 687812 465812 687976
 rect 465996 687812 466212 687976
-rect 466396 687812 466492 687976
-rect 465730 687576 466492 687812
+rect 466370 687812 467146 687976
+rect 465730 687576 467146 687812
 rect 465730 687412 465812 687576
 rect 465996 687412 466212 687576
-rect 466396 687412 466492 687576
-rect 465730 687176 466492 687412
+rect 466370 687412 467146 687576
+rect 465730 687176 467146 687412
 rect 465730 687012 465812 687176
 rect 465996 687012 466212 687176
-rect 466396 687012 466492 687176
-rect 465730 686944 466492 687012
-rect 468104 688376 468872 688586
-rect 468104 688212 468212 688376
+rect 466370 687012 467146 687176
+rect 465730 686948 467146 687012
+rect 467712 688586 468086 688592
+rect 468130 688586 468872 688598
+rect 467712 688376 468872 688586
+rect 467712 688212 468212 688376
 rect 468396 688212 468612 688376
 rect 468796 688212 468872 688376
-rect 468104 687976 468872 688212
-rect 468104 687812 468212 687976
+rect 467712 687976 468872 688212
+rect 467712 687812 468212 687976
 rect 468396 687812 468612 687976
 rect 468796 687812 468872 687976
-rect 468104 687576 468872 687812
-rect 468104 687412 468212 687576
+rect 467712 687576 468872 687812
+rect 467712 687412 468212 687576
 rect 468396 687412 468612 687576
 rect 468796 687412 468872 687576
-rect 468104 687176 468872 687412
-rect 468104 687012 468212 687176
+rect 467712 687176 468872 687412
+rect 467712 687012 468212 687176
 rect 468396 687012 468622 687176
 rect 468806 687012 468872 687176
-rect 468104 686944 468872 687012
-rect 566276 688376 568976 689750
-rect 569394 689304 569724 690550
-rect 570186 689894 571476 689920
-rect 570186 689592 571544 689894
-rect 569546 689018 569606 689304
-rect 570186 689284 571002 689592
-rect 571242 689284 571544 689592
-rect 570186 688992 571544 689284
-rect 569360 688542 569376 688548
-rect 566276 688212 568212 688376
-rect 568396 688212 568612 688376
-rect 568796 688212 568976 688376
-rect 569316 688490 569376 688542
-rect 569474 688490 569490 688548
-rect 569316 688328 569366 688490
-rect 569558 688285 569592 688835
-rect 569696 688568 569786 688730
-rect 570186 688684 571002 688992
-rect 571242 688684 571544 688992
-rect 569646 688494 569670 688568
-rect 569834 688494 569850 688568
-rect 569694 688330 569784 688494
-rect 570186 688392 571544 688684
-rect 566276 687976 568976 688212
-rect 566276 687812 568212 687976
-rect 568396 687812 568612 687976
-rect 568796 687812 568976 687976
-rect 566276 687576 568976 687812
-rect 566276 687412 568212 687576
-rect 568396 687412 568612 687576
-rect 568796 687412 568976 687576
-rect 566276 687176 568976 687412
-rect 566276 687012 568212 687176
-rect 568396 687012 568622 687176
-rect 568806 687012 568976 687176
-rect 416034 686936 416238 686944
-rect 468104 686936 468238 686944
-rect 67006 686780 73574 686784
-rect 67006 686672 73356 686780
-rect 73570 686672 73586 686780
-rect 67006 686666 73574 686672
-rect 415184 686512 415220 686680
-rect 415494 686612 415532 686696
-rect 415494 686562 415684 686612
-rect 415182 686450 415198 686512
-rect 415338 686450 415354 686512
-rect 415184 686448 415220 686450
-rect 415624 685910 415684 686562
-rect 466992 686592 467028 686714
-rect 466992 686534 467068 686592
-rect 467202 686534 467218 686592
-rect 467304 686184 467342 686724
-rect 467540 686640 467576 686714
-rect 467378 686592 467394 686640
-rect 467476 686592 467576 686640
-rect 415624 685558 415682 685910
-rect 467304 685580 467344 686184
-rect 415562 685516 416128 685558
-rect 415562 685408 415606 685516
-rect 415708 685408 415966 685516
-rect 416068 685408 416128 685516
-rect 415562 685276 416128 685408
-rect 415562 685168 415606 685276
-rect 415708 685168 415966 685276
-rect 416068 685168 416128 685276
-rect 415562 685126 416128 685168
-rect 467146 685554 467380 685580
-rect 467146 685512 467712 685554
-rect 467146 685404 467190 685512
-rect 467292 685404 467550 685512
-rect 467652 685404 467712 685512
-rect 566276 685506 568976 687012
-rect 570186 688084 571002 688392
-rect 571242 688084 571544 688392
-rect 570186 687792 571544 688084
-rect 570186 687484 571002 687792
-rect 571242 687484 571544 687792
-rect 570186 687192 571544 687484
-rect 570186 686884 571002 687192
-rect 571242 686884 571544 687192
-rect 570186 686592 571544 686884
-rect 566276 685486 568936 685506
-rect 467146 685272 467712 685404
-rect 467146 685164 467190 685272
-rect 467292 685164 467550 685272
-rect 467652 685164 467712 685272
-rect 467146 685122 467712 685164
-rect 569520 684432 569604 686400
-rect 570186 686284 571002 686592
-rect 571242 686284 571544 686592
-rect 570186 685992 571544 686284
-rect 570186 685684 571002 685992
-rect 571242 685684 571544 685992
-rect 570186 684684 571544 685684
-rect 570818 684672 571544 684684
-rect 569522 684204 569604 684432
-rect 569518 683852 569604 684204
-rect 569216 683816 569782 683852
-rect 569216 683708 569260 683816
-rect 569362 683708 569620 683816
-rect 569722 683708 569782 683816
-rect 569216 683576 569782 683708
-rect 569216 683468 569260 683576
-rect 569362 683468 569620 683576
-rect 569722 683468 569782 683576
-rect 569216 683434 569782 683468
-rect 122524 674974 123090 675016
-rect 70536 674932 71102 674974
-rect 70536 674824 70580 674932
-rect 70682 674824 70940 674932
-rect 71042 674824 71102 674932
-rect 70536 674692 71102 674824
-rect 70536 674584 70580 674692
-rect 70682 674584 70940 674692
-rect 71042 674584 71102 674692
-rect 122524 674866 122568 674974
-rect 122670 674866 122928 674974
-rect 123030 674866 123090 674974
-rect 122524 674734 123090 674866
-rect 122524 674626 122568 674734
-rect 122670 674626 122928 674734
-rect 123030 674626 123090 674734
-rect 122524 674586 123090 674626
-rect 70536 674544 71102 674584
-rect 70718 673688 70784 674544
-rect 70832 673848 70848 673896
-rect 70976 673848 71078 673896
-rect 122700 673848 122770 674586
-rect 71044 673739 71078 673848
-rect 70574 673364 70658 673426
-rect 70540 673316 70556 673364
-rect 70682 673316 70698 673364
-rect 70574 673314 70658 673316
-rect 70736 673170 70778 673522
-rect 122696 673464 122770 673848
-rect 122832 673596 122866 673650
-rect 123004 673596 123062 673650
-rect 123028 673478 123062 673596
-rect 70678 672410 70798 673170
-rect 122578 673118 122670 673178
-rect 122558 673072 122574 673118
-rect 122670 673072 122686 673118
-rect 122720 673002 122762 673284
-rect 122782 673260 122824 673270
-rect 122668 672416 122786 673002
-rect 70678 672374 70796 672410
-rect 122514 672374 123080 672416
-rect 70526 672332 71092 672374
-rect 70526 672224 70570 672332
-rect 70672 672224 70930 672332
-rect 71032 672224 71092 672332
-rect 70526 672092 71092 672224
-rect 70526 671984 70570 672092
-rect 70672 671984 70930 672092
-rect 71032 671984 71092 672092
-rect 122514 672266 122558 672374
-rect 122660 672266 122918 672374
-rect 123020 672266 123080 672374
-rect 122514 672134 123080 672266
-rect 122514 672026 122558 672134
-rect 122660 672026 122918 672134
-rect 123020 672026 123080 672134
-rect 122514 671986 123080 672026
-rect 70526 671944 71092 671984
-rect 440208 593684 440774 593726
-rect 440208 593576 440252 593684
-rect 440354 593576 440612 593684
-rect 440714 593576 440774 593684
-rect 440208 593444 440774 593576
-rect 440208 593336 440252 593444
-rect 440354 593336 440612 593444
-rect 440714 593336 440774 593444
-rect 440208 593296 440774 593336
-rect 448556 593716 449122 593758
-rect 448556 593608 448600 593716
-rect 448702 593608 448960 593716
-rect 449062 593608 449122 593716
-rect 448556 593476 449122 593608
-rect 448556 593368 448600 593476
-rect 448702 593368 448960 593476
-rect 449062 593368 449122 593476
-rect 448556 593328 449122 593368
-rect 440364 592984 440462 593296
-rect 448712 593004 448810 593328
-rect 440364 592962 440464 592984
-rect 437730 592374 438598 592380
-rect 437730 592148 438850 592374
-rect 437730 591984 437812 592148
-rect 437996 591984 438212 592148
-rect 438396 591984 438850 592148
-rect 440366 592010 440464 592962
-rect 442232 592244 443072 592420
-rect 442232 592080 442412 592244
-rect 442596 592080 442812 592244
-rect 442996 592080 443072 592244
-rect 437730 591748 438850 591984
-rect 437730 591584 437812 591748
-rect 437996 591584 438212 591748
-rect 438396 591584 438850 591748
-rect 437730 591348 438850 591584
-rect 440384 591530 440420 592010
-rect 442232 591938 443072 592080
-rect 442214 591844 443072 591938
-rect 442214 591680 442412 591844
-rect 442596 591680 442812 591844
-rect 442996 591680 443072 591844
-rect 442214 591444 443072 591680
-rect 437730 591184 437812 591348
-rect 437996 591184 438212 591348
-rect 438396 591184 438850 591348
-rect 437730 590948 438850 591184
-rect 440076 591150 440112 591348
-rect 440076 591138 440290 591150
-rect 440076 591078 440128 591138
-rect 440080 591072 440128 591078
-rect 440266 591072 440290 591138
-rect 437730 590784 437812 590948
-rect 437996 590784 438212 590948
-rect 438396 590784 438850 590948
-rect 437730 590716 438850 590784
-rect 438466 590706 438850 590716
-rect 440368 590258 440442 591374
-rect 440622 591232 440660 591310
-rect 440478 591184 440518 591232
-rect 440604 591184 440660 591232
-rect 442214 591280 442412 591444
-rect 442596 591280 442812 591444
-rect 442996 591280 443072 591444
-rect 442214 591044 443072 591280
-rect 442214 590950 442412 591044
-rect 442232 590880 442412 590950
-rect 442596 590880 442822 591044
-rect 443006 590880 443072 591044
-rect 442232 590812 443072 590880
-rect 446130 592374 446998 592380
-rect 446130 592148 447250 592374
-rect 446130 591984 446212 592148
-rect 446396 591984 446612 592148
-rect 446796 591984 447250 592148
-rect 446130 591748 447250 591984
-rect 448710 591922 448810 593004
-rect 450694 592244 451472 592420
-rect 450694 592080 450812 592244
-rect 450996 592080 451212 592244
-rect 451396 592080 451472 592244
-rect 446130 591584 446212 591748
-rect 446396 591584 446612 591748
-rect 446796 591584 447250 591748
-rect 446130 591348 447250 591584
-rect 448736 591552 448774 591922
-rect 450694 591844 451472 592080
-rect 450694 591680 450812 591844
-rect 450996 591680 451212 591844
-rect 451396 591680 451472 591844
-rect 450694 591444 451472 591680
-rect 446130 591184 446212 591348
-rect 446396 591184 446612 591348
-rect 446796 591184 447250 591348
-rect 446130 590948 447250 591184
-rect 448430 591166 448466 591352
-rect 448738 591342 448786 591396
-rect 448430 591158 448662 591166
-rect 448430 591098 448502 591158
-rect 448640 591098 448662 591158
-rect 442232 590804 442438 590812
-rect 446130 590784 446212 590948
-rect 446396 590784 446612 590948
-rect 446796 590784 447250 590948
-rect 446130 590716 447250 590784
-rect 446866 590706 447250 590716
-rect 448738 591002 448784 591342
-rect 448974 591282 449014 591332
-rect 448842 591232 448858 591280
-rect 448952 591232 449014 591282
-rect 450694 591280 450812 591444
-rect 450996 591280 451212 591444
-rect 451396 591280 451472 591444
-rect 450694 591044 451472 591280
-rect 448738 590332 448786 591002
-rect 450694 590880 450812 591044
-rect 450996 590880 451222 591044
-rect 451406 590880 451472 591044
-rect 450694 590812 451472 590880
-rect 450694 590804 450838 590812
-rect 440368 590254 440452 590258
-rect 448696 590254 448814 590332
-rect 440216 590212 440782 590254
-rect 440216 590104 440260 590212
-rect 440362 590104 440620 590212
-rect 440722 590104 440782 590212
-rect 440216 589972 440782 590104
-rect 440216 589864 440260 589972
-rect 440362 589864 440620 589972
-rect 440722 589864 440782 589972
-rect 440216 589824 440782 589864
-rect 448544 590212 449110 590254
-rect 448544 590104 448588 590212
-rect 448690 590104 448948 590212
-rect 449050 590104 449110 590212
-rect 448544 589972 449110 590104
-rect 448544 589864 448588 589972
-rect 448690 589864 448948 589972
-rect 449050 589864 449110 589972
-rect 448544 589824 449110 589864
-rect 405258 588544 410482 588850
-rect 405258 588304 405560 588544
-rect 405868 588304 406160 588544
-rect 406468 588304 406760 588544
-rect 407068 588304 407360 588544
-rect 407668 588304 407960 588544
-rect 408268 588304 408560 588544
-rect 408868 588304 409160 588544
-rect 409468 588304 410482 588544
-rect 405258 588056 410482 588304
-rect 408632 587882 408898 587922
-rect 408830 587846 408894 587882
-rect 404984 587696 405238 587706
-rect 404984 587640 405006 587696
-rect 405086 587640 405126 587696
-rect 405206 587640 405238 587696
-rect 408830 587686 408894 587702
-rect 409968 587696 410212 587706
-rect 409968 587646 409990 587696
-rect 409966 587640 409990 587646
-rect 410070 587640 410110 587696
-rect 410190 587640 410212 587696
-rect 404984 587634 405238 587640
-rect 404984 587632 405336 587634
-rect 404984 587614 406572 587632
-rect 408624 587620 409758 587640
-rect 409966 587620 410212 587640
-rect 404984 587612 406634 587614
-rect 404984 587596 406676 587612
-rect 404984 587540 405006 587596
-rect 405086 587540 405126 587596
-rect 405206 587574 406676 587596
-rect 408624 587596 410212 587620
-rect 405206 587572 406634 587574
-rect 405206 587556 406572 587572
-rect 408624 587566 409990 587596
-rect 405206 587554 405336 587556
-rect 405206 587540 405238 587554
-rect 404984 587510 405238 587540
-rect 408624 587536 409758 587566
-rect 409968 587540 409990 587566
-rect 410070 587540 410110 587596
-rect 410190 587540 410212 587596
-rect 406548 587500 406600 587516
-rect 409968 587510 410212 587540
-rect 406600 587416 406712 587480
-rect 406548 587400 406600 587416
-rect 405234 587030 410098 587154
-rect 405234 586866 405398 587030
-rect 405592 586866 405798 587030
-rect 405992 586866 406198 587030
-rect 406392 586866 406598 587030
-rect 406792 586866 406998 587030
-rect 407192 586866 407398 587030
-rect 407592 586866 407798 587030
-rect 407992 586866 408198 587030
-rect 408392 586866 408598 587030
-rect 408792 586866 408998 587030
-rect 409192 586866 409398 587030
-rect 409592 586866 409798 587030
-rect 409992 586866 410098 587030
-rect 405234 586790 410098 586866
-rect 405234 586626 405398 586790
-rect 405592 586626 405798 586790
-rect 405992 586626 406198 586790
-rect 406392 586626 406598 586790
-rect 406792 586626 406998 586790
-rect 407192 586626 407398 586790
-rect 407592 586626 407798 586790
-rect 407992 586626 408198 586790
-rect 408392 586626 408598 586790
-rect 408792 586626 408998 586790
-rect 409192 586626 409398 586790
-rect 409592 586626 409798 586790
-rect 409992 586626 410098 586790
-rect 405234 586572 410098 586626
-rect 405242 586562 410086 586572
-rect 405396 586344 410074 586454
-rect 405396 586104 405560 586344
-rect 405868 586104 406160 586344
-rect 406468 586104 406760 586344
-rect 407068 586104 407360 586344
-rect 407668 586104 407960 586344
-rect 408268 586104 408560 586344
-rect 408868 586104 409160 586344
-rect 409468 586104 410074 586344
-rect 405396 585978 410074 586104
-rect 408640 585782 408858 585818
-rect 408794 585778 408858 585782
-rect 404984 585596 405258 585606
-rect 408794 585604 408858 585620
-rect 404984 585540 405006 585596
-rect 405086 585540 405126 585596
-rect 405206 585540 405258 585596
-rect 409976 585596 410220 585606
-rect 404984 585516 405258 585540
-rect 408616 585544 408650 585584
-rect 409976 585546 409998 585596
-rect 409814 585544 409998 585546
-rect 408616 585540 409998 585544
-rect 410078 585540 410118 585596
-rect 410198 585540 410220 585596
-rect 421924 585556 422008 585642
-rect 422150 585556 422166 585642
-rect 404984 585512 406616 585516
-rect 404984 585496 406668 585512
-rect 404984 585440 405006 585496
-rect 405086 585440 405126 585496
-rect 405206 585470 406668 585496
-rect 408616 585496 410220 585540
-rect 405206 585440 405258 585470
-rect 408616 585444 409998 585496
-rect 404984 585410 405258 585440
-rect 409976 585440 409998 585444
-rect 410078 585440 410118 585496
-rect 410198 585440 410220 585496
-rect 406522 585420 406578 585436
-rect 406578 585338 406692 585418
-rect 409976 585410 410220 585440
-rect 406522 585322 406578 585338
-rect 405242 585030 410086 585078
-rect 405242 584866 405398 585030
-rect 405592 584866 405798 585030
-rect 405992 584866 406198 585030
-rect 406392 584866 406598 585030
-rect 406792 584866 406998 585030
-rect 407192 584866 407398 585030
-rect 407592 584866 407798 585030
-rect 407992 584866 408198 585030
-rect 408392 584866 408598 585030
-rect 408792 584866 408998 585030
-rect 409192 584866 409398 585030
-rect 409592 584866 409798 585030
-rect 409992 584866 410086 585030
-rect 405242 584790 410086 584866
-rect 405242 584626 405398 584790
-rect 405592 584626 405798 584790
-rect 405992 584626 406198 584790
-rect 406392 584626 406598 584790
-rect 406792 584626 406998 584790
-rect 407192 584626 407398 584790
-rect 407592 584626 407798 584790
-rect 407992 584626 408198 584790
-rect 408392 584626 408598 584790
-rect 408792 584626 408998 584790
-rect 409192 584626 409398 584790
-rect 409592 584626 409798 584790
-rect 409992 584626 410086 584790
-rect 405242 584562 410086 584626
+rect 465730 686944 466598 686948
+rect 467712 686944 468872 687012
+rect 415774 686936 416238 686944
+rect 467712 686936 468238 686944
+rect 415300 686804 415406 686868
+rect 415246 686750 415262 686804
+rect 415362 686750 415406 686804
+rect 415300 686748 415406 686750
+rect 415508 686508 415546 686926
+rect 415774 686924 416000 686936
+rect 467712 686928 468086 686936
+rect 467268 686726 467332 686888
+rect 467200 686674 467216 686726
+rect 467330 686674 467346 686726
+rect 467268 686672 467332 686674
+rect 415502 686258 415546 686508
+rect 415502 685916 415540 686258
+rect 467442 686018 467478 686872
+rect 467440 685926 467478 686018
+rect 415494 685558 415552 685916
+rect 467440 685732 467476 685926
+rect 467364 685590 467548 685732
+rect 415416 685516 415982 685558
+rect 467364 685554 467550 685590
+rect 415416 685408 415460 685516
+rect 415562 685408 415820 685516
+rect 415922 685408 415982 685516
+rect 415416 685276 415982 685408
+rect 415416 685168 415460 685276
+rect 415562 685168 415820 685276
+rect 415922 685168 415982 685276
+rect 415416 685126 415982 685168
+rect 467316 685512 467882 685554
+rect 467316 685404 467360 685512
+rect 467462 685404 467720 685512
+rect 467822 685404 467882 685512
+rect 467316 685272 467882 685404
+rect 467316 685164 467360 685272
+rect 467462 685164 467720 685272
+rect 467822 685164 467882 685272
+rect 467316 685122 467882 685164
+rect 440216 657716 440782 657758
+rect 440216 657608 440260 657716
+rect 440362 657608 440620 657716
+rect 440722 657608 440782 657716
+rect 440216 657476 440782 657608
+rect 440216 657368 440260 657476
+rect 440362 657368 440620 657476
+rect 440722 657368 440782 657476
+rect 440216 657328 440782 657368
+rect 448816 657716 449382 657758
+rect 448816 657608 448860 657716
+rect 448962 657608 449220 657716
+rect 449322 657608 449382 657716
+rect 448816 657476 449382 657608
+rect 448816 657368 448860 657476
+rect 448962 657368 449220 657476
+rect 449322 657368 449382 657476
+rect 448816 657328 449382 657368
+rect 437730 656602 438598 656608
+rect 437730 656600 439968 656602
+rect 437730 656376 440108 656600
+rect 437730 656212 437812 656376
+rect 437996 656212 438212 656376
+rect 438396 656212 440108 656376
+rect 437730 655976 440108 656212
+rect 440372 656150 440470 657328
+rect 448972 657212 449070 657328
+rect 442330 656700 443072 656712
+rect 442232 656490 443072 656700
+rect 442232 656326 442412 656490
+rect 442596 656326 442812 656490
+rect 442996 656326 443072 656490
+rect 440640 656184 441194 656190
+rect 442232 656184 443072 656326
+rect 437730 655812 437812 655976
+rect 437996 655812 438212 655976
+rect 438396 655812 440108 655976
+rect 437730 655576 440108 655812
+rect 440398 655746 440434 656150
+rect 440640 656090 443072 656184
+rect 440640 655926 442412 656090
+rect 442596 655926 442812 656090
+rect 442996 655926 443072 656090
+rect 437730 655412 437812 655576
+rect 437996 655412 438212 655576
+rect 438396 655420 440108 655576
+rect 440640 655690 443072 655926
+rect 438396 655412 440210 655420
+rect 437730 655362 440210 655412
+rect 437730 655176 440108 655362
+rect 440194 655360 440210 655362
+rect 440320 655360 440336 655420
+rect 440396 655236 440446 655544
+rect 440640 655526 442412 655690
+rect 442596 655526 442812 655690
+rect 442996 655526 443072 655690
+rect 440640 655290 443072 655526
+rect 437730 655012 437812 655176
+rect 437996 655012 438212 655176
+rect 438396 655012 440108 655176
+rect 440394 655080 440450 655236
+rect 440640 655196 442412 655290
+rect 440640 655192 441194 655196
+rect 442232 655126 442412 655196
+rect 442596 655126 442822 655290
+rect 443006 655126 443072 655290
+rect 437730 654944 440108 655012
+rect 438466 654934 440108 654944
+rect 439784 654930 440108 654934
+rect 440368 654758 440486 655080
+rect 442232 655058 443072 655126
+rect 446130 656602 446998 656608
+rect 446130 656376 448714 656602
+rect 446130 656212 446212 656376
+rect 446396 656212 446612 656376
+rect 446796 656212 448714 656376
+rect 446130 655976 448714 656212
+rect 448972 656176 449072 657212
+rect 450730 656700 451472 656712
+rect 450632 656490 451472 656700
+rect 450632 656326 450812 656490
+rect 450996 656326 451212 656490
+rect 451396 656326 451472 656490
+rect 450632 656184 451472 656326
+rect 450628 656180 451472 656184
+rect 446130 655812 446212 655976
+rect 446396 655812 446612 655976
+rect 446796 655812 448714 655976
+rect 446130 655576 448714 655812
+rect 448986 655746 449022 656176
+rect 449226 656090 451472 656180
+rect 449226 655926 450814 656090
+rect 450996 655926 451212 656090
+rect 451396 655926 451472 656090
+rect 446130 655412 446212 655576
+rect 446396 655412 446612 655576
+rect 446796 655440 448714 655576
+rect 449226 655690 451472 655926
+rect 449226 655526 450814 655690
+rect 450996 655526 451212 655690
+rect 451396 655526 451472 655690
+rect 446796 655432 448818 655440
+rect 446796 655412 448784 655432
+rect 446130 655394 448784 655412
+rect 448874 655394 448890 655432
+rect 446130 655382 448818 655394
+rect 446130 655176 448714 655382
+rect 448972 655210 449046 655514
+rect 449226 655290 451472 655526
+rect 442232 655050 442438 655058
+rect 446130 655012 446212 655176
+rect 446396 655012 446612 655176
+rect 446796 655012 448714 655176
+rect 446130 654944 448714 655012
+rect 446866 654934 448714 654944
+rect 447078 654924 448714 654934
+rect 448966 654876 449086 655210
+rect 449226 655190 450814 655290
+rect 449226 655184 449590 655190
+rect 450632 655126 450812 655190
+rect 450996 655126 451222 655290
+rect 451406 655126 451472 655290
+rect 450632 655058 451472 655126
+rect 450632 655050 450838 655058
+rect 448968 654758 449086 654876
+rect 440216 654716 440782 654758
+rect 440216 654608 440260 654716
+rect 440362 654608 440620 654716
+rect 440722 654608 440782 654716
+rect 440216 654476 440782 654608
+rect 440216 654368 440260 654476
+rect 440362 654368 440620 654476
+rect 440722 654368 440782 654476
+rect 440216 654328 440782 654368
+rect 448816 654716 449382 654758
+rect 448816 654608 448860 654716
+rect 448962 654608 449220 654716
+rect 449322 654608 449382 654716
+rect 448816 654476 449382 654608
+rect 448816 654368 448860 654476
+rect 448962 654368 449220 654476
+rect 449322 654368 449382 654476
+rect 448816 654328 449382 654368
+rect 134396 600374 139074 600454
+rect 134212 600344 139074 600374
+rect 134212 600104 134560 600344
+rect 134868 600104 135160 600344
+rect 135468 600104 135760 600344
+rect 136068 600104 136360 600344
+rect 136668 600104 136960 600344
+rect 137268 600104 137560 600344
+rect 137868 600104 138160 600344
+rect 138468 600104 139074 600344
+rect 134212 599978 139074 600104
+rect 134212 599972 139038 599978
+rect 135308 599730 135350 599972
+rect 135308 599714 135352 599730
+rect 133984 599596 134258 599606
+rect 133984 599540 134006 599596
+rect 134086 599540 134126 599596
+rect 134206 599540 134258 599596
+rect 135308 599580 135352 599596
+rect 140384 599596 140628 599606
+rect 133984 599510 134258 599540
+rect 138120 599550 139236 599556
+rect 138120 599544 140298 599550
+rect 140384 599546 140406 599596
+rect 140370 599544 140406 599546
+rect 138120 599540 140406 599544
+rect 140486 599540 140526 599596
+rect 140606 599540 140628 599596
+rect 133984 599496 134664 599510
+rect 133984 599440 134006 599496
+rect 134086 599440 134126 599496
+rect 134206 599442 134664 599496
+rect 134864 599468 136198 599502
+rect 138120 599496 140628 599540
+rect 138120 599488 140406 599496
+rect 138120 599478 140298 599488
+rect 138120 599476 139236 599478
+rect 134206 599440 134258 599442
+rect 133984 599410 134258 599440
+rect 140384 599440 140406 599488
+rect 140486 599440 140526 599496
+rect 140606 599440 140628 599496
+rect 140384 599410 140628 599440
+rect 134242 599060 134360 599078
+rect 134242 599030 139086 599060
+rect 134242 598866 134398 599030
+rect 134592 598866 134798 599030
+rect 134992 598866 135198 599030
+rect 135392 598866 135598 599030
+rect 135792 598866 135998 599030
+rect 136192 598866 136398 599030
+rect 136592 598866 136798 599030
+rect 136992 598866 137198 599030
+rect 137392 598866 137598 599030
+rect 137792 598866 137998 599030
+rect 138192 598866 138398 599030
+rect 138592 598866 138798 599030
+rect 138992 598866 139086 599030
+rect 134242 598790 139086 598866
+rect 134242 598626 134398 598790
+rect 134592 598626 134798 598790
+rect 134992 598626 135198 598790
+rect 135392 598626 135598 598790
+rect 135792 598626 135998 598790
+rect 136192 598626 136398 598790
+rect 136592 598626 136798 598790
+rect 136992 598626 137198 598790
+rect 137392 598626 137598 598790
+rect 137792 598626 137998 598790
+rect 138192 598626 138398 598790
+rect 138592 598626 138798 598790
+rect 138992 598626 139086 598790
+rect 134242 598562 139086 598626
+rect 85396 586374 90074 586454
+rect 85212 586344 90074 586374
+rect 85212 586104 85560 586344
+rect 85868 586104 86160 586344
+rect 86468 586104 86760 586344
+rect 87068 586104 87360 586344
+rect 87668 586104 87960 586344
+rect 88268 586104 88560 586344
+rect 88868 586104 89160 586344
+rect 89468 586104 90074 586344
+rect 85212 585978 90074 586104
+rect 424124 586416 424202 586450
+rect 424124 586174 424142 586416
+rect 424184 586174 424202 586416
+rect 85212 585972 90038 585978
+rect 424124 585892 424202 586174
+rect 85982 585766 86156 585802
+rect 86102 585728 86156 585766
+rect 84984 585596 85258 585606
+rect 86102 585600 86156 585616
+rect 84984 585540 85006 585596
+rect 85086 585540 85126 585596
+rect 85206 585540 85258 585596
+rect 91384 585596 91628 585606
+rect 84984 585510 85258 585540
+rect 89012 585544 91298 585550
+rect 91384 585546 91406 585596
+rect 91370 585544 91406 585546
+rect 89012 585540 91406 585544
+rect 91486 585540 91526 585596
+rect 91606 585540 91628 585596
+rect 84984 585496 85718 585510
+rect 84984 585440 85006 585496
+rect 85086 585440 85126 585496
+rect 85206 585442 85718 585496
+rect 85872 585498 86980 585500
+rect 85872 585464 87088 585498
+rect 89012 585496 91628 585540
+rect 89012 585488 91406 585496
+rect 89012 585478 91298 585488
+rect 89012 585476 90236 585478
+rect 85872 585458 85894 585464
+rect 86026 585460 87088 585464
+rect 86026 585458 86980 585460
+rect 85206 585440 85258 585442
+rect 84984 585410 85258 585440
+rect 91384 585440 91406 585488
+rect 91486 585440 91526 585496
+rect 91606 585440 91628 585496
+rect 91384 585410 91628 585440
+rect 85242 585030 90086 585078
+rect 85242 584866 85398 585030
+rect 85592 584866 85798 585030
+rect 85992 584866 86198 585030
+rect 86392 584866 86598 585030
+rect 86792 584866 86998 585030
+rect 87192 584866 87398 585030
+rect 87592 584866 87798 585030
+rect 87992 584866 88198 585030
+rect 88392 584866 88598 585030
+rect 88792 584866 88998 585030
+rect 89192 584866 89398 585030
+rect 89592 584866 89798 585030
+rect 89992 584866 90086 585030
+rect 85242 584790 90086 584866
+rect 424114 585046 424188 585350
+rect 424114 584824 424132 585046
+rect 424174 584824 424188 585046
+rect 424114 584792 424188 584824
+rect 85242 584626 85398 584790
+rect 85592 584626 85798 584790
+rect 85992 584626 86198 584790
+rect 86392 584626 86598 584790
+rect 86792 584626 86998 584790
+rect 87192 584626 87398 584790
+rect 87592 584626 87798 584790
+rect 87992 584626 88198 584790
+rect 88392 584626 88598 584790
+rect 88792 584626 88998 584790
+rect 89192 584626 89398 584790
+rect 89592 584626 89798 584790
+rect 89992 584626 90086 584790
+rect 85242 584562 90086 584626
+rect 101690 568824 106034 568854
+rect 107396 568824 111740 568854
+rect 101608 568744 106034 568824
+rect 101608 568504 101854 568744
+rect 102162 568504 102454 568744
+rect 102762 568504 103054 568744
+rect 103362 568504 103654 568744
+rect 103962 568504 104254 568744
+rect 104562 568504 104854 568744
+rect 105162 568504 105454 568744
+rect 105762 568504 106034 568744
+rect 101608 568356 106034 568504
+rect 101606 568328 106034 568356
+rect 107314 568744 111740 568824
+rect 107314 568504 107560 568744
+rect 107868 568504 108160 568744
+rect 108468 568504 108760 568744
+rect 109068 568504 109360 568744
+rect 109668 568504 109960 568744
+rect 110268 568504 110560 568744
+rect 110868 568504 111160 568744
+rect 111468 568504 111740 568744
+rect 101606 568136 106030 568328
+rect 107314 568264 111740 568504
+rect 107314 568226 111976 568264
+rect 103014 567988 104254 568136
+rect 108020 568064 110046 568226
+rect 110358 568032 110428 568226
+rect 103580 567974 103782 567988
+rect 103610 567972 103782 567974
+rect 103728 567924 103782 567972
+rect 103728 567908 103784 567924
+rect 110426 567900 110428 568032
+rect 110358 567884 110426 567900
+rect 113384 567844 113620 567854
+rect 102842 567796 103076 567806
+rect 102842 567740 102864 567796
+rect 102944 567740 102984 567796
+rect 103064 567740 103076 567796
+rect 103728 567790 103784 567806
+rect 103986 567796 104220 567806
+rect 102842 567712 103076 567740
+rect 103986 567740 104006 567796
+rect 104086 567740 104126 567796
+rect 104206 567740 104220 567796
+rect 103214 567712 103288 567714
+rect 102842 567696 103288 567712
+rect 103986 567708 104220 567740
+rect 103648 567698 104220 567708
+rect 102842 567640 102864 567696
+rect 102944 567640 102984 567696
+rect 103064 567644 103288 567696
+rect 103479 567696 104220 567698
+rect 103479 567664 104006 567696
+rect 103648 567652 104006 567664
+rect 103064 567640 103076 567644
+rect 102842 567610 103076 567640
+rect 103986 567640 104006 567652
+rect 104086 567640 104126 567696
+rect 104206 567640 104220 567696
+rect 103986 567610 104220 567640
+rect 106984 567796 107220 567806
+rect 106984 567740 107006 567796
+rect 107086 567740 107126 567796
+rect 107206 567794 107220 567796
+rect 109970 567794 113340 567798
+rect 113384 567794 113406 567844
+rect 107206 567782 107290 567794
+rect 109970 567788 113406 567794
+rect 113486 567788 113526 567844
+rect 113606 567842 113620 567844
+rect 113606 567788 113622 567842
+rect 109970 567786 113622 567788
+rect 107206 567780 107948 567782
+rect 107206 567742 108046 567780
+rect 109970 567750 113620 567786
+rect 113090 567744 113620 567750
+rect 107206 567740 107976 567742
+rect 106984 567738 107290 567740
+rect 113090 567738 113406 567744
+rect 106984 567696 107220 567738
+rect 106984 567640 107006 567696
+rect 107086 567640 107126 567696
+rect 107206 567640 107220 567696
+rect 113384 567688 113406 567738
+rect 113486 567688 113526 567744
+rect 113606 567688 113620 567744
+rect 113384 567658 113620 567688
+rect 106984 567610 107220 567640
+rect 107908 567334 110066 567538
+rect 101238 567068 106104 567240
+rect 101238 566904 101408 567068
+rect 101602 566904 101808 567068
+rect 102002 566904 102208 567068
+rect 102402 566904 102608 567068
+rect 102802 566904 103008 567068
+rect 103202 566904 103408 567068
+rect 103602 566904 103808 567068
+rect 104002 566904 104208 567068
+rect 104402 566904 104608 567068
+rect 104802 566904 105008 567068
+rect 105202 566904 105408 567068
+rect 105602 566904 105808 567068
+rect 106002 566904 106104 567068
+rect 101238 566828 106104 566904
+rect 101238 566664 101408 566828
+rect 101602 566664 101808 566828
+rect 102002 566664 102208 566828
+rect 102402 566664 102608 566828
+rect 102802 566664 103008 566828
+rect 103202 566664 103408 566828
+rect 103602 566664 103808 566828
+rect 104002 566664 104208 566828
+rect 104402 566664 104608 566828
+rect 104802 566664 105008 566828
+rect 105202 566664 105408 566828
+rect 105602 566664 105808 566828
+rect 106002 566664 106104 566828
+rect 101238 566568 106104 566664
+rect 107228 567030 112094 567334
+rect 107228 566866 107398 567030
+rect 107592 566866 107798 567030
+rect 107908 567024 110198 567030
+rect 107992 566866 108198 567024
+rect 108392 566866 108598 567024
+rect 108792 566866 108998 567024
+rect 109192 566866 109398 567024
+rect 109592 566866 109798 567024
+rect 109992 566866 110198 567024
+rect 110392 566866 110598 567030
+rect 110792 566866 110998 567030
+rect 111192 566866 111398 567030
+rect 111592 566866 111798 567030
+rect 111992 566866 112094 567030
+rect 107228 566790 112094 566866
+rect 107228 566626 107398 566790
+rect 107592 566626 107798 566790
+rect 107992 566626 108198 566790
+rect 108392 566626 108598 566790
+rect 108792 566626 108998 566790
+rect 109192 566626 109398 566790
+rect 109592 566626 109798 566790
+rect 109992 566626 110198 566790
+rect 110392 566626 110598 566790
+rect 110792 566626 110998 566790
+rect 111192 566626 111398 566790
+rect 111592 566626 111798 566790
+rect 111992 566626 112094 566790
+rect 107228 566530 112094 566626
 << viali >>
-rect 119058 695204 119246 695372
-rect 119380 695200 119414 695412
-rect 125571 695233 125605 695335
-rect 415392 691208 415494 691316
-rect 415752 691208 415854 691316
-rect 415392 690968 415494 691076
-rect 415752 690968 415854 691076
-rect 467296 691308 467398 691416
-rect 467656 691308 467758 691416
-rect 467296 691068 467398 691176
-rect 467656 691068 467758 691176
-rect 569260 691308 569362 691416
-rect 569620 691308 569722 691416
-rect 569260 691068 569362 691176
-rect 569620 691068 569722 691176
+rect 118843 695007 118877 695109
+rect 126213 694803 126247 694905
+rect 415460 691208 415562 691316
+rect 415820 691208 415922 691316
+rect 415460 690968 415562 691076
+rect 415820 690968 415922 691076
+rect 467360 691308 467462 691416
+rect 467720 691308 467822 691416
+rect 467360 691068 467462 691176
+rect 467720 691068 467822 691176
 rect 413812 688212 413996 688376
-rect 414212 688212 414396 688376
+rect 414212 688212 414394 688376
 rect 413812 687812 413996 687976
-rect 414212 687812 414396 687976
-rect 67028 687178 67270 687402
+rect 414212 687812 414394 687976
 rect 413812 687412 413996 687576
-rect 414212 687412 414396 687576
-rect 67401 687251 67435 687353
-rect 73573 687233 73607 687335
+rect 414212 687412 414394 687576
 rect 413812 687012 413996 687176
-rect 414212 687012 414396 687176
-rect 416278 688212 416396 688376
+rect 414212 687012 414394 687176
+rect 416212 688212 416396 688376
 rect 416612 688212 416796 688376
-rect 416278 687812 416396 687976
+rect 416212 687812 416396 687976
 rect 416612 687812 416796 687976
-rect 416278 687412 416396 687576
+rect 416212 687412 416396 687576
 rect 416612 687412 416796 687576
-rect 416278 687012 416396 687176
+rect 416212 687012 416396 687176
 rect 416622 687012 416806 687176
 rect 465812 688212 465996 688376
-rect 466212 688212 466396 688376
+rect 466212 688212 466370 688376
 rect 465812 687812 465996 687976
-rect 466212 687812 466396 687976
+rect 466212 687812 466370 687976
 rect 465812 687412 465996 687576
-rect 466212 687412 466396 687576
+rect 466212 687412 466370 687576
 rect 465812 687012 465996 687176
-rect 466212 687012 466396 687176
+rect 466212 687012 466370 687176
 rect 468212 688212 468396 688376
 rect 468612 688212 468796 688376
 rect 468212 687812 468396 687976
@@ -731,1043 +674,283 @@
 rect 468612 687412 468796 687576
 rect 468212 687012 468396 687176
 rect 468622 687012 468806 687176
-rect 571002 689284 571242 689592
-rect 568212 688212 568396 688376
-rect 568612 688212 568796 688376
-rect 571002 688684 571242 688992
-rect 568212 687812 568396 687976
-rect 568612 687812 568796 687976
-rect 568212 687412 568396 687576
-rect 568612 687412 568796 687576
-rect 568212 687012 568396 687176
-rect 568622 687012 568806 687176
-rect 415606 685408 415708 685516
-rect 415966 685408 416068 685516
-rect 415606 685168 415708 685276
-rect 415966 685168 416068 685276
-rect 467190 685404 467292 685512
-rect 467550 685404 467652 685512
-rect 571002 688084 571242 688392
-rect 571002 687484 571242 687792
-rect 571002 686884 571242 687192
-rect 467190 685164 467292 685272
-rect 467550 685164 467652 685272
-rect 571002 686284 571242 686592
-rect 571002 685684 571242 685992
-rect 569260 683708 569362 683816
-rect 569620 683708 569722 683816
-rect 569260 683468 569362 683576
-rect 569620 683468 569722 683576
-rect 70580 674824 70682 674932
-rect 70940 674824 71042 674932
-rect 70580 674584 70682 674692
-rect 70940 674584 71042 674692
-rect 122568 674866 122670 674974
-rect 122928 674866 123030 674974
-rect 122568 674626 122670 674734
-rect 122928 674626 123030 674734
-rect 70570 672224 70672 672332
-rect 70930 672224 71032 672332
-rect 70570 671984 70672 672092
-rect 70930 671984 71032 672092
-rect 122558 672266 122660 672374
-rect 122918 672266 123020 672374
-rect 122558 672026 122660 672134
-rect 122918 672026 123020 672134
-rect 440252 593576 440354 593684
-rect 440612 593576 440714 593684
-rect 440252 593336 440354 593444
-rect 440612 593336 440714 593444
-rect 448600 593608 448702 593716
-rect 448960 593608 449062 593716
-rect 448600 593368 448702 593476
-rect 448960 593368 449062 593476
-rect 437812 591984 437996 592148
-rect 438212 591984 438396 592148
-rect 442412 592080 442596 592244
-rect 442812 592080 442996 592244
-rect 437812 591584 437996 591748
-rect 438212 591584 438396 591748
-rect 442412 591680 442596 591844
-rect 442812 591680 442996 591844
-rect 437812 591184 437996 591348
-rect 438212 591184 438396 591348
-rect 437812 590784 437996 590948
-rect 438212 590784 438396 590948
-rect 442412 591280 442596 591444
-rect 442812 591280 442996 591444
-rect 442412 590880 442596 591044
-rect 442822 590880 443006 591044
-rect 446212 591984 446396 592148
-rect 446612 591984 446796 592148
-rect 450812 592080 450996 592244
-rect 451212 592080 451396 592244
-rect 446212 591584 446396 591748
-rect 446612 591584 446796 591748
-rect 450812 591680 450996 591844
-rect 451212 591680 451396 591844
-rect 446212 591184 446396 591348
-rect 446612 591184 446796 591348
-rect 446212 590784 446396 590948
-rect 446612 590784 446796 590948
-rect 450812 591280 450996 591444
-rect 451212 591280 451396 591444
-rect 450812 590880 450996 591044
-rect 451222 590880 451406 591044
-rect 440260 590104 440362 590212
-rect 440620 590104 440722 590212
-rect 440260 589864 440362 589972
-rect 440620 589864 440722 589972
-rect 448588 590104 448690 590212
-rect 448948 590104 449050 590212
-rect 448588 589864 448690 589972
-rect 448948 589864 449050 589972
-rect 405560 588304 405868 588544
-rect 406160 588304 406468 588544
-rect 406760 588304 407068 588544
-rect 407360 588304 407668 588544
-rect 407960 588304 408268 588544
-rect 408560 588304 408868 588544
-rect 409160 588304 409468 588544
-rect 405006 587640 405086 587696
-rect 405126 587640 405206 587696
-rect 409990 587640 410070 587696
-rect 410110 587640 410190 587696
-rect 405006 587540 405086 587596
-rect 405126 587540 405206 587596
-rect 409990 587540 410070 587596
-rect 410110 587540 410190 587596
-rect 405398 586866 405592 587030
-rect 405798 586866 405992 587030
-rect 406198 586866 406392 587030
-rect 406598 586866 406792 587030
-rect 406998 586866 407192 587030
-rect 407398 586866 407592 587030
-rect 407798 586866 407992 587030
-rect 408198 586866 408392 587030
-rect 408598 586866 408792 587030
-rect 408998 586866 409192 587030
-rect 409398 586866 409592 587030
-rect 409798 586866 409992 587030
-rect 405398 586626 405592 586790
-rect 405798 586626 405992 586790
-rect 406198 586626 406392 586790
-rect 406598 586626 406792 586790
-rect 406998 586626 407192 586790
-rect 407398 586626 407592 586790
-rect 407798 586626 407992 586790
-rect 408198 586626 408392 586790
-rect 408598 586626 408792 586790
-rect 408998 586626 409192 586790
-rect 409398 586626 409592 586790
-rect 409798 586626 409992 586790
-rect 405560 586104 405868 586344
-rect 406160 586104 406468 586344
-rect 406760 586104 407068 586344
-rect 407360 586104 407668 586344
-rect 407960 586104 408268 586344
-rect 408560 586104 408868 586344
-rect 409160 586104 409468 586344
-rect 405006 585540 405086 585596
-rect 405126 585540 405206 585596
-rect 409998 585540 410078 585596
-rect 410118 585540 410198 585596
-rect 405006 585440 405086 585496
-rect 405126 585440 405206 585496
-rect 409998 585440 410078 585496
-rect 410118 585440 410198 585496
-rect 405398 584866 405592 585030
-rect 405798 584866 405992 585030
-rect 406198 584866 406392 585030
-rect 406598 584866 406792 585030
-rect 406998 584866 407192 585030
-rect 407398 584866 407592 585030
-rect 407798 584866 407992 585030
-rect 408198 584866 408392 585030
-rect 408598 584866 408792 585030
-rect 408998 584866 409192 585030
-rect 409398 584866 409592 585030
-rect 409798 584866 409992 585030
-rect 405398 584626 405592 584790
-rect 405798 584626 405992 584790
-rect 406198 584626 406392 584790
-rect 406598 584626 406792 584790
-rect 406998 584626 407192 584790
-rect 407398 584626 407592 584790
-rect 407798 584626 407992 584790
-rect 408198 584626 408392 584790
-rect 408598 584626 408792 584790
-rect 408998 584626 409192 584790
-rect 409398 584626 409592 584790
-rect 409798 584626 409992 584790
+rect 415460 685408 415562 685516
+rect 415820 685408 415922 685516
+rect 415460 685168 415562 685276
+rect 415820 685168 415922 685276
+rect 467360 685404 467462 685512
+rect 467720 685404 467822 685512
+rect 467360 685164 467462 685272
+rect 467720 685164 467822 685272
+rect 66881 663007 66915 663109
+rect 66986 663018 67068 663096
+rect 74241 662809 74275 662911
+rect 440260 657608 440362 657716
+rect 440620 657608 440722 657716
+rect 440260 657368 440362 657476
+rect 440620 657368 440722 657476
+rect 448860 657608 448962 657716
+rect 449220 657608 449322 657716
+rect 448860 657368 448962 657476
+rect 449220 657368 449322 657476
+rect 437812 656212 437996 656376
+rect 438212 656212 438396 656376
+rect 442412 656326 442596 656490
+rect 442812 656326 442996 656490
+rect 437812 655812 437996 655976
+rect 438212 655812 438396 655976
+rect 442412 655926 442596 656090
+rect 442812 655926 442996 656090
+rect 437812 655412 437996 655576
+rect 438212 655412 438396 655576
+rect 442412 655526 442596 655690
+rect 442812 655526 442996 655690
+rect 437812 655012 437996 655176
+rect 438212 655012 438396 655176
+rect 442412 655126 442596 655290
+rect 442822 655126 443006 655290
+rect 446212 656212 446396 656376
+rect 446612 656212 446796 656376
+rect 450812 656326 450996 656490
+rect 451212 656326 451396 656490
+rect 446212 655812 446396 655976
+rect 446612 655812 446796 655976
+rect 450814 655926 450996 656090
+rect 451212 655926 451396 656090
+rect 446212 655412 446396 655576
+rect 446612 655412 446796 655576
+rect 450814 655526 450996 655690
+rect 451212 655526 451396 655690
+rect 446212 655012 446396 655176
+rect 446612 655012 446796 655176
+rect 450814 655190 450996 655290
+rect 450812 655126 450996 655190
+rect 451222 655126 451406 655290
+rect 440260 654608 440362 654716
+rect 440620 654608 440722 654716
+rect 440260 654368 440362 654476
+rect 440620 654368 440722 654476
+rect 448860 654608 448962 654716
+rect 449220 654608 449322 654716
+rect 448860 654368 448962 654476
+rect 449220 654368 449322 654476
+rect 134560 600104 134868 600344
+rect 135160 600104 135468 600344
+rect 135760 600104 136068 600344
+rect 136360 600104 136668 600344
+rect 136960 600104 137268 600344
+rect 137560 600104 137868 600344
+rect 138160 600104 138468 600344
+rect 134006 599540 134086 599596
+rect 134126 599540 134206 599596
+rect 140406 599540 140486 599596
+rect 140526 599540 140606 599596
+rect 134006 599440 134086 599496
+rect 134126 599440 134206 599496
+rect 140406 599440 140486 599496
+rect 140526 599440 140606 599496
+rect 134398 598866 134592 599030
+rect 134798 598866 134992 599030
+rect 135198 598866 135392 599030
+rect 135598 598866 135792 599030
+rect 135998 598866 136192 599030
+rect 136398 598866 136592 599030
+rect 136798 598866 136992 599030
+rect 137198 598866 137392 599030
+rect 137598 598866 137792 599030
+rect 137998 598866 138192 599030
+rect 138398 598866 138592 599030
+rect 138798 598866 138992 599030
+rect 134398 598626 134592 598790
+rect 134798 598626 134992 598790
+rect 135198 598626 135392 598790
+rect 135598 598626 135792 598790
+rect 135998 598626 136192 598790
+rect 136398 598626 136592 598790
+rect 136798 598626 136992 598790
+rect 137198 598626 137392 598790
+rect 137598 598626 137792 598790
+rect 137998 598626 138192 598790
+rect 138398 598626 138592 598790
+rect 138798 598626 138992 598790
+rect 85560 586104 85868 586344
+rect 86160 586104 86468 586344
+rect 86760 586104 87068 586344
+rect 87360 586104 87668 586344
+rect 87960 586104 88268 586344
+rect 88560 586104 88868 586344
+rect 89160 586104 89468 586344
+rect 424142 586174 424184 586416
+rect 85006 585540 85086 585596
+rect 85126 585540 85206 585596
+rect 91406 585540 91486 585596
+rect 91526 585540 91606 585596
+rect 85006 585440 85086 585496
+rect 85126 585440 85206 585496
+rect 91406 585440 91486 585496
+rect 91526 585440 91606 585496
+rect 85398 584866 85592 585030
+rect 85798 584866 85992 585030
+rect 86198 584866 86392 585030
+rect 86598 584866 86792 585030
+rect 86998 584866 87192 585030
+rect 87398 584866 87592 585030
+rect 87798 584866 87992 585030
+rect 88198 584866 88392 585030
+rect 88598 584866 88792 585030
+rect 88998 584866 89192 585030
+rect 89398 584866 89592 585030
+rect 89798 584866 89992 585030
+rect 424132 584824 424174 585046
+rect 85398 584626 85592 584790
+rect 85798 584626 85992 584790
+rect 86198 584626 86392 584790
+rect 86598 584626 86792 584790
+rect 86998 584626 87192 584790
+rect 87398 584626 87592 584790
+rect 87798 584626 87992 584790
+rect 88198 584626 88392 584790
+rect 88598 584626 88792 584790
+rect 88998 584626 89192 584790
+rect 89398 584626 89592 584790
+rect 89798 584626 89992 584790
+rect 101854 568504 102162 568744
+rect 102454 568504 102762 568744
+rect 103054 568504 103362 568744
+rect 103654 568504 103962 568744
+rect 104254 568504 104562 568744
+rect 104854 568504 105162 568744
+rect 105454 568504 105762 568744
+rect 107560 568504 107868 568744
+rect 108160 568504 108468 568744
+rect 108760 568504 109068 568744
+rect 109360 568504 109668 568744
+rect 109960 568504 110268 568744
+rect 110560 568504 110868 568744
+rect 111160 568504 111468 568744
+rect 102864 567740 102944 567796
+rect 102984 567740 103064 567796
+rect 104006 567740 104086 567796
+rect 104126 567740 104206 567796
+rect 102864 567640 102944 567696
+rect 102984 567640 103064 567696
+rect 104006 567640 104086 567696
+rect 104126 567640 104206 567696
+rect 107006 567740 107086 567796
+rect 107126 567740 107206 567796
+rect 113406 567788 113486 567844
+rect 113526 567788 113606 567844
+rect 107006 567640 107086 567696
+rect 107126 567640 107206 567696
+rect 113406 567688 113486 567744
+rect 113526 567688 113606 567744
+rect 101408 566904 101602 567068
+rect 101808 566904 102002 567068
+rect 102208 566904 102402 567068
+rect 102608 566904 102802 567068
+rect 103008 566904 103202 567068
+rect 103408 566904 103602 567068
+rect 103808 566904 104002 567068
+rect 104208 566904 104402 567068
+rect 104608 566904 104802 567068
+rect 105008 566904 105202 567068
+rect 105408 566904 105602 567068
+rect 105808 566904 106002 567068
+rect 101408 566664 101602 566828
+rect 101808 566664 102002 566828
+rect 102208 566664 102402 566828
+rect 102608 566664 102802 566828
+rect 103008 566664 103202 566828
+rect 103408 566664 103602 566828
+rect 103808 566664 104002 566828
+rect 104208 566664 104402 566828
+rect 104608 566664 104802 566828
+rect 105008 566664 105202 566828
+rect 105408 566664 105602 566828
+rect 105808 566664 106002 566828
+rect 107398 566866 107592 567030
+rect 107798 567024 107908 567030
+rect 107798 566866 107992 567024
+rect 108198 566866 108392 567024
+rect 108598 566866 108792 567024
+rect 108998 566866 109192 567024
+rect 109398 566866 109592 567024
+rect 109798 566866 109992 567024
+rect 110198 566866 110392 567030
+rect 110598 566866 110792 567030
+rect 110998 566866 111192 567030
+rect 111398 566866 111592 567030
+rect 111798 566866 111992 567030
+rect 107398 566626 107592 566790
+rect 107798 566626 107992 566790
+rect 108198 566626 108392 566790
+rect 108598 566626 108792 566790
+rect 108998 566626 109192 566790
+rect 109398 566626 109592 566790
+rect 109798 566626 109992 566790
+rect 110198 566626 110392 566790
+rect 110598 566626 110792 566790
+rect 110998 566626 111192 566790
+rect 111398 566626 111592 566790
+rect 111798 566626 111992 566790
 << metal1 >>
-rect 118982 695430 119314 695452
-rect 119474 695442 119988 695450
-rect 120218 695446 124754 696194
-rect 120218 695442 120660 695446
-rect 118982 695156 119008 695430
-rect 119292 695412 119428 695430
-rect 119292 695200 119380 695412
-rect 119414 695200 119428 695412
-rect 119292 695186 119428 695200
-rect 119292 695156 119314 695186
-rect 119474 695160 120660 695442
-rect 119474 695158 119988 695160
-rect 118982 695134 119314 695156
-rect 120218 694918 120660 695160
-rect 121252 694918 121760 695446
-rect 122352 694918 122860 695446
-rect 123452 694918 123960 695446
-rect 124552 695442 124754 695446
-rect 126154 695464 126622 695526
-rect 124552 695160 125518 695442
-rect 125558 695416 125884 695418
-rect 126154 695416 126230 695464
-rect 125558 695335 126230 695416
-rect 125558 695233 125571 695335
-rect 125605 695233 126230 695335
-rect 125558 695174 126230 695233
-rect 125558 695172 125884 695174
-rect 124552 694918 124754 695160
-rect 124980 695152 125518 695160
-rect 126154 695110 126230 695174
-rect 126562 695110 126622 695464
-rect 126154 695056 126622 695110
-rect 120218 694338 124754 694918
-rect 467252 691416 467818 691454
-rect 415348 691316 415914 691358
-rect 415348 691208 415392 691316
-rect 415494 691208 415752 691316
-rect 415854 691208 415914 691316
-rect 415348 691076 415914 691208
-rect 415348 690968 415392 691076
-rect 415494 690968 415752 691076
-rect 415854 690968 415914 691076
-rect 467252 691308 467296 691416
-rect 467398 691308 467656 691416
-rect 467758 691308 467818 691416
-rect 467252 691176 467818 691308
-rect 467252 691068 467296 691176
-rect 467398 691068 467656 691176
-rect 467758 691068 467818 691176
-rect 467252 691026 467818 691068
-rect 569216 691416 569782 691454
-rect 569216 691308 569260 691416
-rect 569362 691308 569620 691416
-rect 569722 691308 569782 691416
-rect 569216 691176 569782 691308
-rect 569216 691068 569260 691176
-rect 569362 691068 569620 691176
-rect 569722 691068 569782 691176
-rect 569216 691028 569782 691068
-rect 415348 690926 415914 690968
-rect 570922 689592 571352 689764
-rect 570922 689284 571002 689592
-rect 571242 689284 571352 689592
-rect 569882 689070 570312 689072
-rect 570922 689070 571352 689284
-rect 568938 689064 569332 689066
-rect 568122 688696 569332 689064
-rect 569882 689018 571436 689070
-rect 569884 688992 571436 689018
-rect 569884 688696 571002 688992
-rect 413726 688376 415224 688616
-rect 413726 688212 413812 688376
-rect 413996 688212 414212 688376
-rect 414396 688212 415224 688376
-rect 66982 687430 67314 687452
-rect 68218 687446 72754 688194
-rect 413726 687976 415224 688212
-rect 413726 687812 413812 687976
-rect 413996 687812 414212 687976
-rect 414396 687812 415224 687976
-rect 413726 687576 415224 687812
-rect 74154 687464 74622 687526
-rect 73002 687450 73512 687454
-rect 67374 687430 67448 687432
-rect 66982 687156 67008 687430
-rect 67292 687353 67448 687430
-rect 68218 687384 68660 687446
-rect 67874 687382 68660 687384
-rect 67292 687251 67401 687353
-rect 67435 687251 67448 687353
-rect 67292 687186 67448 687251
-rect 67498 687232 68660 687382
-rect 67874 687230 68660 687232
-rect 67292 687156 67314 687186
-rect 66982 687134 67314 687156
-rect 68218 686918 68660 687230
-rect 69252 686918 69760 687446
-rect 70352 686918 70860 687446
-rect 71452 686918 71960 687446
-rect 72552 687442 72754 687446
-rect 72988 687442 73512 687450
-rect 72552 687160 73512 687442
-rect 74154 687416 74230 687464
-rect 73566 687335 74230 687416
-rect 73566 687233 73573 687335
-rect 73607 687233 74230 687335
-rect 73566 687174 74230 687233
-rect 72552 686918 72754 687160
-rect 72988 687158 73512 687160
-rect 73002 687156 73512 687158
-rect 74154 687110 74230 687174
-rect 74562 687110 74622 687464
-rect 74154 687056 74622 687110
-rect 413726 687412 413812 687576
-rect 413996 687412 414212 687576
-rect 414396 687412 415224 687576
-rect 413726 687176 415224 687412
-rect 413726 687012 413812 687176
-rect 413996 687012 414212 687176
-rect 414396 687012 415224 687176
-rect 413726 686942 415224 687012
-rect 415772 688376 416876 688694
-rect 467560 688624 467948 688632
-rect 465760 688608 467008 688616
-rect 415772 688212 416278 688376
-rect 416396 688212 416612 688376
-rect 416796 688212 416876 688376
-rect 415772 687976 416876 688212
-rect 415772 687812 416278 687976
-rect 416396 687812 416612 687976
-rect 416796 687812 416876 687976
-rect 415772 687576 416876 687812
-rect 415772 687412 416278 687576
-rect 416396 687412 416612 687576
-rect 416796 687412 416876 687576
-rect 415772 687176 416876 687412
-rect 415772 687012 416278 687176
-rect 416396 687012 416622 687176
-rect 416806 687012 416876 687176
-rect 68218 686338 72754 686918
-rect 415698 686666 415765 686784
-rect 415772 686662 416876 687012
-rect 465730 688376 467008 688608
-rect 465730 688212 465812 688376
-rect 465996 688212 466212 688376
-rect 466396 688212 467008 688376
-rect 465730 687976 467008 688212
-rect 465730 687812 465812 687976
-rect 465996 687812 466212 687976
-rect 466396 687812 467008 687976
-rect 465730 687576 467008 687812
-rect 465730 687412 465812 687576
-rect 465996 687412 466212 687576
-rect 466396 687412 467008 687576
-rect 465730 687176 467008 687412
-rect 465730 687012 465812 687176
-rect 465996 687012 466212 687176
-rect 466396 687012 467008 687176
-rect 465730 686944 467008 687012
-rect 467560 688598 468828 688624
-rect 467560 688376 468866 688598
-rect 568122 688420 569218 688696
-rect 569960 688684 571002 688696
-rect 571242 688684 571436 688992
-rect 568122 688410 569366 688420
-rect 467560 688212 468212 688376
-rect 468396 688212 468612 688376
-rect 468796 688212 468866 688376
-rect 467560 687976 468866 688212
-rect 467560 687812 468212 687976
-rect 468396 687812 468612 687976
-rect 468796 687812 468866 687976
-rect 467560 687576 468866 687812
-rect 467560 687412 468212 687576
-rect 468396 687412 468612 687576
-rect 468796 687412 468866 687576
-rect 467560 687176 468866 687412
-rect 467560 687012 468212 687176
-rect 468396 687012 468622 687176
-rect 468806 687012 468866 687176
-rect 467560 686956 468866 687012
-rect 467560 686950 467948 686956
-rect 468130 686944 468866 686956
-rect 568128 688376 569366 688410
-rect 569960 688392 571436 688684
-rect 569960 688390 571002 688392
-rect 568128 688212 568212 688376
-rect 568396 688212 568612 688376
-rect 568796 688212 569366 688376
-rect 568128 687976 569366 688212
-rect 568128 687812 568212 687976
-rect 568396 687812 568612 687976
-rect 568796 687812 569366 687976
-rect 568128 687576 569366 687812
-rect 568128 687412 568212 687576
-rect 568396 687412 568612 687576
-rect 568796 687412 569366 687576
-rect 568128 687176 569366 687412
-rect 568128 687012 568212 687176
-rect 568396 687012 568622 687176
-rect 568806 687012 569366 687176
-rect 465760 686942 467008 686944
-rect 568128 686370 569366 687012
-rect 569880 688084 571002 688390
-rect 571242 688390 571436 688392
-rect 571242 688084 571438 688390
-rect 569880 687792 571438 688084
-rect 569880 687484 571002 687792
-rect 571242 687484 571438 687792
-rect 569880 687192 571438 687484
-rect 569880 686884 571002 687192
-rect 571242 686884 571438 687192
-rect 569880 686592 571438 686884
-rect 569880 686324 571002 686592
-rect 570922 686284 571002 686324
-rect 571242 686324 571438 686592
-rect 571242 686284 571352 686324
-rect 570922 685992 571352 686284
-rect 570922 685684 571002 685992
-rect 571242 685684 571352 685992
-rect 415562 685516 416128 685558
-rect 415562 685408 415606 685516
-rect 415708 685408 415966 685516
-rect 416068 685408 416128 685516
-rect 415562 685276 416128 685408
-rect 415562 685168 415606 685276
-rect 415708 685168 415966 685276
-rect 416068 685168 416128 685276
-rect 415562 685126 416128 685168
-rect 467146 685554 467272 685580
-rect 467146 685512 467712 685554
-rect 467146 685404 467190 685512
-rect 467292 685404 467550 685512
-rect 467652 685404 467712 685512
-rect 467146 685272 467712 685404
-rect 467146 685164 467190 685272
-rect 467292 685164 467550 685272
-rect 467652 685164 467712 685272
-rect 467146 685122 467712 685164
-rect 570922 685072 571352 685684
-rect 569216 683816 569782 683852
-rect 569216 683708 569260 683816
-rect 569362 683708 569620 683816
-rect 569722 683708 569782 683816
-rect 569216 683576 569782 683708
-rect 569216 683468 569260 683576
-rect 569362 683468 569620 683576
-rect 569722 683468 569782 683576
-rect 569216 683434 569782 683468
-rect 122524 674974 123090 675016
-rect 70536 674932 71102 674974
-rect 70536 674824 70580 674932
-rect 70682 674824 70940 674932
-rect 71042 674824 71102 674932
-rect 70536 674692 71102 674824
-rect 70536 674584 70580 674692
-rect 70682 674584 70940 674692
-rect 71042 674584 71102 674692
-rect 122524 674866 122568 674974
-rect 122670 674866 122928 674974
-rect 123030 674866 123090 674974
-rect 122524 674734 123090 674866
-rect 122524 674626 122568 674734
-rect 122670 674626 122928 674734
-rect 123030 674626 123090 674734
-rect 122524 674586 123090 674626
-rect 70536 674544 71102 674584
-rect 69934 673768 70382 673770
-rect 69934 673732 70482 673768
-rect 69934 673458 70008 673732
-rect 70292 673724 70482 673732
-rect 71292 673756 71760 673818
-rect 70292 673458 70560 673724
-rect 71292 673708 71368 673756
-rect 71038 673466 71368 673708
-rect 69934 673400 70560 673458
-rect 71292 673402 71368 673466
-rect 71700 673402 71760 673756
-rect 69934 673398 70482 673400
-rect 71292 673348 71760 673402
-rect 121734 673518 122168 673532
-rect 123226 673524 123694 673526
-rect 121734 673516 122434 673518
-rect 121734 673504 122466 673516
-rect 121734 673498 122476 673504
-rect 122526 673498 122548 673504
-rect 121734 673494 122548 673498
-rect 121734 673220 121808 673494
-rect 122092 673220 122548 673494
-rect 123082 673464 123694 673524
-rect 123082 673416 123302 673464
-rect 123020 673260 123302 673416
-rect 121734 673160 122548 673220
-rect 122058 673150 122548 673160
-rect 123082 673150 123302 673260
-rect 122058 673148 122298 673150
-rect 122398 673148 122548 673150
-rect 123226 673110 123302 673150
-rect 123634 673110 123694 673464
-rect 123226 673056 123694 673110
-rect 122514 672374 123080 672416
-rect 70526 672332 71092 672374
-rect 70526 672224 70570 672332
-rect 70672 672224 70930 672332
-rect 71032 672224 71092 672332
-rect 70526 672092 71092 672224
-rect 70526 671984 70570 672092
-rect 70672 671984 70930 672092
-rect 71032 671984 71092 672092
-rect 122514 672266 122558 672374
-rect 122660 672266 122918 672374
-rect 123020 672266 123080 672374
-rect 122514 672134 123080 672266
-rect 122514 672026 122558 672134
-rect 122660 672026 122918 672134
-rect 123020 672026 123080 672134
-rect 122514 671986 123080 672026
-rect 70526 671944 71092 671984
-rect 440208 593684 440774 593726
-rect 440208 593576 440252 593684
-rect 440354 593576 440612 593684
-rect 440714 593576 440774 593684
-rect 440208 593444 440774 593576
-rect 440208 593336 440252 593444
-rect 440354 593336 440612 593444
-rect 440714 593336 440774 593444
-rect 440208 593296 440774 593336
-rect 448556 593716 449122 593758
-rect 448556 593608 448600 593716
-rect 448702 593608 448960 593716
-rect 449062 593608 449122 593716
-rect 448556 593476 449122 593608
-rect 448556 593368 448600 593476
-rect 448702 593368 448960 593476
-rect 449062 593368 449122 593476
-rect 448556 593328 449122 593368
-rect 442330 592416 443066 592420
-rect 450730 592416 451466 592420
-rect 437730 592148 440102 592380
-rect 437730 591984 437812 592148
-rect 437996 591984 438212 592148
-rect 438396 591984 440102 592148
-rect 437730 591748 440102 591984
-rect 437730 591584 437812 591748
-rect 437996 591584 438212 591748
-rect 438396 591584 440102 591748
-rect 437730 591348 440102 591584
-rect 437730 591184 437812 591348
-rect 437996 591184 438212 591348
-rect 438396 591184 440102 591348
-rect 437730 590948 440102 591184
-rect 437730 590784 437812 590948
-rect 437996 590784 438212 590948
-rect 438396 590784 440102 590948
-rect 437730 590716 440102 590784
-rect 440650 592244 443196 592416
-rect 440650 592080 442412 592244
-rect 442596 592080 442812 592244
-rect 442996 592080 443196 592244
-rect 440650 591844 443196 592080
-rect 440650 591680 442412 591844
-rect 442596 591680 442812 591844
-rect 442996 591680 443196 591844
-rect 440650 591444 443196 591680
-rect 440650 591280 442412 591444
-rect 442596 591280 442812 591444
-rect 442996 591280 443196 591444
-rect 440650 591044 443196 591280
-rect 440650 590880 442412 591044
-rect 442596 590880 442822 591044
-rect 443006 590880 443196 591044
-rect 440650 590738 443196 590880
-rect 446130 592148 448458 592380
-rect 446130 591984 446212 592148
-rect 446396 591984 446612 592148
-rect 446796 591984 448458 592148
-rect 446130 591748 448458 591984
-rect 446130 591584 446212 591748
-rect 446396 591584 446612 591748
-rect 446796 591584 448458 591748
-rect 446130 591348 448458 591584
-rect 446130 591184 446212 591348
-rect 446396 591184 446612 591348
-rect 446796 591184 448458 591348
-rect 446130 590948 448458 591184
-rect 446130 590784 446212 590948
-rect 446396 590784 446612 590948
-rect 446796 590784 448458 590948
-rect 446130 590716 448458 590784
-rect 448998 592244 451596 592416
-rect 448998 592080 450812 592244
-rect 450996 592080 451212 592244
-rect 451396 592080 451596 592244
-rect 448998 591844 451596 592080
-rect 448998 591680 450812 591844
-rect 450996 591680 451212 591844
-rect 451396 591680 451596 591844
-rect 448998 591444 451596 591680
-rect 448998 591280 450812 591444
-rect 450996 591280 451212 591444
-rect 451396 591280 451596 591444
-rect 448998 591044 451596 591280
-rect 448998 590880 450812 591044
-rect 450996 590880 451222 591044
-rect 451406 590880 451596 591044
-rect 448998 590738 451596 590880
-rect 448998 590736 449164 590738
-rect 450322 590732 450740 590738
-rect 438410 590704 440102 590716
-rect 446810 590704 448458 590716
-rect 440216 590212 440782 590254
-rect 440216 590104 440260 590212
-rect 440362 590104 440620 590212
-rect 440722 590104 440782 590212
-rect 440216 589972 440782 590104
-rect 440216 589864 440260 589972
-rect 440362 589864 440620 589972
-rect 440722 589864 440782 589972
-rect 440216 589824 440782 589864
-rect 448544 590212 449110 590254
-rect 448544 590104 448588 590212
-rect 448690 590104 448948 590212
-rect 449050 590104 449110 590212
-rect 448544 589972 449110 590104
-rect 448544 589864 448588 589972
-rect 448690 589864 448948 589972
-rect 449050 589864 449110 589972
-rect 448544 589824 449110 589864
-rect 406654 588654 408682 588724
-rect 405388 588544 410080 588654
-rect 405388 588304 405560 588544
-rect 405868 588304 406160 588544
-rect 406468 588304 406760 588544
-rect 407068 588304 407360 588544
-rect 407668 588304 407960 588544
-rect 408268 588304 408560 588544
-rect 408868 588304 409160 588544
-rect 409468 588304 410080 588544
-rect 405388 588224 410080 588304
-rect 406654 587908 408682 588224
-rect 404984 587696 405238 587706
-rect 404984 587640 405006 587696
-rect 405086 587640 405126 587696
-rect 405206 587640 405238 587696
-rect 404984 587596 405238 587640
-rect 404984 587540 405006 587596
-rect 405086 587540 405126 587596
-rect 405206 587540 405238 587596
-rect 404984 587510 405238 587540
-rect 409968 587696 410212 587706
-rect 409968 587640 409990 587696
-rect 410070 587640 410110 587696
-rect 410190 587640 410212 587696
-rect 409968 587596 410212 587640
-rect 409968 587540 409990 587596
-rect 410070 587540 410110 587596
-rect 410190 587540 410212 587596
-rect 409968 587510 410212 587540
-rect 404124 587104 404968 587106
-rect 406648 587104 408678 587340
-rect 404124 587030 410098 587104
-rect 404124 586866 405398 587030
-rect 405592 586866 405798 587030
-rect 405992 586866 406198 587030
-rect 406392 586866 406598 587030
-rect 406792 586866 406998 587030
-rect 407192 586866 407398 587030
-rect 407592 586866 407798 587030
-rect 407992 586866 408198 587030
-rect 408392 586866 408598 587030
-rect 408792 586866 408998 587030
-rect 409192 586866 409398 587030
-rect 409592 586866 409798 587030
-rect 409992 586866 410098 587030
-rect 404124 586790 410098 586866
-rect 404124 586626 405398 586790
-rect 405592 586626 405798 586790
-rect 405992 586626 406198 586790
-rect 406392 586626 406598 586790
-rect 406792 586626 406998 586790
-rect 407192 586626 407398 586790
-rect 407592 586626 407798 586790
-rect 407992 586626 408198 586790
-rect 408392 586626 408598 586790
-rect 408792 586626 408998 586790
-rect 409192 586626 409398 586790
-rect 409592 586626 409798 586790
-rect 409992 586626 410098 586790
-rect 404124 586572 410098 586626
-rect 404124 586566 404968 586572
-rect 404128 585078 404890 586566
-rect 405230 586562 410092 586572
-rect 405388 586344 410080 586454
-rect 423906 586446 428284 586452
-rect 438104 586450 440896 586452
-rect 441242 586450 441936 586452
-rect 433144 586446 441936 586450
-rect 405388 586104 405560 586344
-rect 405868 586104 406160 586344
-rect 406468 586104 406760 586344
-rect 407068 586104 407360 586344
-rect 407668 586104 407960 586344
-rect 408268 586104 408560 586344
-rect 408868 586104 409160 586344
-rect 409468 586104 410080 586344
-rect 405388 586024 410080 586104
-rect 416354 586336 417192 586444
-rect 406642 585812 408672 586024
-rect 416354 585948 416472 586336
-rect 417092 586142 417192 586336
-rect 423906 586394 441936 586446
-rect 423906 586180 438974 586394
-rect 441846 586214 441936 586394
-rect 441846 586180 441958 586214
-rect 417092 585948 418050 586142
-rect 416354 585850 418050 585948
-rect 417260 585844 418050 585850
-rect 423906 586138 441958 586180
-rect 423906 585792 424024 586138
-rect 426680 586136 438282 586138
-rect 426680 586132 433278 586136
-rect 438896 586134 441958 586138
-rect 426680 586126 428284 586132
-rect 404984 585596 405258 585606
-rect 404984 585540 405006 585596
-rect 405086 585540 405126 585596
-rect 405206 585540 405258 585596
-rect 404984 585496 405258 585540
-rect 404984 585440 405006 585496
-rect 405086 585440 405126 585496
-rect 405206 585440 405258 585496
-rect 404984 585410 405258 585440
-rect 409976 585596 410220 585606
-rect 409976 585540 409998 585596
-rect 410078 585540 410118 585596
-rect 410198 585540 410220 585596
-rect 409976 585496 410220 585540
-rect 409976 585440 409998 585496
-rect 410078 585440 410118 585496
-rect 410198 585440 410220 585496
-rect 409976 585410 410220 585440
-rect 417068 585316 418090 585318
-rect 404124 585076 405488 585078
-rect 406642 585076 408668 585270
-rect 416356 585216 418090 585316
-rect 404124 585030 410092 585076
-rect 404124 584866 405398 585030
-rect 405592 584866 405798 585030
-rect 405992 584866 406198 585030
-rect 406392 584866 406598 585030
-rect 406792 584866 406998 585030
-rect 407192 584866 407398 585030
-rect 407592 584866 407798 585030
-rect 407992 584866 408198 585030
-rect 408392 584866 408598 585030
-rect 408792 584866 408998 585030
-rect 409192 584866 409398 585030
-rect 409592 584866 409798 585030
-rect 409992 584866 410092 585030
-rect 404124 584790 410092 584866
-rect 404124 584626 405398 584790
-rect 405592 584626 405798 584790
-rect 405992 584626 406198 584790
-rect 406392 584626 406598 584790
-rect 406792 584626 406998 584790
-rect 407192 584626 407398 584790
-rect 407592 584626 407798 584790
-rect 407992 584626 408198 584790
-rect 408392 584626 408598 584790
-rect 408792 584626 408998 584790
-rect 409192 584626 409398 584790
-rect 409592 584626 409798 584790
-rect 409992 584626 410092 584790
-rect 416356 584828 416474 585216
-rect 417094 585020 418090 585216
-rect 417094 584828 417188 585020
-rect 417264 585018 418090 585020
-rect 423906 585096 423996 585322
-rect 426686 585096 450516 585098
-rect 423906 585094 424068 585096
-rect 424644 585094 450516 585096
-rect 423906 585042 450516 585094
-rect 416356 584730 417188 584828
-rect 423906 584840 447368 585042
-rect 450242 584840 450516 585042
-rect 423906 584792 450516 584840
-rect 426686 584790 450516 584792
-rect 404124 584538 410092 584626
-rect 404128 584534 404890 584538
-rect 405236 582858 410092 584538
-rect 405234 581174 410096 582858
-rect 405234 580628 405622 581174
-rect 406400 580628 406822 581174
-rect 407600 580628 408022 581174
-rect 408800 580628 409222 581174
-rect 410000 580628 410096 581174
-rect 405234 580228 410096 580628
-<< via1 >>
-rect 119008 695372 119292 695430
-rect 119008 695204 119058 695372
-rect 119058 695204 119246 695372
-rect 119246 695204 119292 695372
-rect 119008 695156 119292 695204
-rect 120660 694918 121252 695446
-rect 121760 694918 122352 695446
-rect 122860 694918 123452 695446
-rect 123960 694918 124552 695446
-rect 126230 695110 126562 695464
-rect 415392 691208 415494 691316
-rect 415752 691208 415854 691316
-rect 415392 690968 415494 691076
-rect 415752 690968 415854 691076
-rect 467296 691308 467398 691416
-rect 467656 691308 467758 691416
-rect 467296 691068 467398 691176
-rect 467656 691068 467758 691176
-rect 569260 691308 569362 691416
-rect 569620 691308 569722 691416
-rect 569260 691068 569362 691176
-rect 569620 691068 569722 691176
-rect 571002 689284 571242 689592
-rect 413812 688212 413996 688376
-rect 414212 688212 414396 688376
-rect 413812 687812 413996 687976
-rect 414212 687812 414396 687976
-rect 67008 687402 67292 687430
-rect 67008 687178 67028 687402
-rect 67028 687178 67270 687402
-rect 67270 687178 67292 687402
-rect 67008 687156 67292 687178
-rect 68660 686918 69252 687446
-rect 69760 686918 70352 687446
-rect 70860 686918 71452 687446
-rect 71960 686918 72552 687446
-rect 74230 687110 74562 687464
-rect 413812 687412 413996 687576
-rect 414212 687412 414396 687576
-rect 413812 687012 413996 687176
-rect 414212 687012 414396 687176
-rect 416278 688212 416396 688376
-rect 416612 688212 416796 688376
-rect 416278 687812 416396 687976
-rect 416612 687812 416796 687976
-rect 416278 687412 416396 687576
-rect 416612 687412 416796 687576
-rect 416278 687012 416396 687176
-rect 416622 687012 416806 687176
-rect 465812 688212 465996 688376
-rect 466212 688212 466396 688376
-rect 465812 687812 465996 687976
-rect 466212 687812 466396 687976
-rect 465812 687412 465996 687576
-rect 466212 687412 466396 687576
-rect 465812 687012 465996 687176
-rect 466212 687012 466396 687176
-rect 571002 688684 571242 688992
-rect 468212 688212 468396 688376
-rect 468612 688212 468796 688376
-rect 468212 687812 468396 687976
-rect 468612 687812 468796 687976
-rect 468212 687412 468396 687576
-rect 468612 687412 468796 687576
-rect 468212 687012 468396 687176
-rect 468622 687012 468806 687176
-rect 568212 688212 568396 688376
-rect 568612 688212 568796 688376
-rect 568212 687812 568396 687976
-rect 568612 687812 568796 687976
-rect 568212 687412 568396 687576
-rect 568612 687412 568796 687576
-rect 568212 687012 568396 687176
-rect 568622 687012 568806 687176
-rect 571002 688084 571242 688392
-rect 571002 687484 571242 687792
-rect 571002 686884 571242 687192
-rect 571002 686284 571242 686592
-rect 571002 685684 571242 685992
-rect 415606 685408 415708 685516
-rect 415966 685408 416068 685516
-rect 415606 685168 415708 685276
-rect 415966 685168 416068 685276
-rect 467190 685404 467292 685512
-rect 467550 685404 467652 685512
-rect 467190 685164 467292 685272
-rect 467550 685164 467652 685272
-rect 569260 683708 569362 683816
-rect 569620 683708 569722 683816
-rect 569260 683468 569362 683576
-rect 569620 683468 569722 683576
-rect 70580 674824 70682 674932
-rect 70940 674824 71042 674932
-rect 70580 674584 70682 674692
-rect 70940 674584 71042 674692
-rect 122568 674866 122670 674974
-rect 122928 674866 123030 674974
-rect 122568 674626 122670 674734
-rect 122928 674626 123030 674734
-rect 70008 673458 70292 673732
-rect 71368 673402 71700 673756
-rect 121808 673220 122092 673494
-rect 123302 673110 123634 673464
-rect 70570 672224 70672 672332
-rect 70930 672224 71032 672332
-rect 70570 671984 70672 672092
-rect 70930 671984 71032 672092
-rect 122558 672266 122660 672374
-rect 122918 672266 123020 672374
-rect 122558 672026 122660 672134
-rect 122918 672026 123020 672134
-rect 440252 593576 440354 593684
-rect 440612 593576 440714 593684
-rect 440252 593336 440354 593444
-rect 440612 593336 440714 593444
-rect 448600 593608 448702 593716
-rect 448960 593608 449062 593716
-rect 448600 593368 448702 593476
-rect 448960 593368 449062 593476
-rect 437812 591984 437996 592148
-rect 438212 591984 438396 592148
-rect 437812 591584 437996 591748
-rect 438212 591584 438396 591748
-rect 437812 591184 437996 591348
-rect 438212 591184 438396 591348
-rect 437812 590784 437996 590948
-rect 438212 590784 438396 590948
-rect 442412 592080 442596 592244
-rect 442812 592080 442996 592244
-rect 442412 591680 442596 591844
-rect 442812 591680 442996 591844
-rect 442412 591280 442596 591444
-rect 442812 591280 442996 591444
-rect 442412 590880 442596 591044
-rect 442822 590880 443006 591044
-rect 446212 591984 446396 592148
-rect 446612 591984 446796 592148
-rect 446212 591584 446396 591748
-rect 446612 591584 446796 591748
-rect 446212 591184 446396 591348
-rect 446612 591184 446796 591348
-rect 446212 590784 446396 590948
-rect 446612 590784 446796 590948
-rect 450812 592080 450996 592244
-rect 451212 592080 451396 592244
-rect 450812 591680 450996 591844
-rect 451212 591680 451396 591844
-rect 450812 591280 450996 591444
-rect 451212 591280 451396 591444
-rect 450812 590880 450996 591044
-rect 451222 590880 451406 591044
-rect 440260 590104 440362 590212
-rect 440620 590104 440722 590212
-rect 440260 589864 440362 589972
-rect 440620 589864 440722 589972
-rect 448588 590104 448690 590212
-rect 448948 590104 449050 590212
-rect 448588 589864 448690 589972
-rect 448948 589864 449050 589972
-rect 405560 588304 405868 588544
-rect 406160 588304 406468 588544
-rect 406760 588304 407068 588544
-rect 407360 588304 407668 588544
-rect 407960 588304 408268 588544
-rect 408560 588304 408868 588544
-rect 409160 588304 409468 588544
-rect 405006 587640 405086 587696
-rect 405126 587640 405206 587696
-rect 405006 587540 405086 587596
-rect 405126 587540 405206 587596
-rect 409990 587640 410070 587696
-rect 410110 587640 410190 587696
-rect 409990 587540 410070 587596
-rect 410110 587540 410190 587596
-rect 405560 586104 405868 586344
-rect 406160 586104 406468 586344
-rect 406760 586104 407068 586344
-rect 407360 586104 407668 586344
-rect 407960 586104 408268 586344
-rect 408560 586104 408868 586344
-rect 409160 586104 409468 586344
-rect 416472 585948 417092 586336
-rect 438974 586180 441846 586394
-rect 405006 585540 405086 585596
-rect 405126 585540 405206 585596
-rect 405006 585440 405086 585496
-rect 405126 585440 405206 585496
-rect 409998 585540 410078 585596
-rect 410118 585540 410198 585596
-rect 409998 585440 410078 585496
-rect 410118 585440 410198 585496
-rect 416474 584828 417094 585216
-rect 447368 584840 450242 585042
-rect 405622 580628 406400 581174
-rect 406822 580628 407600 581174
-rect 408022 580628 408800 581174
-rect 409222 580628 410000 581174
-<< metal2 >>
-rect 118982 695430 119314 695454
-rect 118982 695156 119008 695430
-rect 119292 695156 119314 695430
-rect 118982 695134 119314 695156
-rect 120228 695446 124764 696194
-rect 120228 694918 120660 695446
-rect 121252 694918 121760 695446
-rect 122352 694918 122860 695446
-rect 123452 694918 123960 695446
-rect 124552 694918 124764 695446
-rect 126152 695464 126622 695524
-rect 126152 695110 126230 695464
-rect 126562 695110 126622 695464
-rect 126152 695052 126622 695110
-rect 120228 694328 124764 694918
-rect 467252 691416 467818 691454
-rect 415348 691316 415914 691358
-rect 415348 691208 415392 691316
-rect 415494 691208 415752 691316
-rect 415854 691208 415914 691316
-rect 415348 691076 415914 691208
-rect 415348 690968 415392 691076
-rect 415494 690968 415752 691076
-rect 415854 690968 415914 691076
-rect 467252 691308 467296 691416
-rect 467398 691308 467656 691416
-rect 467758 691308 467818 691416
-rect 467252 691176 467818 691308
-rect 467252 691068 467296 691176
-rect 467398 691068 467656 691176
-rect 467758 691068 467818 691176
-rect 467252 691026 467818 691068
-rect 569216 691416 569782 691454
-rect 569216 691308 569260 691416
-rect 569362 691308 569620 691416
-rect 569722 691308 569782 691416
-rect 569216 691176 569782 691308
-rect 569216 691068 569260 691176
-rect 569362 691068 569620 691176
-rect 569722 691068 569782 691176
-rect 569216 691028 569782 691068
-rect 415348 690926 415914 690968
-rect 570922 689592 571352 689764
-rect 570922 689284 571002 689592
-rect 571242 689284 571352 689592
-rect 570922 688992 571352 689284
-rect 570922 688684 571002 688992
-rect 571242 688684 571352 688992
+rect 116600 695294 118404 695994
+rect 116600 694702 117144 695294
+rect 117816 695206 118404 695294
+rect 120132 695336 125206 695758
+rect 117816 695109 118904 695206
+rect 117816 695007 118843 695109
+rect 118877 695007 118904 695109
+rect 117816 694910 118904 695007
+rect 118938 695164 119510 695166
+rect 120132 695164 121002 695336
+rect 118938 694978 121002 695164
+rect 117816 694702 118404 694910
+rect 116600 694138 118404 694702
+rect 120132 694644 121002 694978
+rect 121886 694644 123402 695336
+rect 124286 694962 125206 695336
+rect 126826 695396 128630 696096
+rect 124286 694770 126154 694962
+rect 126826 694940 127370 695396
+rect 126190 694905 127370 694940
+rect 126190 694803 126213 694905
+rect 126247 694804 127370 694905
+rect 128042 695308 128630 695396
+rect 128042 695012 128634 695308
+rect 128042 694804 128630 695012
+rect 126247 694803 128630 694804
+rect 126190 694792 128630 694803
+rect 124286 694644 125206 694770
+rect 120132 694286 125206 694644
+rect 126826 694240 128630 694792
+rect 467316 691416 467882 691454
+rect 415416 691316 415982 691358
+rect 415416 691208 415460 691316
+rect 415562 691208 415820 691316
+rect 415922 691208 415982 691316
+rect 415416 691076 415982 691208
+rect 415416 690968 415460 691076
+rect 415562 690968 415820 691076
+rect 415922 690968 415982 691076
+rect 467316 691308 467360 691416
+rect 467462 691308 467720 691416
+rect 467822 691308 467882 691416
+rect 467316 691176 467882 691308
+rect 467316 691068 467360 691176
+rect 467462 691068 467720 691176
+rect 467822 691068 467882 691176
+rect 467316 691026 467882 691068
+rect 415416 690926 415982 690968
 rect 413730 688376 414462 688608
 rect 413730 688212 413812 688376
 rect 413996 688212 414212 688376
 rect 414396 688212 414462 688376
-rect 66982 687430 67314 687454
-rect 66982 687156 67008 687430
-rect 67292 687156 67314 687430
-rect 66982 687134 67314 687156
-rect 68228 687446 72764 688194
 rect 413730 687976 414462 688212
 rect 413730 687812 413812 687976
 rect 413996 687812 414212 687976
 rect 414396 687812 414462 687976
 rect 413730 687576 414462 687812
-rect 68228 686918 68660 687446
-rect 69252 686918 69760 687446
-rect 70352 686918 70860 687446
-rect 71452 686918 71960 687446
-rect 72552 686918 72764 687446
-rect 74152 687464 74622 687524
-rect 74152 687110 74230 687464
-rect 74562 687110 74622 687464
-rect 74152 687052 74622 687110
 rect 413730 687412 413812 687576
 rect 413996 687412 414212 687576
 rect 414396 687412 414462 687576
@@ -1827,300 +1010,1079 @@
 rect 468396 687012 468622 687176
 rect 468806 687012 468866 687176
 rect 468130 686944 468866 687012
-rect 568130 688376 568866 688598
-rect 568130 688212 568212 688376
-rect 568396 688212 568612 688376
-rect 568796 688212 568866 688376
-rect 568130 687976 568866 688212
-rect 568130 687812 568212 687976
-rect 568396 687812 568612 687976
-rect 568796 687812 568866 687976
-rect 568130 687576 568866 687812
-rect 568130 687412 568212 687576
-rect 568396 687412 568612 687576
-rect 568796 687412 568866 687576
-rect 568130 687176 568866 687412
-rect 568130 687012 568212 687176
-rect 568396 687012 568622 687176
-rect 568806 687012 568866 687176
-rect 568130 686944 568866 687012
-rect 570922 688392 571352 688684
-rect 570922 688084 571002 688392
-rect 571242 688084 571352 688392
-rect 570922 687792 571352 688084
-rect 570922 687484 571002 687792
-rect 571242 687484 571352 687792
-rect 570922 687192 571352 687484
-rect 68228 686328 72764 686918
-rect 570922 686884 571002 687192
-rect 571242 686884 571352 687192
-rect 570922 686592 571352 686884
-rect 570922 686284 571002 686592
-rect 571242 686284 571352 686592
-rect 570922 685992 571352 686284
-rect 570922 685684 571002 685992
-rect 571242 685684 571352 685992
-rect 415562 685516 416128 685558
-rect 415562 685408 415606 685516
-rect 415708 685408 415966 685516
-rect 416068 685408 416128 685516
-rect 415562 685276 416128 685408
-rect 415562 685168 415606 685276
-rect 415708 685168 415966 685276
-rect 416068 685168 416128 685276
-rect 415562 685126 416128 685168
-rect 467146 685554 467272 685580
-rect 467146 685512 467712 685554
-rect 467146 685404 467190 685512
-rect 467292 685404 467550 685512
-rect 467652 685404 467712 685512
-rect 467146 685272 467712 685404
-rect 467146 685164 467190 685272
-rect 467292 685164 467550 685272
-rect 467652 685164 467712 685272
-rect 467146 685122 467712 685164
-rect 570922 685072 571352 685684
-rect 569216 683816 569782 683852
-rect 569216 683814 569260 683816
-rect 569362 683814 569620 683816
-rect 569216 683466 569256 683814
-rect 569722 683466 569782 683816
-rect 569216 683434 569782 683466
-rect 122524 674974 123090 675016
-rect 70536 674932 71102 674974
-rect 70536 674824 70580 674932
-rect 70682 674824 70940 674932
-rect 71042 674824 71102 674932
-rect 70536 674692 71102 674824
-rect 70536 674584 70580 674692
-rect 70682 674584 70940 674692
-rect 71042 674584 71102 674692
-rect 122524 674866 122568 674974
-rect 122670 674866 122928 674974
-rect 123030 674866 123090 674974
-rect 122524 674734 123090 674866
-rect 122524 674626 122568 674734
-rect 122670 674626 122928 674734
-rect 123030 674626 123090 674734
-rect 122524 674586 123090 674626
-rect 70536 674544 71102 674584
-rect 71290 673756 71760 673816
-rect 69982 673732 70314 673756
-rect 69982 673458 70008 673732
-rect 70292 673458 70314 673732
-rect 69982 673436 70314 673458
-rect 71290 673402 71368 673756
-rect 71700 673402 71760 673756
-rect 71290 673344 71760 673402
-rect 121782 673494 122114 673518
-rect 121782 673220 121808 673494
-rect 122092 673220 122114 673494
-rect 121782 673198 122114 673220
-rect 123224 673464 123694 673524
-rect 123224 673110 123302 673464
-rect 123634 673110 123694 673464
-rect 123224 673052 123694 673110
-rect 122514 672374 123080 672416
-rect 70526 672332 71092 672374
-rect 70526 672224 70570 672332
-rect 70672 672224 70930 672332
-rect 71032 672224 71092 672332
-rect 70526 672092 71092 672224
-rect 70526 671984 70570 672092
-rect 70672 671984 70930 672092
-rect 71032 671984 71092 672092
-rect 122514 672266 122558 672374
-rect 122660 672266 122918 672374
-rect 123020 672266 123080 672374
-rect 122514 672134 123080 672266
-rect 122514 672026 122558 672134
-rect 122660 672026 122918 672134
-rect 123020 672026 123080 672134
-rect 122514 671986 123080 672026
-rect 70526 671944 71092 671984
-rect 440208 593684 440774 593726
-rect 440208 593576 440252 593684
-rect 440354 593576 440612 593684
-rect 440714 593576 440774 593684
-rect 440208 593444 440774 593576
-rect 440208 593336 440252 593444
-rect 440354 593336 440612 593444
-rect 440714 593336 440774 593444
-rect 440208 593296 440774 593336
-rect 448556 593716 449122 593758
-rect 448556 593608 448600 593716
-rect 448702 593608 448960 593716
-rect 449062 593608 449122 593716
-rect 448556 593476 449122 593608
-rect 448556 593368 448600 593476
-rect 448702 593368 448960 593476
-rect 449062 593368 449122 593476
-rect 448556 593328 449122 593368
-rect 437730 592148 438466 592380
-rect 437730 591984 437812 592148
-rect 437996 591984 438212 592148
-rect 438396 591984 438466 592148
-rect 437730 591748 438466 591984
-rect 437730 591584 437812 591748
-rect 437996 591584 438212 591748
-rect 438396 591584 438466 591748
-rect 437730 591348 438466 591584
-rect 437730 591184 437812 591348
-rect 437996 591184 438212 591348
-rect 438396 591184 438466 591348
-rect 437730 590948 438466 591184
-rect 437730 590784 437812 590948
-rect 437996 590784 438212 590948
-rect 438396 590784 438466 590948
-rect 442330 592244 443066 592420
-rect 442330 592080 442412 592244
-rect 442596 592080 442812 592244
-rect 442996 592080 443066 592244
-rect 442330 591844 443066 592080
-rect 442330 591680 442412 591844
-rect 442596 591680 442812 591844
-rect 442996 591680 443066 591844
-rect 442330 591444 443066 591680
-rect 442330 591280 442412 591444
-rect 442596 591280 442812 591444
-rect 442996 591280 443066 591444
-rect 442330 591044 443066 591280
-rect 442330 590880 442412 591044
-rect 442596 590880 442822 591044
-rect 443006 590880 443066 591044
-rect 442330 590812 443066 590880
-rect 446130 592148 446866 592380
-rect 446130 591984 446212 592148
-rect 446396 591984 446612 592148
-rect 446796 591984 446866 592148
-rect 446130 591748 446866 591984
-rect 446130 591584 446212 591748
-rect 446396 591584 446612 591748
-rect 446796 591584 446866 591748
-rect 446130 591348 446866 591584
-rect 446130 591184 446212 591348
-rect 446396 591184 446612 591348
-rect 446796 591184 446866 591348
-rect 446130 590948 446866 591184
-rect 437730 590716 438466 590784
-rect 446130 590784 446212 590948
-rect 446396 590784 446612 590948
-rect 446796 590784 446866 590948
-rect 450730 592244 451466 592420
-rect 450730 592080 450812 592244
-rect 450996 592080 451212 592244
-rect 451396 592080 451466 592244
-rect 450730 591844 451466 592080
-rect 450730 591680 450812 591844
-rect 450996 591680 451212 591844
-rect 451396 591680 451466 591844
-rect 450730 591444 451466 591680
-rect 450730 591280 450812 591444
-rect 450996 591280 451212 591444
-rect 451396 591280 451466 591444
-rect 450730 591044 451466 591280
-rect 450730 590880 450812 591044
-rect 450996 590880 451222 591044
-rect 451406 590880 451466 591044
-rect 450730 590812 451466 590880
-rect 446130 590716 446866 590784
-rect 440216 590212 440782 590254
-rect 440216 590104 440260 590212
-rect 440362 590104 440620 590212
-rect 440722 590104 440782 590212
-rect 440216 589972 440782 590104
-rect 440216 589864 440260 589972
-rect 440362 589864 440620 589972
-rect 440722 589864 440782 589972
-rect 440216 589824 440782 589864
-rect 448544 590212 449110 590254
-rect 448544 590104 448588 590212
-rect 448690 590104 448948 590212
-rect 449050 590104 449110 590212
-rect 448544 589972 449110 590104
-rect 448544 589864 448588 589972
-rect 448690 589864 448948 589972
-rect 449050 589864 449110 589972
-rect 448544 589824 449110 589864
-rect 405388 588544 410080 588654
-rect 405388 588304 405560 588544
-rect 405868 588304 406160 588544
-rect 406468 588304 406760 588544
-rect 407068 588304 407360 588544
-rect 407668 588304 407960 588544
-rect 408268 588304 408560 588544
-rect 408868 588304 409160 588544
-rect 409468 588304 410080 588544
-rect 405388 588224 410080 588304
-rect 404984 587696 405238 587706
-rect 404984 587640 405006 587696
-rect 405086 587640 405126 587696
-rect 405206 587640 405238 587696
-rect 404984 587596 405238 587640
-rect 404984 587540 405006 587596
-rect 405086 587540 405126 587596
-rect 405206 587540 405238 587596
-rect 404984 587510 405238 587540
-rect 409968 587696 410212 587706
-rect 409968 587640 409990 587696
-rect 410070 587640 410110 587696
-rect 410190 587640 410212 587696
-rect 409968 587596 410212 587640
-rect 409968 587540 409990 587596
-rect 410070 587540 410110 587596
-rect 410190 587540 410212 587596
-rect 409968 587510 410212 587540
-rect 405388 586344 410080 586454
-rect 405388 586104 405560 586344
-rect 405868 586104 406160 586344
-rect 406468 586104 406760 586344
-rect 407068 586104 407360 586344
-rect 407668 586104 407960 586344
-rect 408268 586104 408560 586344
-rect 408868 586104 409160 586344
-rect 409468 586104 410080 586344
-rect 405388 586024 410080 586104
-rect 416354 586336 417192 586444
-rect 416354 585948 416472 586336
-rect 417092 585948 417192 586336
+rect 415416 685516 415982 685558
+rect 415416 685408 415460 685516
+rect 415562 685408 415820 685516
+rect 415922 685408 415982 685516
+rect 415416 685276 415982 685408
+rect 415416 685168 415460 685276
+rect 415562 685168 415820 685276
+rect 415922 685168 415982 685276
+rect 415416 685126 415982 685168
+rect 467316 685512 467882 685554
+rect 467316 685404 467360 685512
+rect 467462 685404 467720 685512
+rect 467822 685404 467882 685512
+rect 467316 685272 467882 685404
+rect 467316 685164 467360 685272
+rect 467462 685164 467720 685272
+rect 467822 685164 467882 685272
+rect 467316 685122 467882 685164
+rect 64600 663294 66404 663994
+rect 64600 662702 65144 663294
+rect 65816 663206 66404 663294
+rect 68132 663336 73206 663758
+rect 65816 663109 66932 663206
+rect 68132 663164 69002 663336
+rect 65816 663007 66881 663109
+rect 66915 663007 66932 663109
+rect 65816 662910 66932 663007
+rect 66976 663096 69002 663164
+rect 66976 663018 66986 663096
+rect 67068 663018 69002 663096
+rect 66976 662978 69002 663018
+rect 65816 662702 66404 662910
+rect 64600 662138 66404 662702
+rect 68132 662644 69002 662978
+rect 69886 662644 71402 663336
+rect 72286 662962 73206 663336
+rect 74826 663396 76630 664096
+rect 72286 662770 74184 662962
+rect 74826 662940 75370 663396
+rect 74220 662911 75370 662940
+rect 74220 662809 74241 662911
+rect 74275 662809 75370 662911
+rect 74220 662804 75370 662809
+rect 76042 663308 76630 663396
+rect 76042 663012 76634 663308
+rect 76042 662804 76630 663012
+rect 74220 662792 76630 662804
+rect 72286 662644 73206 662770
+rect 68132 662286 73206 662644
+rect 74826 662240 76630 662792
+rect 440216 657716 440782 657758
+rect 440216 657608 440260 657716
+rect 440362 657608 440620 657716
+rect 440722 657608 440782 657716
+rect 440216 657476 440782 657608
+rect 440216 657368 440260 657476
+rect 440362 657368 440620 657476
+rect 440722 657368 440782 657476
+rect 440216 657328 440782 657368
+rect 448816 657716 449382 657758
+rect 448816 657608 448860 657716
+rect 448962 657608 449220 657716
+rect 449322 657608 449382 657716
+rect 448816 657476 449382 657608
+rect 448816 657368 448860 657476
+rect 448962 657368 449220 657476
+rect 449322 657368 449382 657476
+rect 448816 657328 449382 657368
+rect 437730 656376 438466 656608
+rect 437730 656212 437812 656376
+rect 437996 656212 438212 656376
+rect 438396 656212 438466 656376
+rect 437730 655976 438466 656212
+rect 437730 655812 437812 655976
+rect 437996 655812 438212 655976
+rect 438396 655812 438466 655976
+rect 437730 655576 438466 655812
+rect 437730 655412 437812 655576
+rect 437996 655412 438212 655576
+rect 438396 655412 438466 655576
+rect 437730 655176 438466 655412
+rect 437730 655012 437812 655176
+rect 437996 655012 438212 655176
+rect 438396 655012 438466 655176
+rect 442330 656490 443066 656712
+rect 442330 656326 442412 656490
+rect 442596 656326 442812 656490
+rect 442996 656326 443066 656490
+rect 442330 656090 443066 656326
+rect 442330 655926 442412 656090
+rect 442596 655926 442812 656090
+rect 442996 655926 443066 656090
+rect 442330 655690 443066 655926
+rect 442330 655526 442412 655690
+rect 442596 655526 442812 655690
+rect 442996 655526 443066 655690
+rect 442330 655290 443066 655526
+rect 442330 655126 442412 655290
+rect 442596 655126 442822 655290
+rect 443006 655126 443066 655290
+rect 442330 655058 443066 655126
+rect 446130 656376 446866 656608
+rect 446130 656212 446212 656376
+rect 446396 656212 446612 656376
+rect 446796 656212 446866 656376
+rect 446130 655976 446866 656212
+rect 446130 655812 446212 655976
+rect 446396 655812 446612 655976
+rect 446796 655812 446866 655976
+rect 446130 655576 446866 655812
+rect 446130 655412 446212 655576
+rect 446396 655412 446612 655576
+rect 446796 655412 446866 655576
+rect 446130 655176 446866 655412
+rect 437730 654944 438466 655012
+rect 446130 655012 446212 655176
+rect 446396 655012 446612 655176
+rect 446796 655012 446866 655176
+rect 450730 656490 451466 656712
+rect 450730 656326 450812 656490
+rect 450996 656326 451212 656490
+rect 451396 656326 451466 656490
+rect 450730 656090 451466 656326
+rect 450730 655926 450812 656090
+rect 450996 655926 451212 656090
+rect 451396 655926 451466 656090
+rect 450730 655690 451466 655926
+rect 450730 655526 450812 655690
+rect 450996 655526 451212 655690
+rect 451396 655526 451466 655690
+rect 450730 655290 451466 655526
+rect 450730 655126 450812 655290
+rect 450996 655126 451222 655290
+rect 451406 655126 451466 655290
+rect 450730 655058 451466 655126
+rect 446130 654944 446866 655012
+rect 440216 654716 440782 654758
+rect 440216 654608 440260 654716
+rect 440362 654608 440620 654716
+rect 440722 654608 440782 654716
+rect 440216 654476 440782 654608
+rect 440216 654368 440260 654476
+rect 440362 654368 440620 654476
+rect 440722 654368 440782 654476
+rect 440216 654328 440782 654368
+rect 448816 654716 449382 654758
+rect 448816 654608 448860 654716
+rect 448962 654608 449220 654716
+rect 449322 654608 449382 654716
+rect 448816 654476 449382 654608
+rect 448816 654368 448860 654476
+rect 448962 654368 449220 654476
+rect 449322 654368 449382 654476
+rect 448816 654328 449382 654368
+rect 134388 600344 139080 600454
+rect 134388 600104 134560 600344
+rect 134868 600104 135160 600344
+rect 135468 600104 135760 600344
+rect 136068 600104 136360 600344
+rect 136668 600104 136960 600344
+rect 137268 600104 137560 600344
+rect 137868 600104 138160 600344
+rect 138468 600104 139080 600344
+rect 134388 600024 139080 600104
+rect 134618 599942 135016 600024
+rect 136144 599942 137882 600024
+rect 134620 599830 135014 599942
+rect 136150 599802 137878 599942
+rect 133984 599596 134258 599606
+rect 133984 599540 134006 599596
+rect 134086 599540 134126 599596
+rect 134206 599540 134258 599596
+rect 133984 599496 134258 599540
+rect 133984 599440 134006 599496
+rect 134086 599440 134126 599496
+rect 134206 599440 134258 599496
+rect 133984 599410 134258 599440
+rect 140384 599596 140628 599606
+rect 140384 599540 140406 599596
+rect 140486 599540 140526 599596
+rect 140606 599540 140628 599596
+rect 140384 599496 140628 599540
+rect 140384 599440 140406 599496
+rect 140486 599440 140526 599496
+rect 140606 599440 140628 599496
+rect 140384 599410 140628 599440
+rect 134234 599060 134360 599078
+rect 134602 599060 135002 599214
+rect 136178 599060 138186 599252
+rect 134234 599030 139092 599060
+rect 134234 598866 134398 599030
+rect 134592 598866 134798 599030
+rect 134992 598866 135198 599030
+rect 135392 598866 135598 599030
+rect 135792 598866 135998 599030
+rect 136192 598866 136398 599030
+rect 136592 598866 136798 599030
+rect 136992 598866 137198 599030
+rect 137392 598866 137598 599030
+rect 137792 598866 137998 599030
+rect 138192 598866 138398 599030
+rect 138592 598866 138798 599030
+rect 138992 598866 139092 599030
+rect 134234 598790 139092 598866
+rect 134234 598626 134398 598790
+rect 134592 598626 134798 598790
+rect 134992 598626 135198 598790
+rect 135392 598626 135598 598790
+rect 135792 598626 135998 598790
+rect 136192 598626 136398 598790
+rect 136592 598626 136798 598790
+rect 136992 598626 137198 598790
+rect 137392 598626 137598 598790
+rect 137792 598626 137998 598790
+rect 138192 598626 138398 598790
+rect 138592 598626 138798 598790
+rect 138992 598626 139092 598790
+rect 134234 598538 139092 598626
+rect 134236 598260 139092 598538
+rect 134234 597544 139096 598260
+rect 134234 596998 134622 597544
+rect 135400 596998 135822 597544
+rect 136600 596998 137022 597544
+rect 137800 596998 138222 597544
+rect 139000 596998 139096 597544
+rect 134234 596598 139096 596998
+rect 416354 586782 417192 586912
+rect 85388 586344 90080 586454
+rect 85388 586104 85560 586344
+rect 85868 586104 86160 586344
+rect 86468 586104 86760 586344
+rect 87068 586104 87360 586344
+rect 87668 586104 87960 586344
+rect 88268 586104 88560 586344
+rect 88868 586104 89160 586344
+rect 89468 586104 90080 586344
+rect 416354 586394 416472 586782
+rect 417092 586588 417192 586782
+rect 417298 586588 417720 586590
+rect 417092 586394 417970 586588
+rect 425078 586450 428284 586452
+rect 438104 586450 440896 586452
+rect 441242 586450 441936 586452
+rect 416354 586298 417970 586394
+rect 416354 586296 417336 586298
+rect 417712 586218 417970 586298
+rect 424124 586446 428284 586450
+rect 433144 586446 441936 586450
+rect 424124 586416 441936 586446
+rect 85388 586024 90080 586104
+rect 85618 585788 86016 586024
+rect 87144 585808 88882 586024
+rect 417710 585916 418050 586218
+rect 424124 586174 424142 586416
+rect 424184 586394 441936 586416
+rect 424184 586180 438974 586394
+rect 441846 586214 441936 586394
+rect 441846 586180 441958 586214
+rect 424184 586174 441958 586180
+rect 424124 586140 441958 586174
+rect 425078 586138 441958 586140
+rect 426680 586136 438282 586138
+rect 426680 586132 433278 586136
+rect 438896 586134 441958 586138
+rect 426680 586126 428284 586132
+rect 84984 585596 85258 585606
+rect 84984 585540 85006 585596
+rect 85086 585540 85126 585596
+rect 85206 585540 85258 585596
+rect 84984 585496 85258 585540
+rect 84984 585440 85006 585496
+rect 85086 585440 85126 585496
+rect 85206 585440 85258 585496
+rect 84984 585410 85258 585440
+rect 91384 585596 91628 585606
+rect 91384 585540 91406 585596
+rect 91486 585540 91526 585596
+rect 91606 585540 91628 585596
+rect 91384 585496 91628 585540
+rect 91384 585440 91406 585496
+rect 91486 585440 91526 585496
+rect 91606 585440 91628 585496
+rect 91384 585410 91628 585440
+rect 417624 585392 417840 585394
+rect 85234 585076 85488 585078
+rect 85632 585076 86000 585208
+rect 87146 585076 88970 585218
+rect 417066 585172 417284 585174
+rect 416354 585170 417290 585172
+rect 417624 585170 418062 585392
+rect 416354 585098 418062 585170
+rect 85234 585030 90092 585076
+rect 85234 584866 85398 585030
+rect 85592 584866 85798 585030
+rect 85992 584866 86198 585030
+rect 86392 584866 86598 585030
+rect 86792 584866 86998 585030
+rect 87192 584866 87398 585030
+rect 87592 584866 87798 585030
+rect 87992 584866 88198 585030
+rect 88392 584866 88598 585030
+rect 88792 584866 88998 585030
+rect 89192 584866 89398 585030
+rect 89592 584866 89798 585030
+rect 89992 584866 90092 585030
+rect 85234 584790 90092 584866
+rect 85234 584626 85398 584790
+rect 85592 584626 85798 584790
+rect 85992 584626 86198 584790
+rect 86392 584626 86598 584790
+rect 86792 584626 86998 584790
+rect 87192 584626 87398 584790
+rect 87592 584626 87798 584790
+rect 87992 584626 88198 584790
+rect 88392 584626 88598 584790
+rect 88792 584626 88998 584790
+rect 89192 584626 89398 584790
+rect 89592 584626 89798 584790
+rect 89992 584626 90092 584790
+rect 85234 584538 90092 584626
+rect 416354 585072 417840 585098
+rect 416354 584684 416472 585072
+rect 417092 584876 417840 585072
+rect 417092 584684 417186 584876
+rect 417290 584874 417840 584876
+rect 424118 585096 425178 585098
+rect 426686 585096 450516 585098
+rect 424118 585046 450516 585096
+rect 417290 584872 417720 584874
+rect 424118 584824 424132 585046
+rect 424174 585042 450516 585046
+rect 424174 584840 447368 585042
+rect 450242 584840 450516 585042
+rect 424174 584824 450516 584840
+rect 424118 584792 450516 584824
+rect 426686 584790 450516 584792
+rect 416354 584586 417186 584684
+rect 85236 584260 90092 584538
+rect 85234 583544 90096 584260
+rect 85234 582998 85622 583544
+rect 86400 582998 86822 583544
+rect 87600 582998 88022 583544
+rect 88800 582998 89222 583544
+rect 90000 582998 90096 583544
+rect 85234 582598 90096 582998
+rect 101682 568744 106034 568854
+rect 101682 568504 101854 568744
+rect 102162 568504 102454 568744
+rect 102762 568504 103054 568744
+rect 103362 568504 103654 568744
+rect 103962 568504 104254 568744
+rect 104562 568504 104854 568744
+rect 105162 568504 105454 568744
+rect 105762 568504 106034 568744
+rect 101682 568424 106034 568504
+rect 107388 568744 111740 568854
+rect 107388 568504 107560 568744
+rect 107868 568504 108160 568744
+rect 108468 568504 108760 568744
+rect 109068 568504 109360 568744
+rect 109668 568504 109960 568744
+rect 110268 568504 110560 568744
+rect 110868 568504 111160 568744
+rect 111468 568504 111740 568744
+rect 107388 568424 111740 568504
+rect 113384 567844 113620 567854
+rect 102842 567796 103076 567806
+rect 102842 567740 102864 567796
+rect 102944 567740 102984 567796
+rect 103064 567740 103076 567796
+rect 102842 567696 103076 567740
+rect 102842 567640 102864 567696
+rect 102944 567640 102984 567696
+rect 103064 567640 103076 567696
+rect 102842 567610 103076 567640
+rect 103986 567796 104220 567806
+rect 103986 567740 104006 567796
+rect 104086 567740 104126 567796
+rect 104206 567740 104220 567796
+rect 103986 567696 104220 567740
+rect 103986 567640 104006 567696
+rect 104086 567640 104126 567696
+rect 104206 567640 104220 567696
+rect 103986 567610 104220 567640
+rect 106984 567796 107220 567806
+rect 106984 567740 107006 567796
+rect 107086 567740 107126 567796
+rect 107206 567740 107220 567796
+rect 106984 567696 107220 567740
+rect 106984 567640 107006 567696
+rect 107086 567640 107126 567696
+rect 107206 567640 107220 567696
+rect 113384 567788 113406 567844
+rect 113486 567788 113526 567844
+rect 113606 567788 113620 567844
+rect 113384 567744 113620 567788
+rect 113384 567688 113406 567744
+rect 113486 567688 113526 567744
+rect 113606 567688 113620 567744
+rect 113384 567658 113620 567688
+rect 106984 567610 107220 567640
+rect 101244 567114 101498 567116
+rect 102880 567114 103904 567454
+rect 101244 567068 106102 567114
+rect 101244 566904 101408 567068
+rect 101602 566904 101808 567068
+rect 102002 566904 102208 567068
+rect 102402 566904 102608 567068
+rect 102802 566904 103008 567068
+rect 103202 566904 103408 567068
+rect 103602 566904 103808 567068
+rect 104002 566904 104208 567068
+rect 104402 566904 104608 567068
+rect 104802 566904 105008 567068
+rect 105202 566904 105408 567068
+rect 105602 566904 105808 567068
+rect 106002 566904 106102 567068
+rect 101244 566828 106102 566904
+rect 101244 566664 101408 566828
+rect 101602 566664 101808 566828
+rect 102002 566664 102208 566828
+rect 102402 566664 102608 566828
+rect 102802 566664 103008 566828
+rect 103202 566664 103408 566828
+rect 103602 566664 103808 566828
+rect 104002 566664 104208 566828
+rect 104402 566664 104608 566828
+rect 104802 566664 105008 566828
+rect 105202 566664 105408 566828
+rect 105602 566664 105808 566828
+rect 106002 566664 106102 566828
+rect 101244 566576 106102 566664
+rect 101246 566298 106102 566576
+rect 107234 567076 107488 567078
+rect 107234 567030 112092 567076
+rect 107234 566866 107398 567030
+rect 107592 566866 107798 567030
+rect 107908 567024 110198 567030
+rect 107992 566866 108198 567024
+rect 108392 566866 108598 567024
+rect 108792 566866 108998 567024
+rect 109192 566866 109398 567024
+rect 109592 566866 109798 567024
+rect 109992 566866 110198 567024
+rect 110392 566866 110598 567030
+rect 110792 566866 110998 567030
+rect 111192 566866 111398 567030
+rect 111592 566866 111798 567030
+rect 111992 566866 112092 567030
+rect 107234 566790 112092 566866
+rect 107234 566626 107398 566790
+rect 107592 566626 107798 566790
+rect 107992 566626 108198 566790
+rect 108392 566626 108598 566790
+rect 108792 566626 108998 566790
+rect 109192 566626 109398 566790
+rect 109592 566626 109798 566790
+rect 109992 566626 110198 566790
+rect 110392 566626 110598 566790
+rect 110792 566626 110998 566790
+rect 111192 566626 111398 566790
+rect 111592 566626 111798 566790
+rect 111992 566626 112092 566790
+rect 107234 566538 112092 566626
+rect 101244 565582 106106 566298
+rect 107236 566260 112092 566538
+rect 101244 565036 101632 565582
+rect 102410 565036 102832 565582
+rect 103610 565036 104032 565582
+rect 104810 565036 105232 565582
+rect 106010 565036 106106 565582
+rect 101244 564636 106106 565036
+rect 107234 565544 112096 566260
+rect 107234 564998 107622 565544
+rect 108400 564998 108822 565544
+rect 109600 564998 110022 565544
+rect 110800 564998 111222 565544
+rect 112000 564998 112096 565544
+rect 107234 564598 112096 564998
+<< via1 >>
+rect 117144 694702 117816 695294
+rect 121002 694644 121886 695336
+rect 123402 694644 124286 695336
+rect 127370 694804 128042 695396
+rect 415460 691208 415562 691316
+rect 415820 691208 415922 691316
+rect 415460 690968 415562 691076
+rect 415820 690968 415922 691076
+rect 467360 691308 467462 691416
+rect 467720 691308 467822 691416
+rect 467360 691068 467462 691176
+rect 467720 691068 467822 691176
+rect 413812 688212 413996 688376
+rect 414212 688212 414394 688376
+rect 414394 688212 414396 688376
+rect 413812 687812 413996 687976
+rect 414212 687812 414394 687976
+rect 414394 687812 414396 687976
+rect 413812 687412 413996 687576
+rect 414212 687412 414394 687576
+rect 414394 687412 414396 687576
+rect 413812 687012 413996 687176
+rect 414212 687012 414394 687176
+rect 414394 687012 414396 687176
+rect 416212 688212 416396 688376
+rect 416612 688212 416796 688376
+rect 416212 687812 416396 687976
+rect 416612 687812 416796 687976
+rect 416212 687412 416396 687576
+rect 416612 687412 416796 687576
+rect 416212 687012 416396 687176
+rect 416622 687012 416806 687176
+rect 465812 688212 465996 688376
+rect 466212 688212 466370 688376
+rect 466370 688212 466396 688376
+rect 465812 687812 465996 687976
+rect 466212 687812 466370 687976
+rect 466370 687812 466396 687976
+rect 465812 687412 465996 687576
+rect 466212 687412 466370 687576
+rect 466370 687412 466396 687576
+rect 465812 687012 465996 687176
+rect 466212 687012 466370 687176
+rect 466370 687012 466396 687176
+rect 468212 688212 468396 688376
+rect 468612 688212 468796 688376
+rect 468212 687812 468396 687976
+rect 468612 687812 468796 687976
+rect 468212 687412 468396 687576
+rect 468612 687412 468796 687576
+rect 468212 687012 468396 687176
+rect 468622 687012 468806 687176
+rect 415460 685408 415562 685516
+rect 415820 685408 415922 685516
+rect 415460 685168 415562 685276
+rect 415820 685168 415922 685276
+rect 467360 685404 467462 685512
+rect 467720 685404 467822 685512
+rect 467360 685164 467462 685272
+rect 467720 685164 467822 685272
+rect 65144 662702 65816 663294
+rect 69002 662644 69886 663336
+rect 71402 662644 72286 663336
+rect 75370 662804 76042 663396
+rect 440260 657608 440362 657716
+rect 440620 657608 440722 657716
+rect 440260 657368 440362 657476
+rect 440620 657368 440722 657476
+rect 448860 657608 448962 657716
+rect 449220 657608 449322 657716
+rect 448860 657368 448962 657476
+rect 449220 657368 449322 657476
+rect 437812 656212 437996 656376
+rect 438212 656212 438396 656376
+rect 437812 655812 437996 655976
+rect 438212 655812 438396 655976
+rect 437812 655412 437996 655576
+rect 438212 655412 438396 655576
+rect 437812 655012 437996 655176
+rect 438212 655012 438396 655176
+rect 442412 656326 442596 656490
+rect 442812 656326 442996 656490
+rect 442412 655926 442596 656090
+rect 442812 655926 442996 656090
+rect 442412 655526 442596 655690
+rect 442812 655526 442996 655690
+rect 442412 655126 442596 655290
+rect 442822 655126 443006 655290
+rect 446212 656212 446396 656376
+rect 446612 656212 446796 656376
+rect 446212 655812 446396 655976
+rect 446612 655812 446796 655976
+rect 446212 655412 446396 655576
+rect 446612 655412 446796 655576
+rect 446212 655012 446396 655176
+rect 446612 655012 446796 655176
+rect 450812 656326 450996 656490
+rect 451212 656326 451396 656490
+rect 450812 655926 450814 656090
+rect 450814 655926 450996 656090
+rect 451212 655926 451396 656090
+rect 450812 655526 450814 655690
+rect 450814 655526 450996 655690
+rect 451212 655526 451396 655690
+rect 450812 655190 450814 655290
+rect 450814 655190 450996 655290
+rect 450812 655126 450996 655190
+rect 451222 655126 451406 655290
+rect 440260 654608 440362 654716
+rect 440620 654608 440722 654716
+rect 440260 654368 440362 654476
+rect 440620 654368 440722 654476
+rect 448860 654608 448962 654716
+rect 449220 654608 449322 654716
+rect 448860 654368 448962 654476
+rect 449220 654368 449322 654476
+rect 134560 600104 134868 600344
+rect 135160 600104 135468 600344
+rect 135760 600104 136068 600344
+rect 136360 600104 136668 600344
+rect 136960 600104 137268 600344
+rect 137560 600104 137868 600344
+rect 138160 600104 138468 600344
+rect 134006 599540 134086 599596
+rect 134126 599540 134206 599596
+rect 134006 599440 134086 599496
+rect 134126 599440 134206 599496
+rect 140406 599540 140486 599596
+rect 140526 599540 140606 599596
+rect 140406 599440 140486 599496
+rect 140526 599440 140606 599496
+rect 134622 596998 135400 597544
+rect 135822 596998 136600 597544
+rect 137022 596998 137800 597544
+rect 138222 596998 139000 597544
+rect 85560 586104 85868 586344
+rect 86160 586104 86468 586344
+rect 86760 586104 87068 586344
+rect 87360 586104 87668 586344
+rect 87960 586104 88268 586344
+rect 88560 586104 88868 586344
+rect 89160 586104 89468 586344
+rect 416472 586394 417092 586782
+rect 438974 586180 441846 586394
+rect 85006 585540 85086 585596
+rect 85126 585540 85206 585596
+rect 85006 585440 85086 585496
+rect 85126 585440 85206 585496
+rect 91406 585540 91486 585596
+rect 91526 585540 91606 585596
+rect 91406 585440 91486 585496
+rect 91526 585440 91606 585496
+rect 416472 584684 417092 585072
+rect 447368 584840 450242 585042
+rect 85622 582998 86400 583544
+rect 86822 582998 87600 583544
+rect 88022 582998 88800 583544
+rect 89222 582998 90000 583544
+rect 101854 568504 102162 568744
+rect 102454 568504 102762 568744
+rect 103054 568504 103362 568744
+rect 103654 568504 103962 568744
+rect 104254 568504 104562 568744
+rect 104854 568504 105162 568744
+rect 105454 568504 105762 568744
+rect 107560 568504 107868 568744
+rect 108160 568504 108468 568744
+rect 108760 568504 109068 568744
+rect 109360 568504 109668 568744
+rect 109960 568504 110268 568744
+rect 110560 568504 110868 568744
+rect 111160 568504 111468 568744
+rect 102864 567740 102944 567796
+rect 102984 567740 103064 567796
+rect 102864 567640 102944 567696
+rect 102984 567640 103064 567696
+rect 104006 567740 104086 567796
+rect 104126 567740 104206 567796
+rect 104006 567640 104086 567696
+rect 104126 567640 104206 567696
+rect 107006 567740 107086 567796
+rect 107126 567740 107206 567796
+rect 107006 567640 107086 567696
+rect 107126 567640 107206 567696
+rect 113406 567788 113486 567844
+rect 113526 567788 113606 567844
+rect 113406 567688 113486 567744
+rect 113526 567688 113606 567744
+rect 101632 565036 102410 565582
+rect 102832 565036 103610 565582
+rect 104032 565036 104810 565582
+rect 105232 565036 106010 565582
+rect 107622 564998 108400 565544
+rect 108822 564998 109600 565544
+rect 110022 564998 110800 565544
+rect 111222 564998 112000 565544
+<< metal2 >>
+rect 116600 695398 118404 695994
+rect 116600 694620 117052 695398
+rect 117904 694620 118404 695398
+rect 116600 694138 118404 694620
+rect 120132 695502 125206 695758
+rect 120132 694490 120888 695502
+rect 122028 694490 123288 695502
+rect 124428 694490 125206 695502
+rect 120132 694286 125206 694490
+rect 126826 695500 128630 696096
+rect 126826 694722 127278 695500
+rect 128130 694722 128630 695500
+rect 126826 694240 128630 694722
+rect 467316 691416 467882 691454
+rect 415416 691316 415982 691358
+rect 415416 691208 415460 691316
+rect 415562 691208 415820 691316
+rect 415922 691208 415982 691316
+rect 415416 691076 415982 691208
+rect 415416 690968 415460 691076
+rect 415562 690968 415820 691076
+rect 415922 690968 415982 691076
+rect 467316 691308 467360 691416
+rect 467462 691308 467720 691416
+rect 467822 691308 467882 691416
+rect 467316 691176 467882 691308
+rect 467316 691068 467360 691176
+rect 467462 691068 467720 691176
+rect 467822 691068 467882 691176
+rect 467316 691026 467882 691068
+rect 415416 690926 415982 690968
+rect 413730 688376 414462 688608
+rect 413730 688212 413812 688376
+rect 413996 688212 414212 688376
+rect 414396 688212 414462 688376
+rect 413730 687976 414462 688212
+rect 413730 687812 413812 687976
+rect 413996 687812 414212 687976
+rect 414396 687812 414462 687976
+rect 413730 687576 414462 687812
+rect 413730 687412 413812 687576
+rect 413996 687412 414212 687576
+rect 414396 687412 414462 687576
+rect 413730 687176 414462 687412
+rect 413730 687012 413812 687176
+rect 413996 687012 414212 687176
+rect 414396 687012 414462 687176
+rect 413730 686944 414462 687012
+rect 416130 688376 416866 688598
+rect 416130 688212 416212 688376
+rect 416396 688212 416612 688376
+rect 416796 688212 416866 688376
+rect 416130 687976 416866 688212
+rect 416130 687812 416212 687976
+rect 416396 687812 416612 687976
+rect 416796 687812 416866 687976
+rect 416130 687576 416866 687812
+rect 416130 687412 416212 687576
+rect 416396 687412 416612 687576
+rect 416796 687412 416866 687576
+rect 416130 687176 416866 687412
+rect 416130 687012 416212 687176
+rect 416396 687012 416622 687176
+rect 416806 687012 416866 687176
+rect 416130 686944 416866 687012
+rect 465730 688376 466466 688608
+rect 465730 688212 465812 688376
+rect 465996 688212 466212 688376
+rect 466396 688212 466466 688376
+rect 465730 687976 466466 688212
+rect 465730 687812 465812 687976
+rect 465996 687812 466212 687976
+rect 466396 687812 466466 687976
+rect 465730 687576 466466 687812
+rect 465730 687412 465812 687576
+rect 465996 687412 466212 687576
+rect 466396 687412 466466 687576
+rect 465730 687176 466466 687412
+rect 465730 687012 465812 687176
+rect 465996 687012 466212 687176
+rect 466396 687012 466466 687176
+rect 465730 686944 466466 687012
+rect 468130 688376 468866 688598
+rect 468130 688212 468212 688376
+rect 468396 688212 468612 688376
+rect 468796 688212 468866 688376
+rect 468130 687976 468866 688212
+rect 468130 687812 468212 687976
+rect 468396 687812 468612 687976
+rect 468796 687812 468866 687976
+rect 468130 687576 468866 687812
+rect 468130 687412 468212 687576
+rect 468396 687412 468612 687576
+rect 468796 687412 468866 687576
+rect 468130 687176 468866 687412
+rect 468130 687012 468212 687176
+rect 468396 687012 468622 687176
+rect 468806 687012 468866 687176
+rect 468130 686944 468866 687012
+rect 415416 685516 415982 685558
+rect 415416 685408 415460 685516
+rect 415562 685408 415820 685516
+rect 415922 685408 415982 685516
+rect 415416 685276 415982 685408
+rect 415416 685168 415460 685276
+rect 415562 685168 415820 685276
+rect 415922 685168 415982 685276
+rect 415416 685126 415982 685168
+rect 467316 685512 467882 685554
+rect 467316 685404 467360 685512
+rect 467462 685404 467720 685512
+rect 467822 685404 467882 685512
+rect 467316 685272 467882 685404
+rect 467316 685164 467360 685272
+rect 467462 685164 467720 685272
+rect 467822 685164 467882 685272
+rect 467316 685122 467882 685164
+rect 64600 663398 66404 663994
+rect 64600 662620 65052 663398
+rect 65904 662620 66404 663398
+rect 64600 662138 66404 662620
+rect 68132 663502 73206 663758
+rect 68132 662490 68888 663502
+rect 70028 662490 71288 663502
+rect 72428 662490 73206 663502
+rect 68132 662286 73206 662490
+rect 74826 663500 76630 664096
+rect 74826 662722 75278 663500
+rect 76130 662722 76630 663500
+rect 74826 662240 76630 662722
+rect 440216 657716 440782 657758
+rect 440216 657608 440260 657716
+rect 440362 657608 440620 657716
+rect 440722 657608 440782 657716
+rect 440216 657476 440782 657608
+rect 440216 657368 440260 657476
+rect 440362 657368 440620 657476
+rect 440722 657368 440782 657476
+rect 440216 657328 440782 657368
+rect 448816 657716 449382 657758
+rect 448816 657608 448860 657716
+rect 448962 657608 449220 657716
+rect 449322 657608 449382 657716
+rect 448816 657476 449382 657608
+rect 448816 657368 448860 657476
+rect 448962 657368 449220 657476
+rect 449322 657368 449382 657476
+rect 448816 657328 449382 657368
+rect 437730 656376 438466 656608
+rect 437730 656212 437812 656376
+rect 437996 656212 438212 656376
+rect 438396 656212 438466 656376
+rect 437730 655976 438466 656212
+rect 437730 655812 437812 655976
+rect 437996 655812 438212 655976
+rect 438396 655812 438466 655976
+rect 437730 655576 438466 655812
+rect 437730 655412 437812 655576
+rect 437996 655412 438212 655576
+rect 438396 655412 438466 655576
+rect 437730 655176 438466 655412
+rect 437730 655012 437812 655176
+rect 437996 655012 438212 655176
+rect 438396 655012 438466 655176
+rect 442330 656490 443066 656712
+rect 442330 656326 442412 656490
+rect 442596 656326 442812 656490
+rect 442996 656326 443066 656490
+rect 442330 656090 443066 656326
+rect 442330 655926 442412 656090
+rect 442596 655926 442812 656090
+rect 442996 655926 443066 656090
+rect 442330 655690 443066 655926
+rect 442330 655526 442412 655690
+rect 442596 655526 442812 655690
+rect 442996 655526 443066 655690
+rect 442330 655290 443066 655526
+rect 442330 655126 442412 655290
+rect 442596 655126 442822 655290
+rect 443006 655126 443066 655290
+rect 442330 655058 443066 655126
+rect 446130 656376 446866 656608
+rect 446130 656212 446212 656376
+rect 446396 656212 446612 656376
+rect 446796 656212 446866 656376
+rect 446130 655976 446866 656212
+rect 446130 655812 446212 655976
+rect 446396 655812 446612 655976
+rect 446796 655812 446866 655976
+rect 446130 655576 446866 655812
+rect 446130 655412 446212 655576
+rect 446396 655412 446612 655576
+rect 446796 655412 446866 655576
+rect 446130 655176 446866 655412
+rect 437730 654944 438466 655012
+rect 446130 655012 446212 655176
+rect 446396 655012 446612 655176
+rect 446796 655012 446866 655176
+rect 450730 656490 451466 656712
+rect 450730 656326 450812 656490
+rect 450996 656326 451212 656490
+rect 451396 656326 451466 656490
+rect 450730 656090 451466 656326
+rect 450730 655926 450812 656090
+rect 450996 655926 451212 656090
+rect 451396 655926 451466 656090
+rect 450730 655690 451466 655926
+rect 450730 655526 450812 655690
+rect 450996 655526 451212 655690
+rect 451396 655526 451466 655690
+rect 450730 655290 451466 655526
+rect 450730 655126 450812 655290
+rect 450996 655126 451222 655290
+rect 451406 655126 451466 655290
+rect 450730 655058 451466 655126
+rect 446130 654944 446866 655012
+rect 440216 654716 440782 654758
+rect 440216 654608 440260 654716
+rect 440362 654608 440620 654716
+rect 440722 654608 440782 654716
+rect 440216 654476 440782 654608
+rect 440216 654368 440260 654476
+rect 440362 654368 440620 654476
+rect 440722 654368 440782 654476
+rect 440216 654328 440782 654368
+rect 448816 654716 449382 654758
+rect 448816 654608 448860 654716
+rect 448962 654608 449220 654716
+rect 449322 654608 449382 654716
+rect 448816 654476 449382 654608
+rect 448816 654368 448860 654476
+rect 448962 654368 449220 654476
+rect 449322 654368 449382 654476
+rect 448816 654328 449382 654368
+rect 134388 600344 139080 600454
+rect 134388 600104 134560 600344
+rect 134868 600104 135160 600344
+rect 135468 600104 135760 600344
+rect 136068 600104 136360 600344
+rect 136668 600104 136960 600344
+rect 137268 600104 137560 600344
+rect 137868 600104 138160 600344
+rect 138468 600104 139080 600344
+rect 134388 600024 139080 600104
+rect 133984 599596 134258 599606
+rect 133984 599540 134006 599596
+rect 134086 599540 134126 599596
+rect 134206 599540 134258 599596
+rect 133984 599496 134258 599540
+rect 133984 599440 134006 599496
+rect 134086 599440 134126 599496
+rect 134206 599440 134258 599496
+rect 133984 599410 134258 599440
+rect 140384 599596 140628 599606
+rect 140384 599540 140406 599596
+rect 140486 599540 140526 599596
+rect 140606 599540 140628 599596
+rect 140384 599496 140628 599540
+rect 140384 599440 140406 599496
+rect 140486 599440 140526 599496
+rect 140606 599440 140628 599496
+rect 140384 599410 140628 599440
+rect 134234 597544 139146 597958
+rect 134234 596998 134622 597544
+rect 135400 596998 135822 597544
+rect 136600 596998 137022 597544
+rect 137800 596998 138222 597544
+rect 139000 596998 139146 597544
+rect 134234 596602 139146 596998
+rect 416354 586782 417192 586912
+rect 85388 586344 90080 586454
+rect 85388 586104 85560 586344
+rect 85868 586104 86160 586344
+rect 86468 586104 86760 586344
+rect 87068 586104 87360 586344
+rect 87668 586104 87960 586344
+rect 88268 586104 88560 586344
+rect 88868 586104 89160 586344
+rect 89468 586104 90080 586344
+rect 416354 586394 416472 586782
+rect 417092 586394 417192 586782
+rect 416354 586296 417192 586394
 rect 438926 586394 441902 586434
 rect 438926 586180 438974 586394
 rect 441846 586180 441902 586394
 rect 438926 586136 441902 586180
-rect 416354 585850 417192 585948
-rect 404984 585596 405258 585606
-rect 404984 585540 405006 585596
-rect 405086 585540 405126 585596
-rect 405206 585540 405258 585596
-rect 404984 585496 405258 585540
-rect 404984 585440 405006 585496
-rect 405086 585440 405126 585496
-rect 405206 585440 405258 585496
-rect 404984 585410 405258 585440
-rect 409976 585596 410220 585606
-rect 409976 585540 409998 585596
-rect 410078 585540 410118 585596
-rect 410198 585540 410220 585596
-rect 409976 585496 410220 585540
-rect 409976 585440 409998 585496
-rect 410078 585440 410118 585496
-rect 410198 585440 410220 585496
-rect 409976 585410 410220 585440
-rect 416356 585216 417188 585316
-rect 416356 584828 416474 585216
-rect 417094 584828 417188 585216
-rect 416356 584730 417188 584828
+rect 85388 586024 90080 586104
+rect 415786 585612 416282 585710
+rect 415786 585608 417420 585612
+rect 84984 585596 85258 585606
+rect 84984 585540 85006 585596
+rect 85086 585540 85126 585596
+rect 85206 585540 85258 585596
+rect 84984 585496 85258 585540
+rect 84984 585440 85006 585496
+rect 85086 585440 85126 585496
+rect 85206 585440 85258 585496
+rect 84984 585410 85258 585440
+rect 91384 585596 91628 585606
+rect 91384 585540 91406 585596
+rect 91486 585540 91526 585596
+rect 91606 585540 91628 585596
+rect 91384 585496 91628 585540
+rect 91384 585440 91406 585496
+rect 91486 585440 91526 585496
+rect 91606 585440 91628 585496
+rect 91384 585410 91628 585440
+rect 415786 585422 415878 585608
+rect 416190 585422 417420 585608
+rect 415786 585412 417420 585422
+rect 415786 585344 416282 585412
+rect 416354 585072 417186 585172
+rect 416354 584684 416472 585072
+rect 417092 584684 417186 585072
 rect 447352 585042 450258 585064
 rect 447352 584840 447368 585042
 rect 450242 584840 450258 585042
 rect 447352 584820 450258 584840
-rect 405234 581174 410146 581588
-rect 405234 580628 405622 581174
-rect 406400 580628 406822 581174
-rect 407600 580628 408022 581174
-rect 408800 580628 409222 581174
-rect 410000 580628 410146 581174
-rect 405234 580232 410146 580628
+rect 416354 584586 417186 584684
+rect 85234 583544 90146 583958
+rect 85234 582998 85622 583544
+rect 86400 582998 86822 583544
+rect 87600 582998 88022 583544
+rect 88800 582998 89222 583544
+rect 90000 582998 90146 583544
+rect 85234 582602 90146 582998
+rect 101682 568744 106034 568854
+rect 101682 568504 101854 568744
+rect 102162 568504 102454 568744
+rect 102762 568504 103054 568744
+rect 103362 568504 103654 568744
+rect 103962 568504 104254 568744
+rect 104562 568504 104854 568744
+rect 105162 568504 105454 568744
+rect 105762 568504 106034 568744
+rect 101682 568424 106034 568504
+rect 107388 568744 111740 568854
+rect 107388 568504 107560 568744
+rect 107868 568504 108160 568744
+rect 108468 568504 108760 568744
+rect 109068 568504 109360 568744
+rect 109668 568504 109960 568744
+rect 110268 568504 110560 568744
+rect 110868 568504 111160 568744
+rect 111468 568504 111740 568744
+rect 107388 568424 111740 568504
+rect 113384 567844 113620 567854
+rect 102842 567796 103076 567806
+rect 102842 567740 102864 567796
+rect 102944 567740 102984 567796
+rect 103064 567740 103076 567796
+rect 102842 567696 103076 567740
+rect 102842 567640 102864 567696
+rect 102944 567640 102984 567696
+rect 103064 567640 103076 567696
+rect 102842 567610 103076 567640
+rect 103986 567796 104220 567806
+rect 103986 567740 104006 567796
+rect 104086 567740 104126 567796
+rect 104206 567740 104220 567796
+rect 103986 567696 104220 567740
+rect 103986 567640 104006 567696
+rect 104086 567640 104126 567696
+rect 104206 567640 104220 567696
+rect 103986 567610 104220 567640
+rect 106984 567796 107220 567806
+rect 106984 567740 107006 567796
+rect 107086 567740 107126 567796
+rect 107206 567740 107220 567796
+rect 106984 567696 107220 567740
+rect 106984 567640 107006 567696
+rect 107086 567640 107126 567696
+rect 107206 567640 107220 567696
+rect 113384 567788 113406 567844
+rect 113486 567788 113526 567844
+rect 113606 567788 113620 567844
+rect 113384 567744 113620 567788
+rect 113384 567688 113406 567744
+rect 113486 567688 113526 567744
+rect 113606 567688 113620 567744
+rect 113384 567658 113620 567688
+rect 106984 567610 107220 567640
+rect 101244 565582 106156 565996
+rect 101244 565036 101632 565582
+rect 102410 565036 102832 565582
+rect 103610 565036 104032 565582
+rect 104810 565036 105232 565582
+rect 106010 565036 106156 565582
+rect 101244 564640 106156 565036
+rect 107234 565544 112146 565958
+rect 107234 564998 107622 565544
+rect 108400 564998 108822 565544
+rect 109600 564998 110022 565544
+rect 110800 564998 111222 565544
+rect 112000 564998 112146 565544
+rect 107234 564602 112146 564998
 rect 524 -800 636 480
 rect 1706 -800 1818 480
 rect 2888 -800 3000 480
@@ -2616,51 +2578,49 @@
 rect 582068 -800 582180 480
 rect 583250 -800 583362 480
 << via2 >>
-rect 119008 695156 119292 695430
-rect 120660 694918 121252 695446
-rect 121760 694918 122352 695446
-rect 122860 694918 123452 695446
-rect 123960 694918 124552 695446
-rect 126230 695110 126562 695464
-rect 415392 691208 415494 691316
-rect 415752 691208 415854 691316
-rect 415392 690968 415494 691076
-rect 415752 690968 415854 691076
-rect 467296 691308 467398 691416
-rect 467656 691308 467758 691416
-rect 467296 691068 467398 691176
-rect 467656 691068 467758 691176
-rect 569260 691308 569362 691416
-rect 569620 691308 569722 691416
-rect 569260 691068 569362 691176
-rect 569620 691068 569722 691176
-rect 571002 689284 571242 689592
-rect 571002 688684 571242 688992
+rect 117052 695294 117904 695398
+rect 117052 694702 117144 695294
+rect 117144 694702 117816 695294
+rect 117816 694702 117904 695294
+rect 117052 694620 117904 694702
+rect 120888 695336 122028 695502
+rect 120888 694644 121002 695336
+rect 121002 694644 121886 695336
+rect 121886 694644 122028 695336
+rect 120888 694490 122028 694644
+rect 123288 695336 124428 695502
+rect 123288 694644 123402 695336
+rect 123402 694644 124286 695336
+rect 124286 694644 124428 695336
+rect 123288 694490 124428 694644
+rect 127278 695396 128130 695500
+rect 127278 694804 127370 695396
+rect 127370 694804 128042 695396
+rect 128042 694804 128130 695396
+rect 127278 694722 128130 694804
+rect 415460 691208 415562 691316
+rect 415820 691208 415922 691316
+rect 415460 690968 415562 691076
+rect 415820 690968 415922 691076
+rect 467360 691308 467462 691416
+rect 467720 691308 467822 691416
+rect 467360 691068 467462 691176
+rect 467720 691068 467822 691176
 rect 413812 688212 413996 688376
 rect 414212 688212 414396 688376
-rect 67008 687156 67292 687430
 rect 413812 687812 413996 687976
 rect 414212 687812 414396 687976
-rect 68660 686918 69252 687446
-rect 69760 686918 70352 687446
-rect 70860 686918 71452 687446
-rect 71960 686918 72552 687446
-rect 74230 687110 74562 687464
 rect 413812 687412 413996 687576
 rect 414212 687412 414396 687576
 rect 413812 687012 413996 687176
 rect 414212 687012 414396 687176
-rect 416212 688212 416278 688376
-rect 416278 688212 416396 688376
+rect 416212 688212 416396 688376
 rect 416612 688212 416796 688376
-rect 416212 687812 416278 687976
-rect 416278 687812 416396 687976
+rect 416212 687812 416396 687976
 rect 416612 687812 416796 687976
-rect 416212 687412 416278 687576
-rect 416278 687412 416396 687576
+rect 416212 687412 416396 687576
 rect 416612 687412 416796 687576
-rect 416212 687012 416278 687176
-rect 416278 687012 416396 687176
+rect 416212 687012 416396 687176
 rect 416622 687012 416806 687176
 rect 465812 688212 465996 688376
 rect 466212 688212 466396 688376
@@ -2678,156 +2638,186 @@
 rect 468612 687412 468796 687576
 rect 468212 687012 468396 687176
 rect 468622 687012 468806 687176
-rect 568212 688212 568396 688376
-rect 568612 688212 568796 688376
-rect 568212 687812 568396 687976
-rect 568612 687812 568796 687976
-rect 568212 687412 568396 687576
-rect 568612 687412 568796 687576
-rect 568212 687012 568396 687176
-rect 568622 687012 568806 687176
-rect 571002 688084 571242 688392
-rect 571002 687484 571242 687792
-rect 571002 686884 571242 687192
-rect 571002 686284 571242 686592
-rect 571002 685684 571242 685992
-rect 415606 685408 415708 685516
-rect 415966 685408 416068 685516
-rect 415606 685168 415708 685276
-rect 415966 685168 416068 685276
-rect 467190 685404 467292 685512
-rect 467550 685404 467652 685512
-rect 467190 685164 467292 685272
-rect 467550 685164 467652 685272
-rect 569256 683708 569260 683814
-rect 569260 683708 569362 683814
-rect 569362 683708 569620 683814
-rect 569620 683708 569722 683814
-rect 569256 683576 569722 683708
-rect 569256 683468 569260 683576
-rect 569260 683468 569362 683576
-rect 569362 683468 569620 683576
-rect 569620 683468 569722 683576
-rect 569256 683466 569722 683468
-rect 70580 674824 70682 674932
-rect 70940 674824 71042 674932
-rect 70580 674584 70682 674692
-rect 70940 674584 71042 674692
-rect 122568 674866 122670 674974
-rect 122928 674866 123030 674974
-rect 122568 674626 122670 674734
-rect 122928 674626 123030 674734
-rect 70008 673458 70292 673732
-rect 71368 673402 71700 673756
-rect 121808 673220 122092 673494
-rect 123302 673110 123634 673464
-rect 70570 672224 70672 672332
-rect 70930 672224 71032 672332
-rect 70570 671984 70672 672092
-rect 70930 671984 71032 672092
-rect 122558 672266 122660 672374
-rect 122918 672266 123020 672374
-rect 122558 672026 122660 672134
-rect 122918 672026 123020 672134
-rect 440252 593576 440354 593684
-rect 440612 593576 440714 593684
-rect 440252 593336 440354 593444
-rect 440612 593336 440714 593444
-rect 448600 593608 448702 593716
-rect 448960 593608 449062 593716
-rect 448600 593368 448702 593476
-rect 448960 593368 449062 593476
-rect 437812 591984 437996 592148
-rect 438212 591984 438396 592148
-rect 437812 591584 437996 591748
-rect 438212 591584 438396 591748
-rect 437812 591184 437996 591348
-rect 438212 591184 438396 591348
-rect 437812 590784 437996 590948
-rect 438212 590784 438396 590948
-rect 442412 592080 442596 592244
-rect 442812 592080 442996 592244
-rect 442412 591680 442596 591844
-rect 442812 591680 442996 591844
-rect 442412 591280 442596 591444
-rect 442812 591280 442996 591444
-rect 442412 590880 442596 591044
-rect 442822 590880 443006 591044
-rect 446212 591984 446396 592148
-rect 446612 591984 446796 592148
-rect 446212 591584 446396 591748
-rect 446612 591584 446796 591748
-rect 446212 591184 446396 591348
-rect 446612 591184 446796 591348
-rect 446212 590784 446396 590948
-rect 446612 590784 446796 590948
-rect 450812 592080 450996 592244
-rect 451212 592080 451396 592244
-rect 450812 591680 450996 591844
-rect 451212 591680 451396 591844
-rect 450812 591280 450996 591444
-rect 451212 591280 451396 591444
-rect 450812 590880 450996 591044
-rect 451222 590880 451406 591044
-rect 440260 590104 440362 590212
-rect 440620 590104 440722 590212
-rect 440260 589864 440362 589972
-rect 440620 589864 440722 589972
-rect 448588 590104 448690 590212
-rect 448948 590104 449050 590212
-rect 448588 589864 448690 589972
-rect 448948 589864 449050 589972
-rect 405560 588304 405868 588544
-rect 406160 588304 406468 588544
-rect 406760 588304 407068 588544
-rect 407360 588304 407668 588544
-rect 407960 588304 408268 588544
-rect 408560 588304 408868 588544
-rect 409160 588304 409468 588544
-rect 405006 587640 405086 587696
-rect 405126 587640 405206 587696
-rect 405006 587540 405086 587596
-rect 405126 587540 405206 587596
-rect 409990 587640 410070 587696
-rect 410110 587640 410190 587696
-rect 409990 587540 410070 587596
-rect 410110 587540 410190 587596
-rect 405560 586104 405868 586344
-rect 406160 586104 406468 586344
-rect 406760 586104 407068 586344
-rect 407360 586104 407668 586344
-rect 407960 586104 408268 586344
-rect 408560 586104 408868 586344
-rect 409160 586104 409468 586344
-rect 416472 585948 417092 586336
+rect 415460 685408 415562 685516
+rect 415820 685408 415922 685516
+rect 415460 685168 415562 685276
+rect 415820 685168 415922 685276
+rect 467360 685404 467462 685512
+rect 467720 685404 467822 685512
+rect 467360 685164 467462 685272
+rect 467720 685164 467822 685272
+rect 65052 663294 65904 663398
+rect 65052 662702 65144 663294
+rect 65144 662702 65816 663294
+rect 65816 662702 65904 663294
+rect 65052 662620 65904 662702
+rect 68888 663336 70028 663502
+rect 68888 662644 69002 663336
+rect 69002 662644 69886 663336
+rect 69886 662644 70028 663336
+rect 68888 662490 70028 662644
+rect 71288 663336 72428 663502
+rect 71288 662644 71402 663336
+rect 71402 662644 72286 663336
+rect 72286 662644 72428 663336
+rect 71288 662490 72428 662644
+rect 75278 663396 76130 663500
+rect 75278 662804 75370 663396
+rect 75370 662804 76042 663396
+rect 76042 662804 76130 663396
+rect 75278 662722 76130 662804
+rect 440260 657608 440362 657716
+rect 440620 657608 440722 657716
+rect 440260 657368 440362 657476
+rect 440620 657368 440722 657476
+rect 448860 657608 448962 657716
+rect 449220 657608 449322 657716
+rect 448860 657368 448962 657476
+rect 449220 657368 449322 657476
+rect 437812 656212 437996 656376
+rect 438212 656212 438396 656376
+rect 437812 655812 437996 655976
+rect 438212 655812 438396 655976
+rect 437812 655412 437996 655576
+rect 438212 655412 438396 655576
+rect 437812 655012 437996 655176
+rect 438212 655012 438396 655176
+rect 442412 656326 442596 656490
+rect 442812 656326 442996 656490
+rect 442412 655926 442596 656090
+rect 442812 655926 442996 656090
+rect 442412 655526 442596 655690
+rect 442812 655526 442996 655690
+rect 442412 655126 442596 655290
+rect 442822 655126 443006 655290
+rect 446212 656212 446396 656376
+rect 446612 656212 446796 656376
+rect 446212 655812 446396 655976
+rect 446612 655812 446796 655976
+rect 446212 655412 446396 655576
+rect 446612 655412 446796 655576
+rect 446212 655012 446396 655176
+rect 446612 655012 446796 655176
+rect 450812 656326 450996 656490
+rect 451212 656326 451396 656490
+rect 450812 655926 450996 656090
+rect 451212 655926 451396 656090
+rect 450812 655526 450996 655690
+rect 451212 655526 451396 655690
+rect 450812 655126 450996 655290
+rect 451222 655126 451406 655290
+rect 440260 654608 440362 654716
+rect 440620 654608 440722 654716
+rect 440260 654368 440362 654476
+rect 440620 654368 440722 654476
+rect 448860 654608 448962 654716
+rect 449220 654608 449322 654716
+rect 448860 654368 448962 654476
+rect 449220 654368 449322 654476
+rect 134560 600104 134868 600344
+rect 135160 600104 135468 600344
+rect 135760 600104 136068 600344
+rect 136360 600104 136668 600344
+rect 136960 600104 137268 600344
+rect 137560 600104 137868 600344
+rect 138160 600104 138468 600344
+rect 134006 599540 134086 599596
+rect 134126 599540 134206 599596
+rect 134006 599440 134086 599496
+rect 134126 599440 134206 599496
+rect 140406 599540 140486 599596
+rect 140526 599540 140606 599596
+rect 140406 599440 140486 599496
+rect 140526 599440 140606 599496
+rect 134622 596998 135400 597544
+rect 135822 596998 136600 597544
+rect 137022 596998 137800 597544
+rect 138222 596998 139000 597544
+rect 85560 586104 85868 586344
+rect 86160 586104 86468 586344
+rect 86760 586104 87068 586344
+rect 87360 586104 87668 586344
+rect 87960 586104 88268 586344
+rect 88560 586104 88868 586344
+rect 89160 586104 89468 586344
+rect 416472 586394 417092 586782
 rect 438974 586180 441846 586394
-rect 405006 585540 405086 585596
-rect 405126 585540 405206 585596
-rect 405006 585440 405086 585496
-rect 405126 585440 405206 585496
-rect 409998 585540 410078 585596
-rect 410118 585540 410198 585596
-rect 409998 585440 410078 585496
-rect 410118 585440 410198 585496
-rect 416474 584828 417094 585216
+rect 85006 585540 85086 585596
+rect 85126 585540 85206 585596
+rect 85006 585440 85086 585496
+rect 85126 585440 85206 585496
+rect 91406 585540 91486 585596
+rect 91526 585540 91606 585596
+rect 91406 585440 91486 585496
+rect 91526 585440 91606 585496
+rect 415878 585422 416190 585608
+rect 416472 584684 417092 585072
 rect 447368 584840 450242 585042
-rect 405622 580628 406400 581174
-rect 406822 580628 407600 581174
-rect 408022 580628 408800 581174
-rect 409222 580628 410000 581174
+rect 85622 582998 86400 583544
+rect 86822 582998 87600 583544
+rect 88022 582998 88800 583544
+rect 89222 582998 90000 583544
+rect 101854 568504 102162 568744
+rect 102454 568504 102762 568744
+rect 103054 568504 103362 568744
+rect 103654 568504 103962 568744
+rect 104254 568504 104562 568744
+rect 104854 568504 105162 568744
+rect 105454 568504 105762 568744
+rect 107560 568504 107868 568744
+rect 108160 568504 108468 568744
+rect 108760 568504 109068 568744
+rect 109360 568504 109668 568744
+rect 109960 568504 110268 568744
+rect 110560 568504 110868 568744
+rect 111160 568504 111468 568744
+rect 102864 567740 102944 567796
+rect 102984 567740 103064 567796
+rect 102864 567640 102944 567696
+rect 102984 567640 103064 567696
+rect 104006 567740 104086 567796
+rect 104126 567740 104206 567796
+rect 104006 567640 104086 567696
+rect 104126 567640 104206 567696
+rect 107006 567740 107086 567796
+rect 107126 567740 107206 567796
+rect 107006 567640 107086 567696
+rect 107126 567640 107206 567696
+rect 113406 567788 113486 567844
+rect 113526 567788 113606 567844
+rect 113406 567688 113486 567744
+rect 113526 567688 113606 567744
+rect 101632 565036 102410 565582
+rect 102832 565036 103610 565582
+rect 104032 565036 104810 565582
+rect 105232 565036 106010 565582
+rect 107622 564998 108400 565544
+rect 108822 564998 109600 565544
+rect 110022 564998 110800 565544
+rect 111222 564998 112000 565544
 << metal3 >>
-rect 16194 702300 21194 704800
+rect 16194 703052 21194 704800
+rect 16176 702226 21194 703052
 rect 68194 702928 73194 704800
-rect 120194 703294 125194 704800
-rect 68150 691494 73222 702928
-rect 120124 695548 125196 703294
-rect 165594 702276 170594 704800
-rect 165596 702268 170594 702276
-rect 170894 702300 173094 704800
-rect 165596 702164 170596 702268
-rect 165594 700170 170596 702164
-rect 170894 701174 173082 702300
-rect 173394 702250 175594 704800
+rect 120128 703294 125200 705782
+rect 120124 702928 125200 703294
+rect -800 680242 1700 685242
+rect 64600 663462 66404 663994
+rect 64600 662522 64982 663462
+rect 65968 662522 66404 663462
+rect 64600 662138 66404 662522
+rect 68150 663502 73222 702928
+rect 116600 695462 118404 695994
+rect 116600 694522 116982 695462
+rect 117968 694522 118404 695462
+rect 116600 694138 118404 694522
+rect 120128 695502 125224 702928
+rect 165594 702540 170594 704800
+rect 170894 702714 173094 704800
+rect 165552 702300 170594 702540
+rect 170854 702300 173094 702714
+rect 173394 703810 175594 704800
+rect 173394 702300 175744 703810
 rect 175894 702300 180894 704800
 rect 217294 702300 222294 704800
 rect 222594 703328 224794 704800
@@ -2842,172 +2832,83 @@
 rect 413390 702300 418394 703906
 rect 465394 702880 470394 704800
 rect 510594 702892 515394 704800
-rect 173394 702248 175596 702250
-rect 173394 701796 175598 702248
-rect 165578 698240 170596 700170
-rect 170886 698246 173082 701174
-rect 118982 695430 119314 695454
-rect 118982 695156 119008 695430
-rect 119292 695156 119314 695430
-rect 118982 695134 119314 695156
-rect 120124 695446 125194 695548
-rect 120124 694918 120660 695446
-rect 121252 694918 121760 695446
-rect 122352 694918 122860 695446
-rect 123452 694918 123960 695446
-rect 124552 695442 125194 695446
-rect 126152 695464 126622 695524
-rect 124552 694918 125196 695442
-rect 126152 695110 126230 695464
-rect 126562 695110 126622 695464
-rect 126152 695052 126622 695110
-rect 120124 693214 125196 694918
-rect 119960 692034 125224 693214
-rect 170854 692700 173082 698246
+rect 120128 694490 120888 695502
+rect 122028 694490 123288 695502
+rect 124428 694490 125224 695502
+rect 120128 668334 125224 694490
+rect 126826 695564 128630 696096
+rect 126826 694624 127208 695564
+rect 128194 694624 128630 695564
+rect 126826 694240 128630 694624
+rect 165552 693934 170568 702300
+rect 170854 692700 173082 702300
 rect 170832 692140 173082 692700
-rect 173406 701208 175598 701796
-rect 173406 699110 175592 701208
-rect 173406 694998 175586 699110
-rect 68096 691136 73222 691494
-rect 68096 689728 73170 691136
-rect 68096 687716 73196 689728
-rect 68096 687454 73194 687716
-rect 74152 687464 74622 687524
-rect 66982 687430 67314 687454
-rect 66982 687156 67008 687430
-rect 67292 687156 67314 687430
-rect 66982 687134 67314 687156
-rect 68096 687446 73512 687454
-rect 68096 686918 68660 687446
-rect 69252 686918 69760 687446
-rect 70352 686918 70860 687446
-rect 71452 686918 71960 687446
-rect 72552 687156 73512 687446
-rect 72552 686919 73194 687156
-rect 74152 687110 74230 687464
-rect 74562 687110 74622 687464
-rect 74152 687052 74622 687110
-rect 72552 686918 73196 686919
-rect 68096 685364 73196 686918
-rect -800 680242 1700 685242
-rect 68096 683432 73170 685364
-rect 68096 683228 73222 683432
-rect 68150 676930 73222 683228
-rect 68130 674932 73246 676930
-rect 68130 674824 70580 674932
-rect 70682 674824 70940 674932
-rect 71042 674824 73246 674932
-rect 68130 674692 73246 674824
-rect 68130 674584 70580 674692
-rect 70682 674584 70940 674692
-rect 71042 674584 73246 674692
-rect 68130 674274 73246 674584
-rect 120124 674974 125196 692034
-rect 120124 674866 122568 674974
-rect 122670 674866 122928 674974
-rect 123030 674866 125196 674974
-rect 120124 674734 125196 674866
-rect 120124 674626 122568 674734
-rect 122670 674626 122928 674734
-rect 123030 674626 125196 674734
-rect 120124 674080 125196 674626
 rect 170832 674608 173060 692140
-rect 71290 673756 71760 673816
-rect 69982 673732 70314 673756
-rect 69982 673458 70008 673732
-rect 70292 673458 70314 673732
-rect 69982 673436 70314 673458
-rect 71290 673402 71368 673756
-rect 71700 673402 71760 673756
-rect 71290 673344 71760 673402
-rect 121782 673494 122114 673518
-rect 121782 673220 121808 673494
-rect 122092 673220 122114 673494
-rect 121782 673198 122114 673220
-rect 123224 673464 123694 673524
-rect 123224 673110 123302 673464
-rect 123634 673110 123694 673464
-rect 123224 673052 123694 673110
-rect 68120 672332 73236 672874
-rect 68120 672224 70570 672332
-rect 70672 672224 70930 672332
-rect 71032 672224 73236 672332
-rect 68120 672092 73236 672224
-rect 68120 671984 70570 672092
-rect 70672 671984 70930 672092
-rect 71032 671984 73236 672092
-rect 68120 670218 73236 671984
-rect 120124 672660 122044 672662
-rect 123754 672660 125196 672662
-rect 120124 672374 125196 672660
 rect 170832 672644 173078 674608
-rect 120124 672266 122558 672374
-rect 122660 672266 122918 672374
-rect 123020 672266 125196 672374
-rect 120124 672134 125196 672266
-rect 120124 672026 122558 672134
-rect 122660 672026 122918 672134
-rect 123020 672026 125196 672134
+rect 68150 662490 68888 663502
+rect 70028 662490 71288 663502
+rect 72428 662490 73222 663502
 rect -800 643842 1660 648642
 rect -800 633842 1660 638642
-rect 68150 619820 73222 670218
-rect 68150 618130 73250 619820
-rect 120124 619052 125196 672026
+rect 68150 593482 73222 662490
+rect 74826 663564 76630 664096
+rect 74826 662624 75208 663564
+rect 76194 662624 76630 663564
+rect 74826 662240 76630 662624
+rect 120128 657344 125218 668334
 rect 170720 664214 173078 672644
 rect 170584 663610 173078 664214
+rect 120128 656736 125224 657344
+rect 120152 652550 125224 656736
 rect 170584 656290 171186 663610
 rect 172478 662146 173078 663610
 rect 172478 656290 172824 662146
 rect 170584 655688 172824 656290
-rect 173406 644306 175686 694998
+rect 67942 588042 73258 593482
+rect 67914 585606 73258 588042
+rect 84624 587160 90256 620620
+rect 120142 617090 125286 652550
+rect 173464 644306 175744 702300
 rect 222500 664812 224720 702300
 rect 222260 664238 224752 664812
 rect 222260 656624 222764 664238
 rect 224356 656624 224752 664238
 rect 222260 656278 224752 656624
 rect 225202 657302 227234 702300
-rect 413390 691862 418372 702300
-rect 465390 697902 470394 702880
-rect 465384 693606 470396 697902
+rect 413390 691458 418372 702300
+rect 465390 693606 470394 702880
 rect 510580 696352 515412 702892
 rect 520594 702688 525394 704800
-rect 566594 702718 571594 704800
+rect 566594 702994 571594 704800
 rect 520592 696352 525424 702688
-rect 566588 700578 571618 702718
-rect 529252 698920 531808 698936
-rect 566584 698928 571618 700578
-rect 534454 698920 562532 698928
-rect 529252 698820 562532 698920
-rect 529252 696842 564174 698820
-rect 529252 696792 531808 696842
-rect 534454 696828 564174 696842
-rect 566536 696828 571618 698928
+rect 566592 702300 571594 702994
 rect 510580 695166 525452 696352
-rect 465384 693418 470416 693606
-rect 465390 693184 470416 693418
+rect 465390 693184 470416 693606
 rect 465392 692464 470416 693184
-rect 465390 691986 470416 692464
-rect 413348 691316 418396 691862
-rect 413348 691208 415392 691316
-rect 415494 691208 415752 691316
-rect 415854 691208 418396 691316
-rect 413348 691076 418396 691208
-rect 413348 690968 415392 691076
-rect 415494 690968 415752 691076
-rect 415854 690968 418396 691076
-rect 413348 689420 418396 690968
-rect 465356 691562 470416 691986
+rect 465390 691562 470416 692464
 rect 510494 691578 525452 695166
-rect 465356 691416 470418 691562
-rect 465356 691308 467296 691416
-rect 467398 691308 467656 691416
-rect 467758 691308 470418 691416
-rect 465356 691176 470418 691308
-rect 465356 691068 467296 691176
-rect 467398 691068 467656 691176
-rect 467758 691068 470418 691176
-rect 465356 690870 470418 691068
-rect 465356 689904 470396 690870
+rect 566592 694960 571574 702300
+rect 546976 692176 571574 694960
+rect 413376 691316 418376 691458
+rect 413376 691208 415460 691316
+rect 415562 691208 415820 691316
+rect 415922 691208 418376 691316
+rect 413376 691076 418376 691208
+rect 413376 690968 415460 691076
+rect 415562 690968 415820 691076
+rect 415922 690968 418376 691076
+rect 413376 690870 418376 690968
+rect 465390 691416 470418 691562
+rect 465390 691308 467360 691416
+rect 467462 691308 467720 691416
+rect 467822 691308 470418 691416
+rect 465390 691176 470418 691308
+rect 465390 691068 467360 691176
+rect 467462 691068 467720 691176
+rect 467822 691068 470418 691176
+rect 465390 690870 470418 691068
+rect 415366 690868 415684 690870
+rect 466794 690864 468150 690870
 rect 413730 688376 414462 688608
 rect 413730 688212 413812 688376
 rect 413996 688212 414212 688376
@@ -3080,27 +2981,25 @@
 rect 517294 686746 519782 691578
 rect 524152 687904 525452 691578
 rect 524152 686746 525424 687904
-rect 413382 685516 418382 685878
-rect 466946 685578 467272 685580
 rect 466650 685576 468836 685578
+rect 413388 685516 418376 685576
 rect 465390 685546 470376 685576
-rect 413382 685408 415606 685516
-rect 415708 685408 415966 685516
-rect 416068 685408 418382 685516
-rect 413382 685276 418382 685408
-rect 413382 685168 415606 685276
-rect 415708 685168 415966 685276
-rect 416068 685168 418382 685276
-rect 413382 685074 418382 685168
+rect 413388 685408 415460 685516
+rect 415562 685408 415820 685516
+rect 415922 685408 418376 685516
+rect 413388 685276 418376 685408
+rect 413388 685168 415460 685276
+rect 415562 685168 415820 685276
+rect 415922 685168 418376 685276
+rect 413388 684800 418376 685168
 rect 465318 685512 470376 685546
-rect 465318 685404 467190 685512
-rect 467292 685404 467550 685512
-rect 467652 685404 470376 685512
+rect 465318 685404 467360 685512
+rect 467462 685404 467720 685512
+rect 467822 685404 470376 685512
 rect 465318 685272 470376 685404
-rect 465318 685164 467190 685272
-rect 467292 685164 467550 685272
-rect 467652 685164 470376 685272
-rect 413388 684800 418376 685074
+rect 465318 685164 467360 685272
+rect 467462 685164 467720 685272
+rect 467822 685164 470376 685272
 rect 465318 684800 470376 685164
 rect 413390 672446 418372 684800
 rect 465318 684084 470372 684800
@@ -3116,137 +3015,208 @@
 rect 447300 667900 451926 667914
 rect 447318 661028 450322 667900
 rect 465390 667864 470394 667914
-rect 529252 669536 531138 696792
-rect 534982 691808 543812 693940
-rect 529252 667346 531270 669536
-rect 438868 658800 441950 659596
-rect 447318 659006 450330 661028
-rect 438868 658322 441984 658800
+rect 438868 657716 441950 659596
+rect 447318 658442 450330 661028
+rect 438868 657608 440260 657716
+rect 440362 657608 440620 657716
+rect 440722 657608 441950 657716
+rect 438868 657476 441950 657608
+rect 438868 657368 440260 657476
+rect 440362 657368 440620 657476
+rect 440722 657368 441950 657476
 rect 225202 656220 227256 657302
+rect 145408 641340 168710 641624
 rect 173466 641340 175738 644306
 rect 216098 641350 221908 641414
 rect 225206 641350 227256 656220
-rect 438908 652464 441984 658322
-rect 447312 652670 450388 659006
-rect 438924 651142 441968 652464
+rect 437730 656376 438466 656608
+rect 438868 656494 441950 657368
+rect 447326 657716 450330 658442
+rect 447326 657608 448860 657716
+rect 448962 657608 449220 657716
+rect 449322 657608 450330 657716
+rect 447326 657476 450330 657608
+rect 447326 657368 448860 657476
+rect 448962 657368 449220 657476
+rect 449322 657368 450330 657476
+rect 437730 656212 437812 656376
+rect 437996 656212 438212 656376
+rect 438396 656212 438466 656376
+rect 437730 655976 438466 656212
+rect 437730 655812 437812 655976
+rect 437996 655812 438212 655976
+rect 438396 655812 438466 655976
+rect 437730 655576 438466 655812
+rect 437730 655412 437812 655576
+rect 437996 655412 438212 655576
+rect 438396 655412 438466 655576
+rect 437730 655176 438466 655412
+rect 437730 655012 437812 655176
+rect 437996 655012 438212 655176
+rect 438396 655012 438466 655176
+rect 442330 656490 443066 656712
+rect 442330 656326 442412 656490
+rect 442596 656326 442812 656490
+rect 442996 656326 443066 656490
+rect 442330 656090 443066 656326
+rect 442330 655926 442412 656090
+rect 442596 655926 442812 656090
+rect 442996 655926 443066 656090
+rect 442330 655690 443066 655926
+rect 442330 655526 442412 655690
+rect 442596 655526 442812 655690
+rect 442996 655526 443066 655690
+rect 442330 655290 443066 655526
+rect 442330 655126 442412 655290
+rect 442596 655126 442822 655290
+rect 443006 655126 443066 655290
+rect 442330 655058 443066 655126
+rect 446130 656376 446866 656608
+rect 447326 656540 450330 657368
+rect 446130 656212 446212 656376
+rect 446396 656212 446612 656376
+rect 446796 656212 446866 656376
+rect 446130 655976 446866 656212
+rect 446130 655812 446212 655976
+rect 446396 655812 446612 655976
+rect 446796 655812 446866 655976
+rect 446130 655576 446866 655812
+rect 446130 655412 446212 655576
+rect 446396 655412 446612 655576
+rect 446796 655412 446866 655576
+rect 446130 655176 446866 655412
+rect 437730 654944 438466 655012
+rect 438924 655022 441930 655024
+rect 438924 654716 441974 655022
+rect 446130 655012 446212 655176
+rect 446396 655012 446612 655176
+rect 446796 655012 446866 655176
+rect 450730 656490 451466 656712
+rect 450730 656326 450812 656490
+rect 450996 656326 451212 656490
+rect 451396 656326 451466 656490
+rect 450730 656090 451466 656326
+rect 450730 655926 450812 656090
+rect 450996 655926 451212 656090
+rect 451396 655926 451466 656090
+rect 450730 655690 451466 655926
+rect 450730 655526 450812 655690
+rect 450996 655526 451212 655690
+rect 451396 655526 451466 655690
+rect 450730 655290 451466 655526
+rect 450730 655126 450812 655290
+rect 450996 655126 451222 655290
+rect 451406 655126 451466 655290
+rect 450730 655058 451466 655126
+rect 446130 654944 446866 655012
+rect 438924 654608 440260 654716
+rect 440362 654608 440620 654716
+rect 440722 654714 441974 654716
+rect 447300 654716 450342 654936
+rect 440722 654608 441968 654714
+rect 438924 654476 441968 654608
+rect 438924 654368 440260 654476
+rect 440362 654368 440620 654476
+rect 440722 654368 441968 654476
+rect 438924 651142 441968 654368
+rect 447300 654608 448860 654716
+rect 448962 654608 449220 654716
+rect 449322 654608 450342 654716
+rect 447300 654476 450342 654608
+rect 447300 654368 448860 654476
+rect 448962 654368 449220 654476
+rect 449322 654368 450342 654476
+rect 447300 653986 450342 654368
 rect 438918 650114 441968 651142
-rect 447316 651142 450320 652670
+rect 447316 651142 450320 653986
 rect 227664 641350 238982 641414
-rect 163350 641316 170068 641340
-rect 160032 641306 170068 641316
+rect 145408 641306 170068 641340
 rect 173362 641316 179734 641340
 rect 216098 641316 238982 641350
 rect 351700 641316 362636 641330
-rect 160032 641300 172954 641306
+rect 145408 641300 172954 641306
 rect 173362 641300 362636 641316
-rect 160032 639526 362636 641300
-rect 160032 633438 354208 639526
+rect 145408 639526 362636 641300
+rect 145408 633438 354208 639526
 rect 360382 633438 362636 639526
-rect 160032 631774 362636 633438
-rect 160032 631742 167582 631774
-rect 177012 631742 362636 631774
+rect 145408 631824 362636 633438
+rect 145408 631774 294570 631824
+rect 145408 631608 168710 631774
+rect 177012 631742 294570 631774
 rect 216098 631660 238982 631742
-rect 351700 631718 362636 631742
-rect 68154 613838 73250 618130
-rect 68150 612936 73250 613838
-rect 68150 593482 73222 612936
-rect 119910 611580 125354 619052
-rect 67942 585606 73258 593482
-rect 119960 587712 125224 611580
-rect 438918 593684 441922 650114
+rect 120152 610410 125224 617090
+rect 120120 608504 125224 610410
+rect 120120 600802 125138 608504
+rect 133624 601160 139256 603044
+rect 120132 599628 125126 600802
+rect 133620 600344 139258 601160
+rect 133620 600104 134560 600344
+rect 134868 600104 135160 600344
+rect 135468 600104 135760 600344
+rect 136068 600104 136360 600344
+rect 136668 600104 136960 600344
+rect 137268 600104 137560 600344
+rect 137868 600104 138160 600344
+rect 138468 600104 139258 600344
+rect 133620 600020 139258 600104
+rect 145042 599640 145378 599646
+rect 120132 599606 132244 599628
+rect 142080 599606 145378 599640
+rect 120132 599596 134274 599606
+rect 120132 599540 134006 599596
+rect 134086 599540 134126 599596
+rect 134206 599540 134274 599596
+rect 120132 599496 134274 599540
+rect 120132 599440 134006 599496
+rect 134086 599440 134126 599496
+rect 134206 599440 134274 599496
+rect 120132 599412 134274 599440
+rect 140370 599596 145378 599606
+rect 140370 599540 140406 599596
+rect 140486 599540 140526 599596
+rect 140606 599540 145378 599596
+rect 140370 599496 145378 599540
+rect 140370 599440 140406 599496
+rect 140486 599440 140526 599496
+rect 140606 599440 145378 599496
+rect 140370 599412 145378 599440
+rect 120132 599370 132244 599412
+rect 133984 599410 134258 599412
+rect 140384 599410 140628 599412
+rect 142080 599394 145378 599412
+rect 120132 599278 125126 599370
+rect 134234 597544 139146 597958
+rect 134234 596998 134622 597544
+rect 135400 596998 135822 597544
+rect 136600 596998 137022 597544
+rect 137800 596998 138222 597544
+rect 139000 596998 139146 597544
+rect 134234 596602 139146 596998
+rect 145042 587836 145378 599394
+rect 208174 587836 211476 587926
+rect 145042 587620 421640 587836
+rect 145042 587562 145378 587620
+rect 208174 587372 211476 587620
+rect 84620 586344 90258 587160
+rect 421178 587112 421598 587620
+rect 84620 586104 85560 586344
+rect 85868 586104 86160 586344
+rect 86468 586104 86760 586344
+rect 87068 586104 87360 586344
+rect 87668 586104 87960 586344
+rect 88268 586104 88560 586344
+rect 88868 586104 89160 586344
+rect 89468 586104 90258 586344
+rect 416354 586782 417192 586912
+rect 416354 586394 416472 586782
+rect 417092 586394 417192 586782
+rect 416354 586296 417192 586394
+rect 438918 586394 441922 650114
 rect 447316 649884 450322 651142
-rect 438918 593576 440252 593684
-rect 440354 593576 440612 593684
-rect 440714 593576 441922 593684
-rect 438918 593444 441922 593576
-rect 438918 593336 440252 593444
-rect 440354 593336 440612 593444
-rect 440714 593336 441922 593444
-rect 438918 592640 441922 593336
-rect 447318 593716 450322 649884
-rect 529258 646566 531270 667346
-rect 535058 646566 536962 691808
-rect 542138 667672 543812 691808
-rect 548912 691712 557742 693844
-rect 529258 645074 536962 646566
-rect 542034 646524 543894 667672
-rect 548972 646524 550582 691712
-rect 556032 647396 557702 691712
-rect 562262 684168 564174 696828
-rect 566584 696820 571618 696828
-rect 566584 693830 571614 696820
-rect 566562 691712 571632 693830
-rect 566562 691416 571616 691712
-rect 566562 691308 569260 691416
-rect 569362 691308 569620 691416
-rect 569722 691308 571616 691416
-rect 566562 691176 571616 691308
-rect 566562 691068 569260 691176
-rect 569362 691068 569620 691176
-rect 569722 691068 571616 691176
-rect 566562 690650 571616 691068
-rect 570922 689592 571352 689764
-rect 570922 689284 571002 689592
-rect 571242 689284 571352 689592
-rect 570922 688992 571352 689284
-rect 570922 688684 571002 688992
-rect 571242 688684 571352 688992
-rect 568130 688376 568866 688598
-rect 568130 688212 568212 688376
-rect 568396 688212 568612 688376
-rect 568796 688212 568866 688376
-rect 568130 687976 568866 688212
-rect 568130 687812 568212 687976
-rect 568396 687812 568612 687976
-rect 568796 687812 568866 687976
-rect 568130 687576 568866 687812
-rect 568130 687412 568212 687576
-rect 568396 687412 568612 687576
-rect 568796 687412 568866 687576
-rect 568130 687176 568866 687412
-rect 568130 687012 568212 687176
-rect 568396 687012 568622 687176
-rect 568806 687012 568866 687176
-rect 568130 686944 568866 687012
-rect 570922 688392 571352 688684
-rect 570922 688084 571002 688392
-rect 571242 688084 571352 688392
-rect 570922 687792 571352 688084
-rect 570922 687484 571002 687792
-rect 571242 687484 571352 687792
-rect 570922 687192 571352 687484
-rect 570922 686884 571002 687192
-rect 571242 686884 571352 687192
-rect 570922 686592 571352 686884
-rect 570922 686284 571002 686592
-rect 571242 686284 571352 686592
-rect 570922 685992 571352 686284
-rect 570922 685684 571002 685992
-rect 571242 685684 571352 685992
-rect 570922 685072 571352 685684
-rect 562262 683814 570108 684168
-rect 562262 683466 569256 683814
-rect 569722 683466 570108 683814
-rect 562262 683252 570108 683466
-rect 562296 681638 570108 683252
-rect 572674 683000 577382 683012
-rect 572674 682984 583000 683000
-rect 572674 677996 584800 682984
-rect 572674 676228 577382 677996
-rect 582300 677984 584800 677996
-rect 562824 675840 577382 676228
-rect 562780 671002 577382 675840
-rect 562780 670958 576172 671002
-rect 562780 668898 564676 670958
-rect 562780 660762 564594 668898
-rect 562862 647396 564532 660762
-rect 556032 647266 564600 647396
-rect 542034 645242 550582 646524
-rect 556056 645904 564600 647266
-rect 529258 645056 531270 645074
-rect 535058 644996 536962 645074
-rect 542038 645032 550582 645242
-rect 548972 644982 550582 645032
+rect 447318 586662 450322 649884
+rect 547102 632150 550106 692176
+rect 566592 692132 571574 692176
+rect 582300 677984 584800 682984
 rect 565206 644596 576142 646006
 rect 565206 644584 583128 644596
 rect 565206 644560 584800 644584
@@ -3258,232 +3228,157 @@
 rect 564368 634590 576142 639756
 rect 564368 634584 583082 634590
 rect 564368 634562 584800 634584
+rect 547102 629150 550128 632150
 rect 564368 632060 567668 634562
-rect 565206 629758 567668 632060
-rect 573722 629784 584800 634562
-rect 573722 629758 576142 629784
-rect 565206 627532 576142 629758
-rect 447318 593608 448600 593716
-rect 448702 593608 448960 593716
-rect 449062 593608 450322 593716
-rect 447318 593476 450322 593608
-rect 447318 593368 448600 593476
-rect 448702 593368 448960 593476
-rect 449062 593368 450322 593476
-rect 438920 592518 441916 592640
-rect 447318 592624 450322 593368
-rect 437730 592148 438466 592380
-rect 437730 591984 437812 592148
-rect 437996 591984 438212 592148
-rect 438396 591984 438466 592148
-rect 437730 591748 438466 591984
-rect 437730 591584 437812 591748
-rect 437996 591584 438212 591748
-rect 438396 591584 438466 591748
-rect 437730 591348 438466 591584
-rect 437730 591184 437812 591348
-rect 437996 591184 438212 591348
-rect 438396 591184 438466 591348
-rect 437730 590948 438466 591184
-rect 437730 590784 437812 590948
-rect 437996 590784 438212 590948
-rect 438396 590784 438466 590948
-rect 442330 592244 443066 592420
-rect 442330 592080 442412 592244
-rect 442596 592080 442812 592244
-rect 442996 592080 443066 592244
-rect 442330 591844 443066 592080
-rect 442330 591680 442412 591844
-rect 442596 591680 442812 591844
-rect 442996 591680 443066 591844
-rect 442330 591444 443066 591680
-rect 442330 591280 442412 591444
-rect 442596 591280 442812 591444
-rect 442996 591280 443066 591444
-rect 442330 591044 443066 591280
-rect 442330 590880 442412 591044
-rect 442596 590880 442822 591044
-rect 443006 590880 443066 591044
-rect 442330 590812 443066 590880
-rect 446130 592148 446866 592380
-rect 446130 591984 446212 592148
-rect 446396 591984 446612 592148
-rect 446796 591984 446866 592148
-rect 446130 591748 446866 591984
-rect 446130 591584 446212 591748
-rect 446396 591584 446612 591748
-rect 446796 591584 446866 591748
-rect 446130 591348 446866 591584
-rect 446130 591184 446212 591348
-rect 446396 591184 446612 591348
-rect 446796 591184 446866 591348
-rect 446130 590948 446866 591184
-rect 437730 590716 438466 590784
-rect 446130 590784 446212 590948
-rect 446396 590784 446612 590948
-rect 446796 590784 446866 590948
-rect 450730 592244 451466 592420
-rect 450730 592080 450812 592244
-rect 450996 592080 451212 592244
-rect 451396 592080 451466 592244
-rect 450730 591844 451466 592080
-rect 450730 591680 450812 591844
-rect 450996 591680 451212 591844
-rect 451396 591680 451466 591844
-rect 450730 591444 451466 591680
-rect 450730 591280 450812 591444
-rect 450996 591280 451212 591444
-rect 451396 591280 451466 591444
-rect 450730 591044 451466 591280
-rect 450730 590880 450812 591044
-rect 450996 590880 451222 591044
-rect 451406 590880 451466 591044
-rect 450730 590812 451466 590880
-rect 446130 590716 446866 590784
-rect 439822 590556 441246 590562
-rect 448222 590556 449646 590562
-rect 438918 590212 441922 590556
-rect 438918 590104 440260 590212
-rect 440362 590104 440620 590212
-rect 440722 590104 441922 590212
-rect 438918 589972 441922 590104
-rect 438918 589864 440260 589972
-rect 440362 589864 440620 589972
-rect 440722 589864 441922 589972
-rect 405388 588544 410080 588654
-rect 405388 588304 405560 588544
-rect 405868 588304 406160 588544
-rect 406468 588304 406760 588544
-rect 407068 588304 407360 588544
-rect 407668 588304 407960 588544
-rect 408268 588304 408560 588544
-rect 408868 588304 409160 588544
-rect 409468 588304 410080 588544
-rect 405388 588224 410080 588304
-rect 415556 587732 415682 587738
-rect 225668 587714 233592 587722
-rect 218102 587712 233592 587714
-rect 404834 587712 405254 587728
-rect 119960 587696 405254 587712
-rect 409972 587710 411912 587714
-rect 415556 587712 417000 587732
-rect 412282 587710 417000 587712
-rect 409972 587706 421224 587710
-rect 119960 587640 405006 587696
-rect 405086 587640 405126 587696
-rect 405206 587640 405254 587696
-rect 119960 587596 405254 587640
-rect 119960 587540 405006 587596
-rect 405086 587540 405126 587596
-rect 405206 587540 405254 587596
-rect 119960 587528 405254 587540
-rect 119960 587522 226026 587528
-rect 119960 587518 203610 587522
-rect 210580 587520 226026 587522
-rect 210580 587518 218504 587520
-rect 230148 587518 405254 587528
-rect 119960 587514 129408 587518
-rect 404286 587516 405254 587518
-rect 119960 587492 125224 587514
-rect 404834 587472 405254 587516
-rect 409966 587700 421224 587706
-rect 409966 587696 421478 587700
-rect 409966 587640 409990 587696
-rect 410070 587640 410110 587696
-rect 410190 587640 421478 587696
-rect 409966 587596 421478 587640
-rect 409966 587540 409990 587596
-rect 410070 587540 410110 587596
-rect 410190 587540 421478 587596
-rect 409966 587518 421478 587540
-rect 409966 587512 412420 587518
-rect 415568 587516 421478 587518
-rect 415568 587512 417000 587516
-rect 409968 587510 410212 587512
-rect 411726 587510 412420 587512
-rect 421078 586934 421478 587516
-rect 405388 586344 410080 586454
-rect 405388 586104 405560 586344
-rect 405868 586104 406160 586344
-rect 406468 586104 406760 586344
-rect 407068 586104 407360 586344
-rect 407668 586104 407960 586344
-rect 408268 586104 408560 586344
-rect 408868 586104 409160 586344
-rect 409468 586104 410080 586344
-rect 405388 586024 410080 586104
-rect 416354 586336 417192 586444
-rect 438918 586394 441922 589864
-rect 447318 590212 450322 590556
-rect 447318 590104 448588 590212
-rect 448690 590104 448948 590212
-rect 449050 590104 450322 590212
-rect 447318 589972 450322 590104
-rect 447318 589864 448588 589972
-rect 448690 589864 448948 589972
-rect 449050 589864 450322 589972
-rect 447318 586662 450322 589864
-rect 583520 589472 584800 589584
-rect 583520 588290 584800 588402
-rect 583520 587108 584800 587220
 rect 447316 586398 450322 586662
 rect 438918 586356 438974 586394
-rect 416354 585948 416472 586336
-rect 417092 585948 417192 586336
+rect 84620 586020 90258 586104
 rect 438908 586180 438974 586356
 rect 441846 586356 441922 586394
 rect 441846 586180 441924 586356
 rect 438908 586102 441924 586180
 rect 447312 586048 450322 586398
-rect 416354 585850 417192 585948
-rect 416094 585606 417324 585612
-rect 67942 585604 218504 585606
-rect 230148 585604 405258 585606
-rect 67942 585596 405258 585604
-rect 67942 585540 405006 585596
-rect 405086 585540 405126 585596
-rect 405206 585540 405258 585596
-rect 67942 585496 405258 585540
-rect 67942 585440 405006 585496
-rect 405086 585440 405126 585496
-rect 405206 585440 405258 585496
-rect 67942 585412 405258 585440
-rect 409974 585596 417324 585606
-rect 409974 585540 409998 585596
-rect 410078 585540 410118 585596
-rect 410198 585540 417324 585596
-rect 409974 585496 417324 585540
-rect 409974 585440 409998 585496
-rect 410078 585440 410118 585496
-rect 410198 585440 417324 585496
-rect 409974 585416 417324 585440
-rect 409974 585412 416016 585416
-rect 416354 585414 417186 585416
+rect 127102 585626 129270 585630
+rect 115776 585620 129270 585626
+rect 104672 585606 129270 585620
+rect 415786 585614 416282 585710
+rect 415786 585608 417586 585614
+rect 415786 585606 415878 585608
+rect 67914 585596 85274 585606
+rect 67914 585540 85006 585596
+rect 85086 585540 85126 585596
+rect 85206 585540 85274 585596
+rect 67914 585496 85274 585540
+rect 67914 585440 85006 585496
+rect 85086 585440 85126 585496
+rect 85206 585440 85274 585496
+rect 67914 585412 85274 585440
+rect 91370 585604 218504 585606
+rect 230148 585604 402784 585606
+rect 91370 585600 402784 585604
+rect 412402 585600 415878 585606
+rect 91370 585596 415878 585600
+rect 91370 585540 91406 585596
+rect 91486 585540 91526 585596
+rect 91606 585540 415878 585596
+rect 91370 585496 415878 585540
+rect 91370 585440 91406 585496
+rect 91486 585440 91526 585496
+rect 91606 585440 415878 585496
+rect 91370 585422 415878 585440
+rect 416190 585422 417586 585608
+rect 91370 585412 417586 585422
 rect 447316 585414 450318 586048
-rect 583520 585926 584800 586038
-rect 67942 585282 73258 585412
+rect 67914 585282 73258 585412
+rect 84984 585410 85258 585412
+rect 91384 585410 91628 585412
+rect 104672 585386 129270 585412
 rect 218288 585410 230804 585412
-rect 404984 585410 405258 585412
-rect 409976 585410 410220 585412
-rect 415798 585408 416016 585412
-rect 416356 585216 417188 585316
-rect 416356 584828 416474 585216
-rect 417094 584828 417188 585216
-rect 416356 584730 417188 584828
+rect 402662 585406 412608 585412
+rect 104672 585380 116174 585386
+rect 118856 585382 122066 585386
+rect 127102 585374 129270 585386
+rect 415786 585344 416282 585412
+rect 67914 567806 73226 585282
 rect 447312 585258 450318 585414
+rect 416354 585072 417186 585172
+rect 416354 584684 416472 585072
+rect 417092 584684 417186 585072
 rect 447312 585042 450316 585258
 rect 447312 584840 447368 585042
 rect 450242 584840 450316 585042
 rect 447312 584780 450316 584840
+rect 416354 584586 417186 584684
+rect 85234 583544 90146 583958
+rect 85234 582998 85622 583544
+rect 86400 582998 86822 583544
+rect 87600 582998 88022 583544
+rect 88800 582998 89222 583544
+rect 90000 582998 90146 583544
+rect 85234 582602 90146 582998
+rect 101388 568744 106034 569082
+rect 101388 568504 101854 568744
+rect 102162 568504 102454 568744
+rect 102762 568504 103054 568744
+rect 103362 568504 103654 568744
+rect 103962 568504 104254 568744
+rect 104562 568504 104854 568744
+rect 105162 568504 105454 568744
+rect 105762 568504 106034 568744
+rect 101388 568420 106034 568504
+rect 107094 568744 111740 569082
+rect 107094 568504 107560 568744
+rect 107868 568504 108160 568744
+rect 108468 568504 108760 568744
+rect 109068 568504 109360 568744
+rect 109668 568504 109960 568744
+rect 110268 568504 110560 568744
+rect 110868 568504 111160 568744
+rect 111468 568504 111740 568744
+rect 107094 568420 111740 568504
+rect 116646 567854 124748 567860
+rect 547124 567854 550128 629150
+rect 565206 629758 567668 632060
+rect 573722 629784 584800 634562
+rect 573722 629758 576142 629784
+rect 565206 627532 576142 629758
+rect 583520 589472 584800 589584
+rect 583520 588290 584800 588402
+rect 583520 587108 584800 587220
+rect 583520 585926 584800 586038
 rect 583520 584744 584800 584856
 rect 583520 583562 584800 583674
-rect 405234 581174 410146 581588
-rect 405234 580628 405622 581174
-rect 406400 580628 406822 581174
-rect 407600 580628 408022 581174
-rect 408800 580628 409222 581174
-rect 410000 580628 410146 581174
-rect 405234 580232 410146 580628
+rect 113382 567844 550128 567854
+rect 102338 567806 102860 567808
+rect 67914 567796 103076 567806
+rect 67914 567740 102864 567796
+rect 102944 567740 102984 567796
+rect 103064 567740 103076 567796
+rect 67914 567696 103076 567740
+rect 67914 567640 102864 567696
+rect 102944 567640 102984 567696
+rect 103064 567640 103076 567696
+rect 67914 567610 103076 567640
+rect 103986 567796 107220 567806
+rect 103986 567740 104006 567796
+rect 104086 567740 104126 567796
+rect 104206 567740 107006 567796
+rect 107086 567740 107126 567796
+rect 107206 567740 107220 567796
+rect 103986 567696 107220 567740
+rect 103986 567640 104006 567696
+rect 104086 567640 104126 567696
+rect 104206 567640 107006 567696
+rect 107086 567640 107126 567696
+rect 107206 567640 107220 567696
+rect 113382 567788 113406 567844
+rect 113486 567788 113526 567844
+rect 113606 567788 550128 567844
+rect 113382 567744 550128 567788
+rect 113382 567688 113406 567744
+rect 113486 567688 113526 567744
+rect 113606 567688 550128 567744
+rect 113382 567658 550128 567688
+rect 113472 567654 113606 567658
+rect 116646 567640 124748 567658
+rect 547124 567642 550128 567658
+rect 103986 567610 107220 567640
+rect 67914 567600 73226 567610
+rect 102338 567606 102860 567610
+rect 101244 565582 106156 565996
+rect 101244 565036 101632 565582
+rect 102410 565036 102832 565582
+rect 103610 565036 104032 565582
+rect 104810 565036 105232 565582
+rect 106010 565036 106156 565582
+rect 101244 564640 106156 565036
+rect 107234 565544 112146 565958
+rect 107234 564998 107622 565544
+rect 108400 564998 108822 565544
+rect 109600 564998 110022 565544
+rect 110800 564998 111222 565544
+rect 112000 564998 112146 565544
+rect 107234 564602 112146 564998
 rect -800 559442 1660 564242
 rect -800 549442 1660 554242
 rect 582340 550562 584800 555362
@@ -3645,14 +3540,26 @@
 rect -800 1544 480 1656
 rect 583520 1544 584800 1656
 << via3 >>
-rect 119008 695156 119292 695430
-rect 126230 695110 126562 695464
-rect 67008 687156 67292 687430
-rect 74230 687110 74562 687464
-rect 70008 673458 70292 673732
-rect 71368 673402 71700 673756
-rect 121808 673220 122092 673494
-rect 123302 673110 123634 673464
+rect 64982 663398 65968 663462
+rect 64982 662620 65052 663398
+rect 65052 662620 65904 663398
+rect 65904 662620 65968 663398
+rect 64982 662522 65968 662620
+rect 116982 695398 117968 695462
+rect 116982 694620 117052 695398
+rect 117052 694620 117904 695398
+rect 117904 694620 117968 695398
+rect 116982 694522 117968 694620
+rect 127208 695500 128194 695564
+rect 127208 694722 127278 695500
+rect 127278 694722 128130 695500
+rect 128130 694722 128194 695500
+rect 127208 694624 128194 694722
+rect 75208 663500 76194 663564
+rect 75208 662722 75278 663500
+rect 75278 662722 76130 663500
+rect 76130 662722 76194 663500
+rect 75208 662624 76194 662722
 rect 171186 656290 172478 663610
 rect 222764 656624 224356 664238
 rect 413812 688212 413996 688376
@@ -3689,130 +3596,124 @@
 rect 468622 687012 468806 687176
 rect 512924 686746 517294 691578
 rect 519782 686746 524152 691578
+rect 437812 656212 437996 656376
+rect 438212 656212 438396 656376
+rect 437812 655812 437996 655976
+rect 438212 655812 438396 655976
+rect 437812 655412 437996 655576
+rect 438212 655412 438396 655576
+rect 437812 655012 437996 655176
+rect 438212 655012 438396 655176
+rect 442412 656326 442596 656490
+rect 442812 656326 442996 656490
+rect 442412 655926 442596 656090
+rect 442812 655926 442996 656090
+rect 442412 655526 442596 655690
+rect 442812 655526 442996 655690
+rect 442412 655126 442596 655290
+rect 442822 655126 443006 655290
+rect 446212 656212 446396 656376
+rect 446612 656212 446796 656376
+rect 446212 655812 446396 655976
+rect 446612 655812 446796 655976
+rect 446212 655412 446396 655576
+rect 446612 655412 446796 655576
+rect 446212 655012 446396 655176
+rect 446612 655012 446796 655176
+rect 450812 656326 450996 656490
+rect 451212 656326 451396 656490
+rect 450812 655926 450996 656090
+rect 451212 655926 451396 656090
+rect 450812 655526 450996 655690
+rect 451212 655526 451396 655690
+rect 450812 655126 450996 655290
+rect 451222 655126 451406 655290
 rect 354208 633438 360382 639526
-rect 571002 689284 571242 689592
-rect 571002 688684 571242 688992
-rect 568212 688212 568396 688376
-rect 568612 688212 568796 688376
-rect 568212 687812 568396 687976
-rect 568612 687812 568796 687976
-rect 568212 687412 568396 687576
-rect 568612 687412 568796 687576
-rect 568212 687012 568396 687176
-rect 568622 687012 568806 687176
-rect 571002 688084 571242 688392
-rect 571002 687484 571242 687792
-rect 571002 686884 571242 687192
-rect 571002 686284 571242 686592
-rect 571002 685684 571242 685992
+rect 134560 600104 134868 600344
+rect 135160 600104 135468 600344
+rect 135760 600104 136068 600344
+rect 136360 600104 136668 600344
+rect 136960 600104 137268 600344
+rect 137560 600104 137868 600344
+rect 138160 600104 138468 600344
+rect 134622 596998 135400 597544
+rect 135822 596998 136600 597544
+rect 137022 596998 137800 597544
+rect 138222 596998 139000 597544
+rect 85560 586104 85868 586344
+rect 86160 586104 86468 586344
+rect 86760 586104 87068 586344
+rect 87360 586104 87668 586344
+rect 87960 586104 88268 586344
+rect 88560 586104 88868 586344
+rect 89160 586104 89468 586344
+rect 416472 586394 417092 586782
 rect 567706 639756 573760 644560
+rect 416472 584684 417092 585072
+rect 85622 582998 86400 583544
+rect 86822 582998 87600 583544
+rect 88022 582998 88800 583544
+rect 89222 582998 90000 583544
+rect 101854 568504 102162 568744
+rect 102454 568504 102762 568744
+rect 103054 568504 103362 568744
+rect 103654 568504 103962 568744
+rect 104254 568504 104562 568744
+rect 104854 568504 105162 568744
+rect 105454 568504 105762 568744
+rect 107560 568504 107868 568744
+rect 108160 568504 108468 568744
+rect 108760 568504 109068 568744
+rect 109360 568504 109668 568744
+rect 109960 568504 110268 568744
+rect 110560 568504 110868 568744
+rect 111160 568504 111468 568744
 rect 567668 629758 573722 634562
-rect 437812 591984 437996 592148
-rect 438212 591984 438396 592148
-rect 437812 591584 437996 591748
-rect 438212 591584 438396 591748
-rect 437812 591184 437996 591348
-rect 438212 591184 438396 591348
-rect 437812 590784 437996 590948
-rect 438212 590784 438396 590948
-rect 442412 592080 442596 592244
-rect 442812 592080 442996 592244
-rect 442412 591680 442596 591844
-rect 442812 591680 442996 591844
-rect 442412 591280 442596 591444
-rect 442812 591280 442996 591444
-rect 442412 590880 442596 591044
-rect 442822 590880 443006 591044
-rect 446212 591984 446396 592148
-rect 446612 591984 446796 592148
-rect 446212 591584 446396 591748
-rect 446612 591584 446796 591748
-rect 446212 591184 446396 591348
-rect 446612 591184 446796 591348
-rect 446212 590784 446396 590948
-rect 446612 590784 446796 590948
-rect 450812 592080 450996 592244
-rect 451212 592080 451396 592244
-rect 450812 591680 450996 591844
-rect 451212 591680 451396 591844
-rect 450812 591280 450996 591444
-rect 451212 591280 451396 591444
-rect 450812 590880 450996 591044
-rect 451222 590880 451406 591044
-rect 405560 588304 405868 588544
-rect 406160 588304 406468 588544
-rect 406760 588304 407068 588544
-rect 407360 588304 407668 588544
-rect 407960 588304 408268 588544
-rect 408560 588304 408868 588544
-rect 409160 588304 409468 588544
-rect 405560 586104 405868 586344
-rect 406160 586104 406468 586344
-rect 406760 586104 407068 586344
-rect 407360 586104 407668 586344
-rect 407960 586104 408268 586344
-rect 408560 586104 408868 586344
-rect 409160 586104 409468 586344
-rect 416472 585948 417092 586336
-rect 416474 584828 417094 585216
-rect 405622 580628 406400 581174
-rect 406822 580628 407600 581174
-rect 408022 580628 408800 581174
-rect 409222 580628 410000 581174
+rect 101632 565036 102410 565582
+rect 102832 565036 103610 565582
+rect 104032 565036 104810 565582
+rect 105232 565036 106010 565582
+rect 107622 564998 108400 565544
+rect 108822 564998 109600 565544
+rect 110022 564998 110800 565544
+rect 111222 564998 112000 565544
 << metal4 >>
-rect 165594 702276 170594 704800
-rect 175896 704714 180894 704800
-rect 175894 702434 180894 704714
+rect 165594 702540 170594 704800
+rect 141374 696222 153568 702508
+rect 128194 696172 153568 696222
+rect 116600 695542 118404 695994
+rect 116600 694458 116918 695542
+rect 118020 694458 118404 695542
+rect 116600 694138 118404 694458
+rect 126746 695564 153568 696172
+rect 126746 694624 127208 695564
+rect 128194 694624 153568 695564
+rect 126746 694244 153568 694624
+rect 126826 694240 128630 694244
+rect 74746 664108 78146 664172
+rect 84288 664108 96474 681736
+rect 141374 681172 153568 694244
+rect 165552 702300 170594 702540
+rect 175894 702434 180894 704800
+rect 175862 702300 180894 702434
 rect 217294 702970 222294 704800
-rect 217294 702300 222298 702970
+rect 217294 702300 222336 702970
 rect 227594 702926 232594 704800
-rect 165596 702268 170594 702276
-rect 165596 702164 170596 702268
-rect 165594 700170 170596 702164
-rect 74154 687464 74702 687572
-rect 86764 687466 98118 697602
-rect 126154 695464 126702 695572
-rect 118982 695430 119314 695454
-rect 118982 695156 119008 695430
-rect 119292 695156 119314 695430
-rect 118982 695134 119314 695156
-rect 126154 695110 126230 695464
-rect 126562 695454 126702 695464
-rect 136728 695456 148082 698648
-rect 165578 698240 170596 700170
-rect 217306 700998 222298 702300
-rect 128578 695454 148082 695456
-rect 126562 695134 148082 695454
-rect 126562 695110 126698 695134
-rect 128578 695132 148082 695134
-rect 126154 694992 126698 695110
-rect 66982 687430 67314 687454
-rect 66982 687156 67008 687430
-rect 67292 687156 67314 687430
-rect 66982 687134 67314 687156
-rect 74154 687110 74230 687464
-rect 74562 687454 74702 687464
-rect 75168 687454 98118 687466
-rect 74562 687134 98118 687454
-rect 74562 687110 74698 687134
-rect 74154 686992 74698 687110
-rect 86764 676416 98118 687134
-rect 86766 674816 98118 676416
-rect 136728 676412 148082 695132
-rect 217306 684124 222336 700998
-rect 170578 677212 175880 682686
-rect 175818 677200 175880 677212
-rect 180902 682660 187876 682686
+rect 165552 696162 170568 702300
+rect 165552 693934 170584 696162
+rect 165554 682686 170584 693934
+rect 175862 682686 180868 702300
+rect 217306 684124 222336 702300
+rect 165508 682660 187874 682686
 rect 217280 682660 222336 684124
 rect 227582 694624 232594 702926
 rect 318994 702300 323994 704800
 rect 329294 702300 334294 704800
 rect 227582 682660 232548 694624
-rect 402706 694056 464752 696958
+rect 402706 694056 476700 696958
 rect 402738 688608 404890 694056
-rect 463316 693774 464752 694056
 rect 412670 688608 414760 688612
-rect 463316 688610 464766 693774
+rect 463316 688610 464766 694056
 rect 511622 691578 524816 694356
 rect 402692 688376 414760 688608
 rect 463308 688608 465444 688610
@@ -3854,12 +3755,23 @@
 rect 416120 686950 416568 686962
 rect 416852 686950 416882 687254
 rect 416120 686948 416882 686950
-rect 180902 682658 191412 682660
+rect 165508 682658 191410 682660
 rect 208250 682658 232548 682660
-rect 180902 677212 191572 682658
-rect 180902 677200 181542 677212
-rect 185920 677168 191572 677212
-rect 186724 676918 191572 677168
+rect 64600 663542 66404 663994
+rect 64600 662458 64918 663542
+rect 66020 662458 66404 663542
+rect 64600 662138 66404 662458
+rect 74746 663564 96474 664108
+rect 74746 662624 75208 663564
+rect 76194 662624 96474 663564
+rect 74746 662262 96474 662624
+rect 74746 662244 78146 662262
+rect 74826 662240 76630 662244
+rect 84288 641240 96474 662262
+rect 141380 641240 153566 681172
+rect 165508 677212 191570 682658
+rect 185918 677168 191570 677212
+rect 186722 676918 191570 677168
 rect 204138 677168 232548 682658
 rect 402730 681322 404890 686948
 rect 412670 686942 414760 686948
@@ -3908,90 +3820,8 @@
 rect 511622 686746 512924 691578
 rect 517294 686746 519782 691578
 rect 524152 686746 524816 691578
-rect 571158 690202 575926 690212
-rect 570574 689592 575926 690202
-rect 570574 689284 571002 689592
-rect 571242 689284 575926 689592
-rect 570574 688992 575926 689284
-rect 570574 688684 571002 688992
-rect 571242 688684 575926 688992
-rect 568124 688598 568882 688604
-rect 568120 688376 568882 688598
-rect 568120 688212 568212 688376
-rect 568396 688212 568612 688376
-rect 568796 688212 568882 688376
-rect 568120 688050 568882 688212
-rect 568120 688042 568560 688050
-rect 568120 687738 568166 688042
-rect 568450 687746 568560 688042
-rect 568844 687746 568882 688050
-rect 568450 687738 568882 687746
-rect 568120 687576 568882 687738
-rect 568120 687412 568212 687576
-rect 568396 687412 568612 687576
-rect 568796 687412 568882 687576
-rect 568120 687258 568882 687412
-rect 568120 686962 568156 687258
-rect 568484 687254 568882 687258
-rect 568484 686962 568568 687254
-rect 568120 686950 568568 686962
-rect 568852 686950 568882 687254
-rect 568120 686948 568882 686950
-rect 568124 686946 568882 686948
-rect 570574 688392 575926 688684
-rect 570574 688084 571002 688392
-rect 571242 688084 575926 688392
-rect 570574 687792 575926 688084
-rect 570574 687484 571002 687792
-rect 571242 687484 575926 687792
-rect 570574 687192 575926 687484
 rect 511622 684546 524816 686746
-rect 570574 686884 571002 687192
-rect 571242 686884 575926 687192
-rect 570574 686592 575926 686884
-rect 570574 686284 571002 686592
-rect 571242 686284 575926 686592
-rect 570574 685992 575926 686284
-rect 570574 685684 571002 685992
-rect 571242 685684 575926 685992
-rect 570574 685480 575926 685684
-rect 570574 683716 575942 685480
 rect 204138 676918 209704 677168
-rect 71292 673756 71840 673864
-rect 78430 673758 81324 673770
-rect 86764 673758 98118 674816
-rect 69982 673732 70314 673756
-rect 69982 673458 70008 673732
-rect 70292 673458 70314 673732
-rect 69982 673436 70314 673458
-rect 71292 673402 71368 673756
-rect 71700 673746 71840 673756
-rect 75168 673746 98118 673758
-rect 71700 673426 98118 673746
-rect 71700 673402 71836 673426
-rect 71292 673284 71836 673402
-rect 86764 648996 98118 673426
-rect 103262 673632 111234 675078
-rect 136838 674412 148082 676412
-rect 119710 673632 121470 673634
-rect 103262 673494 122298 673632
-rect 103262 673220 121808 673494
-rect 122092 673220 122298 673494
-rect 103262 673068 122298 673220
-rect 123226 673464 123774 673572
-rect 123226 673110 123302 673464
-rect 123634 673454 123774 673464
-rect 136728 673456 148082 674412
-rect 128578 673454 148082 673456
-rect 123634 673134 148082 673454
-rect 123634 673110 123770 673134
-rect 128578 673132 148082 673134
-rect 103262 661186 111234 673068
-rect 123226 672992 123770 673110
-rect 99488 655198 111234 661186
-rect 99464 654946 111234 655198
-rect 99464 653892 111288 654946
-rect 136728 650176 148082 673132
 rect 222268 664238 224752 664696
 rect 170584 663610 172824 664214
 rect 170584 656290 171186 663610
@@ -4006,186 +3836,219 @@
 rect 222268 656624 222764 658966
 rect 224356 656624 224752 664238
 rect 222268 656278 224752 656624
-rect 86738 641952 98130 648996
-rect 86738 641660 120688 641952
-rect 136728 641660 148074 650176
-rect 86738 641628 148074 641660
-rect 151874 641628 353834 641660
-rect 86738 641330 353834 641628
 rect 402730 641404 404778 681322
-rect 570592 646006 575942 683716
+rect 442324 656712 443082 656718
+rect 450724 656712 451482 656718
+rect 437706 656562 438460 656608
+rect 434474 656552 438460 656562
+rect 431788 656376 438460 656552
+rect 431788 656212 437812 656376
+rect 437996 656212 438212 656376
+rect 438396 656212 438460 656376
+rect 431788 655976 438460 656212
+rect 431788 655812 437812 655976
+rect 437996 655812 438212 655976
+rect 438396 655812 438460 655976
+rect 431788 655576 438460 655812
+rect 431788 655412 437812 655576
+rect 437996 655412 438212 655576
+rect 438396 655412 438460 655576
+rect 431788 655176 438460 655412
+rect 431788 655012 437812 655176
+rect 437996 655012 438212 655176
+rect 438396 655012 438460 655176
+rect 442320 656490 443082 656712
+rect 446106 656562 446860 656608
+rect 445486 656560 446860 656562
+rect 442320 656326 442412 656490
+rect 442596 656326 442812 656490
+rect 442996 656326 443082 656490
+rect 442320 656164 443082 656326
+rect 442320 656156 442760 656164
+rect 442320 655852 442366 656156
+rect 442650 655860 442760 656156
+rect 443044 655860 443082 656164
+rect 442650 655852 443082 655860
+rect 442320 655690 443082 655852
+rect 442320 655526 442412 655690
+rect 442596 655526 442812 655690
+rect 442996 655526 443082 655690
+rect 442320 655372 443082 655526
+rect 442320 655076 442356 655372
+rect 442684 655368 443082 655372
+rect 442684 655076 442768 655368
+rect 442320 655064 442768 655076
+rect 443052 655064 443082 655368
+rect 442320 655062 443082 655064
+rect 442324 655060 443082 655062
+rect 443936 656376 446860 656560
+rect 443936 656212 446212 656376
+rect 446396 656212 446612 656376
+rect 446796 656212 446860 656376
+rect 443936 655976 446860 656212
+rect 443936 655812 446212 655976
+rect 446396 655812 446612 655976
+rect 446796 655812 446860 655976
+rect 443936 655576 446860 655812
+rect 443936 655412 446212 655576
+rect 446396 655412 446612 655576
+rect 446796 655412 446860 655576
+rect 443936 655176 446860 655412
+rect 431788 654976 438460 655012
+rect 431788 641404 433780 654976
+rect 437706 654948 438460 654976
+rect 443936 655012 446212 655176
+rect 446396 655012 446612 655176
+rect 446796 655012 446860 655176
+rect 450720 656490 451482 656712
+rect 450720 656326 450812 656490
+rect 450996 656326 451212 656490
+rect 451396 656326 451482 656490
+rect 450720 656164 451482 656326
+rect 450720 656156 451160 656164
+rect 450720 655852 450766 656156
+rect 451050 655860 451160 656156
+rect 451444 655860 451482 656164
+rect 451050 655852 451482 655860
+rect 450720 655690 451482 655852
+rect 450720 655526 450812 655690
+rect 450996 655526 451212 655690
+rect 451396 655526 451482 655690
+rect 450720 655372 451482 655526
+rect 450720 655076 450756 655372
+rect 451084 655368 451482 655372
+rect 451084 655076 451168 655368
+rect 450720 655064 451168 655076
+rect 451452 655064 451482 655368
+rect 450720 655062 451482 655064
+rect 450724 655060 451482 655062
+rect 443936 654976 446860 655012
+rect 443936 641404 445822 654976
+rect 446106 654948 446860 654976
 rect 565206 644560 576142 646006
 rect 565206 641590 567706 644560
-rect 431788 641404 433780 641410
 rect 476622 641404 567706 641590
-rect 86738 641284 362636 641330
-rect 400454 641284 443936 641404
-rect 86738 641242 443936 641284
-rect 445822 641242 567706 641404
-rect 86738 639756 567706 641242
+rect 351700 641284 362636 641330
+rect 400454 641284 567706 641404
+rect 351700 641240 567706 641284
+rect 84288 639756 567706 641240
 rect 573760 639756 576142 644560
-rect 86738 639526 576142 639756
-rect 86738 633438 354208 639526
+rect 84288 639526 576142 639756
+rect 84288 633438 354208 639526
 rect 360382 634562 576142 639526
 rect 360382 633438 567668 634562
-rect 86738 632060 567668 633438
-rect 86738 631874 495080 632060
-rect 86738 631718 362636 631874
-rect 402730 631866 404778 631874
-rect 86738 631234 353834 631718
-rect 86738 631118 98130 631234
-rect 116546 631184 353834 631234
-rect 116546 631162 135504 631184
-rect 151526 631162 353834 631184
-rect 116546 631140 135360 631162
-rect 186722 630690 191570 631162
-rect 204138 630690 209704 631162
-rect 186722 585092 191570 604984
-rect 204138 591614 209704 604984
-rect 204098 590968 209704 591614
-rect 417434 592326 424178 631874
+rect 84288 632060 567668 633438
+rect 84288 631874 495080 632060
+rect 84288 631824 374398 631874
+rect 402730 631868 404778 631874
+rect 84288 630674 294570 631824
+rect 84288 630456 96474 630674
+rect 84472 586344 90336 630456
+rect 84472 586130 85560 586344
+rect 85148 586104 85560 586130
+rect 85868 586104 86160 586344
+rect 86468 586104 86760 586344
+rect 87068 586104 87360 586344
+rect 87668 586104 87960 586344
+rect 88268 586104 88560 586344
+rect 88868 586104 89160 586344
+rect 89468 586130 90336 586344
+rect 101248 587156 111738 630674
+rect 133558 603044 139362 630674
+rect 186722 630654 191570 630674
+rect 204138 630654 209704 630674
+rect 133472 602336 139362 603044
+rect 133472 600344 139336 602336
+rect 133472 600130 134560 600344
+rect 134148 600104 134560 600130
+rect 134868 600104 135160 600344
+rect 135468 600104 135760 600344
+rect 136068 600104 136360 600344
+rect 136668 600104 136960 600344
+rect 137268 600104 137560 600344
+rect 137868 600104 138160 600344
+rect 138468 600130 139336 600344
+rect 138468 600104 139080 600130
+rect 134148 600024 139080 600104
+rect 134148 600014 134494 600024
+rect 134234 597544 139146 597958
+rect 134234 596998 134622 597544
+rect 135400 596998 135822 597544
+rect 136600 596998 137022 597544
+rect 137800 596998 138222 597544
+rect 139000 596998 139146 597544
+rect 134234 596602 139146 596998
+rect 417434 591470 424178 631874
 rect 565206 629758 567668 632060
 rect 573722 629758 576142 634562
 rect 565206 627532 576142 629758
-rect 437706 592334 438460 592380
-rect 437302 592326 438460 592334
-rect 417434 592148 438460 592326
-rect 417434 591984 437812 592148
-rect 437996 591984 438212 592148
-rect 438396 591984 438460 592148
-rect 417434 591748 438460 591984
-rect 417434 591584 437812 591748
-rect 437996 591584 438212 591748
-rect 438396 591584 438460 591748
-rect 417434 591348 438460 591584
-rect 417434 591184 437812 591348
-rect 437996 591184 438212 591348
-rect 438396 591184 438460 591348
-rect 403550 591110 408774 591138
-rect 403528 591104 408774 591110
-rect 417434 591104 438460 591184
-rect 204098 586508 209692 590968
-rect 403528 590948 438460 591104
-rect 403528 590784 437812 590948
-rect 437996 590784 438212 590948
-rect 438396 590784 438460 590948
-rect 442320 592244 443082 592420
-rect 446106 592378 446860 592380
-rect 442320 592080 442412 592244
-rect 442596 592080 442812 592244
-rect 442996 592080 443082 592244
-rect 442320 591918 443082 592080
-rect 442320 591910 442760 591918
-rect 442320 591606 442366 591910
-rect 442650 591614 442760 591910
-rect 443044 591614 443082 591918
-rect 442650 591606 443082 591614
-rect 442320 591444 443082 591606
-rect 442320 591280 442412 591444
-rect 442596 591280 442812 591444
-rect 442996 591280 443082 591444
-rect 442320 591126 443082 591280
-rect 442320 590830 442356 591126
-rect 442684 591122 443082 591126
-rect 442684 590830 442768 591122
-rect 442320 590818 442768 590830
-rect 443052 590818 443082 591122
-rect 442320 590816 443082 590818
-rect 442324 590814 443082 590816
-rect 445116 592148 446860 592378
-rect 445116 591984 446212 592148
-rect 446396 591984 446612 592148
-rect 446796 591984 446860 592148
-rect 445116 591748 446860 591984
-rect 445116 591584 446212 591748
-rect 446396 591584 446612 591748
-rect 446796 591584 446860 591748
-rect 445116 591348 446860 591584
-rect 445116 591184 446212 591348
-rect 446396 591184 446612 591348
-rect 446796 591184 446860 591348
-rect 445116 590948 446860 591184
-rect 403528 590748 438460 590784
-rect 403528 590732 437490 590748
-rect 403528 590224 424178 590732
-rect 403528 589642 424150 590224
-rect 403528 588544 410472 589642
-rect 411230 589624 424150 589642
-rect 403528 588304 405560 588544
-rect 405868 588304 406160 588544
-rect 406468 588304 406760 588544
-rect 407068 588304 407360 588544
-rect 407668 588304 407960 588544
-rect 408268 588304 408560 588544
-rect 408868 588304 409160 588544
-rect 409468 588304 410472 588544
-rect 403528 588056 410472 588304
-rect 417344 589300 424150 589624
-rect 435922 589680 436970 590732
-rect 437706 590720 438460 590748
-rect 445116 590784 446212 590948
-rect 446396 590784 446612 590948
-rect 446796 590784 446860 590948
-rect 450720 592244 451482 592420
-rect 450720 592080 450812 592244
-rect 450996 592080 451212 592244
-rect 451396 592080 451482 592244
-rect 450720 591918 451482 592080
-rect 450720 591910 451160 591918
-rect 450720 591606 450766 591910
-rect 451050 591614 451160 591910
-rect 451444 591614 451482 591918
-rect 451050 591606 451482 591614
-rect 450720 591444 451482 591606
-rect 450720 591280 450812 591444
-rect 450996 591280 451212 591444
-rect 451396 591280 451482 591444
-rect 450720 591126 451482 591280
-rect 450720 590830 450756 591126
-rect 451084 591122 451482 591126
-rect 451084 590830 451168 591122
-rect 450720 590818 451168 590830
-rect 451452 590818 451482 591122
-rect 450720 590816 451482 590818
-rect 450724 590814 451482 590816
-rect 445116 590720 446860 590784
-rect 445116 589680 446108 590720
-rect 417344 588084 424158 589300
-rect 435922 588662 446108 589680
-rect 403528 586456 404756 588056
-rect 403528 586454 405494 586456
-rect 403528 586344 410080 586454
-rect 403528 586104 405560 586344
-rect 405868 586104 406160 586344
-rect 406468 586104 406760 586344
-rect 407068 586104 407360 586344
-rect 407668 586104 407960 586344
-rect 408268 586104 408560 586344
-rect 408868 586104 409160 586344
-rect 409468 586104 410080 586344
-rect 403528 586024 410080 586104
-rect 416354 586336 417192 586444
-rect 403528 586014 405494 586024
-rect 416354 585948 416472 586336
-rect 417092 585948 417192 586336
-rect 416354 585850 417192 585948
-rect 186716 584756 191570 585092
-rect 416356 585216 417188 585316
-rect 416356 584828 416474 585216
-rect 417094 584828 417188 585216
-rect 186716 584374 191564 584756
-rect 416356 584730 417188 584828
-rect 405234 581174 410146 581588
-rect 405234 580628 405622 581174
-rect 406400 580628 406822 581174
-rect 407600 580628 408022 581174
-rect 408800 580628 409222 581174
-rect 410000 580628 410146 581174
-rect 405234 580232 410146 580628
-rect 141154 541976 150810 548702
+rect 417438 590348 424178 591470
+rect 417438 589372 424184 590348
+rect 417438 588084 424158 589372
+rect 89468 586104 90080 586130
+rect 85148 586024 90080 586104
+rect 85148 586014 85494 586024
+rect 85234 583544 90146 583958
+rect 85234 582998 85622 583544
+rect 86400 582998 86822 583544
+rect 87600 582998 88022 583544
+rect 88800 582998 89222 583544
+rect 90000 582998 90146 583544
+rect 85234 582602 90146 582998
+rect 101248 582374 111700 587156
+rect 416354 586782 417192 586912
+rect 416354 586394 416472 586782
+rect 417092 586394 417192 586782
+rect 416354 586296 417192 586394
+rect 416354 585072 417186 585172
+rect 416354 584684 416472 585072
+rect 417092 584684 417186 585072
+rect 416354 584586 417186 584684
+rect 101248 577176 111738 582374
+rect 101404 570812 111738 577176
+rect 101374 569594 111738 570812
+rect 101386 569170 111738 569594
+rect 101388 568854 111738 569170
+rect 101388 568744 111740 568854
+rect 101388 568504 101854 568744
+rect 102162 568504 102454 568744
+rect 102762 568504 103054 568744
+rect 103362 568504 103654 568744
+rect 103962 568504 104254 568744
+rect 104562 568504 104854 568744
+rect 105162 568504 105454 568744
+rect 105762 568504 107560 568744
+rect 107868 568504 108160 568744
+rect 108468 568504 108760 568744
+rect 109068 568504 109360 568744
+rect 109668 568504 109960 568744
+rect 110268 568504 110560 568744
+rect 110868 568504 111160 568744
+rect 111468 568504 111740 568744
+rect 101388 568424 111740 568504
+rect 101388 568414 101788 568424
+rect 105804 568420 107494 568424
+rect 107094 568414 107494 568420
+rect 101244 565582 106156 565996
+rect 101244 565036 101632 565582
+rect 102410 565036 102832 565582
+rect 103610 565036 104032 565582
+rect 104810 565036 105232 565582
+rect 106010 565036 106156 565582
+rect 101244 564640 106156 565036
+rect 107234 565544 112146 565958
+rect 107234 564998 107622 565544
+rect 108400 564998 108822 565544
+rect 109600 564998 110022 565544
+rect 110800 564998 111222 565544
+rect 112000 564998 112146 565544
+rect 107234 564602 112146 564998
 << via4 >>
-rect 119008 695156 119292 695430
-rect 67008 687156 67292 687430
+rect 116918 695462 118020 695542
+rect 116918 694522 116982 695462
+rect 116982 694522 117968 695462
+rect 117968 694522 118020 695462
+rect 116918 694458 118020 694522
 rect 416166 687976 416450 688042
 rect 416166 687812 416212 687976
 rect 416212 687812 416396 687976
@@ -4206,6 +4069,11 @@
 rect 416622 687012 416806 687176
 rect 416806 687012 416852 687176
 rect 416568 686950 416852 687012
+rect 64918 663462 66020 663542
+rect 64918 662522 64982 663462
+rect 64982 662522 65968 663462
+rect 65968 662522 66020 663462
+rect 64918 662458 66020 662522
 rect 468166 687976 468450 688042
 rect 468166 687812 468212 687976
 rect 468212 687812 468396 687976
@@ -4228,120 +4096,93 @@
 rect 468568 686950 468852 687012
 rect 512924 686746 517294 691578
 rect 519782 686746 524152 691578
-rect 568166 687976 568450 688042
-rect 568166 687812 568212 687976
-rect 568212 687812 568396 687976
-rect 568396 687812 568450 687976
-rect 568166 687738 568450 687812
-rect 568560 687976 568844 688050
-rect 568560 687812 568612 687976
-rect 568612 687812 568796 687976
-rect 568796 687812 568844 687976
-rect 568560 687746 568844 687812
-rect 568156 687176 568484 687258
-rect 568156 687012 568212 687176
-rect 568212 687012 568396 687176
-rect 568396 687012 568484 687176
-rect 568156 686962 568484 687012
-rect 568568 687176 568852 687254
-rect 568568 687012 568622 687176
-rect 568622 687012 568806 687176
-rect 568806 687012 568852 687176
-rect 568568 686950 568852 687012
-rect 70008 673458 70292 673732
-rect 121808 673220 122092 673494
 rect 222764 656624 224356 664238
-rect 442366 591844 442650 591910
-rect 442366 591680 442412 591844
-rect 442412 591680 442596 591844
-rect 442596 591680 442650 591844
-rect 442366 591606 442650 591680
-rect 442760 591844 443044 591918
-rect 442760 591680 442812 591844
-rect 442812 591680 442996 591844
-rect 442996 591680 443044 591844
-rect 442760 591614 443044 591680
-rect 442356 591044 442684 591126
-rect 442356 590880 442412 591044
-rect 442412 590880 442596 591044
-rect 442596 590880 442684 591044
-rect 442356 590830 442684 590880
-rect 442768 591044 443052 591122
-rect 442768 590880 442822 591044
-rect 442822 590880 443006 591044
-rect 443006 590880 443052 591044
-rect 442768 590818 443052 590880
-rect 450766 591844 451050 591910
-rect 450766 591680 450812 591844
-rect 450812 591680 450996 591844
-rect 450996 591680 451050 591844
-rect 450766 591606 451050 591680
-rect 451160 591844 451444 591918
-rect 451160 591680 451212 591844
-rect 451212 591680 451396 591844
-rect 451396 591680 451444 591844
-rect 451160 591614 451444 591680
-rect 450756 591044 451084 591126
-rect 450756 590880 450812 591044
-rect 450812 590880 450996 591044
-rect 450996 590880 451084 591044
-rect 450756 590830 451084 590880
-rect 451168 591044 451452 591122
-rect 451168 590880 451222 591044
-rect 451222 590880 451406 591044
-rect 451406 590880 451452 591044
-rect 451168 590818 451452 590880
-rect 416472 585948 417092 586336
-rect 416474 584828 417094 585216
-rect 405622 580628 406400 581174
-rect 406822 580628 407600 581174
-rect 408022 580628 408800 581174
-rect 409222 580628 410000 581174
+rect 442366 656090 442650 656156
+rect 442366 655926 442412 656090
+rect 442412 655926 442596 656090
+rect 442596 655926 442650 656090
+rect 442366 655852 442650 655926
+rect 442760 656090 443044 656164
+rect 442760 655926 442812 656090
+rect 442812 655926 442996 656090
+rect 442996 655926 443044 656090
+rect 442760 655860 443044 655926
+rect 442356 655290 442684 655372
+rect 442356 655126 442412 655290
+rect 442412 655126 442596 655290
+rect 442596 655126 442684 655290
+rect 442356 655076 442684 655126
+rect 442768 655290 443052 655368
+rect 442768 655126 442822 655290
+rect 442822 655126 443006 655290
+rect 443006 655126 443052 655290
+rect 442768 655064 443052 655126
+rect 450766 656090 451050 656156
+rect 450766 655926 450812 656090
+rect 450812 655926 450996 656090
+rect 450996 655926 451050 656090
+rect 450766 655852 451050 655926
+rect 451160 656090 451444 656164
+rect 451160 655926 451212 656090
+rect 451212 655926 451396 656090
+rect 451396 655926 451444 656090
+rect 451160 655860 451444 655926
+rect 450756 655290 451084 655372
+rect 450756 655126 450812 655290
+rect 450812 655126 450996 655290
+rect 450996 655126 451084 655290
+rect 450756 655076 451084 655126
+rect 451168 655290 451452 655368
+rect 451168 655126 451222 655290
+rect 451222 655126 451406 655290
+rect 451406 655126 451452 655290
+rect 451168 655064 451452 655126
+rect 134622 596998 135400 597544
+rect 135822 596998 136600 597544
+rect 137022 596998 137800 597544
+rect 138222 596998 139000 597544
+rect 85622 582998 86400 583544
+rect 86822 582998 87600 583544
+rect 88022 582998 88800 583544
+rect 89222 582998 90000 583544
+rect 416472 586394 417092 586782
+rect 416472 584684 417092 585072
+rect 101632 565036 102410 565582
+rect 102832 565036 103610 565582
+rect 104032 565036 104810 565582
+rect 105232 565036 106010 565582
+rect 107622 564998 108400 565544
+rect 108822 564998 109600 565544
+rect 110022 564998 110800 565544
+rect 111222 564998 112000 565544
 << metal5 >>
-rect 165594 702268 170594 704800
-rect 175894 702300 180894 704800
+rect 165594 702540 170594 704800
+rect 165552 702300 170594 702540
+rect 175894 702434 180894 704800
+rect 175862 702300 180894 702434
 rect 217294 702970 222294 704800
-rect 217294 702300 222298 702970
+rect 217294 702300 222336 702970
 rect 227594 702926 232594 704800
-rect 165594 700170 170596 702268
-rect 175894 702254 180892 702300
-rect 165578 698240 170596 700170
-rect 44678 696132 54410 697566
-rect 44678 695462 54460 696132
-rect 44678 695454 116830 695462
-rect 118970 695454 119334 695486
-rect 44678 695430 119334 695454
-rect 44678 695156 119008 695430
-rect 119292 695156 119334 695430
-rect 44678 695134 119334 695156
-rect 44678 695062 116830 695134
-rect 118970 695110 119334 695134
-rect 44678 691616 54460 695062
-rect 44804 687468 54460 691616
-rect 44804 687454 66236 687468
-rect 66970 687454 67334 687486
-rect 44804 687430 67334 687454
-rect 44804 687156 67008 687430
-rect 67292 687156 67334 687430
-rect 44804 687134 67334 687156
-rect 44804 687126 66354 687134
-rect 44804 687110 54468 687126
-rect 66970 687110 67334 687134
-rect 44804 673902 54460 687110
-rect 165596 684280 170596 698240
-rect 165600 682626 170596 684280
-rect 175888 683670 180892 702254
-rect 217306 700998 222298 702300
-rect 217306 684124 222336 700998
-rect 175908 682686 180892 683670
-rect 175908 682660 187876 682686
+rect 31620 696030 43302 698312
+rect 165552 696162 170568 702300
+rect 31620 695992 112194 696030
+rect 31620 695542 118390 695992
+rect 31620 694458 116918 695542
+rect 118020 694458 118390 695542
+rect 31620 694138 118390 694458
+rect 31620 694130 112194 694138
+rect 31620 680316 43302 694130
+rect 165552 693934 170584 696162
+rect 165554 682686 170584 693934
+rect 175862 682686 180868 702300
+rect 217306 684124 222336 702300
+rect 165508 682660 187874 682686
 rect 217280 682660 222336 684124
 rect 227582 694624 232594 702926
 rect 318994 702300 323994 704800
 rect 329294 702300 334294 704800
 rect 227582 682660 232548 694624
 rect 511622 691578 524830 694392
-rect 511622 689710 512924 691578
 rect 416118 688050 416878 688698
 rect 416118 688042 416560 688050
 rect 416118 687738 416166 688042
@@ -4377,66 +4218,71 @@
 rect 468852 686950 468878 687254
 rect 468118 686838 468878 686950
 rect 473182 686592 474038 687500
-rect 511498 686746 512924 689710
+rect 511622 686746 512924 691578
 rect 517294 686746 519782 691578
-rect 524152 689710 524830 691578
-rect 566276 689710 568936 689756
-rect 524152 688050 568936 689710
-rect 524152 688042 568560 688050
-rect 524152 687738 568166 688042
-rect 568450 687746 568560 688042
-rect 568844 687746 568936 688050
-rect 568450 687738 568936 687746
-rect 524152 687258 568936 687738
-rect 524152 686962 568156 687258
-rect 568484 687254 568936 687258
-rect 568484 686962 568568 687254
-rect 524152 686950 568568 686962
-rect 568852 686950 568936 687254
-rect 524152 686746 568936 686950
-rect 175908 682658 191412 682660
+rect 524152 686746 524830 691578
+rect 165508 682658 191410 682660
 rect 208250 682658 232548 682660
-rect 175908 682626 191572 682658
-rect 165600 677212 191572 682626
-rect 175818 677200 181542 677212
-rect 185920 677168 191572 677212
-rect 44804 673888 66874 673902
-rect 67330 673888 70382 673902
-rect 44804 673732 70382 673888
-rect 44804 673458 70008 673732
-rect 70292 673458 70382 673732
-rect 44804 673316 70382 673458
-rect 44804 661200 54460 673316
-rect 66380 673302 70382 673316
-rect 103262 673632 111234 675078
-rect 119710 673632 121470 673634
-rect 103262 673494 122298 673632
-rect 103262 673220 121808 673494
-rect 122092 673220 122298 673494
-rect 103262 673068 122298 673220
-rect 44804 661186 99016 661200
-rect 103262 661186 111234 673068
-rect 44804 654946 111234 661186
-rect 44804 653892 111288 654946
-rect 44804 637908 54664 653892
-rect 44908 630818 54664 637908
-rect 44908 618130 54564 630818
-rect 44908 613838 54488 618130
-rect 44908 552254 54564 613838
-rect 186724 585092 191572 677168
+rect 31582 663992 43430 680316
+rect 165508 677212 191570 682658
+rect 185918 677168 191570 677212
+rect 31582 663542 66390 663992
+rect 31582 662458 64918 663542
+rect 66020 662458 66390 663542
+rect 31582 662138 66390 662458
+rect 31582 552010 43430 662138
+rect 134234 597778 139152 597946
+rect 134182 597544 139154 597778
+rect 134182 596998 134622 597544
+rect 135400 596998 135822 597544
+rect 136600 596998 137022 597544
+rect 137800 596998 138222 597544
+rect 139000 596998 139154 597544
+rect 134182 596126 139154 596998
+rect 134182 595332 139146 596126
+rect 134076 592180 139146 595332
+rect 85234 583778 90152 583946
+rect 85182 583544 90154 583778
+rect 85182 582998 85622 583544
+rect 86400 582998 86822 583544
+rect 87600 582998 88022 583544
+rect 88800 582998 89222 583544
+rect 90000 582998 90154 583544
+rect 85182 580040 90154 582998
+rect 85182 576158 90162 580040
+rect 85182 569934 90170 576158
+rect 85182 552010 90102 569934
+rect 101244 565954 106156 565984
+rect 101244 565946 108222 565954
+rect 101244 565816 112152 565946
+rect 101238 565778 112152 565816
+rect 101238 565582 112154 565778
+rect 101238 565036 101632 565582
+rect 102410 565036 102832 565582
+rect 103610 565036 104032 565582
+rect 104810 565036 105232 565582
+rect 106010 565544 112154 565582
+rect 106010 565036 107622 565544
+rect 101238 564998 107622 565036
+rect 108400 564998 108822 565544
+rect 109600 564998 110022 565544
+rect 110800 564998 111222 565544
+rect 112000 564998 112154 565544
+rect 101238 564532 112154 564998
+rect 101184 564454 112154 564532
+rect 31582 551954 93230 552010
+rect 101184 551954 112166 564454
+rect 134076 551954 139428 592180
+rect 186722 585092 191570 677168
 rect 204138 677168 232548 682658
 rect 420086 681318 420914 685576
 rect 265894 681262 420914 681318
 rect 247820 681228 420914 681262
 rect 473190 681228 474018 686592
-rect 511498 686070 568936 686746
-rect 511622 685510 568936 686070
-rect 511622 681228 524830 685510
-rect 566276 685486 568936 685510
+rect 511622 681228 524830 686746
 rect 247820 677672 524916 681228
-rect 247820 677366 524830 677672
+rect 247820 677366 420902 677672
 rect 247820 677310 275360 677366
-rect 419536 677340 524830 677366
 rect 204138 591614 209704 677168
 rect 222390 664238 224726 664668
 rect 222390 656624 222764 664238
@@ -4445,100 +4291,94 @@
 rect 224356 658694 252154 662136
 rect 224356 656624 224726 658694
 rect 247820 658682 252154 658694
+rect 444102 656820 444930 677672
+rect 453478 656822 454306 677672
+rect 452080 656820 454306 656822
 rect 222390 656366 224726 656624
-rect 443890 652480 445122 677340
-rect 453350 652484 454564 677340
-rect 443890 651668 445126 652480
-rect 453350 652158 454576 652484
-rect 443932 592430 445126 651668
-rect 443228 592420 445126 592430
-rect 453382 592426 454576 652158
-rect 451650 592420 454582 592426
+rect 442316 656164 444930 656820
+rect 442316 656156 442760 656164
+rect 442316 655852 442366 656156
+rect 442650 655860 442760 656156
+rect 443044 655860 444930 656164
+rect 442650 655852 444930 655860
+rect 442316 655372 444930 655852
+rect 442316 655076 442356 655372
+rect 442684 655368 444930 655372
+rect 442684 655076 442768 655368
+rect 442316 655064 442768 655076
+rect 443052 655064 444930 655368
+rect 442316 654954 444930 655064
+rect 450716 656164 454306 656820
+rect 450716 656156 451160 656164
+rect 450716 655852 450766 656156
+rect 451050 655860 451160 656156
+rect 451444 655860 454306 656164
+rect 451050 655852 454306 655860
+rect 450716 655372 454306 655852
+rect 450716 655076 450756 655372
+rect 451084 655368 454306 655372
+rect 451084 655076 451168 655368
+rect 450716 655064 451168 655076
+rect 451452 655064 454306 655368
+rect 450716 654954 454306 655064
+rect 442318 654952 443078 654954
+rect 444102 650610 444930 654954
+rect 450718 654952 451478 654954
+rect 452080 654944 454306 654954
+rect 453478 650320 454306 654944
 rect 204098 590968 209704 591614
-rect 442316 591918 445126 592420
-rect 442316 591910 442760 591918
-rect 442316 591606 442366 591910
-rect 442650 591614 442760 591910
-rect 443044 591614 445126 591918
-rect 442650 591606 445126 591614
-rect 442316 591126 445126 591606
-rect 204098 587862 209692 590968
-rect 442316 590830 442356 591126
-rect 442684 591122 445126 591126
-rect 442684 590830 442768 591122
-rect 442316 590818 442768 590830
-rect 443052 590818 445126 591122
-rect 442316 590732 445126 590818
-rect 450716 591918 454582 592420
-rect 450716 591910 451160 591918
-rect 450716 591606 450766 591910
-rect 451050 591614 451160 591910
-rect 451444 591614 454582 591918
-rect 451050 591606 454582 591614
-rect 450716 591126 454582 591606
-rect 450716 590830 450756 591126
-rect 451084 591122 454582 591126
-rect 451084 590830 451168 591122
-rect 450716 590818 451168 590830
-rect 451452 590818 454582 591122
-rect 450716 590732 454582 590818
-rect 443228 590724 445126 590732
-rect 451650 590730 454582 590732
-rect 443932 590722 445126 590724
-rect 404884 587890 411558 587894
-rect 416544 587890 416996 587892
-rect 404360 587886 413436 587890
-rect 415322 587886 416996 587890
-rect 210580 587862 218504 587886
-rect 230148 587862 416996 587886
-rect 203986 587428 416996 587862
-rect 203986 587396 417000 587428
-rect 203986 587374 233540 587396
-rect 404360 587384 413436 587396
-rect 404884 587382 411780 587384
-rect 404884 587380 411558 587382
-rect 404894 587374 411558 587380
-rect 416544 587374 417000 587396
-rect 204098 586508 209692 587374
-rect 416544 586444 417002 587374
-rect 416354 586336 417192 586444
-rect 416354 585948 416472 586336
-rect 417092 585948 417192 586336
-rect 416354 585850 417192 585948
-rect 186718 584756 191572 585092
-rect 416356 585216 417188 585316
-rect 416356 584828 416474 585216
-rect 417094 584828 417188 585216
-rect 186718 583460 191566 584756
-rect 416356 584730 417188 584828
-rect 416576 583490 416994 584730
-rect 409576 583468 416994 583490
-rect 223086 583460 416994 583468
-rect 186718 582996 416994 583460
-rect 223086 582978 416994 582996
-rect 409576 582974 416994 582978
-rect 405234 581570 411694 581576
-rect 417328 581570 425018 583368
-rect 405234 581418 425018 581570
-rect 405234 581174 424970 581418
-rect 405234 580628 405622 581174
-rect 406400 580628 406822 581174
-rect 407600 580628 408022 581174
-rect 408800 580628 409222 581174
-rect 410000 580628 424970 581174
-rect 405234 580238 424970 580628
-rect 405234 580228 411694 580238
-rect 417358 552254 424970 580238
-rect 511622 554468 524830 677340
-rect 44908 551998 434004 552254
+rect 204098 588300 209692 590968
+rect 204114 587926 209692 588300
+rect 204114 587886 211476 587926
+rect 402686 587900 410794 587910
+rect 414686 587900 417000 587904
+rect 402686 587886 417000 587900
+rect 204114 587862 218504 587886
+rect 230148 587862 417000 587886
+rect 204114 587396 417000 587862
+rect 204114 587374 233540 587396
+rect 402686 587378 417000 587396
+rect 204114 587372 211476 587374
+rect 204114 586508 209692 587372
+rect 410316 587368 417000 587378
+rect 416544 587070 417000 587368
+rect 416544 586912 417002 587070
+rect 416354 586782 417192 586912
+rect 416354 586394 416472 586782
+rect 417092 586394 417192 586782
+rect 416354 586296 417192 586394
+rect 186716 584756 191570 585092
+rect 416354 585072 417186 585172
+rect 186716 583460 191564 584756
+rect 416354 584684 416472 585072
+rect 417092 584684 417186 585072
+rect 416354 584586 417186 584684
+rect 416574 583490 416992 584586
+rect 410490 583488 416992 583490
+rect 404554 583468 416992 583488
+rect 223084 583460 416992 583468
+rect 186716 582996 416992 583460
+rect 223084 582978 416992 582996
+rect 404554 582974 416836 582978
+rect 404554 582972 410596 582974
+rect 417360 582210 424026 583390
+rect 417360 582198 423992 582210
+rect 417360 581742 423936 582198
+rect 417360 581570 424026 581742
+rect 417350 580238 424026 581570
+rect 417358 579200 424026 580238
+rect 417358 551998 423994 579200
+rect 511622 554468 524830 677672
 rect 511624 552106 524830 554468
-rect 44908 551888 476240 551998
+rect 417276 551954 476240 551998
+rect 31582 551888 476240 551954
 rect 511624 551896 524886 552106
 rect 499162 551888 524886 551896
-rect 44908 542478 524886 551888
-rect 44908 542110 434004 542478
+rect 31582 542478 524886 551888
+rect 31582 542438 433714 542478
 rect 499162 542446 524886 542478
-rect 44908 541976 54564 542110
+rect 31656 542384 433714 542438
+rect 31656 542284 93230 542384
 << comment >>
 rect -100 704000 584100 704100
 rect -100 0 0 704000
@@ -4546,1445 +4386,1459 @@
 rect -100 -100 584100 0
 use comparator_v6  comparator_v6_0
 timestamp 1654337383
-transform 0 1 419250 1 0 584722
+transform 0 1 419266 1 0 584802
 box -2598 -1934 4390 5556
 use sky130_fd_pr__diode_pd2nw_05v5_RT56W3  sky130_fd_pr__diode_pd2nw_05v5_RT56W3_0
-timestamp 1654337383
-transform 1 0 73460 0 1 687284
+timestamp 1654421061
+transform 1 0 74128 0 1 662860
 box -321 -321 321 321
 use sky130_fd_pr__diode_pd2nw_05v5_RT56W3  sky130_fd_pr__diode_pd2nw_05v5_RT56W3_1
-timestamp 1654337383
-transform 1 0 125458 0 1 695284
+timestamp 1654421061
+transform 1 0 126100 0 1 694854
 box -321 -321 321 321
-use sky130_fd_pr__diode_pw2nd_05v5_3P6M5Y  sky130_fd_pr__diode_pw2nd_05v5_3P6M5Y_0
-timestamp 1654337383
-transform 1 0 119582 0 1 695306
-box -238 -238 238 238
+use sky130_fd_pr__diode_pw2nd_05v5_GT7E3L  sky130_fd_pr__diode_pw2nd_05v5_GT7E3L_0
+timestamp 1654419227
+transform 1 0 67028 0 1 663058
+box -183 -183 183 183
 use sky130_fd_pr__diode_pw2nd_05v5_GT7G3L  sky130_fd_pr__diode_pw2nd_05v5_GT7G3L_0
-timestamp 1654337383
-transform 1 0 67548 0 1 687302
+timestamp 1654421061
+transform 1 0 118990 0 1 695058
 box -183 -183 183 183
 use sky130_fd_sc_hd__buf_2  sky130_fd_sc_hd__buf_2_0 $PDKPATH/libs.ref/sky130_fd_sc_hd/mag
 timestamp 1654337383
-transform 0 -1 440640 1 0 591294
+transform 1 0 85632 0 1 585240
 box -38 -48 406 592
 use sky130_fd_sc_hd__buf_2  sky130_fd_sc_hd__buf_2_1
 timestamp 1654337383
-transform 0 -1 448994 1 0 591314
+transform 1 0 103250 0 1 567442
 box -38 -48 406 592
 use sky130_fd_sc_hd__buf_2  sky130_fd_sc_hd__buf_2_2
 timestamp 1654337383
-transform 0 1 70516 -1 0 673768
+transform 1 0 134624 0 1 599244
 box -38 -48 406 592
 use sky130_fd_sc_hd__buf_2  sky130_fd_sc_hd__buf_2_3
 timestamp 1654337383
-transform 0 1 122500 -1 0 673516
+transform 0 -1 440656 1 0 655486
 box -38 -48 406 592
 use sky130_fd_sc_hd__buf_2  sky130_fd_sc_hd__buf_2_4
 timestamp 1654337383
-transform 0 1 569338 -1 0 689064
+transform 0 -1 449242 1 0 655494
 box -38 -48 406 592
 use sky130_fd_sc_hd__buf_16  sky130_fd_sc_hd__buf_16_0 $PDKPATH/libs.ref/sky130_fd_sc_hd/mag
 timestamp 1654337383
-transform 0 -1 415748 1 0 686664
+transform 1 0 87054 0 1 585244
 box -38 -48 2062 592
 use sky130_fd_sc_hd__buf_16  sky130_fd_sc_hd__buf_16_1
 timestamp 1654337383
-transform 0 -1 467556 1 0 686698
+transform 1 0 108006 0 1 567526
 box -38 -48 2062 592
 use sky130_fd_sc_hd__buf_16  sky130_fd_sc_hd__buf_16_2
 timestamp 1654337383
-transform 1 0 406656 0 1 587358
+transform 1 0 136168 0 1 599250
 box -38 -48 2062 592
 use sky130_fd_sc_hd__buf_16  sky130_fd_sc_hd__buf_16_3
 timestamp 1654337383
-transform 1 0 406646 0 1 585256
+transform 0 -1 415762 1 0 686886
 box -38 -48 2062 592
 use sky130_fd_sc_hd__buf_16  sky130_fd_sc_hd__buf_16_4
 timestamp 1654337383
-transform 0 1 569338 -1 0 688388
+transform 0 -1 467696 1 0 686840
 box -38 -48 2062 592
 << labels >>
-flabel metal3 s 582340 191430 584800 196230 0 FreeSans 1120 0 0 0 vssd1
-port 568 nsew signal bidirectional
-flabel metal3 s 582340 181430 584800 186230 0 FreeSans 1120 0 0 0 vssd1
-port 569 nsew signal bidirectional
-flabel metal2 s 6434 -800 6546 480 0 FreeSans 1120 90 0 0 wbs_we_i
-port 677 nsew signal input
-flabel metal2 s 5252 -800 5364 480 0 FreeSans 1120 90 0 0 wbs_stb_i
-port 676 nsew signal input
-flabel metal2 s 25346 -800 25458 480 0 FreeSans 1120 90 0 0 wbs_sel_i[3]
-port 675 nsew signal input
-flabel metal2 s 20618 -800 20730 480 0 FreeSans 1120 90 0 0 wbs_sel_i[2]
-port 674 nsew signal input
-flabel metal2 s 15890 -800 16002 480 0 FreeSans 1120 90 0 0 wbs_sel_i[1]
-port 673 nsew signal input
-flabel metal2 s 11162 -800 11274 480 0 FreeSans 1120 90 0 0 wbs_sel_i[0]
-port 672 nsew signal input
-flabel metal2 s 46622 -800 46734 480 0 FreeSans 1120 90 0 0 wbs_dat_o[9]
-port 671 nsew signal tristate
-flabel metal2 s 43076 -800 43188 480 0 FreeSans 1120 90 0 0 wbs_dat_o[8]
-port 670 nsew signal tristate
-flabel metal2 s 39530 -800 39642 480 0 FreeSans 1120 90 0 0 wbs_dat_o[7]
-port 669 nsew signal tristate
-flabel metal2 s 35984 -800 36096 480 0 FreeSans 1120 90 0 0 wbs_dat_o[6]
-port 668 nsew signal tristate
-flabel metal2 s 32438 -800 32550 480 0 FreeSans 1120 90 0 0 wbs_dat_o[5]
-port 667 nsew signal tristate
-flabel metal2 s 28892 -800 29004 480 0 FreeSans 1120 90 0 0 wbs_dat_o[4]
-port 666 nsew signal tristate
-flabel metal2 s 24164 -800 24276 480 0 FreeSans 1120 90 0 0 wbs_dat_o[3]
-port 665 nsew signal tristate
-flabel metal2 s 124634 -800 124746 480 0 FreeSans 1120 90 0 0 wbs_dat_o[31]
-port 664 nsew signal tristate
-flabel metal2 s 121088 -800 121200 480 0 FreeSans 1120 90 0 0 wbs_dat_o[30]
-port 663 nsew signal tristate
-flabel metal2 s 19436 -800 19548 480 0 FreeSans 1120 90 0 0 wbs_dat_o[2]
-port 662 nsew signal tristate
-flabel metal2 s 117542 -800 117654 480 0 FreeSans 1120 90 0 0 wbs_dat_o[29]
-port 661 nsew signal tristate
-flabel metal2 s 113996 -800 114108 480 0 FreeSans 1120 90 0 0 wbs_dat_o[28]
-port 660 nsew signal tristate
-flabel metal2 s 110450 -800 110562 480 0 FreeSans 1120 90 0 0 wbs_dat_o[27]
-port 659 nsew signal tristate
-flabel metal2 s 106904 -800 107016 480 0 FreeSans 1120 90 0 0 wbs_dat_o[26]
-port 658 nsew signal tristate
-flabel metal2 s 103358 -800 103470 480 0 FreeSans 1120 90 0 0 wbs_dat_o[25]
-port 657 nsew signal tristate
-flabel metal2 s 99812 -800 99924 480 0 FreeSans 1120 90 0 0 wbs_dat_o[24]
-port 656 nsew signal tristate
-flabel metal2 s 96266 -800 96378 480 0 FreeSans 1120 90 0 0 wbs_dat_o[23]
-port 655 nsew signal tristate
-flabel metal2 s 92720 -800 92832 480 0 FreeSans 1120 90 0 0 wbs_dat_o[22]
-port 654 nsew signal tristate
-flabel metal2 s 89174 -800 89286 480 0 FreeSans 1120 90 0 0 wbs_dat_o[21]
-port 653 nsew signal tristate
-flabel metal2 s 85628 -800 85740 480 0 FreeSans 1120 90 0 0 wbs_dat_o[20]
-port 652 nsew signal tristate
-flabel metal2 s 14708 -800 14820 480 0 FreeSans 1120 90 0 0 wbs_dat_o[1]
-port 651 nsew signal tristate
-flabel metal2 s 82082 -800 82194 480 0 FreeSans 1120 90 0 0 wbs_dat_o[19]
-port 650 nsew signal tristate
-flabel metal2 s 78536 -800 78648 480 0 FreeSans 1120 90 0 0 wbs_dat_o[18]
-port 649 nsew signal tristate
-flabel metal2 s 74990 -800 75102 480 0 FreeSans 1120 90 0 0 wbs_dat_o[17]
-port 648 nsew signal tristate
-flabel metal2 s 71444 -800 71556 480 0 FreeSans 1120 90 0 0 wbs_dat_o[16]
-port 647 nsew signal tristate
-flabel metal2 s 67898 -800 68010 480 0 FreeSans 1120 90 0 0 wbs_dat_o[15]
-port 646 nsew signal tristate
-flabel metal2 s 64352 -800 64464 480 0 FreeSans 1120 90 0 0 wbs_dat_o[14]
-port 645 nsew signal tristate
-flabel metal2 s 60806 -800 60918 480 0 FreeSans 1120 90 0 0 wbs_dat_o[13]
-port 644 nsew signal tristate
-flabel metal2 s 57260 -800 57372 480 0 FreeSans 1120 90 0 0 wbs_dat_o[12]
-port 643 nsew signal tristate
-flabel metal2 s 53714 -800 53826 480 0 FreeSans 1120 90 0 0 wbs_dat_o[11]
-port 642 nsew signal tristate
-flabel metal2 s 50168 -800 50280 480 0 FreeSans 1120 90 0 0 wbs_dat_o[10]
-port 641 nsew signal tristate
-flabel metal2 s 9980 -800 10092 480 0 FreeSans 1120 90 0 0 wbs_dat_o[0]
-port 640 nsew signal tristate
-flabel metal2 s 45440 -800 45552 480 0 FreeSans 1120 90 0 0 wbs_dat_i[9]
-port 639 nsew signal input
-flabel metal2 s 41894 -800 42006 480 0 FreeSans 1120 90 0 0 wbs_dat_i[8]
-port 638 nsew signal input
-flabel metal2 s 38348 -800 38460 480 0 FreeSans 1120 90 0 0 wbs_dat_i[7]
-port 637 nsew signal input
-flabel metal2 s 34802 -800 34914 480 0 FreeSans 1120 90 0 0 wbs_dat_i[6]
-port 636 nsew signal input
-flabel metal2 s 31256 -800 31368 480 0 FreeSans 1120 90 0 0 wbs_dat_i[5]
-port 635 nsew signal input
-flabel metal2 s 27710 -800 27822 480 0 FreeSans 1120 90 0 0 wbs_dat_i[4]
-port 634 nsew signal input
-flabel metal2 s 22982 -800 23094 480 0 FreeSans 1120 90 0 0 wbs_dat_i[3]
-port 633 nsew signal input
-flabel metal2 s 123452 -800 123564 480 0 FreeSans 1120 90 0 0 wbs_dat_i[31]
-port 632 nsew signal input
-flabel metal2 s 119906 -800 120018 480 0 FreeSans 1120 90 0 0 wbs_dat_i[30]
-port 631 nsew signal input
-flabel metal2 s 18254 -800 18366 480 0 FreeSans 1120 90 0 0 wbs_dat_i[2]
-port 630 nsew signal input
-flabel metal2 s 116360 -800 116472 480 0 FreeSans 1120 90 0 0 wbs_dat_i[29]
-port 629 nsew signal input
-flabel metal2 s 112814 -800 112926 480 0 FreeSans 1120 90 0 0 wbs_dat_i[28]
-port 628 nsew signal input
-flabel metal2 s 109268 -800 109380 480 0 FreeSans 1120 90 0 0 wbs_dat_i[27]
-port 627 nsew signal input
-flabel metal2 s 105722 -800 105834 480 0 FreeSans 1120 90 0 0 wbs_dat_i[26]
-port 626 nsew signal input
-flabel metal2 s 102176 -800 102288 480 0 FreeSans 1120 90 0 0 wbs_dat_i[25]
-port 625 nsew signal input
-flabel metal2 s 98630 -800 98742 480 0 FreeSans 1120 90 0 0 wbs_dat_i[24]
-port 624 nsew signal input
-flabel metal2 s 95084 -800 95196 480 0 FreeSans 1120 90 0 0 wbs_dat_i[23]
-port 623 nsew signal input
-flabel metal2 s 91538 -800 91650 480 0 FreeSans 1120 90 0 0 wbs_dat_i[22]
-port 622 nsew signal input
-flabel metal2 s 87992 -800 88104 480 0 FreeSans 1120 90 0 0 wbs_dat_i[21]
-port 621 nsew signal input
-flabel metal2 s 84446 -800 84558 480 0 FreeSans 1120 90 0 0 wbs_dat_i[20]
-port 620 nsew signal input
-flabel metal2 s 13526 -800 13638 480 0 FreeSans 1120 90 0 0 wbs_dat_i[1]
-port 619 nsew signal input
-flabel metal2 s 80900 -800 81012 480 0 FreeSans 1120 90 0 0 wbs_dat_i[19]
-port 618 nsew signal input
-flabel metal2 s 77354 -800 77466 480 0 FreeSans 1120 90 0 0 wbs_dat_i[18]
-port 617 nsew signal input
-flabel metal2 s 73808 -800 73920 480 0 FreeSans 1120 90 0 0 wbs_dat_i[17]
-port 616 nsew signal input
-flabel metal2 s 70262 -800 70374 480 0 FreeSans 1120 90 0 0 wbs_dat_i[16]
-port 615 nsew signal input
-flabel metal2 s 66716 -800 66828 480 0 FreeSans 1120 90 0 0 wbs_dat_i[15]
-port 614 nsew signal input
-flabel metal2 s 63170 -800 63282 480 0 FreeSans 1120 90 0 0 wbs_dat_i[14]
-port 613 nsew signal input
-flabel metal2 s 59624 -800 59736 480 0 FreeSans 1120 90 0 0 wbs_dat_i[13]
-port 612 nsew signal input
-flabel metal2 s 56078 -800 56190 480 0 FreeSans 1120 90 0 0 wbs_dat_i[12]
-port 611 nsew signal input
-flabel metal2 s 52532 -800 52644 480 0 FreeSans 1120 90 0 0 wbs_dat_i[11]
-port 610 nsew signal input
-flabel metal2 s 48986 -800 49098 480 0 FreeSans 1120 90 0 0 wbs_dat_i[10]
-port 609 nsew signal input
-flabel metal2 s 8798 -800 8910 480 0 FreeSans 1120 90 0 0 wbs_dat_i[0]
-port 608 nsew signal input
-flabel metal2 s 4070 -800 4182 480 0 FreeSans 1120 90 0 0 wbs_cyc_i
-port 607 nsew signal input
-flabel metal2 s 44258 -800 44370 480 0 FreeSans 1120 90 0 0 wbs_adr_i[9]
-port 606 nsew signal input
-flabel metal2 s 40712 -800 40824 480 0 FreeSans 1120 90 0 0 wbs_adr_i[8]
-port 605 nsew signal input
-flabel metal2 s 37166 -800 37278 480 0 FreeSans 1120 90 0 0 wbs_adr_i[7]
-port 604 nsew signal input
-flabel metal2 s 33620 -800 33732 480 0 FreeSans 1120 90 0 0 wbs_adr_i[6]
-port 603 nsew signal input
-flabel metal2 s 30074 -800 30186 480 0 FreeSans 1120 90 0 0 wbs_adr_i[5]
-port 602 nsew signal input
-flabel metal2 s 26528 -800 26640 480 0 FreeSans 1120 90 0 0 wbs_adr_i[4]
-port 601 nsew signal input
-flabel metal2 s 21800 -800 21912 480 0 FreeSans 1120 90 0 0 wbs_adr_i[3]
-port 600 nsew signal input
-flabel metal2 s 122270 -800 122382 480 0 FreeSans 1120 90 0 0 wbs_adr_i[31]
-port 599 nsew signal input
-flabel metal2 s 118724 -800 118836 480 0 FreeSans 1120 90 0 0 wbs_adr_i[30]
-port 598 nsew signal input
-flabel metal2 s 17072 -800 17184 480 0 FreeSans 1120 90 0 0 wbs_adr_i[2]
-port 597 nsew signal input
-flabel metal2 s 115178 -800 115290 480 0 FreeSans 1120 90 0 0 wbs_adr_i[29]
-port 596 nsew signal input
-flabel metal2 s 111632 -800 111744 480 0 FreeSans 1120 90 0 0 wbs_adr_i[28]
-port 595 nsew signal input
-flabel metal2 s 108086 -800 108198 480 0 FreeSans 1120 90 0 0 wbs_adr_i[27]
-port 594 nsew signal input
-flabel metal2 s 104540 -800 104652 480 0 FreeSans 1120 90 0 0 wbs_adr_i[26]
-port 593 nsew signal input
-flabel metal2 s 100994 -800 101106 480 0 FreeSans 1120 90 0 0 wbs_adr_i[25]
-port 592 nsew signal input
-flabel metal2 s 97448 -800 97560 480 0 FreeSans 1120 90 0 0 wbs_adr_i[24]
-port 591 nsew signal input
-flabel metal2 s 93902 -800 94014 480 0 FreeSans 1120 90 0 0 wbs_adr_i[23]
-port 590 nsew signal input
-flabel metal2 s 90356 -800 90468 480 0 FreeSans 1120 90 0 0 wbs_adr_i[22]
-port 589 nsew signal input
-flabel metal2 s 86810 -800 86922 480 0 FreeSans 1120 90 0 0 wbs_adr_i[21]
-port 588 nsew signal input
-flabel metal2 s 83264 -800 83376 480 0 FreeSans 1120 90 0 0 wbs_adr_i[20]
-port 587 nsew signal input
-flabel metal2 s 12344 -800 12456 480 0 FreeSans 1120 90 0 0 wbs_adr_i[1]
-port 586 nsew signal input
-flabel metal2 s 79718 -800 79830 480 0 FreeSans 1120 90 0 0 wbs_adr_i[19]
-port 585 nsew signal input
-flabel metal2 s 76172 -800 76284 480 0 FreeSans 1120 90 0 0 wbs_adr_i[18]
-port 584 nsew signal input
-flabel metal2 s 72626 -800 72738 480 0 FreeSans 1120 90 0 0 wbs_adr_i[17]
-port 583 nsew signal input
-flabel metal2 s 69080 -800 69192 480 0 FreeSans 1120 90 0 0 wbs_adr_i[16]
-port 582 nsew signal input
-flabel metal2 s 65534 -800 65646 480 0 FreeSans 1120 90 0 0 wbs_adr_i[15]
-port 581 nsew signal input
-flabel metal2 s 61988 -800 62100 480 0 FreeSans 1120 90 0 0 wbs_adr_i[14]
-port 580 nsew signal input
-flabel metal2 s 58442 -800 58554 480 0 FreeSans 1120 90 0 0 wbs_adr_i[13]
-port 579 nsew signal input
-flabel metal2 s 54896 -800 55008 480 0 FreeSans 1120 90 0 0 wbs_adr_i[12]
-port 578 nsew signal input
-flabel metal2 s 51350 -800 51462 480 0 FreeSans 1120 90 0 0 wbs_adr_i[11]
-port 577 nsew signal input
-flabel metal2 s 47804 -800 47916 480 0 FreeSans 1120 90 0 0 wbs_adr_i[10]
-port 576 nsew signal input
-flabel metal2 s 7616 -800 7728 480 0 FreeSans 1120 90 0 0 wbs_adr_i[0]
-port 575 nsew signal input
-flabel metal2 s 2888 -800 3000 480 0 FreeSans 1120 90 0 0 wbs_ack_o
-port 574 nsew signal tristate
-flabel metal2 s 1706 -800 1818 480 0 FreeSans 1120 90 0 0 wb_rst_i
-port 573 nsew signal input
-flabel metal2 s 524 -800 636 480 0 FreeSans 1120 90 0 0 wb_clk_i
-port 572 nsew signal input
-flabel metal3 s 0 162888 1660 167688 0 FreeSans 1120 0 0 0 vssd2
-port 571 nsew signal bidirectional
-flabel metal3 s 0 172888 1660 177688 0 FreeSans 1120 0 0 0 vssd2
-port 570 nsew signal bidirectional
-flabel metal3 s 0 549442 1660 554242 0 FreeSans 1120 0 0 0 vssa2
-port 567 nsew signal bidirectional
-flabel metal3 s 0 559442 1660 564242 0 FreeSans 1120 0 0 0 vssa2
-port 566 nsew signal bidirectional
-flabel metal3 s 582340 136830 584800 141630 0 FreeSans 1120 0 0 0 vssa1
-port 565 nsew signal bidirectional
-flabel metal3 s 582340 146830 584800 151630 0 FreeSans 1120 0 0 0 vssa1
-port 564 nsew signal bidirectional
-flabel metal3 s 510594 702340 515394 704800 0 FreeSans 1920 180 0 0 vssa1
-port 563 nsew signal bidirectional
-flabel metal3 s 520594 702340 525394 704800 0 FreeSans 1920 180 0 0 vssa1
-port 562 nsew signal bidirectional
-flabel metal3 s 0 214888 1660 219688 0 FreeSans 1120 0 0 0 vdda2
-port 561 nsew signal bidirectional
-flabel metal3 s 0 204888 1660 209688 0 FreeSans 1120 0 0 0 vdda2
-port 560 nsew signal bidirectional
-flabel metal3 s 582340 225230 584800 230030 0 FreeSans 1120 0 0 0 vdda1
-port 559 nsew signal bidirectional
-flabel metal3 s 582340 235230 584800 240030 0 FreeSans 1120 0 0 0 vdda1
-port 558 nsew signal bidirectional
-flabel metal3 s 582340 550562 584800 555362 0 FreeSans 1120 0 0 0 vdda1
-port 557 nsew signal bidirectional
-flabel metal3 s 582340 540562 584800 545362 0 FreeSans 1120 0 0 0 vdda1
-port 556 nsew signal bidirectional
-flabel metal3 s 0 633842 1660 638642 0 FreeSans 1120 0 0 0 vccd2
-port 555 nsew signal bidirectional
-flabel metal3 s 0 643842 1660 648642 0 FreeSans 1120 0 0 0 vccd2
-port 554 nsew signal bidirectional
-flabel metal3 s 582340 629784 584800 634584 0 FreeSans 1120 0 0 0 vccd1
-port 553 nsew signal bidirectional
-flabel metal3 s 582340 639784 584800 644584 0 FreeSans 1120 0 0 0 vccd1
-port 552 nsew signal bidirectional
-flabel metal2 s 583250 -800 583362 480 0 FreeSans 1120 90 0 0 user_irq[2]
-port 551 nsew signal tristate
-flabel metal2 s 582068 -800 582180 480 0 FreeSans 1120 90 0 0 user_irq[1]
-port 550 nsew signal tristate
-flabel metal2 s 580886 -800 580998 480 0 FreeSans 1120 90 0 0 user_irq[0]
-port 549 nsew signal tristate
-flabel metal2 s 579704 -800 579816 480 0 FreeSans 1120 90 0 0 user_clock2
-port 548 nsew signal input
-flabel metal2 s 160094 -800 160206 480 0 FreeSans 1120 90 0 0 la_oenb[9]
-port 547 nsew signal input
-flabel metal2 s 479234 -800 479346 480 0 FreeSans 1120 90 0 0 la_oenb[99]
-port 546 nsew signal input
-flabel metal2 s 475688 -800 475800 480 0 FreeSans 1120 90 0 0 la_oenb[98]
-port 545 nsew signal input
-flabel metal2 s 472142 -800 472254 480 0 FreeSans 1120 90 0 0 la_oenb[97]
-port 544 nsew signal input
-flabel metal2 s 468596 -800 468708 480 0 FreeSans 1120 90 0 0 la_oenb[96]
-port 543 nsew signal input
-flabel metal2 s 465050 -800 465162 480 0 FreeSans 1120 90 0 0 la_oenb[95]
-port 542 nsew signal input
-flabel metal2 s 461504 -800 461616 480 0 FreeSans 1120 90 0 0 la_oenb[94]
-port 541 nsew signal input
-flabel metal2 s 457958 -800 458070 480 0 FreeSans 1120 90 0 0 la_oenb[93]
-port 540 nsew signal input
-flabel metal2 s 454412 -800 454524 480 0 FreeSans 1120 90 0 0 la_oenb[92]
-port 539 nsew signal input
-flabel metal2 s 450866 -800 450978 480 0 FreeSans 1120 90 0 0 la_oenb[91]
-port 538 nsew signal input
-flabel metal2 s 447320 -800 447432 480 0 FreeSans 1120 90 0 0 la_oenb[90]
-port 537 nsew signal input
-flabel metal2 s 156548 -800 156660 480 0 FreeSans 1120 90 0 0 la_oenb[8]
-port 536 nsew signal input
-flabel metal2 s 443774 -800 443886 480 0 FreeSans 1120 90 0 0 la_oenb[89]
-port 535 nsew signal input
-flabel metal2 s 440228 -800 440340 480 0 FreeSans 1120 90 0 0 la_oenb[88]
-port 534 nsew signal input
-flabel metal2 s 436682 -800 436794 480 0 FreeSans 1120 90 0 0 la_oenb[87]
-port 533 nsew signal input
-flabel metal2 s 433136 -800 433248 480 0 FreeSans 1120 90 0 0 la_oenb[86]
-port 532 nsew signal input
-flabel metal2 s 429590 -800 429702 480 0 FreeSans 1120 90 0 0 la_oenb[85]
-port 531 nsew signal input
-flabel metal2 s 426044 -800 426156 480 0 FreeSans 1120 90 0 0 la_oenb[84]
-port 530 nsew signal input
-flabel metal2 s 422498 -800 422610 480 0 FreeSans 1120 90 0 0 la_oenb[83]
-port 529 nsew signal input
-flabel metal2 s 418952 -800 419064 480 0 FreeSans 1120 90 0 0 la_oenb[82]
-port 528 nsew signal input
-flabel metal2 s 415406 -800 415518 480 0 FreeSans 1120 90 0 0 la_oenb[81]
-port 527 nsew signal input
-flabel metal2 s 411860 -800 411972 480 0 FreeSans 1120 90 0 0 la_oenb[80]
-port 526 nsew signal input
-flabel metal2 s 153002 -800 153114 480 0 FreeSans 1120 90 0 0 la_oenb[7]
-port 525 nsew signal input
-flabel metal2 s 408314 -800 408426 480 0 FreeSans 1120 90 0 0 la_oenb[79]
-port 524 nsew signal input
-flabel metal2 s 404768 -800 404880 480 0 FreeSans 1120 90 0 0 la_oenb[78]
-port 523 nsew signal input
-flabel metal2 s 401222 -800 401334 480 0 FreeSans 1120 90 0 0 la_oenb[77]
-port 522 nsew signal input
-flabel metal2 s 397676 -800 397788 480 0 FreeSans 1120 90 0 0 la_oenb[76]
-port 521 nsew signal input
-flabel metal2 s 394130 -800 394242 480 0 FreeSans 1120 90 0 0 la_oenb[75]
-port 520 nsew signal input
-flabel metal2 s 390584 -800 390696 480 0 FreeSans 1120 90 0 0 la_oenb[74]
-port 519 nsew signal input
-flabel metal2 s 387038 -800 387150 480 0 FreeSans 1120 90 0 0 la_oenb[73]
-port 518 nsew signal input
-flabel metal2 s 383492 -800 383604 480 0 FreeSans 1120 90 0 0 la_oenb[72]
-port 517 nsew signal input
-flabel metal2 s 379946 -800 380058 480 0 FreeSans 1120 90 0 0 la_oenb[71]
-port 516 nsew signal input
-flabel metal2 s 376400 -800 376512 480 0 FreeSans 1120 90 0 0 la_oenb[70]
-port 515 nsew signal input
-flabel metal2 s 149456 -800 149568 480 0 FreeSans 1120 90 0 0 la_oenb[6]
-port 514 nsew signal input
-flabel metal2 s 372854 -800 372966 480 0 FreeSans 1120 90 0 0 la_oenb[69]
-port 513 nsew signal input
-flabel metal2 s 369308 -800 369420 480 0 FreeSans 1120 90 0 0 la_oenb[68]
-port 512 nsew signal input
-flabel metal2 s 365762 -800 365874 480 0 FreeSans 1120 90 0 0 la_oenb[67]
-port 511 nsew signal input
-flabel metal2 s 362216 -800 362328 480 0 FreeSans 1120 90 0 0 la_oenb[66]
-port 510 nsew signal input
-flabel metal2 s 358670 -800 358782 480 0 FreeSans 1120 90 0 0 la_oenb[65]
-port 509 nsew signal input
-flabel metal2 s 355124 -800 355236 480 0 FreeSans 1120 90 0 0 la_oenb[64]
-port 508 nsew signal input
-flabel metal2 s 351578 -800 351690 480 0 FreeSans 1120 90 0 0 la_oenb[63]
-port 507 nsew signal input
-flabel metal2 s 348032 -800 348144 480 0 FreeSans 1120 90 0 0 la_oenb[62]
-port 506 nsew signal input
-flabel metal2 s 344486 -800 344598 480 0 FreeSans 1120 90 0 0 la_oenb[61]
-port 505 nsew signal input
-flabel metal2 s 340940 -800 341052 480 0 FreeSans 1120 90 0 0 la_oenb[60]
-port 504 nsew signal input
-flabel metal2 s 145910 -800 146022 480 0 FreeSans 1120 90 0 0 la_oenb[5]
-port 503 nsew signal input
-flabel metal2 s 337394 -800 337506 480 0 FreeSans 1120 90 0 0 la_oenb[59]
-port 502 nsew signal input
-flabel metal2 s 333848 -800 333960 480 0 FreeSans 1120 90 0 0 la_oenb[58]
-port 501 nsew signal input
-flabel metal2 s 330302 -800 330414 480 0 FreeSans 1120 90 0 0 la_oenb[57]
-port 500 nsew signal input
-flabel metal2 s 326756 -800 326868 480 0 FreeSans 1120 90 0 0 la_oenb[56]
-port 499 nsew signal input
-flabel metal2 s 323210 -800 323322 480 0 FreeSans 1120 90 0 0 la_oenb[55]
-port 498 nsew signal input
-flabel metal2 s 319664 -800 319776 480 0 FreeSans 1120 90 0 0 la_oenb[54]
-port 497 nsew signal input
-flabel metal2 s 316118 -800 316230 480 0 FreeSans 1120 90 0 0 la_oenb[53]
-port 496 nsew signal input
-flabel metal2 s 312572 -800 312684 480 0 FreeSans 1120 90 0 0 la_oenb[52]
-port 495 nsew signal input
-flabel metal2 s 309026 -800 309138 480 0 FreeSans 1120 90 0 0 la_oenb[51]
-port 494 nsew signal input
-flabel metal2 s 305480 -800 305592 480 0 FreeSans 1120 90 0 0 la_oenb[50]
-port 493 nsew signal input
-flabel metal2 s 142364 -800 142476 480 0 FreeSans 1120 90 0 0 la_oenb[4]
-port 492 nsew signal input
-flabel metal2 s 301934 -800 302046 480 0 FreeSans 1120 90 0 0 la_oenb[49]
-port 491 nsew signal input
-flabel metal2 s 298388 -800 298500 480 0 FreeSans 1120 90 0 0 la_oenb[48]
-port 490 nsew signal input
-flabel metal2 s 294842 -800 294954 480 0 FreeSans 1120 90 0 0 la_oenb[47]
-port 489 nsew signal input
-flabel metal2 s 291296 -800 291408 480 0 FreeSans 1120 90 0 0 la_oenb[46]
-port 488 nsew signal input
-flabel metal2 s 287750 -800 287862 480 0 FreeSans 1120 90 0 0 la_oenb[45]
-port 487 nsew signal input
-flabel metal2 s 284204 -800 284316 480 0 FreeSans 1120 90 0 0 la_oenb[44]
-port 486 nsew signal input
-flabel metal2 s 280658 -800 280770 480 0 FreeSans 1120 90 0 0 la_oenb[43]
-port 485 nsew signal input
-flabel metal2 s 277112 -800 277224 480 0 FreeSans 1120 90 0 0 la_oenb[42]
-port 484 nsew signal input
-flabel metal2 s 273566 -800 273678 480 0 FreeSans 1120 90 0 0 la_oenb[41]
-port 483 nsew signal input
-flabel metal2 s 270020 -800 270132 480 0 FreeSans 1120 90 0 0 la_oenb[40]
-port 482 nsew signal input
-flabel metal2 s 138818 -800 138930 480 0 FreeSans 1120 90 0 0 la_oenb[3]
-port 481 nsew signal input
-flabel metal2 s 266474 -800 266586 480 0 FreeSans 1120 90 0 0 la_oenb[39]
-port 480 nsew signal input
-flabel metal2 s 262928 -800 263040 480 0 FreeSans 1120 90 0 0 la_oenb[38]
-port 479 nsew signal input
-flabel metal2 s 259382 -800 259494 480 0 FreeSans 1120 90 0 0 la_oenb[37]
-port 478 nsew signal input
-flabel metal2 s 255836 -800 255948 480 0 FreeSans 1120 90 0 0 la_oenb[36]
-port 477 nsew signal input
-flabel metal2 s 252290 -800 252402 480 0 FreeSans 1120 90 0 0 la_oenb[35]
-port 476 nsew signal input
-flabel metal2 s 248744 -800 248856 480 0 FreeSans 1120 90 0 0 la_oenb[34]
-port 475 nsew signal input
-flabel metal2 s 245198 -800 245310 480 0 FreeSans 1120 90 0 0 la_oenb[33]
-port 474 nsew signal input
-flabel metal2 s 241652 -800 241764 480 0 FreeSans 1120 90 0 0 la_oenb[32]
-port 473 nsew signal input
-flabel metal2 s 238106 -800 238218 480 0 FreeSans 1120 90 0 0 la_oenb[31]
-port 472 nsew signal input
-flabel metal2 s 234560 -800 234672 480 0 FreeSans 1120 90 0 0 la_oenb[30]
-port 471 nsew signal input
-flabel metal2 s 135272 -800 135384 480 0 FreeSans 1120 90 0 0 la_oenb[2]
-port 470 nsew signal input
-flabel metal2 s 231014 -800 231126 480 0 FreeSans 1120 90 0 0 la_oenb[29]
-port 469 nsew signal input
-flabel metal2 s 227468 -800 227580 480 0 FreeSans 1120 90 0 0 la_oenb[28]
-port 468 nsew signal input
-flabel metal2 s 223922 -800 224034 480 0 FreeSans 1120 90 0 0 la_oenb[27]
-port 467 nsew signal input
-flabel metal2 s 220376 -800 220488 480 0 FreeSans 1120 90 0 0 la_oenb[26]
-port 466 nsew signal input
-flabel metal2 s 216830 -800 216942 480 0 FreeSans 1120 90 0 0 la_oenb[25]
-port 465 nsew signal input
-flabel metal2 s 213284 -800 213396 480 0 FreeSans 1120 90 0 0 la_oenb[24]
-port 464 nsew signal input
-flabel metal2 s 209738 -800 209850 480 0 FreeSans 1120 90 0 0 la_oenb[23]
-port 463 nsew signal input
-flabel metal2 s 206192 -800 206304 480 0 FreeSans 1120 90 0 0 la_oenb[22]
-port 462 nsew signal input
-flabel metal2 s 202646 -800 202758 480 0 FreeSans 1120 90 0 0 la_oenb[21]
-port 461 nsew signal input
-flabel metal2 s 199100 -800 199212 480 0 FreeSans 1120 90 0 0 la_oenb[20]
-port 460 nsew signal input
-flabel metal2 s 131726 -800 131838 480 0 FreeSans 1120 90 0 0 la_oenb[1]
-port 459 nsew signal input
-flabel metal2 s 195554 -800 195666 480 0 FreeSans 1120 90 0 0 la_oenb[19]
-port 458 nsew signal input
-flabel metal2 s 192008 -800 192120 480 0 FreeSans 1120 90 0 0 la_oenb[18]
-port 457 nsew signal input
-flabel metal2 s 188462 -800 188574 480 0 FreeSans 1120 90 0 0 la_oenb[17]
-port 456 nsew signal input
-flabel metal2 s 184916 -800 185028 480 0 FreeSans 1120 90 0 0 la_oenb[16]
-port 455 nsew signal input
-flabel metal2 s 181370 -800 181482 480 0 FreeSans 1120 90 0 0 la_oenb[15]
-port 454 nsew signal input
-flabel metal2 s 177824 -800 177936 480 0 FreeSans 1120 90 0 0 la_oenb[14]
-port 453 nsew signal input
-flabel metal2 s 174278 -800 174390 480 0 FreeSans 1120 90 0 0 la_oenb[13]
-port 452 nsew signal input
-flabel metal2 s 170732 -800 170844 480 0 FreeSans 1120 90 0 0 la_oenb[12]
-port 451 nsew signal input
-flabel metal2 s 578522 -800 578634 480 0 FreeSans 1120 90 0 0 la_oenb[127]
-port 450 nsew signal input
-flabel metal2 s 574976 -800 575088 480 0 FreeSans 1120 90 0 0 la_oenb[126]
-port 449 nsew signal input
-flabel metal2 s 571430 -800 571542 480 0 FreeSans 1120 90 0 0 la_oenb[125]
-port 448 nsew signal input
-flabel metal2 s 567884 -800 567996 480 0 FreeSans 1120 90 0 0 la_oenb[124]
-port 447 nsew signal input
-flabel metal2 s 564338 -800 564450 480 0 FreeSans 1120 90 0 0 la_oenb[123]
-port 446 nsew signal input
-flabel metal2 s 560792 -800 560904 480 0 FreeSans 1120 90 0 0 la_oenb[122]
-port 445 nsew signal input
-flabel metal2 s 557246 -800 557358 480 0 FreeSans 1120 90 0 0 la_oenb[121]
-port 444 nsew signal input
-flabel metal2 s 553700 -800 553812 480 0 FreeSans 1120 90 0 0 la_oenb[120]
-port 443 nsew signal input
-flabel metal2 s 167186 -800 167298 480 0 FreeSans 1120 90 0 0 la_oenb[11]
-port 442 nsew signal input
-flabel metal2 s 550154 -800 550266 480 0 FreeSans 1120 90 0 0 la_oenb[119]
-port 441 nsew signal input
-flabel metal2 s 546608 -800 546720 480 0 FreeSans 1120 90 0 0 la_oenb[118]
-port 440 nsew signal input
-flabel metal2 s 543062 -800 543174 480 0 FreeSans 1120 90 0 0 la_oenb[117]
-port 439 nsew signal input
-flabel metal2 s 539516 -800 539628 480 0 FreeSans 1120 90 0 0 la_oenb[116]
-port 438 nsew signal input
-flabel metal2 s 535970 -800 536082 480 0 FreeSans 1120 90 0 0 la_oenb[115]
-port 437 nsew signal input
-flabel metal2 s 532424 -800 532536 480 0 FreeSans 1120 90 0 0 la_oenb[114]
-port 436 nsew signal input
-flabel metal2 s 528878 -800 528990 480 0 FreeSans 1120 90 0 0 la_oenb[113]
-port 435 nsew signal input
-flabel metal2 s 525332 -800 525444 480 0 FreeSans 1120 90 0 0 la_oenb[112]
-port 434 nsew signal input
-flabel metal2 s 521786 -800 521898 480 0 FreeSans 1120 90 0 0 la_oenb[111]
-port 433 nsew signal input
-flabel metal2 s 518240 -800 518352 480 0 FreeSans 1120 90 0 0 la_oenb[110]
-port 432 nsew signal input
-flabel metal2 s 163640 -800 163752 480 0 FreeSans 1120 90 0 0 la_oenb[10]
-port 431 nsew signal input
-flabel metal2 s 514694 -800 514806 480 0 FreeSans 1120 90 0 0 la_oenb[109]
-port 430 nsew signal input
-flabel metal2 s 511148 -800 511260 480 0 FreeSans 1120 90 0 0 la_oenb[108]
-port 429 nsew signal input
-flabel metal2 s 507602 -800 507714 480 0 FreeSans 1120 90 0 0 la_oenb[107]
-port 428 nsew signal input
-flabel metal2 s 504056 -800 504168 480 0 FreeSans 1120 90 0 0 la_oenb[106]
-port 427 nsew signal input
-flabel metal2 s 500510 -800 500622 480 0 FreeSans 1120 90 0 0 la_oenb[105]
-port 426 nsew signal input
-flabel metal2 s 496964 -800 497076 480 0 FreeSans 1120 90 0 0 la_oenb[104]
-port 425 nsew signal input
-flabel metal2 s 493418 -800 493530 480 0 FreeSans 1120 90 0 0 la_oenb[103]
-port 424 nsew signal input
-flabel metal2 s 489872 -800 489984 480 0 FreeSans 1120 90 0 0 la_oenb[102]
-port 423 nsew signal input
-flabel metal2 s 486326 -800 486438 480 0 FreeSans 1120 90 0 0 la_oenb[101]
-port 422 nsew signal input
-flabel metal2 s 482780 -800 482892 480 0 FreeSans 1120 90 0 0 la_oenb[100]
-port 421 nsew signal input
-flabel metal2 s 128180 -800 128292 480 0 FreeSans 1120 90 0 0 la_oenb[0]
-port 420 nsew signal input
-flabel metal2 s 158912 -800 159024 480 0 FreeSans 1120 90 0 0 la_data_out[9]
-port 419 nsew signal tristate
-flabel metal2 s 478052 -800 478164 480 0 FreeSans 1120 90 0 0 la_data_out[99]
-port 418 nsew signal tristate
-flabel metal2 s 474506 -800 474618 480 0 FreeSans 1120 90 0 0 la_data_out[98]
-port 417 nsew signal tristate
-flabel metal2 s 470960 -800 471072 480 0 FreeSans 1120 90 0 0 la_data_out[97]
-port 416 nsew signal tristate
-flabel metal2 s 467414 -800 467526 480 0 FreeSans 1120 90 0 0 la_data_out[96]
-port 415 nsew signal tristate
-flabel metal2 s 463868 -800 463980 480 0 FreeSans 1120 90 0 0 la_data_out[95]
-port 414 nsew signal tristate
-flabel metal2 s 460322 -800 460434 480 0 FreeSans 1120 90 0 0 la_data_out[94]
-port 413 nsew signal tristate
-flabel metal2 s 456776 -800 456888 480 0 FreeSans 1120 90 0 0 la_data_out[93]
-port 412 nsew signal tristate
-flabel metal2 s 453230 -800 453342 480 0 FreeSans 1120 90 0 0 la_data_out[92]
-port 411 nsew signal tristate
-flabel metal2 s 449684 -800 449796 480 0 FreeSans 1120 90 0 0 la_data_out[91]
-port 410 nsew signal tristate
-flabel metal2 s 446138 -800 446250 480 0 FreeSans 1120 90 0 0 la_data_out[90]
-port 409 nsew signal tristate
-flabel metal2 s 155366 -800 155478 480 0 FreeSans 1120 90 0 0 la_data_out[8]
-port 408 nsew signal tristate
-flabel metal2 s 442592 -800 442704 480 0 FreeSans 1120 90 0 0 la_data_out[89]
-port 407 nsew signal tristate
-flabel metal2 s 439046 -800 439158 480 0 FreeSans 1120 90 0 0 la_data_out[88]
-port 406 nsew signal tristate
-flabel metal2 s 435500 -800 435612 480 0 FreeSans 1120 90 0 0 la_data_out[87]
-port 405 nsew signal tristate
-flabel metal2 s 431954 -800 432066 480 0 FreeSans 1120 90 0 0 la_data_out[86]
-port 404 nsew signal tristate
-flabel metal2 s 428408 -800 428520 480 0 FreeSans 1120 90 0 0 la_data_out[85]
-port 403 nsew signal tristate
-flabel metal2 s 424862 -800 424974 480 0 FreeSans 1120 90 0 0 la_data_out[84]
-port 402 nsew signal tristate
-flabel metal2 s 421316 -800 421428 480 0 FreeSans 1120 90 0 0 la_data_out[83]
-port 401 nsew signal tristate
-flabel metal2 s 417770 -800 417882 480 0 FreeSans 1120 90 0 0 la_data_out[82]
-port 400 nsew signal tristate
-flabel metal2 s 414224 -800 414336 480 0 FreeSans 1120 90 0 0 la_data_out[81]
-port 399 nsew signal tristate
-flabel metal2 s 410678 -800 410790 480 0 FreeSans 1120 90 0 0 la_data_out[80]
-port 398 nsew signal tristate
-flabel metal2 s 151820 -800 151932 480 0 FreeSans 1120 90 0 0 la_data_out[7]
-port 397 nsew signal tristate
-flabel metal2 s 407132 -800 407244 480 0 FreeSans 1120 90 0 0 la_data_out[79]
-port 396 nsew signal tristate
-flabel metal2 s 403586 -800 403698 480 0 FreeSans 1120 90 0 0 la_data_out[78]
-port 395 nsew signal tristate
-flabel metal2 s 400040 -800 400152 480 0 FreeSans 1120 90 0 0 la_data_out[77]
-port 394 nsew signal tristate
-flabel metal2 s 396494 -800 396606 480 0 FreeSans 1120 90 0 0 la_data_out[76]
-port 393 nsew signal tristate
-flabel metal2 s 392948 -800 393060 480 0 FreeSans 1120 90 0 0 la_data_out[75]
-port 392 nsew signal tristate
-flabel metal2 s 389402 -800 389514 480 0 FreeSans 1120 90 0 0 la_data_out[74]
-port 391 nsew signal tristate
-flabel metal2 s 385856 -800 385968 480 0 FreeSans 1120 90 0 0 la_data_out[73]
-port 390 nsew signal tristate
-flabel metal2 s 382310 -800 382422 480 0 FreeSans 1120 90 0 0 la_data_out[72]
-port 389 nsew signal tristate
-flabel metal2 s 378764 -800 378876 480 0 FreeSans 1120 90 0 0 la_data_out[71]
-port 388 nsew signal tristate
-flabel metal2 s 375218 -800 375330 480 0 FreeSans 1120 90 0 0 la_data_out[70]
-port 387 nsew signal tristate
-flabel metal2 s 148274 -800 148386 480 0 FreeSans 1120 90 0 0 la_data_out[6]
-port 386 nsew signal tristate
-flabel metal2 s 371672 -800 371784 480 0 FreeSans 1120 90 0 0 la_data_out[69]
-port 385 nsew signal tristate
-flabel metal2 s 368126 -800 368238 480 0 FreeSans 1120 90 0 0 la_data_out[68]
-port 384 nsew signal tristate
-flabel metal2 s 364580 -800 364692 480 0 FreeSans 1120 90 0 0 la_data_out[67]
-port 383 nsew signal tristate
-flabel metal2 s 361034 -800 361146 480 0 FreeSans 1120 90 0 0 la_data_out[66]
-port 382 nsew signal tristate
-flabel metal2 s 357488 -800 357600 480 0 FreeSans 1120 90 0 0 la_data_out[65]
-port 381 nsew signal tristate
-flabel metal2 s 353942 -800 354054 480 0 FreeSans 1120 90 0 0 la_data_out[64]
-port 380 nsew signal tristate
-flabel metal2 s 350396 -800 350508 480 0 FreeSans 1120 90 0 0 la_data_out[63]
-port 379 nsew signal tristate
-flabel metal2 s 346850 -800 346962 480 0 FreeSans 1120 90 0 0 la_data_out[62]
-port 378 nsew signal tristate
-flabel metal2 s 343304 -800 343416 480 0 FreeSans 1120 90 0 0 la_data_out[61]
-port 377 nsew signal tristate
-flabel metal2 s 339758 -800 339870 480 0 FreeSans 1120 90 0 0 la_data_out[60]
-port 376 nsew signal tristate
-flabel metal2 s 144728 -800 144840 480 0 FreeSans 1120 90 0 0 la_data_out[5]
-port 375 nsew signal tristate
-flabel metal2 s 336212 -800 336324 480 0 FreeSans 1120 90 0 0 la_data_out[59]
-port 374 nsew signal tristate
-flabel metal2 s 332666 -800 332778 480 0 FreeSans 1120 90 0 0 la_data_out[58]
-port 373 nsew signal tristate
-flabel metal2 s 329120 -800 329232 480 0 FreeSans 1120 90 0 0 la_data_out[57]
-port 372 nsew signal tristate
-flabel metal2 s 325574 -800 325686 480 0 FreeSans 1120 90 0 0 la_data_out[56]
-port 371 nsew signal tristate
-flabel metal2 s 322028 -800 322140 480 0 FreeSans 1120 90 0 0 la_data_out[55]
-port 370 nsew signal tristate
-flabel metal2 s 318482 -800 318594 480 0 FreeSans 1120 90 0 0 la_data_out[54]
-port 369 nsew signal tristate
-flabel metal2 s 314936 -800 315048 480 0 FreeSans 1120 90 0 0 la_data_out[53]
-port 368 nsew signal tristate
-flabel metal2 s 311390 -800 311502 480 0 FreeSans 1120 90 0 0 la_data_out[52]
-port 367 nsew signal tristate
-flabel metal2 s 307844 -800 307956 480 0 FreeSans 1120 90 0 0 la_data_out[51]
-port 366 nsew signal tristate
-flabel metal2 s 304298 -800 304410 480 0 FreeSans 1120 90 0 0 la_data_out[50]
-port 365 nsew signal tristate
-flabel metal2 s 141182 -800 141294 480 0 FreeSans 1120 90 0 0 la_data_out[4]
-port 364 nsew signal tristate
-flabel metal2 s 300752 -800 300864 480 0 FreeSans 1120 90 0 0 la_data_out[49]
-port 363 nsew signal tristate
-flabel metal2 s 297206 -800 297318 480 0 FreeSans 1120 90 0 0 la_data_out[48]
-port 362 nsew signal tristate
-flabel metal2 s 293660 -800 293772 480 0 FreeSans 1120 90 0 0 la_data_out[47]
-port 361 nsew signal tristate
-flabel metal2 s 290114 -800 290226 480 0 FreeSans 1120 90 0 0 la_data_out[46]
-port 360 nsew signal tristate
-flabel metal2 s 286568 -800 286680 480 0 FreeSans 1120 90 0 0 la_data_out[45]
-port 359 nsew signal tristate
-flabel metal2 s 283022 -800 283134 480 0 FreeSans 1120 90 0 0 la_data_out[44]
-port 358 nsew signal tristate
-flabel metal2 s 279476 -800 279588 480 0 FreeSans 1120 90 0 0 la_data_out[43]
-port 357 nsew signal tristate
-flabel metal2 s 275930 -800 276042 480 0 FreeSans 1120 90 0 0 la_data_out[42]
-port 356 nsew signal tristate
-flabel metal2 s 272384 -800 272496 480 0 FreeSans 1120 90 0 0 la_data_out[41]
-port 355 nsew signal tristate
-flabel metal2 s 268838 -800 268950 480 0 FreeSans 1120 90 0 0 la_data_out[40]
-port 354 nsew signal tristate
-flabel metal2 s 137636 -800 137748 480 0 FreeSans 1120 90 0 0 la_data_out[3]
-port 353 nsew signal tristate
-flabel metal2 s 265292 -800 265404 480 0 FreeSans 1120 90 0 0 la_data_out[39]
-port 352 nsew signal tristate
-flabel metal2 s 261746 -800 261858 480 0 FreeSans 1120 90 0 0 la_data_out[38]
-port 351 nsew signal tristate
-flabel metal2 s 258200 -800 258312 480 0 FreeSans 1120 90 0 0 la_data_out[37]
-port 350 nsew signal tristate
-flabel metal2 s 254654 -800 254766 480 0 FreeSans 1120 90 0 0 la_data_out[36]
-port 349 nsew signal tristate
-flabel metal2 s 251108 -800 251220 480 0 FreeSans 1120 90 0 0 la_data_out[35]
-port 348 nsew signal tristate
-flabel metal2 s 247562 -800 247674 480 0 FreeSans 1120 90 0 0 la_data_out[34]
-port 347 nsew signal tristate
-flabel metal2 s 244016 -800 244128 480 0 FreeSans 1120 90 0 0 la_data_out[33]
-port 346 nsew signal tristate
-flabel metal2 s 240470 -800 240582 480 0 FreeSans 1120 90 0 0 la_data_out[32]
-port 345 nsew signal tristate
-flabel metal2 s 236924 -800 237036 480 0 FreeSans 1120 90 0 0 la_data_out[31]
-port 344 nsew signal tristate
-flabel metal2 s 233378 -800 233490 480 0 FreeSans 1120 90 0 0 la_data_out[30]
-port 343 nsew signal tristate
-flabel metal2 s 134090 -800 134202 480 0 FreeSans 1120 90 0 0 la_data_out[2]
-port 342 nsew signal tristate
-flabel metal2 s 229832 -800 229944 480 0 FreeSans 1120 90 0 0 la_data_out[29]
-port 341 nsew signal tristate
-flabel metal2 s 226286 -800 226398 480 0 FreeSans 1120 90 0 0 la_data_out[28]
-port 340 nsew signal tristate
-flabel metal2 s 222740 -800 222852 480 0 FreeSans 1120 90 0 0 la_data_out[27]
-port 339 nsew signal tristate
-flabel metal2 s 219194 -800 219306 480 0 FreeSans 1120 90 0 0 la_data_out[26]
-port 338 nsew signal tristate
-flabel metal2 s 215648 -800 215760 480 0 FreeSans 1120 90 0 0 la_data_out[25]
-port 337 nsew signal tristate
-flabel metal2 s 212102 -800 212214 480 0 FreeSans 1120 90 0 0 la_data_out[24]
-port 336 nsew signal tristate
-flabel metal2 s 208556 -800 208668 480 0 FreeSans 1120 90 0 0 la_data_out[23]
-port 335 nsew signal tristate
-flabel metal2 s 205010 -800 205122 480 0 FreeSans 1120 90 0 0 la_data_out[22]
-port 334 nsew signal tristate
-flabel metal2 s 201464 -800 201576 480 0 FreeSans 1120 90 0 0 la_data_out[21]
-port 333 nsew signal tristate
-flabel metal2 s 197918 -800 198030 480 0 FreeSans 1120 90 0 0 la_data_out[20]
-port 332 nsew signal tristate
-flabel metal2 s 130544 -800 130656 480 0 FreeSans 1120 90 0 0 la_data_out[1]
-port 331 nsew signal tristate
-flabel metal2 s 194372 -800 194484 480 0 FreeSans 1120 90 0 0 la_data_out[19]
-port 330 nsew signal tristate
-flabel metal2 s 190826 -800 190938 480 0 FreeSans 1120 90 0 0 la_data_out[18]
-port 329 nsew signal tristate
-flabel metal2 s 187280 -800 187392 480 0 FreeSans 1120 90 0 0 la_data_out[17]
-port 328 nsew signal tristate
-flabel metal2 s 183734 -800 183846 480 0 FreeSans 1120 90 0 0 la_data_out[16]
-port 327 nsew signal tristate
-flabel metal2 s 180188 -800 180300 480 0 FreeSans 1120 90 0 0 la_data_out[15]
-port 326 nsew signal tristate
-flabel metal2 s 176642 -800 176754 480 0 FreeSans 1120 90 0 0 la_data_out[14]
-port 325 nsew signal tristate
-flabel metal2 s 173096 -800 173208 480 0 FreeSans 1120 90 0 0 la_data_out[13]
-port 324 nsew signal tristate
-flabel metal2 s 169550 -800 169662 480 0 FreeSans 1120 90 0 0 la_data_out[12]
-port 323 nsew signal tristate
-flabel metal2 s 577340 -800 577452 480 0 FreeSans 1120 90 0 0 la_data_out[127]
-port 322 nsew signal tristate
-flabel metal2 s 573794 -800 573906 480 0 FreeSans 1120 90 0 0 la_data_out[126]
-port 321 nsew signal tristate
-flabel metal2 s 570248 -800 570360 480 0 FreeSans 1120 90 0 0 la_data_out[125]
-port 320 nsew signal tristate
-flabel metal2 s 566702 -800 566814 480 0 FreeSans 1120 90 0 0 la_data_out[124]
-port 319 nsew signal tristate
-flabel metal2 s 563156 -800 563268 480 0 FreeSans 1120 90 0 0 la_data_out[123]
-port 318 nsew signal tristate
-flabel metal2 s 559610 -800 559722 480 0 FreeSans 1120 90 0 0 la_data_out[122]
-port 317 nsew signal tristate
-flabel metal2 s 556064 -800 556176 480 0 FreeSans 1120 90 0 0 la_data_out[121]
-port 316 nsew signal tristate
-flabel metal2 s 552518 -800 552630 480 0 FreeSans 1120 90 0 0 la_data_out[120]
-port 315 nsew signal tristate
-flabel metal2 s 166004 -800 166116 480 0 FreeSans 1120 90 0 0 la_data_out[11]
-port 314 nsew signal tristate
-flabel metal2 s 548972 -800 549084 480 0 FreeSans 1120 90 0 0 la_data_out[119]
-port 313 nsew signal tristate
-flabel metal2 s 545426 -800 545538 480 0 FreeSans 1120 90 0 0 la_data_out[118]
-port 312 nsew signal tristate
-flabel metal2 s 541880 -800 541992 480 0 FreeSans 1120 90 0 0 la_data_out[117]
-port 311 nsew signal tristate
-flabel metal2 s 538334 -800 538446 480 0 FreeSans 1120 90 0 0 la_data_out[116]
-port 310 nsew signal tristate
-flabel metal2 s 534788 -800 534900 480 0 FreeSans 1120 90 0 0 la_data_out[115]
-port 309 nsew signal tristate
-flabel metal2 s 531242 -800 531354 480 0 FreeSans 1120 90 0 0 la_data_out[114]
-port 308 nsew signal tristate
-flabel metal2 s 527696 -800 527808 480 0 FreeSans 1120 90 0 0 la_data_out[113]
-port 307 nsew signal tristate
-flabel metal2 s 524150 -800 524262 480 0 FreeSans 1120 90 0 0 la_data_out[112]
-port 306 nsew signal tristate
-flabel metal2 s 520604 -800 520716 480 0 FreeSans 1120 90 0 0 la_data_out[111]
-port 305 nsew signal tristate
-flabel metal2 s 517058 -800 517170 480 0 FreeSans 1120 90 0 0 la_data_out[110]
-port 304 nsew signal tristate
-flabel metal2 s 162458 -800 162570 480 0 FreeSans 1120 90 0 0 la_data_out[10]
-port 303 nsew signal tristate
-flabel metal2 s 513512 -800 513624 480 0 FreeSans 1120 90 0 0 la_data_out[109]
-port 302 nsew signal tristate
-flabel metal2 s 509966 -800 510078 480 0 FreeSans 1120 90 0 0 la_data_out[108]
-port 301 nsew signal tristate
-flabel metal2 s 506420 -800 506532 480 0 FreeSans 1120 90 0 0 la_data_out[107]
-port 300 nsew signal tristate
-flabel metal2 s 502874 -800 502986 480 0 FreeSans 1120 90 0 0 la_data_out[106]
-port 299 nsew signal tristate
-flabel metal2 s 499328 -800 499440 480 0 FreeSans 1120 90 0 0 la_data_out[105]
-port 298 nsew signal tristate
-flabel metal2 s 495782 -800 495894 480 0 FreeSans 1120 90 0 0 la_data_out[104]
-port 297 nsew signal tristate
-flabel metal2 s 492236 -800 492348 480 0 FreeSans 1120 90 0 0 la_data_out[103]
-port 296 nsew signal tristate
-flabel metal2 s 488690 -800 488802 480 0 FreeSans 1120 90 0 0 la_data_out[102]
-port 295 nsew signal tristate
-flabel metal2 s 485144 -800 485256 480 0 FreeSans 1120 90 0 0 la_data_out[101]
-port 294 nsew signal tristate
-flabel metal2 s 481598 -800 481710 480 0 FreeSans 1120 90 0 0 la_data_out[100]
-port 293 nsew signal tristate
-flabel metal2 s 126998 -800 127110 480 0 FreeSans 1120 90 0 0 la_data_out[0]
-port 292 nsew signal tristate
-flabel metal2 s 157730 -800 157842 480 0 FreeSans 1120 90 0 0 la_data_in[9]
-port 291 nsew signal input
-flabel metal2 s 476870 -800 476982 480 0 FreeSans 1120 90 0 0 la_data_in[99]
-port 290 nsew signal input
-flabel metal2 s 473324 -800 473436 480 0 FreeSans 1120 90 0 0 la_data_in[98]
-port 289 nsew signal input
-flabel metal2 s 469778 -800 469890 480 0 FreeSans 1120 90 0 0 la_data_in[97]
-port 288 nsew signal input
-flabel metal2 s 466232 -800 466344 480 0 FreeSans 1120 90 0 0 la_data_in[96]
-port 287 nsew signal input
-flabel metal2 s 462686 -800 462798 480 0 FreeSans 1120 90 0 0 la_data_in[95]
-port 286 nsew signal input
-flabel metal2 s 459140 -800 459252 480 0 FreeSans 1120 90 0 0 la_data_in[94]
-port 285 nsew signal input
-flabel metal2 s 455594 -800 455706 480 0 FreeSans 1120 90 0 0 la_data_in[93]
-port 284 nsew signal input
-flabel metal2 s 452048 -800 452160 480 0 FreeSans 1120 90 0 0 la_data_in[92]
-port 283 nsew signal input
-flabel metal2 s 448502 -800 448614 480 0 FreeSans 1120 90 0 0 la_data_in[91]
-port 282 nsew signal input
-flabel metal2 s 444956 -800 445068 480 0 FreeSans 1120 90 0 0 la_data_in[90]
-port 281 nsew signal input
-flabel metal2 s 154184 -800 154296 480 0 FreeSans 1120 90 0 0 la_data_in[8]
-port 280 nsew signal input
-flabel metal2 s 441410 -800 441522 480 0 FreeSans 1120 90 0 0 la_data_in[89]
-port 279 nsew signal input
-flabel metal2 s 437864 -800 437976 480 0 FreeSans 1120 90 0 0 la_data_in[88]
-port 278 nsew signal input
-flabel metal2 s 434318 -800 434430 480 0 FreeSans 1120 90 0 0 la_data_in[87]
-port 277 nsew signal input
-flabel metal2 s 430772 -800 430884 480 0 FreeSans 1120 90 0 0 la_data_in[86]
-port 276 nsew signal input
-flabel metal2 s 427226 -800 427338 480 0 FreeSans 1120 90 0 0 la_data_in[85]
-port 275 nsew signal input
-flabel metal2 s 423680 -800 423792 480 0 FreeSans 1120 90 0 0 la_data_in[84]
-port 274 nsew signal input
-flabel metal2 s 420134 -800 420246 480 0 FreeSans 1120 90 0 0 la_data_in[83]
-port 273 nsew signal input
-flabel metal2 s 416588 -800 416700 480 0 FreeSans 1120 90 0 0 la_data_in[82]
-port 272 nsew signal input
-flabel metal2 s 413042 -800 413154 480 0 FreeSans 1120 90 0 0 la_data_in[81]
-port 271 nsew signal input
-flabel metal2 s 409496 -800 409608 480 0 FreeSans 1120 90 0 0 la_data_in[80]
-port 270 nsew signal input
-flabel metal2 s 150638 -800 150750 480 0 FreeSans 1120 90 0 0 la_data_in[7]
-port 269 nsew signal input
-flabel metal2 s 405950 -800 406062 480 0 FreeSans 1120 90 0 0 la_data_in[79]
-port 268 nsew signal input
-flabel metal2 s 402404 -800 402516 480 0 FreeSans 1120 90 0 0 la_data_in[78]
-port 267 nsew signal input
-flabel metal2 s 398858 -800 398970 480 0 FreeSans 1120 90 0 0 la_data_in[77]
-port 266 nsew signal input
-flabel metal2 s 395312 -800 395424 480 0 FreeSans 1120 90 0 0 la_data_in[76]
-port 265 nsew signal input
-flabel metal2 s 391766 -800 391878 480 0 FreeSans 1120 90 0 0 la_data_in[75]
-port 264 nsew signal input
-flabel metal2 s 388220 -800 388332 480 0 FreeSans 1120 90 0 0 la_data_in[74]
-port 263 nsew signal input
-flabel metal2 s 384674 -800 384786 480 0 FreeSans 1120 90 0 0 la_data_in[73]
-port 262 nsew signal input
-flabel metal2 s 381128 -800 381240 480 0 FreeSans 1120 90 0 0 la_data_in[72]
-port 261 nsew signal input
-flabel metal2 s 377582 -800 377694 480 0 FreeSans 1120 90 0 0 la_data_in[71]
-port 260 nsew signal input
-flabel metal2 s 374036 -800 374148 480 0 FreeSans 1120 90 0 0 la_data_in[70]
-port 259 nsew signal input
-flabel metal2 s 147092 -800 147204 480 0 FreeSans 1120 90 0 0 la_data_in[6]
-port 258 nsew signal input
-flabel metal2 s 370490 -800 370602 480 0 FreeSans 1120 90 0 0 la_data_in[69]
-port 257 nsew signal input
-flabel metal2 s 366944 -800 367056 480 0 FreeSans 1120 90 0 0 la_data_in[68]
-port 256 nsew signal input
-flabel metal2 s 363398 -800 363510 480 0 FreeSans 1120 90 0 0 la_data_in[67]
-port 255 nsew signal input
-flabel metal2 s 359852 -800 359964 480 0 FreeSans 1120 90 0 0 la_data_in[66]
-port 254 nsew signal input
-flabel metal2 s 356306 -800 356418 480 0 FreeSans 1120 90 0 0 la_data_in[65]
-port 253 nsew signal input
-flabel metal2 s 352760 -800 352872 480 0 FreeSans 1120 90 0 0 la_data_in[64]
-port 252 nsew signal input
-flabel metal2 s 349214 -800 349326 480 0 FreeSans 1120 90 0 0 la_data_in[63]
-port 251 nsew signal input
-flabel metal2 s 345668 -800 345780 480 0 FreeSans 1120 90 0 0 la_data_in[62]
-port 250 nsew signal input
-flabel metal2 s 342122 -800 342234 480 0 FreeSans 1120 90 0 0 la_data_in[61]
-port 249 nsew signal input
-flabel metal2 s 338576 -800 338688 480 0 FreeSans 1120 90 0 0 la_data_in[60]
-port 248 nsew signal input
-flabel metal2 s 143546 -800 143658 480 0 FreeSans 1120 90 0 0 la_data_in[5]
-port 247 nsew signal input
-flabel metal2 s 335030 -800 335142 480 0 FreeSans 1120 90 0 0 la_data_in[59]
-port 246 nsew signal input
-flabel metal2 s 331484 -800 331596 480 0 FreeSans 1120 90 0 0 la_data_in[58]
-port 245 nsew signal input
-flabel metal2 s 327938 -800 328050 480 0 FreeSans 1120 90 0 0 la_data_in[57]
-port 244 nsew signal input
-flabel metal2 s 324392 -800 324504 480 0 FreeSans 1120 90 0 0 la_data_in[56]
-port 243 nsew signal input
-flabel metal2 s 320846 -800 320958 480 0 FreeSans 1120 90 0 0 la_data_in[55]
-port 242 nsew signal input
-flabel metal2 s 317300 -800 317412 480 0 FreeSans 1120 90 0 0 la_data_in[54]
-port 241 nsew signal input
-flabel metal2 s 313754 -800 313866 480 0 FreeSans 1120 90 0 0 la_data_in[53]
-port 240 nsew signal input
-flabel metal2 s 310208 -800 310320 480 0 FreeSans 1120 90 0 0 la_data_in[52]
-port 239 nsew signal input
-flabel metal2 s 306662 -800 306774 480 0 FreeSans 1120 90 0 0 la_data_in[51]
-port 238 nsew signal input
-flabel metal2 s 303116 -800 303228 480 0 FreeSans 1120 90 0 0 la_data_in[50]
-port 237 nsew signal input
-flabel metal2 s 140000 -800 140112 480 0 FreeSans 1120 90 0 0 la_data_in[4]
-port 236 nsew signal input
-flabel metal2 s 299570 -800 299682 480 0 FreeSans 1120 90 0 0 la_data_in[49]
-port 235 nsew signal input
-flabel metal2 s 296024 -800 296136 480 0 FreeSans 1120 90 0 0 la_data_in[48]
-port 234 nsew signal input
-flabel metal2 s 292478 -800 292590 480 0 FreeSans 1120 90 0 0 la_data_in[47]
-port 233 nsew signal input
-flabel metal2 s 288932 -800 289044 480 0 FreeSans 1120 90 0 0 la_data_in[46]
-port 232 nsew signal input
-flabel metal2 s 285386 -800 285498 480 0 FreeSans 1120 90 0 0 la_data_in[45]
-port 231 nsew signal input
-flabel metal2 s 281840 -800 281952 480 0 FreeSans 1120 90 0 0 la_data_in[44]
-port 230 nsew signal input
-flabel metal2 s 278294 -800 278406 480 0 FreeSans 1120 90 0 0 la_data_in[43]
-port 229 nsew signal input
-flabel metal2 s 274748 -800 274860 480 0 FreeSans 1120 90 0 0 la_data_in[42]
-port 228 nsew signal input
-flabel metal2 s 271202 -800 271314 480 0 FreeSans 1120 90 0 0 la_data_in[41]
-port 227 nsew signal input
-flabel metal2 s 267656 -800 267768 480 0 FreeSans 1120 90 0 0 la_data_in[40]
-port 226 nsew signal input
-flabel metal2 s 136454 -800 136566 480 0 FreeSans 1120 90 0 0 la_data_in[3]
-port 225 nsew signal input
-flabel metal2 s 264110 -800 264222 480 0 FreeSans 1120 90 0 0 la_data_in[39]
-port 224 nsew signal input
-flabel metal2 s 260564 -800 260676 480 0 FreeSans 1120 90 0 0 la_data_in[38]
-port 223 nsew signal input
-flabel metal2 s 257018 -800 257130 480 0 FreeSans 1120 90 0 0 la_data_in[37]
-port 222 nsew signal input
-flabel metal2 s 253472 -800 253584 480 0 FreeSans 1120 90 0 0 la_data_in[36]
-port 221 nsew signal input
-flabel metal2 s 249926 -800 250038 480 0 FreeSans 1120 90 0 0 la_data_in[35]
-port 220 nsew signal input
-flabel metal2 s 246380 -800 246492 480 0 FreeSans 1120 90 0 0 la_data_in[34]
-port 219 nsew signal input
-flabel metal2 s 242834 -800 242946 480 0 FreeSans 1120 90 0 0 la_data_in[33]
-port 218 nsew signal input
-flabel metal2 s 239288 -800 239400 480 0 FreeSans 1120 90 0 0 la_data_in[32]
-port 217 nsew signal input
-flabel metal2 s 235742 -800 235854 480 0 FreeSans 1120 90 0 0 la_data_in[31]
-port 216 nsew signal input
-flabel metal2 s 232196 -800 232308 480 0 FreeSans 1120 90 0 0 la_data_in[30]
-port 215 nsew signal input
-flabel metal2 s 132908 -800 133020 480 0 FreeSans 1120 90 0 0 la_data_in[2]
-port 214 nsew signal input
-flabel metal2 s 228650 -800 228762 480 0 FreeSans 1120 90 0 0 la_data_in[29]
-port 213 nsew signal input
-flabel metal2 s 225104 -800 225216 480 0 FreeSans 1120 90 0 0 la_data_in[28]
-port 212 nsew signal input
-flabel metal2 s 221558 -800 221670 480 0 FreeSans 1120 90 0 0 la_data_in[27]
-port 211 nsew signal input
-flabel metal2 s 218012 -800 218124 480 0 FreeSans 1120 90 0 0 la_data_in[26]
-port 210 nsew signal input
-flabel metal2 s 214466 -800 214578 480 0 FreeSans 1120 90 0 0 la_data_in[25]
-port 209 nsew signal input
-flabel metal2 s 210920 -800 211032 480 0 FreeSans 1120 90 0 0 la_data_in[24]
-port 208 nsew signal input
-flabel metal2 s 207374 -800 207486 480 0 FreeSans 1120 90 0 0 la_data_in[23]
-port 207 nsew signal input
-flabel metal2 s 203828 -800 203940 480 0 FreeSans 1120 90 0 0 la_data_in[22]
-port 206 nsew signal input
-flabel metal2 s 200282 -800 200394 480 0 FreeSans 1120 90 0 0 la_data_in[21]
-port 205 nsew signal input
-flabel metal2 s 196736 -800 196848 480 0 FreeSans 1120 90 0 0 la_data_in[20]
-port 204 nsew signal input
-flabel metal2 s 129362 -800 129474 480 0 FreeSans 1120 90 0 0 la_data_in[1]
-port 203 nsew signal input
-flabel metal2 s 193190 -800 193302 480 0 FreeSans 1120 90 0 0 la_data_in[19]
-port 202 nsew signal input
-flabel metal2 s 189644 -800 189756 480 0 FreeSans 1120 90 0 0 la_data_in[18]
-port 201 nsew signal input
-flabel metal2 s 186098 -800 186210 480 0 FreeSans 1120 90 0 0 la_data_in[17]
-port 200 nsew signal input
-flabel metal2 s 182552 -800 182664 480 0 FreeSans 1120 90 0 0 la_data_in[16]
-port 199 nsew signal input
-flabel metal2 s 179006 -800 179118 480 0 FreeSans 1120 90 0 0 la_data_in[15]
-port 198 nsew signal input
-flabel metal2 s 175460 -800 175572 480 0 FreeSans 1120 90 0 0 la_data_in[14]
-port 197 nsew signal input
-flabel metal2 s 171914 -800 172026 480 0 FreeSans 1120 90 0 0 la_data_in[13]
-port 196 nsew signal input
-flabel metal2 s 168368 -800 168480 480 0 FreeSans 1120 90 0 0 la_data_in[12]
-port 195 nsew signal input
-flabel metal2 s 576158 -800 576270 480 0 FreeSans 1120 90 0 0 la_data_in[127]
-port 194 nsew signal input
-flabel metal2 s 572612 -800 572724 480 0 FreeSans 1120 90 0 0 la_data_in[126]
-port 193 nsew signal input
-flabel metal2 s 569066 -800 569178 480 0 FreeSans 1120 90 0 0 la_data_in[125]
-port 192 nsew signal input
-flabel metal2 s 565520 -800 565632 480 0 FreeSans 1120 90 0 0 la_data_in[124]
-port 191 nsew signal input
-flabel metal2 s 561974 -800 562086 480 0 FreeSans 1120 90 0 0 la_data_in[123]
-port 190 nsew signal input
-flabel metal2 s 558428 -800 558540 480 0 FreeSans 1120 90 0 0 la_data_in[122]
-port 189 nsew signal input
-flabel metal2 s 554882 -800 554994 480 0 FreeSans 1120 90 0 0 la_data_in[121]
-port 188 nsew signal input
-flabel metal2 s 551336 -800 551448 480 0 FreeSans 1120 90 0 0 la_data_in[120]
-port 187 nsew signal input
-flabel metal2 s 164822 -800 164934 480 0 FreeSans 1120 90 0 0 la_data_in[11]
-port 186 nsew signal input
-flabel metal2 s 547790 -800 547902 480 0 FreeSans 1120 90 0 0 la_data_in[119]
-port 185 nsew signal input
-flabel metal2 s 544244 -800 544356 480 0 FreeSans 1120 90 0 0 la_data_in[118]
-port 184 nsew signal input
-flabel metal2 s 540698 -800 540810 480 0 FreeSans 1120 90 0 0 la_data_in[117]
-port 183 nsew signal input
-flabel metal2 s 537152 -800 537264 480 0 FreeSans 1120 90 0 0 la_data_in[116]
-port 182 nsew signal input
-flabel metal2 s 533606 -800 533718 480 0 FreeSans 1120 90 0 0 la_data_in[115]
-port 181 nsew signal input
-flabel metal2 s 530060 -800 530172 480 0 FreeSans 1120 90 0 0 la_data_in[114]
-port 180 nsew signal input
-flabel metal2 s 526514 -800 526626 480 0 FreeSans 1120 90 0 0 la_data_in[113]
-port 179 nsew signal input
-flabel metal2 s 522968 -800 523080 480 0 FreeSans 1120 90 0 0 la_data_in[112]
-port 178 nsew signal input
-flabel metal2 s 519422 -800 519534 480 0 FreeSans 1120 90 0 0 la_data_in[111]
-port 177 nsew signal input
-flabel metal2 s 515876 -800 515988 480 0 FreeSans 1120 90 0 0 la_data_in[110]
-port 176 nsew signal input
-flabel metal2 s 161276 -800 161388 480 0 FreeSans 1120 90 0 0 la_data_in[10]
-port 175 nsew signal input
-flabel metal2 s 512330 -800 512442 480 0 FreeSans 1120 90 0 0 la_data_in[109]
-port 174 nsew signal input
-flabel metal2 s 508784 -800 508896 480 0 FreeSans 1120 90 0 0 la_data_in[108]
-port 173 nsew signal input
-flabel metal2 s 505238 -800 505350 480 0 FreeSans 1120 90 0 0 la_data_in[107]
-port 172 nsew signal input
-flabel metal2 s 501692 -800 501804 480 0 FreeSans 1120 90 0 0 la_data_in[106]
-port 171 nsew signal input
-flabel metal2 s 498146 -800 498258 480 0 FreeSans 1120 90 0 0 la_data_in[105]
-port 170 nsew signal input
-flabel metal2 s 494600 -800 494712 480 0 FreeSans 1120 90 0 0 la_data_in[104]
-port 169 nsew signal input
-flabel metal2 s 491054 -800 491166 480 0 FreeSans 1120 90 0 0 la_data_in[103]
-port 168 nsew signal input
-flabel metal2 s 487508 -800 487620 480 0 FreeSans 1120 90 0 0 la_data_in[102]
-port 167 nsew signal input
-flabel metal2 s 483962 -800 484074 480 0 FreeSans 1120 90 0 0 la_data_in[101]
-port 166 nsew signal input
-flabel metal2 s 480416 -800 480528 480 0 FreeSans 1120 90 0 0 la_data_in[100]
-port 165 nsew signal input
-flabel metal2 s 125816 -800 125928 480 0 FreeSans 1120 90 0 0 la_data_in[0]
-port 164 nsew signal input
-flabel metal3 s 583520 363602 584800 363714 0 FreeSans 1120 0 0 0 io_out[9]
-port 163 nsew signal tristate
-flabel metal3 s 583520 318380 584800 318492 0 FreeSans 1120 0 0 0 io_out[8]
-port 162 nsew signal tristate
-flabel metal3 s 583520 273958 584800 274070 0 FreeSans 1120 0 0 0 io_out[7]
-port 161 nsew signal tristate
-flabel metal3 s 583520 93936 584800 94048 0 FreeSans 1120 0 0 0 io_out[6]
-port 160 nsew signal tristate
-flabel metal3 s 583520 49278 584800 49390 0 FreeSans 1120 0 0 0 io_out[5]
-port 159 nsew signal tristate
-flabel metal3 s 583520 22820 584800 22932 0 FreeSans 1120 0 0 0 io_out[4]
-port 158 nsew signal tristate
-flabel metal3 s 583520 18092 584800 18204 0 FreeSans 1120 0 0 0 io_out[3]
-port 157 nsew signal tristate
-flabel metal3 s 583520 13364 584800 13476 0 FreeSans 1120 0 0 0 io_out[2]
-port 156 nsew signal tristate
-flabel metal3 s -800 2726 480 2838 0 FreeSans 1120 0 0 0 io_out[26]
-port 155 nsew signal tristate
-flabel metal3 s -800 7454 480 7566 0 FreeSans 1120 0 0 0 io_out[25]
-port 154 nsew signal tristate
-flabel metal3 s -800 12182 480 12294 0 FreeSans 1120 0 0 0 io_out[24]
-port 153 nsew signal tristate
-flabel metal3 s -800 33604 480 33716 0 FreeSans 1120 0 0 0 io_out[23]
-port 152 nsew signal tristate
-flabel metal3 s -800 76826 480 76938 0 FreeSans 1120 0 0 0 io_out[22]
-port 151 nsew signal tristate
-flabel metal3 s -800 120048 480 120160 0 FreeSans 1120 0 0 0 io_out[21]
-port 150 nsew signal tristate
-flabel metal3 s -800 247670 480 247782 0 FreeSans 1120 0 0 0 io_out[20]
-port 149 nsew signal tristate
-flabel metal3 s 583520 8636 584800 8748 0 FreeSans 1120 0 0 0 io_out[1]
-port 148 nsew signal tristate
-flabel metal3 s -800 290692 480 290804 0 FreeSans 1120 0 0 0 io_out[19]
-port 147 nsew signal tristate
-flabel metal3 s -800 333914 480 334026 0 FreeSans 1120 0 0 0 io_out[18]
-port 146 nsew signal tristate
-flabel metal3 s -800 377136 480 377248 0 FreeSans 1120 0 0 0 io_out[17]
-port 145 nsew signal tristate
-flabel metal3 s -800 420358 480 420470 0 FreeSans 1120 0 0 0 io_out[16]
-port 144 nsew signal tristate
-flabel metal3 s -800 463580 480 463692 0 FreeSans 1120 0 0 0 io_out[15]
-port 143 nsew signal tristate
-flabel metal3 s -800 506802 480 506914 0 FreeSans 1120 0 0 0 io_out[14]
-port 142 nsew signal tristate
-flabel metal3 s 583520 588290 584800 588402 0 FreeSans 1120 0 0 0 io_out[13]
-port 141 nsew signal tristate
-flabel metal3 s 583520 498868 584800 498980 0 FreeSans 1120 0 0 0 io_out[12]
-port 140 nsew signal tristate
-flabel metal3 s 583520 454446 584800 454558 0 FreeSans 1120 0 0 0 io_out[11]
-port 139 nsew signal tristate
-flabel metal3 s 583520 410024 584800 410136 0 FreeSans 1120 0 0 0 io_out[10]
-port 138 nsew signal tristate
-flabel metal3 s 583520 3908 584800 4020 0 FreeSans 1120 0 0 0 io_out[0]
-port 137 nsew signal tristate
-flabel metal3 s 583520 364784 584800 364896 0 FreeSans 1120 0 0 0 io_oeb[9]
-port 136 nsew signal tristate
-flabel metal3 s 583520 319562 584800 319674 0 FreeSans 1120 0 0 0 io_oeb[8]
-port 135 nsew signal tristate
-flabel metal3 s 583520 275140 584800 275252 0 FreeSans 1120 0 0 0 io_oeb[7]
-port 134 nsew signal tristate
-flabel metal3 s 583520 95118 584800 95230 0 FreeSans 1120 0 0 0 io_oeb[6]
-port 133 nsew signal tristate
-flabel metal3 s 583520 50460 584800 50572 0 FreeSans 1120 0 0 0 io_oeb[5]
-port 132 nsew signal tristate
-flabel metal3 s 583520 24002 584800 24114 0 FreeSans 1120 0 0 0 io_oeb[4]
-port 131 nsew signal tristate
-flabel metal3 s 583520 19274 584800 19386 0 FreeSans 1120 0 0 0 io_oeb[3]
-port 130 nsew signal tristate
-flabel metal3 s 583520 14546 584800 14658 0 FreeSans 1120 0 0 0 io_oeb[2]
-port 129 nsew signal tristate
-flabel metal3 s -800 1544 480 1656 0 FreeSans 1120 0 0 0 io_oeb[26]
-port 128 nsew signal tristate
-flabel metal3 s -800 6272 480 6384 0 FreeSans 1120 0 0 0 io_oeb[25]
-port 127 nsew signal tristate
-flabel metal3 s -800 11000 480 11112 0 FreeSans 1120 0 0 0 io_oeb[24]
-port 126 nsew signal tristate
-flabel metal3 s -800 32422 480 32534 0 FreeSans 1120 0 0 0 io_oeb[23]
-port 125 nsew signal tristate
-flabel metal3 s -800 75644 480 75756 0 FreeSans 1120 0 0 0 io_oeb[22]
-port 124 nsew signal tristate
-flabel metal3 s -800 118866 480 118978 0 FreeSans 1120 0 0 0 io_oeb[21]
-port 123 nsew signal tristate
-flabel metal3 s -800 246488 480 246600 0 FreeSans 1120 0 0 0 io_oeb[20]
-port 122 nsew signal tristate
-flabel metal3 s 583520 9818 584800 9930 0 FreeSans 1120 0 0 0 io_oeb[1]
-port 121 nsew signal tristate
-flabel metal3 s -800 289510 480 289622 0 FreeSans 1120 0 0 0 io_oeb[19]
-port 120 nsew signal tristate
-flabel metal3 s -800 332732 480 332844 0 FreeSans 1120 0 0 0 io_oeb[18]
-port 119 nsew signal tristate
-flabel metal3 s -800 375954 480 376066 0 FreeSans 1120 0 0 0 io_oeb[17]
-port 118 nsew signal tristate
-flabel metal3 s -800 419176 480 419288 0 FreeSans 1120 0 0 0 io_oeb[16]
-port 117 nsew signal tristate
-flabel metal3 s -800 462398 480 462510 0 FreeSans 1120 0 0 0 io_oeb[15]
-port 116 nsew signal tristate
-flabel metal3 s -800 505620 480 505732 0 FreeSans 1120 0 0 0 io_oeb[14]
-port 115 nsew signal tristate
-flabel metal3 s 583520 589472 584800 589584 0 FreeSans 1120 0 0 0 io_oeb[13]
-port 114 nsew signal tristate
-flabel metal3 s 583520 500050 584800 500162 0 FreeSans 1120 0 0 0 io_oeb[12]
-port 113 nsew signal tristate
-flabel metal3 s 583520 455628 584800 455740 0 FreeSans 1120 0 0 0 io_oeb[11]
-port 112 nsew signal tristate
-flabel metal3 s 583520 411206 584800 411318 0 FreeSans 1120 0 0 0 io_oeb[10]
-port 111 nsew signal tristate
-flabel metal3 s 583520 5090 584800 5202 0 FreeSans 1120 0 0 0 io_oeb[0]
-port 110 nsew signal tristate
-flabel metal3 s 583520 361238 584800 361350 0 FreeSans 1120 0 0 0 io_in_3v3[9]
-port 109 nsew signal input
-flabel metal3 s 583520 316016 584800 316128 0 FreeSans 1120 0 0 0 io_in_3v3[8]
-port 108 nsew signal input
-flabel metal3 s 583520 271594 584800 271706 0 FreeSans 1120 0 0 0 io_in_3v3[7]
-port 107 nsew signal input
-flabel metal3 s 583520 91572 584800 91684 0 FreeSans 1120 0 0 0 io_in_3v3[6]
-port 106 nsew signal input
-flabel metal3 s 583520 46914 584800 47026 0 FreeSans 1120 0 0 0 io_in_3v3[5]
-port 105 nsew signal input
-flabel metal3 s 583520 20456 584800 20568 0 FreeSans 1120 0 0 0 io_in_3v3[4]
-port 104 nsew signal input
-flabel metal3 s 583520 15728 584800 15840 0 FreeSans 1120 0 0 0 io_in_3v3[3]
-port 103 nsew signal input
-flabel metal3 s 583520 11000 584800 11112 0 FreeSans 1120 0 0 0 io_in_3v3[2]
-port 102 nsew signal input
-flabel metal3 s -800 5090 480 5202 0 FreeSans 1120 0 0 0 io_in_3v3[26]
-port 101 nsew signal input
-flabel metal3 s -800 9818 480 9930 0 FreeSans 1120 0 0 0 io_in_3v3[25]
-port 100 nsew signal input
-flabel metal3 s -800 14546 480 14658 0 FreeSans 1120 0 0 0 io_in_3v3[24]
-port 99 nsew signal input
-flabel metal3 s -800 35968 480 36080 0 FreeSans 1120 0 0 0 io_in_3v3[23]
-port 98 nsew signal input
-flabel metal3 s -800 79190 480 79302 0 FreeSans 1120 0 0 0 io_in_3v3[22]
-port 97 nsew signal input
-flabel metal3 s -800 122412 480 122524 0 FreeSans 1120 0 0 0 io_in_3v3[21]
-port 96 nsew signal input
-flabel metal3 s -800 250034 480 250146 0 FreeSans 1120 0 0 0 io_in_3v3[20]
-port 95 nsew signal input
-flabel metal3 s 583520 6272 584800 6384 0 FreeSans 1120 0 0 0 io_in_3v3[1]
-port 94 nsew signal input
-flabel metal3 s -800 293056 480 293168 0 FreeSans 1120 0 0 0 io_in_3v3[19]
-port 93 nsew signal input
-flabel metal3 s -800 336278 480 336390 0 FreeSans 1120 0 0 0 io_in_3v3[18]
-port 92 nsew signal input
-flabel metal3 s -800 379500 480 379612 0 FreeSans 1120 0 0 0 io_in_3v3[17]
-port 91 nsew signal input
-flabel metal3 s -800 422722 480 422834 0 FreeSans 1120 0 0 0 io_in_3v3[16]
-port 90 nsew signal input
-flabel metal3 s -800 465944 480 466056 0 FreeSans 1120 0 0 0 io_in_3v3[15]
-port 89 nsew signal input
-flabel metal3 s -800 509166 480 509278 0 FreeSans 1120 0 0 0 io_in_3v3[14]
-port 88 nsew signal input
-flabel metal3 s 583520 585926 584800 586038 0 FreeSans 1120 0 0 0 io_in_3v3[13]
-port 87 nsew signal input
-flabel metal3 s 583520 496504 584800 496616 0 FreeSans 1120 0 0 0 io_in_3v3[12]
-port 86 nsew signal input
-flabel metal3 s 583520 452082 584800 452194 0 FreeSans 1120 0 0 0 io_in_3v3[11]
-port 85 nsew signal input
-flabel metal3 s 583520 407660 584800 407772 0 FreeSans 1120 0 0 0 io_in_3v3[10]
-port 84 nsew signal input
-flabel metal3 s 583520 1544 584800 1656 0 FreeSans 1120 0 0 0 io_in_3v3[0]
-port 83 nsew signal input
-flabel metal3 s 583520 362420 584800 362532 0 FreeSans 1120 0 0 0 io_in[9]
-port 82 nsew signal input
-flabel metal3 s 583520 317198 584800 317310 0 FreeSans 1120 0 0 0 io_in[8]
-port 81 nsew signal input
-flabel metal3 s 583520 272776 584800 272888 0 FreeSans 1120 0 0 0 io_in[7]
-port 80 nsew signal input
-flabel metal3 s 583520 92754 584800 92866 0 FreeSans 1120 0 0 0 io_in[6]
-port 79 nsew signal input
-flabel metal3 s 583520 48096 584800 48208 0 FreeSans 1120 0 0 0 io_in[5]
-port 78 nsew signal input
-flabel metal3 s 583520 21638 584800 21750 0 FreeSans 1120 0 0 0 io_in[4]
-port 77 nsew signal input
-flabel metal3 s 583520 16910 584800 17022 0 FreeSans 1120 0 0 0 io_in[3]
-port 76 nsew signal input
-flabel metal3 s 583520 12182 584800 12294 0 FreeSans 1120 0 0 0 io_in[2]
-port 75 nsew signal input
-flabel metal3 s -800 3908 480 4020 0 FreeSans 1120 0 0 0 io_in[26]
-port 74 nsew signal input
-flabel metal3 s -800 8636 480 8748 0 FreeSans 1120 0 0 0 io_in[25]
-port 73 nsew signal input
-flabel metal3 s -800 13364 480 13476 0 FreeSans 1120 0 0 0 io_in[24]
-port 72 nsew signal input
-flabel metal3 s -800 34786 480 34898 0 FreeSans 1120 0 0 0 io_in[23]
-port 71 nsew signal input
-flabel metal3 s -800 78008 480 78120 0 FreeSans 1120 0 0 0 io_in[22]
-port 70 nsew signal input
-flabel metal3 s -800 121230 480 121342 0 FreeSans 1120 0 0 0 io_in[21]
-port 69 nsew signal input
-flabel metal3 s -800 248852 480 248964 0 FreeSans 1120 0 0 0 io_in[20]
-port 68 nsew signal input
-flabel metal3 s 583520 7454 584800 7566 0 FreeSans 1120 0 0 0 io_in[1]
-port 67 nsew signal input
-flabel metal3 s -800 291874 480 291986 0 FreeSans 1120 0 0 0 io_in[19]
-port 66 nsew signal input
-flabel metal3 s -800 335096 480 335208 0 FreeSans 1120 0 0 0 io_in[18]
-port 65 nsew signal input
-flabel metal3 s -800 378318 480 378430 0 FreeSans 1120 0 0 0 io_in[17]
-port 64 nsew signal input
-flabel metal3 s -800 421540 480 421652 0 FreeSans 1120 0 0 0 io_in[16]
-port 63 nsew signal input
-flabel metal3 s -800 464762 480 464874 0 FreeSans 1120 0 0 0 io_in[15]
-port 62 nsew signal input
-flabel metal3 s -800 507984 480 508096 0 FreeSans 1120 0 0 0 io_in[14]
-port 61 nsew signal input
-flabel metal3 s 583520 587108 584800 587220 0 FreeSans 1120 0 0 0 io_in[13]
-port 60 nsew signal input
-flabel metal3 s 583520 497686 584800 497798 0 FreeSans 1120 0 0 0 io_in[12]
-port 59 nsew signal input
-flabel metal3 s 583520 453264 584800 453376 0 FreeSans 1120 0 0 0 io_in[11]
-port 58 nsew signal input
-flabel metal3 s 583520 408842 584800 408954 0 FreeSans 1120 0 0 0 io_in[10]
-port 57 nsew signal input
-flabel metal3 s 583520 2726 584800 2838 0 FreeSans 1120 0 0 0 io_in[0]
-port 56 nsew signal input
-flabel metal3 s 170894 702300 173094 704800 0 FreeSans 1920 180 0 0 io_clamp_low[2]
-port 55 nsew signal bidirectional
-flabel metal3 s 222594 702300 224794 704800 0 FreeSans 1920 180 0 0 io_clamp_low[1]
-port 54 nsew signal bidirectional
-flabel metal3 s 324294 702300 326494 704800 0 FreeSans 1920 180 0 0 io_clamp_low[0]
-port 53 nsew signal bidirectional
-flabel metal3 s 173394 702300 175594 704800 0 FreeSans 1920 180 0 0 io_clamp_high[2]
-port 52 nsew signal bidirectional
-flabel metal3 s 225094 702300 227294 704800 0 FreeSans 1920 180 0 0 io_clamp_high[1]
-port 51 nsew signal bidirectional
-flabel metal3 s 326794 702300 328994 704800 0 FreeSans 1920 180 0 0 io_clamp_high[0]
-port 50 nsew signal bidirectional
-flabel metal5 s 217294 702300 222294 704800 0 FreeSans 1920 180 0 0 io_analog[5]
-port 48 nsew signal bidirectional
-flabel metal4 s 217294 702300 222294 704800 0 FreeSans 1920 180 0 0 io_analog[5]
-port 48 nsew signal bidirectional
-flabel metal3 s 217294 702300 222294 704800 0 FreeSans 1920 180 0 0 io_analog[5]
-port 48 nsew signal bidirectional
-flabel metal5 s 318994 702300 323994 704800 0 FreeSans 1920 180 0 0 io_analog[4]
-port 47 nsew signal bidirectional
-flabel metal4 s 318994 702300 323994 704800 0 FreeSans 1920 180 0 0 io_analog[4]
-port 47 nsew signal bidirectional
-flabel metal3 s 318994 702300 323994 704800 0 FreeSans 1920 180 0 0 io_analog[4]
-port 47 nsew signal bidirectional
-flabel metal3 s 16194 702300 21194 704800 0 FreeSans 1920 180 0 0 io_analog[9]
-port 46 nsew signal bidirectional
-flabel metal3 s 68194 702300 73194 704800 0 FreeSans 1920 180 0 0 io_analog[8]
-port 45 nsew signal bidirectional
-flabel metal3 s 120194 702300 125194 704800 0 FreeSans 1920 180 0 0 io_analog[7]
-port 44 nsew signal bidirectional
-flabel metal5 s 227594 702300 232594 704800 0 FreeSans 1920 180 0 0 io_analog[5]
-port 42 nsew signal bidirectional
-flabel metal4 s 227594 702300 232594 704800 0 FreeSans 1920 180 0 0 io_analog[5]
-port 42 nsew signal bidirectional
-flabel metal3 s 227594 702300 232594 704800 0 FreeSans 1920 180 0 0 io_analog[5]
-port 42 nsew signal bidirectional
-flabel metal5 s 329294 702300 334294 704800 0 FreeSans 1920 180 0 0 io_analog[4]
+flabel metal3 s 583520 269230 584800 269342 0 FreeSans 1120 0 0 0 gpio_analog[0]
+port 0 nsew signal bidirectional
+flabel metal3 s -800 381864 480 381976 0 FreeSans 1120 0 0 0 gpio_analog[10]
+port 1 nsew signal bidirectional
+flabel metal3 s -800 338642 480 338754 0 FreeSans 1120 0 0 0 gpio_analog[11]
+port 2 nsew signal bidirectional
+flabel metal3 s -800 295420 480 295532 0 FreeSans 1120 0 0 0 gpio_analog[12]
+port 3 nsew signal bidirectional
+flabel metal3 s -800 252398 480 252510 0 FreeSans 1120 0 0 0 gpio_analog[13]
+port 4 nsew signal bidirectional
+flabel metal3 s -800 124776 480 124888 0 FreeSans 1120 0 0 0 gpio_analog[14]
+port 5 nsew signal bidirectional
+flabel metal3 s -800 81554 480 81666 0 FreeSans 1120 0 0 0 gpio_analog[15]
+port 6 nsew signal bidirectional
+flabel metal3 s -800 38332 480 38444 0 FreeSans 1120 0 0 0 gpio_analog[16]
+port 7 nsew signal bidirectional
+flabel metal3 s -800 16910 480 17022 0 FreeSans 1120 0 0 0 gpio_analog[17]
+port 8 nsew signal bidirectional
+flabel metal3 s 583520 313652 584800 313764 0 FreeSans 1120 0 0 0 gpio_analog[1]
+port 9 nsew signal bidirectional
+flabel metal3 s 583520 358874 584800 358986 0 FreeSans 1120 0 0 0 gpio_analog[2]
+port 10 nsew signal bidirectional
+flabel metal3 s 583520 405296 584800 405408 0 FreeSans 1120 0 0 0 gpio_analog[3]
+port 11 nsew signal bidirectional
+flabel metal3 s 583520 449718 584800 449830 0 FreeSans 1120 0 0 0 gpio_analog[4]
+port 12 nsew signal bidirectional
+flabel metal3 s 583520 494140 584800 494252 0 FreeSans 1120 0 0 0 gpio_analog[5]
+port 13 nsew signal bidirectional
+flabel metal3 s 583520 583562 584800 583674 0 FreeSans 1120 0 0 0 gpio_analog[6]
+port 14 nsew signal bidirectional
+flabel metal3 s -800 511530 480 511642 0 FreeSans 1120 0 0 0 gpio_analog[7]
+port 15 nsew signal bidirectional
+flabel metal3 s -800 468308 480 468420 0 FreeSans 1120 0 0 0 gpio_analog[8]
+port 16 nsew signal bidirectional
+flabel metal3 s -800 425086 480 425198 0 FreeSans 1120 0 0 0 gpio_analog[9]
+port 17 nsew signal bidirectional
+flabel metal3 s 583520 270412 584800 270524 0 FreeSans 1120 0 0 0 gpio_noesd[0]
+port 18 nsew signal bidirectional
+flabel metal3 s -800 380682 480 380794 0 FreeSans 1120 0 0 0 gpio_noesd[10]
+port 19 nsew signal bidirectional
+flabel metal3 s -800 337460 480 337572 0 FreeSans 1120 0 0 0 gpio_noesd[11]
+port 20 nsew signal bidirectional
+flabel metal3 s -800 294238 480 294350 0 FreeSans 1120 0 0 0 gpio_noesd[12]
+port 21 nsew signal bidirectional
+flabel metal3 s -800 251216 480 251328 0 FreeSans 1120 0 0 0 gpio_noesd[13]
+port 22 nsew signal bidirectional
+flabel metal3 s -800 123594 480 123706 0 FreeSans 1120 0 0 0 gpio_noesd[14]
+port 23 nsew signal bidirectional
+flabel metal3 s -800 80372 480 80484 0 FreeSans 1120 0 0 0 gpio_noesd[15]
+port 24 nsew signal bidirectional
+flabel metal3 s -800 37150 480 37262 0 FreeSans 1120 0 0 0 gpio_noesd[16]
+port 25 nsew signal bidirectional
+flabel metal3 s -800 15728 480 15840 0 FreeSans 1120 0 0 0 gpio_noesd[17]
+port 26 nsew signal bidirectional
+flabel metal3 s 583520 314834 584800 314946 0 FreeSans 1120 0 0 0 gpio_noesd[1]
+port 27 nsew signal bidirectional
+flabel metal3 s 583520 360056 584800 360168 0 FreeSans 1120 0 0 0 gpio_noesd[2]
+port 28 nsew signal bidirectional
+flabel metal3 s 583520 406478 584800 406590 0 FreeSans 1120 0 0 0 gpio_noesd[3]
+port 29 nsew signal bidirectional
+flabel metal3 s 583520 450900 584800 451012 0 FreeSans 1120 0 0 0 gpio_noesd[4]
+port 30 nsew signal bidirectional
+flabel metal3 s 583520 495322 584800 495434 0 FreeSans 1120 0 0 0 gpio_noesd[5]
+port 31 nsew signal bidirectional
+flabel metal3 s 583520 584744 584800 584856 0 FreeSans 1120 0 0 0 gpio_noesd[6]
+port 32 nsew signal bidirectional
+flabel metal3 s -800 510348 480 510460 0 FreeSans 1120 0 0 0 gpio_noesd[7]
+port 33 nsew signal bidirectional
+flabel metal3 s -800 467126 480 467238 0 FreeSans 1120 0 0 0 gpio_noesd[8]
+port 34 nsew signal bidirectional
+flabel metal3 s -800 423904 480 424016 0 FreeSans 1120 0 0 0 gpio_noesd[9]
+port 35 nsew signal bidirectional
+flabel metal3 s 582300 677984 584800 682984 0 FreeSans 1120 0 0 0 io_analog[0]
+port 36 nsew signal bidirectional
+flabel metal3 s 0 680242 1700 685242 0 FreeSans 1120 0 0 0 io_analog[10]
+port 37 nsew signal bidirectional
+flabel metal3 s 566594 702300 571594 704800 0 FreeSans 1920 180 0 0 io_analog[1]
+port 38 nsew signal bidirectional
+flabel metal3 s 465394 702300 470394 704800 0 FreeSans 1920 180 0 0 io_analog[2]
+port 39 nsew signal bidirectional
+flabel metal3 s 413394 702300 418394 704800 0 FreeSans 1920 180 0 0 io_analog[3]
+port 40 nsew signal bidirectional
+flabel metal3 s 329294 702300 334294 704800 0 FreeSans 1920 180 0 0 io_analog[4]
 port 41 nsew signal bidirectional
 flabel metal4 s 329294 702300 334294 704800 0 FreeSans 1920 180 0 0 io_analog[4]
 port 41 nsew signal bidirectional
-flabel metal3 s 329294 702300 334294 704800 0 FreeSans 1920 180 0 0 io_analog[4]
+flabel metal5 s 329294 702300 334294 704800 0 FreeSans 1920 180 0 0 io_analog[4]
 port 41 nsew signal bidirectional
-flabel metal3 s 413394 702300 418394 704800 0 FreeSans 1920 180 0 0 io_analog[3]
-port 40 nsew signal bidirectional
-flabel metal3 s 465394 702300 470394 704800 0 FreeSans 1920 180 0 0 io_analog[2]
-port 39 nsew signal bidirectional
-flabel metal3 s 566594 702300 571594 704800 0 FreeSans 1920 180 0 0 io_analog[1]
-port 38 nsew signal bidirectional
-flabel metal3 s 0 680242 1700 685242 0 FreeSans 1120 0 0 0 io_analog[10]
-port 37 nsew signal bidirectional
-flabel metal3 s 582300 677984 584800 682984 0 FreeSans 1120 0 0 0 io_analog[0]
-port 36 nsew signal bidirectional
-flabel metal3 s -800 423904 480 424016 0 FreeSans 1120 0 0 0 gpio_noesd[9]
-port 35 nsew signal bidirectional
-flabel metal3 s -800 467126 480 467238 0 FreeSans 1120 0 0 0 gpio_noesd[8]
-port 34 nsew signal bidirectional
-flabel metal3 s -800 510348 480 510460 0 FreeSans 1120 0 0 0 gpio_noesd[7]
-port 33 nsew signal bidirectional
-flabel metal3 s 583520 584744 584800 584856 0 FreeSans 1120 0 0 0 gpio_noesd[6]
-port 32 nsew signal bidirectional
-flabel metal3 s 583520 495322 584800 495434 0 FreeSans 1120 0 0 0 gpio_noesd[5]
-port 31 nsew signal bidirectional
-flabel metal3 s 583520 450900 584800 451012 0 FreeSans 1120 0 0 0 gpio_noesd[4]
-port 30 nsew signal bidirectional
-flabel metal3 s 583520 406478 584800 406590 0 FreeSans 1120 0 0 0 gpio_noesd[3]
-port 29 nsew signal bidirectional
-flabel metal3 s 583520 360056 584800 360168 0 FreeSans 1120 0 0 0 gpio_noesd[2]
-port 28 nsew signal bidirectional
-flabel metal3 s 583520 314834 584800 314946 0 FreeSans 1120 0 0 0 gpio_noesd[1]
-port 27 nsew signal bidirectional
-flabel metal3 s -800 15728 480 15840 0 FreeSans 1120 0 0 0 gpio_noesd[17]
-port 26 nsew signal bidirectional
-flabel metal3 s -800 37150 480 37262 0 FreeSans 1120 0 0 0 gpio_noesd[16]
-port 25 nsew signal bidirectional
-flabel metal3 s -800 80372 480 80484 0 FreeSans 1120 0 0 0 gpio_noesd[15]
-port 24 nsew signal bidirectional
-flabel metal3 s -800 123594 480 123706 0 FreeSans 1120 0 0 0 gpio_noesd[14]
-port 23 nsew signal bidirectional
-flabel metal3 s -800 251216 480 251328 0 FreeSans 1120 0 0 0 gpio_noesd[13]
-port 22 nsew signal bidirectional
-flabel metal3 s -800 294238 480 294350 0 FreeSans 1120 0 0 0 gpio_noesd[12]
-port 21 nsew signal bidirectional
-flabel metal3 s -800 337460 480 337572 0 FreeSans 1120 0 0 0 gpio_noesd[11]
-port 20 nsew signal bidirectional
-flabel metal3 s -800 380682 480 380794 0 FreeSans 1120 0 0 0 gpio_noesd[10]
-port 19 nsew signal bidirectional
-flabel metal3 s 583520 270412 584800 270524 0 FreeSans 1120 0 0 0 gpio_noesd[0]
-port 18 nsew signal bidirectional
-flabel metal3 s -800 425086 480 425198 0 FreeSans 1120 0 0 0 gpio_analog[9]
-port 17 nsew signal bidirectional
-flabel metal3 s -800 468308 480 468420 0 FreeSans 1120 0 0 0 gpio_analog[8]
-port 16 nsew signal bidirectional
-flabel metal3 s -800 511530 480 511642 0 FreeSans 1120 0 0 0 gpio_analog[7]
-port 15 nsew signal bidirectional
-flabel metal3 s 583520 583562 584800 583674 0 FreeSans 1120 0 0 0 gpio_analog[6]
-port 14 nsew signal bidirectional
-flabel metal3 s 583520 494140 584800 494252 0 FreeSans 1120 0 0 0 gpio_analog[5]
-port 13 nsew signal bidirectional
-flabel metal3 s 583520 449718 584800 449830 0 FreeSans 1120 0 0 0 gpio_analog[4]
-port 12 nsew signal bidirectional
-flabel metal3 s 583520 405296 584800 405408 0 FreeSans 1120 0 0 0 gpio_analog[3]
-port 11 nsew signal bidirectional
-flabel metal3 s 583520 358874 584800 358986 0 FreeSans 1120 0 0 0 gpio_analog[2]
-port 10 nsew signal bidirectional
-flabel metal3 s 583520 313652 584800 313764 0 FreeSans 1120 0 0 0 gpio_analog[1]
-port 9 nsew signal bidirectional
-flabel metal3 s -800 16910 480 17022 0 FreeSans 1120 0 0 0 gpio_analog[17]
-port 8 nsew signal bidirectional
-flabel metal3 s -800 38332 480 38444 0 FreeSans 1120 0 0 0 gpio_analog[16]
-port 7 nsew signal bidirectional
-flabel metal3 s -800 81554 480 81666 0 FreeSans 1120 0 0 0 gpio_analog[15]
-port 6 nsew signal bidirectional
-flabel metal3 s -800 124776 480 124888 0 FreeSans 1120 0 0 0 gpio_analog[14]
-port 5 nsew signal bidirectional
-flabel metal3 s -800 252398 480 252510 0 FreeSans 1120 0 0 0 gpio_analog[13]
-port 4 nsew signal bidirectional
-flabel metal3 s -800 295420 480 295532 0 FreeSans 1120 0 0 0 gpio_analog[12]
-port 3 nsew signal bidirectional
-flabel metal3 s -800 338642 480 338754 0 FreeSans 1120 0 0 0 gpio_analog[11]
-port 2 nsew signal bidirectional
-flabel metal3 s -800 381864 480 381976 0 FreeSans 1120 0 0 0 gpio_analog[10]
-port 1 nsew signal bidirectional
-flabel metal3 s 583520 269230 584800 269342 0 FreeSans 1120 0 0 0 gpio_analog[0]
-port 0 nsew signal bidirectional
-rlabel metal3 68306 691906 68306 691906 7 CLK
-rlabel metal3 120364 698834 120364 698834 7 CLKBAR
-rlabel metal3 413536 692644 413536 692644 7 Outn
-rlabel metal3 469446 693308 469446 693308 3 Outp
-rlabel metal4 552168 633194 552168 633194 5 VDD
-rlabel metal5 524080 664482 524080 664482 3 GND
-rlabel metal5 218258 702758 218258 702758 7 Vp
-rlabel metal5 166906 692944 166906 692944 7 Vn
-flabel metal5 s 165594 702300 170594 704800 0 FreeSans 1920 180 0 0 io_analog[6]
-port 49 nsew signal bidirectional
+flabel metal3 s 227594 702300 232594 704800 0 FreeSans 1920 180 0 0 io_analog[5]
+port 42 nsew signal bidirectional
+flabel metal4 s 227594 702300 232594 704800 0 FreeSans 1920 180 0 0 io_analog[5]
+port 42 nsew signal bidirectional
+flabel metal5 s 227594 702300 232594 704800 0 FreeSans 1920 180 0 0 io_analog[5]
+port 42 nsew signal bidirectional
 flabel metal3 s 175894 702300 180894 704800 0 FreeSans 1920 180 0 0 io_analog[6]
 port 43 nsew signal bidirectional
+flabel metal4 s 175894 702300 180894 704800 0 FreeSans 1920 180 0 0 io_analog[6]
+port 43 nsew signal bidirectional
+flabel metal5 s 175894 702300 180894 704800 0 FreeSans 1920 180 0 0 io_analog[6]
+port 43 nsew signal bidirectional
+flabel metal3 s 68194 702300 73194 704800 0 FreeSans 1920 180 0 0 io_analog[8]
+port 45 nsew signal bidirectional
+flabel metal3 s 16194 702300 21194 704800 0 FreeSans 1920 180 0 0 io_analog[9]
+port 46 nsew signal bidirectional
+flabel metal3 s 318994 702300 323994 704800 0 FreeSans 1920 180 0 0 io_analog[4]
+port 47 nsew signal bidirectional
+flabel metal4 s 318994 702300 323994 704800 0 FreeSans 1920 180 0 0 io_analog[4]
+port 47 nsew signal bidirectional
+flabel metal5 s 318994 702300 323994 704800 0 FreeSans 1920 180 0 0 io_analog[4]
+port 47 nsew signal bidirectional
+flabel metal3 s 217294 702300 222294 704800 0 FreeSans 1920 180 0 0 io_analog[5]
+port 48 nsew signal bidirectional
+flabel metal4 s 217294 702300 222294 704800 0 FreeSans 1920 180 0 0 io_analog[5]
+port 48 nsew signal bidirectional
+flabel metal5 s 217294 702300 222294 704800 0 FreeSans 1920 180 0 0 io_analog[5]
+port 48 nsew signal bidirectional
+flabel metal3 s 165594 702300 170594 704800 0 FreeSans 1920 180 0 0 io_analog[6]
+port 49 nsew signal bidirectional
+flabel metal4 s 165594 702300 170594 704800 0 FreeSans 1920 180 0 0 io_analog[6]
+port 49 nsew signal bidirectional
+flabel metal5 s 165594 702300 170594 704800 0 FreeSans 1920 180 0 0 io_analog[6]
+port 49 nsew signal bidirectional
+flabel metal3 s 326794 702300 328994 704800 0 FreeSans 1920 180 0 0 io_clamp_high[0]
+port 50 nsew signal bidirectional
+flabel metal3 s 225094 702300 227294 704800 0 FreeSans 1920 180 0 0 io_clamp_high[1]
+port 51 nsew signal bidirectional
+flabel metal3 s 173394 702300 175594 704800 0 FreeSans 1920 180 0 0 io_clamp_high[2]
+port 52 nsew signal bidirectional
+flabel metal3 s 324294 702300 326494 704800 0 FreeSans 1920 180 0 0 io_clamp_low[0]
+port 53 nsew signal bidirectional
+flabel metal3 s 222594 702300 224794 704800 0 FreeSans 1920 180 0 0 io_clamp_low[1]
+port 54 nsew signal bidirectional
+flabel metal3 s 170894 702300 173094 704800 0 FreeSans 1920 180 0 0 io_clamp_low[2]
+port 55 nsew signal bidirectional
+flabel metal3 s 583520 2726 584800 2838 0 FreeSans 1120 0 0 0 io_in[0]
+port 56 nsew signal input
+flabel metal3 s 583520 408842 584800 408954 0 FreeSans 1120 0 0 0 io_in[10]
+port 57 nsew signal input
+flabel metal3 s 583520 453264 584800 453376 0 FreeSans 1120 0 0 0 io_in[11]
+port 58 nsew signal input
+flabel metal3 s 583520 497686 584800 497798 0 FreeSans 1120 0 0 0 io_in[12]
+port 59 nsew signal input
+flabel metal3 s 583520 587108 584800 587220 0 FreeSans 1120 0 0 0 io_in[13]
+port 60 nsew signal input
+flabel metal3 s -800 507984 480 508096 0 FreeSans 1120 0 0 0 io_in[14]
+port 61 nsew signal input
+flabel metal3 s -800 464762 480 464874 0 FreeSans 1120 0 0 0 io_in[15]
+port 62 nsew signal input
+flabel metal3 s -800 421540 480 421652 0 FreeSans 1120 0 0 0 io_in[16]
+port 63 nsew signal input
+flabel metal3 s -800 378318 480 378430 0 FreeSans 1120 0 0 0 io_in[17]
+port 64 nsew signal input
+flabel metal3 s -800 335096 480 335208 0 FreeSans 1120 0 0 0 io_in[18]
+port 65 nsew signal input
+flabel metal3 s -800 291874 480 291986 0 FreeSans 1120 0 0 0 io_in[19]
+port 66 nsew signal input
+flabel metal3 s 583520 7454 584800 7566 0 FreeSans 1120 0 0 0 io_in[1]
+port 67 nsew signal input
+flabel metal3 s -800 248852 480 248964 0 FreeSans 1120 0 0 0 io_in[20]
+port 68 nsew signal input
+flabel metal3 s -800 121230 480 121342 0 FreeSans 1120 0 0 0 io_in[21]
+port 69 nsew signal input
+flabel metal3 s -800 78008 480 78120 0 FreeSans 1120 0 0 0 io_in[22]
+port 70 nsew signal input
+flabel metal3 s -800 34786 480 34898 0 FreeSans 1120 0 0 0 io_in[23]
+port 71 nsew signal input
+flabel metal3 s -800 13364 480 13476 0 FreeSans 1120 0 0 0 io_in[24]
+port 72 nsew signal input
+flabel metal3 s -800 8636 480 8748 0 FreeSans 1120 0 0 0 io_in[25]
+port 73 nsew signal input
+flabel metal3 s -800 3908 480 4020 0 FreeSans 1120 0 0 0 io_in[26]
+port 74 nsew signal input
+flabel metal3 s 583520 12182 584800 12294 0 FreeSans 1120 0 0 0 io_in[2]
+port 75 nsew signal input
+flabel metal3 s 583520 16910 584800 17022 0 FreeSans 1120 0 0 0 io_in[3]
+port 76 nsew signal input
+flabel metal3 s 583520 21638 584800 21750 0 FreeSans 1120 0 0 0 io_in[4]
+port 77 nsew signal input
+flabel metal3 s 583520 48096 584800 48208 0 FreeSans 1120 0 0 0 io_in[5]
+port 78 nsew signal input
+flabel metal3 s 583520 92754 584800 92866 0 FreeSans 1120 0 0 0 io_in[6]
+port 79 nsew signal input
+flabel metal3 s 583520 272776 584800 272888 0 FreeSans 1120 0 0 0 io_in[7]
+port 80 nsew signal input
+flabel metal3 s 583520 317198 584800 317310 0 FreeSans 1120 0 0 0 io_in[8]
+port 81 nsew signal input
+flabel metal3 s 583520 362420 584800 362532 0 FreeSans 1120 0 0 0 io_in[9]
+port 82 nsew signal input
+flabel metal3 s 583520 1544 584800 1656 0 FreeSans 1120 0 0 0 io_in_3v3[0]
+port 83 nsew signal input
+flabel metal3 s 583520 407660 584800 407772 0 FreeSans 1120 0 0 0 io_in_3v3[10]
+port 84 nsew signal input
+flabel metal3 s 583520 452082 584800 452194 0 FreeSans 1120 0 0 0 io_in_3v3[11]
+port 85 nsew signal input
+flabel metal3 s 583520 496504 584800 496616 0 FreeSans 1120 0 0 0 io_in_3v3[12]
+port 86 nsew signal input
+flabel metal3 s 583520 585926 584800 586038 0 FreeSans 1120 0 0 0 io_in_3v3[13]
+port 87 nsew signal input
+flabel metal3 s -800 509166 480 509278 0 FreeSans 1120 0 0 0 io_in_3v3[14]
+port 88 nsew signal input
+flabel metal3 s -800 465944 480 466056 0 FreeSans 1120 0 0 0 io_in_3v3[15]
+port 89 nsew signal input
+flabel metal3 s -800 422722 480 422834 0 FreeSans 1120 0 0 0 io_in_3v3[16]
+port 90 nsew signal input
+flabel metal3 s -800 379500 480 379612 0 FreeSans 1120 0 0 0 io_in_3v3[17]
+port 91 nsew signal input
+flabel metal3 s -800 336278 480 336390 0 FreeSans 1120 0 0 0 io_in_3v3[18]
+port 92 nsew signal input
+flabel metal3 s -800 293056 480 293168 0 FreeSans 1120 0 0 0 io_in_3v3[19]
+port 93 nsew signal input
+flabel metal3 s 583520 6272 584800 6384 0 FreeSans 1120 0 0 0 io_in_3v3[1]
+port 94 nsew signal input
+flabel metal3 s -800 250034 480 250146 0 FreeSans 1120 0 0 0 io_in_3v3[20]
+port 95 nsew signal input
+flabel metal3 s -800 122412 480 122524 0 FreeSans 1120 0 0 0 io_in_3v3[21]
+port 96 nsew signal input
+flabel metal3 s -800 79190 480 79302 0 FreeSans 1120 0 0 0 io_in_3v3[22]
+port 97 nsew signal input
+flabel metal3 s -800 35968 480 36080 0 FreeSans 1120 0 0 0 io_in_3v3[23]
+port 98 nsew signal input
+flabel metal3 s -800 14546 480 14658 0 FreeSans 1120 0 0 0 io_in_3v3[24]
+port 99 nsew signal input
+flabel metal3 s -800 9818 480 9930 0 FreeSans 1120 0 0 0 io_in_3v3[25]
+port 100 nsew signal input
+flabel metal3 s -800 5090 480 5202 0 FreeSans 1120 0 0 0 io_in_3v3[26]
+port 101 nsew signal input
+flabel metal3 s 583520 11000 584800 11112 0 FreeSans 1120 0 0 0 io_in_3v3[2]
+port 102 nsew signal input
+flabel metal3 s 583520 15728 584800 15840 0 FreeSans 1120 0 0 0 io_in_3v3[3]
+port 103 nsew signal input
+flabel metal3 s 583520 20456 584800 20568 0 FreeSans 1120 0 0 0 io_in_3v3[4]
+port 104 nsew signal input
+flabel metal3 s 583520 46914 584800 47026 0 FreeSans 1120 0 0 0 io_in_3v3[5]
+port 105 nsew signal input
+flabel metal3 s 583520 91572 584800 91684 0 FreeSans 1120 0 0 0 io_in_3v3[6]
+port 106 nsew signal input
+flabel metal3 s 583520 271594 584800 271706 0 FreeSans 1120 0 0 0 io_in_3v3[7]
+port 107 nsew signal input
+flabel metal3 s 583520 316016 584800 316128 0 FreeSans 1120 0 0 0 io_in_3v3[8]
+port 108 nsew signal input
+flabel metal3 s 583520 361238 584800 361350 0 FreeSans 1120 0 0 0 io_in_3v3[9]
+port 109 nsew signal input
+flabel metal3 s 583520 5090 584800 5202 0 FreeSans 1120 0 0 0 io_oeb[0]
+port 110 nsew signal tristate
+flabel metal3 s 583520 411206 584800 411318 0 FreeSans 1120 0 0 0 io_oeb[10]
+port 111 nsew signal tristate
+flabel metal3 s 583520 455628 584800 455740 0 FreeSans 1120 0 0 0 io_oeb[11]
+port 112 nsew signal tristate
+flabel metal3 s 583520 500050 584800 500162 0 FreeSans 1120 0 0 0 io_oeb[12]
+port 113 nsew signal tristate
+flabel metal3 s 583520 589472 584800 589584 0 FreeSans 1120 0 0 0 io_oeb[13]
+port 114 nsew signal tristate
+flabel metal3 s -800 505620 480 505732 0 FreeSans 1120 0 0 0 io_oeb[14]
+port 115 nsew signal tristate
+flabel metal3 s -800 462398 480 462510 0 FreeSans 1120 0 0 0 io_oeb[15]
+port 116 nsew signal tristate
+flabel metal3 s -800 419176 480 419288 0 FreeSans 1120 0 0 0 io_oeb[16]
+port 117 nsew signal tristate
+flabel metal3 s -800 375954 480 376066 0 FreeSans 1120 0 0 0 io_oeb[17]
+port 118 nsew signal tristate
+flabel metal3 s -800 332732 480 332844 0 FreeSans 1120 0 0 0 io_oeb[18]
+port 119 nsew signal tristate
+flabel metal3 s -800 289510 480 289622 0 FreeSans 1120 0 0 0 io_oeb[19]
+port 120 nsew signal tristate
+flabel metal3 s 583520 9818 584800 9930 0 FreeSans 1120 0 0 0 io_oeb[1]
+port 121 nsew signal tristate
+flabel metal3 s -800 246488 480 246600 0 FreeSans 1120 0 0 0 io_oeb[20]
+port 122 nsew signal tristate
+flabel metal3 s -800 118866 480 118978 0 FreeSans 1120 0 0 0 io_oeb[21]
+port 123 nsew signal tristate
+flabel metal3 s -800 75644 480 75756 0 FreeSans 1120 0 0 0 io_oeb[22]
+port 124 nsew signal tristate
+flabel metal3 s -800 32422 480 32534 0 FreeSans 1120 0 0 0 io_oeb[23]
+port 125 nsew signal tristate
+flabel metal3 s -800 11000 480 11112 0 FreeSans 1120 0 0 0 io_oeb[24]
+port 126 nsew signal tristate
+flabel metal3 s -800 6272 480 6384 0 FreeSans 1120 0 0 0 io_oeb[25]
+port 127 nsew signal tristate
+flabel metal3 s -800 1544 480 1656 0 FreeSans 1120 0 0 0 io_oeb[26]
+port 128 nsew signal tristate
+flabel metal3 s 583520 14546 584800 14658 0 FreeSans 1120 0 0 0 io_oeb[2]
+port 129 nsew signal tristate
+flabel metal3 s 583520 19274 584800 19386 0 FreeSans 1120 0 0 0 io_oeb[3]
+port 130 nsew signal tristate
+flabel metal3 s 583520 24002 584800 24114 0 FreeSans 1120 0 0 0 io_oeb[4]
+port 131 nsew signal tristate
+flabel metal3 s 583520 50460 584800 50572 0 FreeSans 1120 0 0 0 io_oeb[5]
+port 132 nsew signal tristate
+flabel metal3 s 583520 95118 584800 95230 0 FreeSans 1120 0 0 0 io_oeb[6]
+port 133 nsew signal tristate
+flabel metal3 s 583520 275140 584800 275252 0 FreeSans 1120 0 0 0 io_oeb[7]
+port 134 nsew signal tristate
+flabel metal3 s 583520 319562 584800 319674 0 FreeSans 1120 0 0 0 io_oeb[8]
+port 135 nsew signal tristate
+flabel metal3 s 583520 364784 584800 364896 0 FreeSans 1120 0 0 0 io_oeb[9]
+port 136 nsew signal tristate
+flabel metal3 s 583520 3908 584800 4020 0 FreeSans 1120 0 0 0 io_out[0]
+port 137 nsew signal tristate
+flabel metal3 s 583520 410024 584800 410136 0 FreeSans 1120 0 0 0 io_out[10]
+port 138 nsew signal tristate
+flabel metal3 s 583520 454446 584800 454558 0 FreeSans 1120 0 0 0 io_out[11]
+port 139 nsew signal tristate
+flabel metal3 s 583520 498868 584800 498980 0 FreeSans 1120 0 0 0 io_out[12]
+port 140 nsew signal tristate
+flabel metal3 s 583520 588290 584800 588402 0 FreeSans 1120 0 0 0 io_out[13]
+port 141 nsew signal tristate
+flabel metal3 s -800 506802 480 506914 0 FreeSans 1120 0 0 0 io_out[14]
+port 142 nsew signal tristate
+flabel metal3 s -800 463580 480 463692 0 FreeSans 1120 0 0 0 io_out[15]
+port 143 nsew signal tristate
+flabel metal3 s -800 420358 480 420470 0 FreeSans 1120 0 0 0 io_out[16]
+port 144 nsew signal tristate
+flabel metal3 s -800 377136 480 377248 0 FreeSans 1120 0 0 0 io_out[17]
+port 145 nsew signal tristate
+flabel metal3 s -800 333914 480 334026 0 FreeSans 1120 0 0 0 io_out[18]
+port 146 nsew signal tristate
+flabel metal3 s -800 290692 480 290804 0 FreeSans 1120 0 0 0 io_out[19]
+port 147 nsew signal tristate
+flabel metal3 s 583520 8636 584800 8748 0 FreeSans 1120 0 0 0 io_out[1]
+port 148 nsew signal tristate
+flabel metal3 s -800 247670 480 247782 0 FreeSans 1120 0 0 0 io_out[20]
+port 149 nsew signal tristate
+flabel metal3 s -800 120048 480 120160 0 FreeSans 1120 0 0 0 io_out[21]
+port 150 nsew signal tristate
+flabel metal3 s -800 76826 480 76938 0 FreeSans 1120 0 0 0 io_out[22]
+port 151 nsew signal tristate
+flabel metal3 s -800 33604 480 33716 0 FreeSans 1120 0 0 0 io_out[23]
+port 152 nsew signal tristate
+flabel metal3 s -800 12182 480 12294 0 FreeSans 1120 0 0 0 io_out[24]
+port 153 nsew signal tristate
+flabel metal3 s -800 7454 480 7566 0 FreeSans 1120 0 0 0 io_out[25]
+port 154 nsew signal tristate
+flabel metal3 s -800 2726 480 2838 0 FreeSans 1120 0 0 0 io_out[26]
+port 155 nsew signal tristate
+flabel metal3 s 583520 13364 584800 13476 0 FreeSans 1120 0 0 0 io_out[2]
+port 156 nsew signal tristate
+flabel metal3 s 583520 18092 584800 18204 0 FreeSans 1120 0 0 0 io_out[3]
+port 157 nsew signal tristate
+flabel metal3 s 583520 22820 584800 22932 0 FreeSans 1120 0 0 0 io_out[4]
+port 158 nsew signal tristate
+flabel metal3 s 583520 49278 584800 49390 0 FreeSans 1120 0 0 0 io_out[5]
+port 159 nsew signal tristate
+flabel metal3 s 583520 93936 584800 94048 0 FreeSans 1120 0 0 0 io_out[6]
+port 160 nsew signal tristate
+flabel metal3 s 583520 273958 584800 274070 0 FreeSans 1120 0 0 0 io_out[7]
+port 161 nsew signal tristate
+flabel metal3 s 583520 318380 584800 318492 0 FreeSans 1120 0 0 0 io_out[8]
+port 162 nsew signal tristate
+flabel metal3 s 583520 363602 584800 363714 0 FreeSans 1120 0 0 0 io_out[9]
+port 163 nsew signal tristate
+flabel metal2 s 125816 -800 125928 480 0 FreeSans 1120 90 0 0 la_data_in[0]
+port 164 nsew signal input
+flabel metal2 s 480416 -800 480528 480 0 FreeSans 1120 90 0 0 la_data_in[100]
+port 165 nsew signal input
+flabel metal2 s 483962 -800 484074 480 0 FreeSans 1120 90 0 0 la_data_in[101]
+port 166 nsew signal input
+flabel metal2 s 487508 -800 487620 480 0 FreeSans 1120 90 0 0 la_data_in[102]
+port 167 nsew signal input
+flabel metal2 s 491054 -800 491166 480 0 FreeSans 1120 90 0 0 la_data_in[103]
+port 168 nsew signal input
+flabel metal2 s 494600 -800 494712 480 0 FreeSans 1120 90 0 0 la_data_in[104]
+port 169 nsew signal input
+flabel metal2 s 498146 -800 498258 480 0 FreeSans 1120 90 0 0 la_data_in[105]
+port 170 nsew signal input
+flabel metal2 s 501692 -800 501804 480 0 FreeSans 1120 90 0 0 la_data_in[106]
+port 171 nsew signal input
+flabel metal2 s 505238 -800 505350 480 0 FreeSans 1120 90 0 0 la_data_in[107]
+port 172 nsew signal input
+flabel metal2 s 508784 -800 508896 480 0 FreeSans 1120 90 0 0 la_data_in[108]
+port 173 nsew signal input
+flabel metal2 s 512330 -800 512442 480 0 FreeSans 1120 90 0 0 la_data_in[109]
+port 174 nsew signal input
+flabel metal2 s 161276 -800 161388 480 0 FreeSans 1120 90 0 0 la_data_in[10]
+port 175 nsew signal input
+flabel metal2 s 515876 -800 515988 480 0 FreeSans 1120 90 0 0 la_data_in[110]
+port 176 nsew signal input
+flabel metal2 s 519422 -800 519534 480 0 FreeSans 1120 90 0 0 la_data_in[111]
+port 177 nsew signal input
+flabel metal2 s 522968 -800 523080 480 0 FreeSans 1120 90 0 0 la_data_in[112]
+port 178 nsew signal input
+flabel metal2 s 526514 -800 526626 480 0 FreeSans 1120 90 0 0 la_data_in[113]
+port 179 nsew signal input
+flabel metal2 s 530060 -800 530172 480 0 FreeSans 1120 90 0 0 la_data_in[114]
+port 180 nsew signal input
+flabel metal2 s 533606 -800 533718 480 0 FreeSans 1120 90 0 0 la_data_in[115]
+port 181 nsew signal input
+flabel metal2 s 537152 -800 537264 480 0 FreeSans 1120 90 0 0 la_data_in[116]
+port 182 nsew signal input
+flabel metal2 s 540698 -800 540810 480 0 FreeSans 1120 90 0 0 la_data_in[117]
+port 183 nsew signal input
+flabel metal2 s 544244 -800 544356 480 0 FreeSans 1120 90 0 0 la_data_in[118]
+port 184 nsew signal input
+flabel metal2 s 547790 -800 547902 480 0 FreeSans 1120 90 0 0 la_data_in[119]
+port 185 nsew signal input
+flabel metal2 s 164822 -800 164934 480 0 FreeSans 1120 90 0 0 la_data_in[11]
+port 186 nsew signal input
+flabel metal2 s 551336 -800 551448 480 0 FreeSans 1120 90 0 0 la_data_in[120]
+port 187 nsew signal input
+flabel metal2 s 554882 -800 554994 480 0 FreeSans 1120 90 0 0 la_data_in[121]
+port 188 nsew signal input
+flabel metal2 s 558428 -800 558540 480 0 FreeSans 1120 90 0 0 la_data_in[122]
+port 189 nsew signal input
+flabel metal2 s 561974 -800 562086 480 0 FreeSans 1120 90 0 0 la_data_in[123]
+port 190 nsew signal input
+flabel metal2 s 565520 -800 565632 480 0 FreeSans 1120 90 0 0 la_data_in[124]
+port 191 nsew signal input
+flabel metal2 s 569066 -800 569178 480 0 FreeSans 1120 90 0 0 la_data_in[125]
+port 192 nsew signal input
+flabel metal2 s 572612 -800 572724 480 0 FreeSans 1120 90 0 0 la_data_in[126]
+port 193 nsew signal input
+flabel metal2 s 576158 -800 576270 480 0 FreeSans 1120 90 0 0 la_data_in[127]
+port 194 nsew signal input
+flabel metal2 s 168368 -800 168480 480 0 FreeSans 1120 90 0 0 la_data_in[12]
+port 195 nsew signal input
+flabel metal2 s 171914 -800 172026 480 0 FreeSans 1120 90 0 0 la_data_in[13]
+port 196 nsew signal input
+flabel metal2 s 175460 -800 175572 480 0 FreeSans 1120 90 0 0 la_data_in[14]
+port 197 nsew signal input
+flabel metal2 s 179006 -800 179118 480 0 FreeSans 1120 90 0 0 la_data_in[15]
+port 198 nsew signal input
+flabel metal2 s 182552 -800 182664 480 0 FreeSans 1120 90 0 0 la_data_in[16]
+port 199 nsew signal input
+flabel metal2 s 186098 -800 186210 480 0 FreeSans 1120 90 0 0 la_data_in[17]
+port 200 nsew signal input
+flabel metal2 s 189644 -800 189756 480 0 FreeSans 1120 90 0 0 la_data_in[18]
+port 201 nsew signal input
+flabel metal2 s 193190 -800 193302 480 0 FreeSans 1120 90 0 0 la_data_in[19]
+port 202 nsew signal input
+flabel metal2 s 129362 -800 129474 480 0 FreeSans 1120 90 0 0 la_data_in[1]
+port 203 nsew signal input
+flabel metal2 s 196736 -800 196848 480 0 FreeSans 1120 90 0 0 la_data_in[20]
+port 204 nsew signal input
+flabel metal2 s 200282 -800 200394 480 0 FreeSans 1120 90 0 0 la_data_in[21]
+port 205 nsew signal input
+flabel metal2 s 203828 -800 203940 480 0 FreeSans 1120 90 0 0 la_data_in[22]
+port 206 nsew signal input
+flabel metal2 s 207374 -800 207486 480 0 FreeSans 1120 90 0 0 la_data_in[23]
+port 207 nsew signal input
+flabel metal2 s 210920 -800 211032 480 0 FreeSans 1120 90 0 0 la_data_in[24]
+port 208 nsew signal input
+flabel metal2 s 214466 -800 214578 480 0 FreeSans 1120 90 0 0 la_data_in[25]
+port 209 nsew signal input
+flabel metal2 s 218012 -800 218124 480 0 FreeSans 1120 90 0 0 la_data_in[26]
+port 210 nsew signal input
+flabel metal2 s 221558 -800 221670 480 0 FreeSans 1120 90 0 0 la_data_in[27]
+port 211 nsew signal input
+flabel metal2 s 225104 -800 225216 480 0 FreeSans 1120 90 0 0 la_data_in[28]
+port 212 nsew signal input
+flabel metal2 s 228650 -800 228762 480 0 FreeSans 1120 90 0 0 la_data_in[29]
+port 213 nsew signal input
+flabel metal2 s 132908 -800 133020 480 0 FreeSans 1120 90 0 0 la_data_in[2]
+port 214 nsew signal input
+flabel metal2 s 232196 -800 232308 480 0 FreeSans 1120 90 0 0 la_data_in[30]
+port 215 nsew signal input
+flabel metal2 s 235742 -800 235854 480 0 FreeSans 1120 90 0 0 la_data_in[31]
+port 216 nsew signal input
+flabel metal2 s 239288 -800 239400 480 0 FreeSans 1120 90 0 0 la_data_in[32]
+port 217 nsew signal input
+flabel metal2 s 242834 -800 242946 480 0 FreeSans 1120 90 0 0 la_data_in[33]
+port 218 nsew signal input
+flabel metal2 s 246380 -800 246492 480 0 FreeSans 1120 90 0 0 la_data_in[34]
+port 219 nsew signal input
+flabel metal2 s 249926 -800 250038 480 0 FreeSans 1120 90 0 0 la_data_in[35]
+port 220 nsew signal input
+flabel metal2 s 253472 -800 253584 480 0 FreeSans 1120 90 0 0 la_data_in[36]
+port 221 nsew signal input
+flabel metal2 s 257018 -800 257130 480 0 FreeSans 1120 90 0 0 la_data_in[37]
+port 222 nsew signal input
+flabel metal2 s 260564 -800 260676 480 0 FreeSans 1120 90 0 0 la_data_in[38]
+port 223 nsew signal input
+flabel metal2 s 264110 -800 264222 480 0 FreeSans 1120 90 0 0 la_data_in[39]
+port 224 nsew signal input
+flabel metal2 s 136454 -800 136566 480 0 FreeSans 1120 90 0 0 la_data_in[3]
+port 225 nsew signal input
+flabel metal2 s 267656 -800 267768 480 0 FreeSans 1120 90 0 0 la_data_in[40]
+port 226 nsew signal input
+flabel metal2 s 271202 -800 271314 480 0 FreeSans 1120 90 0 0 la_data_in[41]
+port 227 nsew signal input
+flabel metal2 s 274748 -800 274860 480 0 FreeSans 1120 90 0 0 la_data_in[42]
+port 228 nsew signal input
+flabel metal2 s 278294 -800 278406 480 0 FreeSans 1120 90 0 0 la_data_in[43]
+port 229 nsew signal input
+flabel metal2 s 281840 -800 281952 480 0 FreeSans 1120 90 0 0 la_data_in[44]
+port 230 nsew signal input
+flabel metal2 s 285386 -800 285498 480 0 FreeSans 1120 90 0 0 la_data_in[45]
+port 231 nsew signal input
+flabel metal2 s 288932 -800 289044 480 0 FreeSans 1120 90 0 0 la_data_in[46]
+port 232 nsew signal input
+flabel metal2 s 292478 -800 292590 480 0 FreeSans 1120 90 0 0 la_data_in[47]
+port 233 nsew signal input
+flabel metal2 s 296024 -800 296136 480 0 FreeSans 1120 90 0 0 la_data_in[48]
+port 234 nsew signal input
+flabel metal2 s 299570 -800 299682 480 0 FreeSans 1120 90 0 0 la_data_in[49]
+port 235 nsew signal input
+flabel metal2 s 140000 -800 140112 480 0 FreeSans 1120 90 0 0 la_data_in[4]
+port 236 nsew signal input
+flabel metal2 s 303116 -800 303228 480 0 FreeSans 1120 90 0 0 la_data_in[50]
+port 237 nsew signal input
+flabel metal2 s 306662 -800 306774 480 0 FreeSans 1120 90 0 0 la_data_in[51]
+port 238 nsew signal input
+flabel metal2 s 310208 -800 310320 480 0 FreeSans 1120 90 0 0 la_data_in[52]
+port 239 nsew signal input
+flabel metal2 s 313754 -800 313866 480 0 FreeSans 1120 90 0 0 la_data_in[53]
+port 240 nsew signal input
+flabel metal2 s 317300 -800 317412 480 0 FreeSans 1120 90 0 0 la_data_in[54]
+port 241 nsew signal input
+flabel metal2 s 320846 -800 320958 480 0 FreeSans 1120 90 0 0 la_data_in[55]
+port 242 nsew signal input
+flabel metal2 s 324392 -800 324504 480 0 FreeSans 1120 90 0 0 la_data_in[56]
+port 243 nsew signal input
+flabel metal2 s 327938 -800 328050 480 0 FreeSans 1120 90 0 0 la_data_in[57]
+port 244 nsew signal input
+flabel metal2 s 331484 -800 331596 480 0 FreeSans 1120 90 0 0 la_data_in[58]
+port 245 nsew signal input
+flabel metal2 s 335030 -800 335142 480 0 FreeSans 1120 90 0 0 la_data_in[59]
+port 246 nsew signal input
+flabel metal2 s 143546 -800 143658 480 0 FreeSans 1120 90 0 0 la_data_in[5]
+port 247 nsew signal input
+flabel metal2 s 338576 -800 338688 480 0 FreeSans 1120 90 0 0 la_data_in[60]
+port 248 nsew signal input
+flabel metal2 s 342122 -800 342234 480 0 FreeSans 1120 90 0 0 la_data_in[61]
+port 249 nsew signal input
+flabel metal2 s 345668 -800 345780 480 0 FreeSans 1120 90 0 0 la_data_in[62]
+port 250 nsew signal input
+flabel metal2 s 349214 -800 349326 480 0 FreeSans 1120 90 0 0 la_data_in[63]
+port 251 nsew signal input
+flabel metal2 s 352760 -800 352872 480 0 FreeSans 1120 90 0 0 la_data_in[64]
+port 252 nsew signal input
+flabel metal2 s 356306 -800 356418 480 0 FreeSans 1120 90 0 0 la_data_in[65]
+port 253 nsew signal input
+flabel metal2 s 359852 -800 359964 480 0 FreeSans 1120 90 0 0 la_data_in[66]
+port 254 nsew signal input
+flabel metal2 s 363398 -800 363510 480 0 FreeSans 1120 90 0 0 la_data_in[67]
+port 255 nsew signal input
+flabel metal2 s 366944 -800 367056 480 0 FreeSans 1120 90 0 0 la_data_in[68]
+port 256 nsew signal input
+flabel metal2 s 370490 -800 370602 480 0 FreeSans 1120 90 0 0 la_data_in[69]
+port 257 nsew signal input
+flabel metal2 s 147092 -800 147204 480 0 FreeSans 1120 90 0 0 la_data_in[6]
+port 258 nsew signal input
+flabel metal2 s 374036 -800 374148 480 0 FreeSans 1120 90 0 0 la_data_in[70]
+port 259 nsew signal input
+flabel metal2 s 377582 -800 377694 480 0 FreeSans 1120 90 0 0 la_data_in[71]
+port 260 nsew signal input
+flabel metal2 s 381128 -800 381240 480 0 FreeSans 1120 90 0 0 la_data_in[72]
+port 261 nsew signal input
+flabel metal2 s 384674 -800 384786 480 0 FreeSans 1120 90 0 0 la_data_in[73]
+port 262 nsew signal input
+flabel metal2 s 388220 -800 388332 480 0 FreeSans 1120 90 0 0 la_data_in[74]
+port 263 nsew signal input
+flabel metal2 s 391766 -800 391878 480 0 FreeSans 1120 90 0 0 la_data_in[75]
+port 264 nsew signal input
+flabel metal2 s 395312 -800 395424 480 0 FreeSans 1120 90 0 0 la_data_in[76]
+port 265 nsew signal input
+flabel metal2 s 398858 -800 398970 480 0 FreeSans 1120 90 0 0 la_data_in[77]
+port 266 nsew signal input
+flabel metal2 s 402404 -800 402516 480 0 FreeSans 1120 90 0 0 la_data_in[78]
+port 267 nsew signal input
+flabel metal2 s 405950 -800 406062 480 0 FreeSans 1120 90 0 0 la_data_in[79]
+port 268 nsew signal input
+flabel metal2 s 150638 -800 150750 480 0 FreeSans 1120 90 0 0 la_data_in[7]
+port 269 nsew signal input
+flabel metal2 s 409496 -800 409608 480 0 FreeSans 1120 90 0 0 la_data_in[80]
+port 270 nsew signal input
+flabel metal2 s 413042 -800 413154 480 0 FreeSans 1120 90 0 0 la_data_in[81]
+port 271 nsew signal input
+flabel metal2 s 416588 -800 416700 480 0 FreeSans 1120 90 0 0 la_data_in[82]
+port 272 nsew signal input
+flabel metal2 s 420134 -800 420246 480 0 FreeSans 1120 90 0 0 la_data_in[83]
+port 273 nsew signal input
+flabel metal2 s 423680 -800 423792 480 0 FreeSans 1120 90 0 0 la_data_in[84]
+port 274 nsew signal input
+flabel metal2 s 427226 -800 427338 480 0 FreeSans 1120 90 0 0 la_data_in[85]
+port 275 nsew signal input
+flabel metal2 s 430772 -800 430884 480 0 FreeSans 1120 90 0 0 la_data_in[86]
+port 276 nsew signal input
+flabel metal2 s 434318 -800 434430 480 0 FreeSans 1120 90 0 0 la_data_in[87]
+port 277 nsew signal input
+flabel metal2 s 437864 -800 437976 480 0 FreeSans 1120 90 0 0 la_data_in[88]
+port 278 nsew signal input
+flabel metal2 s 441410 -800 441522 480 0 FreeSans 1120 90 0 0 la_data_in[89]
+port 279 nsew signal input
+flabel metal2 s 154184 -800 154296 480 0 FreeSans 1120 90 0 0 la_data_in[8]
+port 280 nsew signal input
+flabel metal2 s 444956 -800 445068 480 0 FreeSans 1120 90 0 0 la_data_in[90]
+port 281 nsew signal input
+flabel metal2 s 448502 -800 448614 480 0 FreeSans 1120 90 0 0 la_data_in[91]
+port 282 nsew signal input
+flabel metal2 s 452048 -800 452160 480 0 FreeSans 1120 90 0 0 la_data_in[92]
+port 283 nsew signal input
+flabel metal2 s 455594 -800 455706 480 0 FreeSans 1120 90 0 0 la_data_in[93]
+port 284 nsew signal input
+flabel metal2 s 459140 -800 459252 480 0 FreeSans 1120 90 0 0 la_data_in[94]
+port 285 nsew signal input
+flabel metal2 s 462686 -800 462798 480 0 FreeSans 1120 90 0 0 la_data_in[95]
+port 286 nsew signal input
+flabel metal2 s 466232 -800 466344 480 0 FreeSans 1120 90 0 0 la_data_in[96]
+port 287 nsew signal input
+flabel metal2 s 469778 -800 469890 480 0 FreeSans 1120 90 0 0 la_data_in[97]
+port 288 nsew signal input
+flabel metal2 s 473324 -800 473436 480 0 FreeSans 1120 90 0 0 la_data_in[98]
+port 289 nsew signal input
+flabel metal2 s 476870 -800 476982 480 0 FreeSans 1120 90 0 0 la_data_in[99]
+port 290 nsew signal input
+flabel metal2 s 157730 -800 157842 480 0 FreeSans 1120 90 0 0 la_data_in[9]
+port 291 nsew signal input
+flabel metal2 s 126998 -800 127110 480 0 FreeSans 1120 90 0 0 la_data_out[0]
+port 292 nsew signal tristate
+flabel metal2 s 481598 -800 481710 480 0 FreeSans 1120 90 0 0 la_data_out[100]
+port 293 nsew signal tristate
+flabel metal2 s 485144 -800 485256 480 0 FreeSans 1120 90 0 0 la_data_out[101]
+port 294 nsew signal tristate
+flabel metal2 s 488690 -800 488802 480 0 FreeSans 1120 90 0 0 la_data_out[102]
+port 295 nsew signal tristate
+flabel metal2 s 492236 -800 492348 480 0 FreeSans 1120 90 0 0 la_data_out[103]
+port 296 nsew signal tristate
+flabel metal2 s 495782 -800 495894 480 0 FreeSans 1120 90 0 0 la_data_out[104]
+port 297 nsew signal tristate
+flabel metal2 s 499328 -800 499440 480 0 FreeSans 1120 90 0 0 la_data_out[105]
+port 298 nsew signal tristate
+flabel metal2 s 502874 -800 502986 480 0 FreeSans 1120 90 0 0 la_data_out[106]
+port 299 nsew signal tristate
+flabel metal2 s 506420 -800 506532 480 0 FreeSans 1120 90 0 0 la_data_out[107]
+port 300 nsew signal tristate
+flabel metal2 s 509966 -800 510078 480 0 FreeSans 1120 90 0 0 la_data_out[108]
+port 301 nsew signal tristate
+flabel metal2 s 513512 -800 513624 480 0 FreeSans 1120 90 0 0 la_data_out[109]
+port 302 nsew signal tristate
+flabel metal2 s 162458 -800 162570 480 0 FreeSans 1120 90 0 0 la_data_out[10]
+port 303 nsew signal tristate
+flabel metal2 s 517058 -800 517170 480 0 FreeSans 1120 90 0 0 la_data_out[110]
+port 304 nsew signal tristate
+flabel metal2 s 520604 -800 520716 480 0 FreeSans 1120 90 0 0 la_data_out[111]
+port 305 nsew signal tristate
+flabel metal2 s 524150 -800 524262 480 0 FreeSans 1120 90 0 0 la_data_out[112]
+port 306 nsew signal tristate
+flabel metal2 s 527696 -800 527808 480 0 FreeSans 1120 90 0 0 la_data_out[113]
+port 307 nsew signal tristate
+flabel metal2 s 531242 -800 531354 480 0 FreeSans 1120 90 0 0 la_data_out[114]
+port 308 nsew signal tristate
+flabel metal2 s 534788 -800 534900 480 0 FreeSans 1120 90 0 0 la_data_out[115]
+port 309 nsew signal tristate
+flabel metal2 s 538334 -800 538446 480 0 FreeSans 1120 90 0 0 la_data_out[116]
+port 310 nsew signal tristate
+flabel metal2 s 541880 -800 541992 480 0 FreeSans 1120 90 0 0 la_data_out[117]
+port 311 nsew signal tristate
+flabel metal2 s 545426 -800 545538 480 0 FreeSans 1120 90 0 0 la_data_out[118]
+port 312 nsew signal tristate
+flabel metal2 s 548972 -800 549084 480 0 FreeSans 1120 90 0 0 la_data_out[119]
+port 313 nsew signal tristate
+flabel metal2 s 166004 -800 166116 480 0 FreeSans 1120 90 0 0 la_data_out[11]
+port 314 nsew signal tristate
+flabel metal2 s 552518 -800 552630 480 0 FreeSans 1120 90 0 0 la_data_out[120]
+port 315 nsew signal tristate
+flabel metal2 s 556064 -800 556176 480 0 FreeSans 1120 90 0 0 la_data_out[121]
+port 316 nsew signal tristate
+flabel metal2 s 559610 -800 559722 480 0 FreeSans 1120 90 0 0 la_data_out[122]
+port 317 nsew signal tristate
+flabel metal2 s 563156 -800 563268 480 0 FreeSans 1120 90 0 0 la_data_out[123]
+port 318 nsew signal tristate
+flabel metal2 s 566702 -800 566814 480 0 FreeSans 1120 90 0 0 la_data_out[124]
+port 319 nsew signal tristate
+flabel metal2 s 570248 -800 570360 480 0 FreeSans 1120 90 0 0 la_data_out[125]
+port 320 nsew signal tristate
+flabel metal2 s 573794 -800 573906 480 0 FreeSans 1120 90 0 0 la_data_out[126]
+port 321 nsew signal tristate
+flabel metal2 s 577340 -800 577452 480 0 FreeSans 1120 90 0 0 la_data_out[127]
+port 322 nsew signal tristate
+flabel metal2 s 169550 -800 169662 480 0 FreeSans 1120 90 0 0 la_data_out[12]
+port 323 nsew signal tristate
+flabel metal2 s 173096 -800 173208 480 0 FreeSans 1120 90 0 0 la_data_out[13]
+port 324 nsew signal tristate
+flabel metal2 s 176642 -800 176754 480 0 FreeSans 1120 90 0 0 la_data_out[14]
+port 325 nsew signal tristate
+flabel metal2 s 180188 -800 180300 480 0 FreeSans 1120 90 0 0 la_data_out[15]
+port 326 nsew signal tristate
+flabel metal2 s 183734 -800 183846 480 0 FreeSans 1120 90 0 0 la_data_out[16]
+port 327 nsew signal tristate
+flabel metal2 s 187280 -800 187392 480 0 FreeSans 1120 90 0 0 la_data_out[17]
+port 328 nsew signal tristate
+flabel metal2 s 190826 -800 190938 480 0 FreeSans 1120 90 0 0 la_data_out[18]
+port 329 nsew signal tristate
+flabel metal2 s 194372 -800 194484 480 0 FreeSans 1120 90 0 0 la_data_out[19]
+port 330 nsew signal tristate
+flabel metal2 s 130544 -800 130656 480 0 FreeSans 1120 90 0 0 la_data_out[1]
+port 331 nsew signal tristate
+flabel metal2 s 197918 -800 198030 480 0 FreeSans 1120 90 0 0 la_data_out[20]
+port 332 nsew signal tristate
+flabel metal2 s 201464 -800 201576 480 0 FreeSans 1120 90 0 0 la_data_out[21]
+port 333 nsew signal tristate
+flabel metal2 s 205010 -800 205122 480 0 FreeSans 1120 90 0 0 la_data_out[22]
+port 334 nsew signal tristate
+flabel metal2 s 208556 -800 208668 480 0 FreeSans 1120 90 0 0 la_data_out[23]
+port 335 nsew signal tristate
+flabel metal2 s 212102 -800 212214 480 0 FreeSans 1120 90 0 0 la_data_out[24]
+port 336 nsew signal tristate
+flabel metal2 s 215648 -800 215760 480 0 FreeSans 1120 90 0 0 la_data_out[25]
+port 337 nsew signal tristate
+flabel metal2 s 219194 -800 219306 480 0 FreeSans 1120 90 0 0 la_data_out[26]
+port 338 nsew signal tristate
+flabel metal2 s 222740 -800 222852 480 0 FreeSans 1120 90 0 0 la_data_out[27]
+port 339 nsew signal tristate
+flabel metal2 s 226286 -800 226398 480 0 FreeSans 1120 90 0 0 la_data_out[28]
+port 340 nsew signal tristate
+flabel metal2 s 229832 -800 229944 480 0 FreeSans 1120 90 0 0 la_data_out[29]
+port 341 nsew signal tristate
+flabel metal2 s 134090 -800 134202 480 0 FreeSans 1120 90 0 0 la_data_out[2]
+port 342 nsew signal tristate
+flabel metal2 s 233378 -800 233490 480 0 FreeSans 1120 90 0 0 la_data_out[30]
+port 343 nsew signal tristate
+flabel metal2 s 236924 -800 237036 480 0 FreeSans 1120 90 0 0 la_data_out[31]
+port 344 nsew signal tristate
+flabel metal2 s 240470 -800 240582 480 0 FreeSans 1120 90 0 0 la_data_out[32]
+port 345 nsew signal tristate
+flabel metal2 s 244016 -800 244128 480 0 FreeSans 1120 90 0 0 la_data_out[33]
+port 346 nsew signal tristate
+flabel metal2 s 247562 -800 247674 480 0 FreeSans 1120 90 0 0 la_data_out[34]
+port 347 nsew signal tristate
+flabel metal2 s 251108 -800 251220 480 0 FreeSans 1120 90 0 0 la_data_out[35]
+port 348 nsew signal tristate
+flabel metal2 s 254654 -800 254766 480 0 FreeSans 1120 90 0 0 la_data_out[36]
+port 349 nsew signal tristate
+flabel metal2 s 258200 -800 258312 480 0 FreeSans 1120 90 0 0 la_data_out[37]
+port 350 nsew signal tristate
+flabel metal2 s 261746 -800 261858 480 0 FreeSans 1120 90 0 0 la_data_out[38]
+port 351 nsew signal tristate
+flabel metal2 s 265292 -800 265404 480 0 FreeSans 1120 90 0 0 la_data_out[39]
+port 352 nsew signal tristate
+flabel metal2 s 137636 -800 137748 480 0 FreeSans 1120 90 0 0 la_data_out[3]
+port 353 nsew signal tristate
+flabel metal2 s 268838 -800 268950 480 0 FreeSans 1120 90 0 0 la_data_out[40]
+port 354 nsew signal tristate
+flabel metal2 s 272384 -800 272496 480 0 FreeSans 1120 90 0 0 la_data_out[41]
+port 355 nsew signal tristate
+flabel metal2 s 275930 -800 276042 480 0 FreeSans 1120 90 0 0 la_data_out[42]
+port 356 nsew signal tristate
+flabel metal2 s 279476 -800 279588 480 0 FreeSans 1120 90 0 0 la_data_out[43]
+port 357 nsew signal tristate
+flabel metal2 s 283022 -800 283134 480 0 FreeSans 1120 90 0 0 la_data_out[44]
+port 358 nsew signal tristate
+flabel metal2 s 286568 -800 286680 480 0 FreeSans 1120 90 0 0 la_data_out[45]
+port 359 nsew signal tristate
+flabel metal2 s 290114 -800 290226 480 0 FreeSans 1120 90 0 0 la_data_out[46]
+port 360 nsew signal tristate
+flabel metal2 s 293660 -800 293772 480 0 FreeSans 1120 90 0 0 la_data_out[47]
+port 361 nsew signal tristate
+flabel metal2 s 297206 -800 297318 480 0 FreeSans 1120 90 0 0 la_data_out[48]
+port 362 nsew signal tristate
+flabel metal2 s 300752 -800 300864 480 0 FreeSans 1120 90 0 0 la_data_out[49]
+port 363 nsew signal tristate
+flabel metal2 s 141182 -800 141294 480 0 FreeSans 1120 90 0 0 la_data_out[4]
+port 364 nsew signal tristate
+flabel metal2 s 304298 -800 304410 480 0 FreeSans 1120 90 0 0 la_data_out[50]
+port 365 nsew signal tristate
+flabel metal2 s 307844 -800 307956 480 0 FreeSans 1120 90 0 0 la_data_out[51]
+port 366 nsew signal tristate
+flabel metal2 s 311390 -800 311502 480 0 FreeSans 1120 90 0 0 la_data_out[52]
+port 367 nsew signal tristate
+flabel metal2 s 314936 -800 315048 480 0 FreeSans 1120 90 0 0 la_data_out[53]
+port 368 nsew signal tristate
+flabel metal2 s 318482 -800 318594 480 0 FreeSans 1120 90 0 0 la_data_out[54]
+port 369 nsew signal tristate
+flabel metal2 s 322028 -800 322140 480 0 FreeSans 1120 90 0 0 la_data_out[55]
+port 370 nsew signal tristate
+flabel metal2 s 325574 -800 325686 480 0 FreeSans 1120 90 0 0 la_data_out[56]
+port 371 nsew signal tristate
+flabel metal2 s 329120 -800 329232 480 0 FreeSans 1120 90 0 0 la_data_out[57]
+port 372 nsew signal tristate
+flabel metal2 s 332666 -800 332778 480 0 FreeSans 1120 90 0 0 la_data_out[58]
+port 373 nsew signal tristate
+flabel metal2 s 336212 -800 336324 480 0 FreeSans 1120 90 0 0 la_data_out[59]
+port 374 nsew signal tristate
+flabel metal2 s 144728 -800 144840 480 0 FreeSans 1120 90 0 0 la_data_out[5]
+port 375 nsew signal tristate
+flabel metal2 s 339758 -800 339870 480 0 FreeSans 1120 90 0 0 la_data_out[60]
+port 376 nsew signal tristate
+flabel metal2 s 343304 -800 343416 480 0 FreeSans 1120 90 0 0 la_data_out[61]
+port 377 nsew signal tristate
+flabel metal2 s 346850 -800 346962 480 0 FreeSans 1120 90 0 0 la_data_out[62]
+port 378 nsew signal tristate
+flabel metal2 s 350396 -800 350508 480 0 FreeSans 1120 90 0 0 la_data_out[63]
+port 379 nsew signal tristate
+flabel metal2 s 353942 -800 354054 480 0 FreeSans 1120 90 0 0 la_data_out[64]
+port 380 nsew signal tristate
+flabel metal2 s 357488 -800 357600 480 0 FreeSans 1120 90 0 0 la_data_out[65]
+port 381 nsew signal tristate
+flabel metal2 s 361034 -800 361146 480 0 FreeSans 1120 90 0 0 la_data_out[66]
+port 382 nsew signal tristate
+flabel metal2 s 364580 -800 364692 480 0 FreeSans 1120 90 0 0 la_data_out[67]
+port 383 nsew signal tristate
+flabel metal2 s 368126 -800 368238 480 0 FreeSans 1120 90 0 0 la_data_out[68]
+port 384 nsew signal tristate
+flabel metal2 s 371672 -800 371784 480 0 FreeSans 1120 90 0 0 la_data_out[69]
+port 385 nsew signal tristate
+flabel metal2 s 148274 -800 148386 480 0 FreeSans 1120 90 0 0 la_data_out[6]
+port 386 nsew signal tristate
+flabel metal2 s 375218 -800 375330 480 0 FreeSans 1120 90 0 0 la_data_out[70]
+port 387 nsew signal tristate
+flabel metal2 s 378764 -800 378876 480 0 FreeSans 1120 90 0 0 la_data_out[71]
+port 388 nsew signal tristate
+flabel metal2 s 382310 -800 382422 480 0 FreeSans 1120 90 0 0 la_data_out[72]
+port 389 nsew signal tristate
+flabel metal2 s 385856 -800 385968 480 0 FreeSans 1120 90 0 0 la_data_out[73]
+port 390 nsew signal tristate
+flabel metal2 s 389402 -800 389514 480 0 FreeSans 1120 90 0 0 la_data_out[74]
+port 391 nsew signal tristate
+flabel metal2 s 392948 -800 393060 480 0 FreeSans 1120 90 0 0 la_data_out[75]
+port 392 nsew signal tristate
+flabel metal2 s 396494 -800 396606 480 0 FreeSans 1120 90 0 0 la_data_out[76]
+port 393 nsew signal tristate
+flabel metal2 s 400040 -800 400152 480 0 FreeSans 1120 90 0 0 la_data_out[77]
+port 394 nsew signal tristate
+flabel metal2 s 403586 -800 403698 480 0 FreeSans 1120 90 0 0 la_data_out[78]
+port 395 nsew signal tristate
+flabel metal2 s 407132 -800 407244 480 0 FreeSans 1120 90 0 0 la_data_out[79]
+port 396 nsew signal tristate
+flabel metal2 s 151820 -800 151932 480 0 FreeSans 1120 90 0 0 la_data_out[7]
+port 397 nsew signal tristate
+flabel metal2 s 410678 -800 410790 480 0 FreeSans 1120 90 0 0 la_data_out[80]
+port 398 nsew signal tristate
+flabel metal2 s 414224 -800 414336 480 0 FreeSans 1120 90 0 0 la_data_out[81]
+port 399 nsew signal tristate
+flabel metal2 s 417770 -800 417882 480 0 FreeSans 1120 90 0 0 la_data_out[82]
+port 400 nsew signal tristate
+flabel metal2 s 421316 -800 421428 480 0 FreeSans 1120 90 0 0 la_data_out[83]
+port 401 nsew signal tristate
+flabel metal2 s 424862 -800 424974 480 0 FreeSans 1120 90 0 0 la_data_out[84]
+port 402 nsew signal tristate
+flabel metal2 s 428408 -800 428520 480 0 FreeSans 1120 90 0 0 la_data_out[85]
+port 403 nsew signal tristate
+flabel metal2 s 431954 -800 432066 480 0 FreeSans 1120 90 0 0 la_data_out[86]
+port 404 nsew signal tristate
+flabel metal2 s 435500 -800 435612 480 0 FreeSans 1120 90 0 0 la_data_out[87]
+port 405 nsew signal tristate
+flabel metal2 s 439046 -800 439158 480 0 FreeSans 1120 90 0 0 la_data_out[88]
+port 406 nsew signal tristate
+flabel metal2 s 442592 -800 442704 480 0 FreeSans 1120 90 0 0 la_data_out[89]
+port 407 nsew signal tristate
+flabel metal2 s 155366 -800 155478 480 0 FreeSans 1120 90 0 0 la_data_out[8]
+port 408 nsew signal tristate
+flabel metal2 s 446138 -800 446250 480 0 FreeSans 1120 90 0 0 la_data_out[90]
+port 409 nsew signal tristate
+flabel metal2 s 449684 -800 449796 480 0 FreeSans 1120 90 0 0 la_data_out[91]
+port 410 nsew signal tristate
+flabel metal2 s 453230 -800 453342 480 0 FreeSans 1120 90 0 0 la_data_out[92]
+port 411 nsew signal tristate
+flabel metal2 s 456776 -800 456888 480 0 FreeSans 1120 90 0 0 la_data_out[93]
+port 412 nsew signal tristate
+flabel metal2 s 460322 -800 460434 480 0 FreeSans 1120 90 0 0 la_data_out[94]
+port 413 nsew signal tristate
+flabel metal2 s 463868 -800 463980 480 0 FreeSans 1120 90 0 0 la_data_out[95]
+port 414 nsew signal tristate
+flabel metal2 s 467414 -800 467526 480 0 FreeSans 1120 90 0 0 la_data_out[96]
+port 415 nsew signal tristate
+flabel metal2 s 470960 -800 471072 480 0 FreeSans 1120 90 0 0 la_data_out[97]
+port 416 nsew signal tristate
+flabel metal2 s 474506 -800 474618 480 0 FreeSans 1120 90 0 0 la_data_out[98]
+port 417 nsew signal tristate
+flabel metal2 s 478052 -800 478164 480 0 FreeSans 1120 90 0 0 la_data_out[99]
+port 418 nsew signal tristate
+flabel metal2 s 158912 -800 159024 480 0 FreeSans 1120 90 0 0 la_data_out[9]
+port 419 nsew signal tristate
+flabel metal2 s 128180 -800 128292 480 0 FreeSans 1120 90 0 0 la_oenb[0]
+port 420 nsew signal input
+flabel metal2 s 482780 -800 482892 480 0 FreeSans 1120 90 0 0 la_oenb[100]
+port 421 nsew signal input
+flabel metal2 s 486326 -800 486438 480 0 FreeSans 1120 90 0 0 la_oenb[101]
+port 422 nsew signal input
+flabel metal2 s 489872 -800 489984 480 0 FreeSans 1120 90 0 0 la_oenb[102]
+port 423 nsew signal input
+flabel metal2 s 493418 -800 493530 480 0 FreeSans 1120 90 0 0 la_oenb[103]
+port 424 nsew signal input
+flabel metal2 s 496964 -800 497076 480 0 FreeSans 1120 90 0 0 la_oenb[104]
+port 425 nsew signal input
+flabel metal2 s 500510 -800 500622 480 0 FreeSans 1120 90 0 0 la_oenb[105]
+port 426 nsew signal input
+flabel metal2 s 504056 -800 504168 480 0 FreeSans 1120 90 0 0 la_oenb[106]
+port 427 nsew signal input
+flabel metal2 s 507602 -800 507714 480 0 FreeSans 1120 90 0 0 la_oenb[107]
+port 428 nsew signal input
+flabel metal2 s 511148 -800 511260 480 0 FreeSans 1120 90 0 0 la_oenb[108]
+port 429 nsew signal input
+flabel metal2 s 514694 -800 514806 480 0 FreeSans 1120 90 0 0 la_oenb[109]
+port 430 nsew signal input
+flabel metal2 s 163640 -800 163752 480 0 FreeSans 1120 90 0 0 la_oenb[10]
+port 431 nsew signal input
+flabel metal2 s 518240 -800 518352 480 0 FreeSans 1120 90 0 0 la_oenb[110]
+port 432 nsew signal input
+flabel metal2 s 521786 -800 521898 480 0 FreeSans 1120 90 0 0 la_oenb[111]
+port 433 nsew signal input
+flabel metal2 s 525332 -800 525444 480 0 FreeSans 1120 90 0 0 la_oenb[112]
+port 434 nsew signal input
+flabel metal2 s 528878 -800 528990 480 0 FreeSans 1120 90 0 0 la_oenb[113]
+port 435 nsew signal input
+flabel metal2 s 532424 -800 532536 480 0 FreeSans 1120 90 0 0 la_oenb[114]
+port 436 nsew signal input
+flabel metal2 s 535970 -800 536082 480 0 FreeSans 1120 90 0 0 la_oenb[115]
+port 437 nsew signal input
+flabel metal2 s 539516 -800 539628 480 0 FreeSans 1120 90 0 0 la_oenb[116]
+port 438 nsew signal input
+flabel metal2 s 543062 -800 543174 480 0 FreeSans 1120 90 0 0 la_oenb[117]
+port 439 nsew signal input
+flabel metal2 s 546608 -800 546720 480 0 FreeSans 1120 90 0 0 la_oenb[118]
+port 440 nsew signal input
+flabel metal2 s 550154 -800 550266 480 0 FreeSans 1120 90 0 0 la_oenb[119]
+port 441 nsew signal input
+flabel metal2 s 167186 -800 167298 480 0 FreeSans 1120 90 0 0 la_oenb[11]
+port 442 nsew signal input
+flabel metal2 s 553700 -800 553812 480 0 FreeSans 1120 90 0 0 la_oenb[120]
+port 443 nsew signal input
+flabel metal2 s 557246 -800 557358 480 0 FreeSans 1120 90 0 0 la_oenb[121]
+port 444 nsew signal input
+flabel metal2 s 560792 -800 560904 480 0 FreeSans 1120 90 0 0 la_oenb[122]
+port 445 nsew signal input
+flabel metal2 s 564338 -800 564450 480 0 FreeSans 1120 90 0 0 la_oenb[123]
+port 446 nsew signal input
+flabel metal2 s 567884 -800 567996 480 0 FreeSans 1120 90 0 0 la_oenb[124]
+port 447 nsew signal input
+flabel metal2 s 571430 -800 571542 480 0 FreeSans 1120 90 0 0 la_oenb[125]
+port 448 nsew signal input
+flabel metal2 s 574976 -800 575088 480 0 FreeSans 1120 90 0 0 la_oenb[126]
+port 449 nsew signal input
+flabel metal2 s 578522 -800 578634 480 0 FreeSans 1120 90 0 0 la_oenb[127]
+port 450 nsew signal input
+flabel metal2 s 170732 -800 170844 480 0 FreeSans 1120 90 0 0 la_oenb[12]
+port 451 nsew signal input
+flabel metal2 s 174278 -800 174390 480 0 FreeSans 1120 90 0 0 la_oenb[13]
+port 452 nsew signal input
+flabel metal2 s 177824 -800 177936 480 0 FreeSans 1120 90 0 0 la_oenb[14]
+port 453 nsew signal input
+flabel metal2 s 181370 -800 181482 480 0 FreeSans 1120 90 0 0 la_oenb[15]
+port 454 nsew signal input
+flabel metal2 s 184916 -800 185028 480 0 FreeSans 1120 90 0 0 la_oenb[16]
+port 455 nsew signal input
+flabel metal2 s 188462 -800 188574 480 0 FreeSans 1120 90 0 0 la_oenb[17]
+port 456 nsew signal input
+flabel metal2 s 192008 -800 192120 480 0 FreeSans 1120 90 0 0 la_oenb[18]
+port 457 nsew signal input
+flabel metal2 s 195554 -800 195666 480 0 FreeSans 1120 90 0 0 la_oenb[19]
+port 458 nsew signal input
+flabel metal2 s 131726 -800 131838 480 0 FreeSans 1120 90 0 0 la_oenb[1]
+port 459 nsew signal input
+flabel metal2 s 199100 -800 199212 480 0 FreeSans 1120 90 0 0 la_oenb[20]
+port 460 nsew signal input
+flabel metal2 s 202646 -800 202758 480 0 FreeSans 1120 90 0 0 la_oenb[21]
+port 461 nsew signal input
+flabel metal2 s 206192 -800 206304 480 0 FreeSans 1120 90 0 0 la_oenb[22]
+port 462 nsew signal input
+flabel metal2 s 209738 -800 209850 480 0 FreeSans 1120 90 0 0 la_oenb[23]
+port 463 nsew signal input
+flabel metal2 s 213284 -800 213396 480 0 FreeSans 1120 90 0 0 la_oenb[24]
+port 464 nsew signal input
+flabel metal2 s 216830 -800 216942 480 0 FreeSans 1120 90 0 0 la_oenb[25]
+port 465 nsew signal input
+flabel metal2 s 220376 -800 220488 480 0 FreeSans 1120 90 0 0 la_oenb[26]
+port 466 nsew signal input
+flabel metal2 s 223922 -800 224034 480 0 FreeSans 1120 90 0 0 la_oenb[27]
+port 467 nsew signal input
+flabel metal2 s 227468 -800 227580 480 0 FreeSans 1120 90 0 0 la_oenb[28]
+port 468 nsew signal input
+flabel metal2 s 231014 -800 231126 480 0 FreeSans 1120 90 0 0 la_oenb[29]
+port 469 nsew signal input
+flabel metal2 s 135272 -800 135384 480 0 FreeSans 1120 90 0 0 la_oenb[2]
+port 470 nsew signal input
+flabel metal2 s 234560 -800 234672 480 0 FreeSans 1120 90 0 0 la_oenb[30]
+port 471 nsew signal input
+flabel metal2 s 238106 -800 238218 480 0 FreeSans 1120 90 0 0 la_oenb[31]
+port 472 nsew signal input
+flabel metal2 s 241652 -800 241764 480 0 FreeSans 1120 90 0 0 la_oenb[32]
+port 473 nsew signal input
+flabel metal2 s 245198 -800 245310 480 0 FreeSans 1120 90 0 0 la_oenb[33]
+port 474 nsew signal input
+flabel metal2 s 248744 -800 248856 480 0 FreeSans 1120 90 0 0 la_oenb[34]
+port 475 nsew signal input
+flabel metal2 s 252290 -800 252402 480 0 FreeSans 1120 90 0 0 la_oenb[35]
+port 476 nsew signal input
+flabel metal2 s 255836 -800 255948 480 0 FreeSans 1120 90 0 0 la_oenb[36]
+port 477 nsew signal input
+flabel metal2 s 259382 -800 259494 480 0 FreeSans 1120 90 0 0 la_oenb[37]
+port 478 nsew signal input
+flabel metal2 s 262928 -800 263040 480 0 FreeSans 1120 90 0 0 la_oenb[38]
+port 479 nsew signal input
+flabel metal2 s 266474 -800 266586 480 0 FreeSans 1120 90 0 0 la_oenb[39]
+port 480 nsew signal input
+flabel metal2 s 138818 -800 138930 480 0 FreeSans 1120 90 0 0 la_oenb[3]
+port 481 nsew signal input
+flabel metal2 s 270020 -800 270132 480 0 FreeSans 1120 90 0 0 la_oenb[40]
+port 482 nsew signal input
+flabel metal2 s 273566 -800 273678 480 0 FreeSans 1120 90 0 0 la_oenb[41]
+port 483 nsew signal input
+flabel metal2 s 277112 -800 277224 480 0 FreeSans 1120 90 0 0 la_oenb[42]
+port 484 nsew signal input
+flabel metal2 s 280658 -800 280770 480 0 FreeSans 1120 90 0 0 la_oenb[43]
+port 485 nsew signal input
+flabel metal2 s 284204 -800 284316 480 0 FreeSans 1120 90 0 0 la_oenb[44]
+port 486 nsew signal input
+flabel metal2 s 287750 -800 287862 480 0 FreeSans 1120 90 0 0 la_oenb[45]
+port 487 nsew signal input
+flabel metal2 s 291296 -800 291408 480 0 FreeSans 1120 90 0 0 la_oenb[46]
+port 488 nsew signal input
+flabel metal2 s 294842 -800 294954 480 0 FreeSans 1120 90 0 0 la_oenb[47]
+port 489 nsew signal input
+flabel metal2 s 298388 -800 298500 480 0 FreeSans 1120 90 0 0 la_oenb[48]
+port 490 nsew signal input
+flabel metal2 s 301934 -800 302046 480 0 FreeSans 1120 90 0 0 la_oenb[49]
+port 491 nsew signal input
+flabel metal2 s 142364 -800 142476 480 0 FreeSans 1120 90 0 0 la_oenb[4]
+port 492 nsew signal input
+flabel metal2 s 305480 -800 305592 480 0 FreeSans 1120 90 0 0 la_oenb[50]
+port 493 nsew signal input
+flabel metal2 s 309026 -800 309138 480 0 FreeSans 1120 90 0 0 la_oenb[51]
+port 494 nsew signal input
+flabel metal2 s 312572 -800 312684 480 0 FreeSans 1120 90 0 0 la_oenb[52]
+port 495 nsew signal input
+flabel metal2 s 316118 -800 316230 480 0 FreeSans 1120 90 0 0 la_oenb[53]
+port 496 nsew signal input
+flabel metal2 s 319664 -800 319776 480 0 FreeSans 1120 90 0 0 la_oenb[54]
+port 497 nsew signal input
+flabel metal2 s 323210 -800 323322 480 0 FreeSans 1120 90 0 0 la_oenb[55]
+port 498 nsew signal input
+flabel metal2 s 326756 -800 326868 480 0 FreeSans 1120 90 0 0 la_oenb[56]
+port 499 nsew signal input
+flabel metal2 s 330302 -800 330414 480 0 FreeSans 1120 90 0 0 la_oenb[57]
+port 500 nsew signal input
+flabel metal2 s 333848 -800 333960 480 0 FreeSans 1120 90 0 0 la_oenb[58]
+port 501 nsew signal input
+flabel metal2 s 337394 -800 337506 480 0 FreeSans 1120 90 0 0 la_oenb[59]
+port 502 nsew signal input
+flabel metal2 s 145910 -800 146022 480 0 FreeSans 1120 90 0 0 la_oenb[5]
+port 503 nsew signal input
+flabel metal2 s 340940 -800 341052 480 0 FreeSans 1120 90 0 0 la_oenb[60]
+port 504 nsew signal input
+flabel metal2 s 344486 -800 344598 480 0 FreeSans 1120 90 0 0 la_oenb[61]
+port 505 nsew signal input
+flabel metal2 s 348032 -800 348144 480 0 FreeSans 1120 90 0 0 la_oenb[62]
+port 506 nsew signal input
+flabel metal2 s 351578 -800 351690 480 0 FreeSans 1120 90 0 0 la_oenb[63]
+port 507 nsew signal input
+flabel metal2 s 355124 -800 355236 480 0 FreeSans 1120 90 0 0 la_oenb[64]
+port 508 nsew signal input
+flabel metal2 s 358670 -800 358782 480 0 FreeSans 1120 90 0 0 la_oenb[65]
+port 509 nsew signal input
+flabel metal2 s 362216 -800 362328 480 0 FreeSans 1120 90 0 0 la_oenb[66]
+port 510 nsew signal input
+flabel metal2 s 365762 -800 365874 480 0 FreeSans 1120 90 0 0 la_oenb[67]
+port 511 nsew signal input
+flabel metal2 s 369308 -800 369420 480 0 FreeSans 1120 90 0 0 la_oenb[68]
+port 512 nsew signal input
+flabel metal2 s 372854 -800 372966 480 0 FreeSans 1120 90 0 0 la_oenb[69]
+port 513 nsew signal input
+flabel metal2 s 149456 -800 149568 480 0 FreeSans 1120 90 0 0 la_oenb[6]
+port 514 nsew signal input
+flabel metal2 s 376400 -800 376512 480 0 FreeSans 1120 90 0 0 la_oenb[70]
+port 515 nsew signal input
+flabel metal2 s 379946 -800 380058 480 0 FreeSans 1120 90 0 0 la_oenb[71]
+port 516 nsew signal input
+flabel metal2 s 383492 -800 383604 480 0 FreeSans 1120 90 0 0 la_oenb[72]
+port 517 nsew signal input
+flabel metal2 s 387038 -800 387150 480 0 FreeSans 1120 90 0 0 la_oenb[73]
+port 518 nsew signal input
+flabel metal2 s 390584 -800 390696 480 0 FreeSans 1120 90 0 0 la_oenb[74]
+port 519 nsew signal input
+flabel metal2 s 394130 -800 394242 480 0 FreeSans 1120 90 0 0 la_oenb[75]
+port 520 nsew signal input
+flabel metal2 s 397676 -800 397788 480 0 FreeSans 1120 90 0 0 la_oenb[76]
+port 521 nsew signal input
+flabel metal2 s 401222 -800 401334 480 0 FreeSans 1120 90 0 0 la_oenb[77]
+port 522 nsew signal input
+flabel metal2 s 404768 -800 404880 480 0 FreeSans 1120 90 0 0 la_oenb[78]
+port 523 nsew signal input
+flabel metal2 s 408314 -800 408426 480 0 FreeSans 1120 90 0 0 la_oenb[79]
+port 524 nsew signal input
+flabel metal2 s 153002 -800 153114 480 0 FreeSans 1120 90 0 0 la_oenb[7]
+port 525 nsew signal input
+flabel metal2 s 411860 -800 411972 480 0 FreeSans 1120 90 0 0 la_oenb[80]
+port 526 nsew signal input
+flabel metal2 s 415406 -800 415518 480 0 FreeSans 1120 90 0 0 la_oenb[81]
+port 527 nsew signal input
+flabel metal2 s 418952 -800 419064 480 0 FreeSans 1120 90 0 0 la_oenb[82]
+port 528 nsew signal input
+flabel metal2 s 422498 -800 422610 480 0 FreeSans 1120 90 0 0 la_oenb[83]
+port 529 nsew signal input
+flabel metal2 s 426044 -800 426156 480 0 FreeSans 1120 90 0 0 la_oenb[84]
+port 530 nsew signal input
+flabel metal2 s 429590 -800 429702 480 0 FreeSans 1120 90 0 0 la_oenb[85]
+port 531 nsew signal input
+flabel metal2 s 433136 -800 433248 480 0 FreeSans 1120 90 0 0 la_oenb[86]
+port 532 nsew signal input
+flabel metal2 s 436682 -800 436794 480 0 FreeSans 1120 90 0 0 la_oenb[87]
+port 533 nsew signal input
+flabel metal2 s 440228 -800 440340 480 0 FreeSans 1120 90 0 0 la_oenb[88]
+port 534 nsew signal input
+flabel metal2 s 443774 -800 443886 480 0 FreeSans 1120 90 0 0 la_oenb[89]
+port 535 nsew signal input
+flabel metal2 s 156548 -800 156660 480 0 FreeSans 1120 90 0 0 la_oenb[8]
+port 536 nsew signal input
+flabel metal2 s 447320 -800 447432 480 0 FreeSans 1120 90 0 0 la_oenb[90]
+port 537 nsew signal input
+flabel metal2 s 450866 -800 450978 480 0 FreeSans 1120 90 0 0 la_oenb[91]
+port 538 nsew signal input
+flabel metal2 s 454412 -800 454524 480 0 FreeSans 1120 90 0 0 la_oenb[92]
+port 539 nsew signal input
+flabel metal2 s 457958 -800 458070 480 0 FreeSans 1120 90 0 0 la_oenb[93]
+port 540 nsew signal input
+flabel metal2 s 461504 -800 461616 480 0 FreeSans 1120 90 0 0 la_oenb[94]
+port 541 nsew signal input
+flabel metal2 s 465050 -800 465162 480 0 FreeSans 1120 90 0 0 la_oenb[95]
+port 542 nsew signal input
+flabel metal2 s 468596 -800 468708 480 0 FreeSans 1120 90 0 0 la_oenb[96]
+port 543 nsew signal input
+flabel metal2 s 472142 -800 472254 480 0 FreeSans 1120 90 0 0 la_oenb[97]
+port 544 nsew signal input
+flabel metal2 s 475688 -800 475800 480 0 FreeSans 1120 90 0 0 la_oenb[98]
+port 545 nsew signal input
+flabel metal2 s 479234 -800 479346 480 0 FreeSans 1120 90 0 0 la_oenb[99]
+port 546 nsew signal input
+flabel metal2 s 160094 -800 160206 480 0 FreeSans 1120 90 0 0 la_oenb[9]
+port 547 nsew signal input
+flabel metal2 s 579704 -800 579816 480 0 FreeSans 1120 90 0 0 user_clock2
+port 548 nsew signal input
+flabel metal2 s 580886 -800 580998 480 0 FreeSans 1120 90 0 0 user_irq[0]
+port 549 nsew signal tristate
+flabel metal2 s 582068 -800 582180 480 0 FreeSans 1120 90 0 0 user_irq[1]
+port 550 nsew signal tristate
+flabel metal2 s 583250 -800 583362 480 0 FreeSans 1120 90 0 0 user_irq[2]
+port 551 nsew signal tristate
+flabel metal3 s 582340 639784 584800 644584 0 FreeSans 1120 0 0 0 vccd1
+port 552 nsew signal bidirectional
+flabel metal3 s 582340 629784 584800 634584 0 FreeSans 1120 0 0 0 vccd1
+port 553 nsew signal bidirectional
+flabel metal3 s 0 643842 1660 648642 0 FreeSans 1120 0 0 0 vccd2
+port 554 nsew signal bidirectional
+flabel metal3 s 0 633842 1660 638642 0 FreeSans 1120 0 0 0 vccd2
+port 555 nsew signal bidirectional
+flabel metal3 s 582340 540562 584800 545362 0 FreeSans 1120 0 0 0 vdda1
+port 556 nsew signal bidirectional
+flabel metal3 s 582340 550562 584800 555362 0 FreeSans 1120 0 0 0 vdda1
+port 557 nsew signal bidirectional
+flabel metal3 s 582340 235230 584800 240030 0 FreeSans 1120 0 0 0 vdda1
+port 558 nsew signal bidirectional
+flabel metal3 s 582340 225230 584800 230030 0 FreeSans 1120 0 0 0 vdda1
+port 559 nsew signal bidirectional
+flabel metal3 s 0 204888 1660 209688 0 FreeSans 1120 0 0 0 vdda2
+port 560 nsew signal bidirectional
+flabel metal3 s 0 214888 1660 219688 0 FreeSans 1120 0 0 0 vdda2
+port 561 nsew signal bidirectional
+flabel metal3 s 520594 702340 525394 704800 0 FreeSans 1920 180 0 0 vssa1
+port 562 nsew signal bidirectional
+flabel metal3 s 510594 702340 515394 704800 0 FreeSans 1920 180 0 0 vssa1
+port 563 nsew signal bidirectional
+flabel metal3 s 582340 146830 584800 151630 0 FreeSans 1120 0 0 0 vssa1
+port 564 nsew signal bidirectional
+flabel metal3 s 582340 136830 584800 141630 0 FreeSans 1120 0 0 0 vssa1
+port 565 nsew signal bidirectional
+flabel metal3 s 0 559442 1660 564242 0 FreeSans 1120 0 0 0 vssa2
+port 566 nsew signal bidirectional
+flabel metal3 s 0 549442 1660 554242 0 FreeSans 1120 0 0 0 vssa2
+port 567 nsew signal bidirectional
+flabel metal3 s 0 172888 1660 177688 0 FreeSans 1120 0 0 0 vssd2
+port 570 nsew signal bidirectional
+flabel metal3 s 0 162888 1660 167688 0 FreeSans 1120 0 0 0 vssd2
+port 571 nsew signal bidirectional
+flabel metal2 s 524 -800 636 480 0 FreeSans 1120 90 0 0 wb_clk_i
+port 572 nsew signal input
+flabel metal2 s 1706 -800 1818 480 0 FreeSans 1120 90 0 0 wb_rst_i
+port 573 nsew signal input
+flabel metal2 s 2888 -800 3000 480 0 FreeSans 1120 90 0 0 wbs_ack_o
+port 574 nsew signal tristate
+flabel metal2 s 7616 -800 7728 480 0 FreeSans 1120 90 0 0 wbs_adr_i[0]
+port 575 nsew signal input
+flabel metal2 s 47804 -800 47916 480 0 FreeSans 1120 90 0 0 wbs_adr_i[10]
+port 576 nsew signal input
+flabel metal2 s 51350 -800 51462 480 0 FreeSans 1120 90 0 0 wbs_adr_i[11]
+port 577 nsew signal input
+flabel metal2 s 54896 -800 55008 480 0 FreeSans 1120 90 0 0 wbs_adr_i[12]
+port 578 nsew signal input
+flabel metal2 s 58442 -800 58554 480 0 FreeSans 1120 90 0 0 wbs_adr_i[13]
+port 579 nsew signal input
+flabel metal2 s 61988 -800 62100 480 0 FreeSans 1120 90 0 0 wbs_adr_i[14]
+port 580 nsew signal input
+flabel metal2 s 65534 -800 65646 480 0 FreeSans 1120 90 0 0 wbs_adr_i[15]
+port 581 nsew signal input
+flabel metal2 s 69080 -800 69192 480 0 FreeSans 1120 90 0 0 wbs_adr_i[16]
+port 582 nsew signal input
+flabel metal2 s 72626 -800 72738 480 0 FreeSans 1120 90 0 0 wbs_adr_i[17]
+port 583 nsew signal input
+flabel metal2 s 76172 -800 76284 480 0 FreeSans 1120 90 0 0 wbs_adr_i[18]
+port 584 nsew signal input
+flabel metal2 s 79718 -800 79830 480 0 FreeSans 1120 90 0 0 wbs_adr_i[19]
+port 585 nsew signal input
+flabel metal2 s 12344 -800 12456 480 0 FreeSans 1120 90 0 0 wbs_adr_i[1]
+port 586 nsew signal input
+flabel metal2 s 83264 -800 83376 480 0 FreeSans 1120 90 0 0 wbs_adr_i[20]
+port 587 nsew signal input
+flabel metal2 s 86810 -800 86922 480 0 FreeSans 1120 90 0 0 wbs_adr_i[21]
+port 588 nsew signal input
+flabel metal2 s 90356 -800 90468 480 0 FreeSans 1120 90 0 0 wbs_adr_i[22]
+port 589 nsew signal input
+flabel metal2 s 93902 -800 94014 480 0 FreeSans 1120 90 0 0 wbs_adr_i[23]
+port 590 nsew signal input
+flabel metal2 s 97448 -800 97560 480 0 FreeSans 1120 90 0 0 wbs_adr_i[24]
+port 591 nsew signal input
+flabel metal2 s 100994 -800 101106 480 0 FreeSans 1120 90 0 0 wbs_adr_i[25]
+port 592 nsew signal input
+flabel metal2 s 104540 -800 104652 480 0 FreeSans 1120 90 0 0 wbs_adr_i[26]
+port 593 nsew signal input
+flabel metal2 s 108086 -800 108198 480 0 FreeSans 1120 90 0 0 wbs_adr_i[27]
+port 594 nsew signal input
+flabel metal2 s 111632 -800 111744 480 0 FreeSans 1120 90 0 0 wbs_adr_i[28]
+port 595 nsew signal input
+flabel metal2 s 115178 -800 115290 480 0 FreeSans 1120 90 0 0 wbs_adr_i[29]
+port 596 nsew signal input
+flabel metal2 s 17072 -800 17184 480 0 FreeSans 1120 90 0 0 wbs_adr_i[2]
+port 597 nsew signal input
+flabel metal2 s 118724 -800 118836 480 0 FreeSans 1120 90 0 0 wbs_adr_i[30]
+port 598 nsew signal input
+flabel metal2 s 122270 -800 122382 480 0 FreeSans 1120 90 0 0 wbs_adr_i[31]
+port 599 nsew signal input
+flabel metal2 s 21800 -800 21912 480 0 FreeSans 1120 90 0 0 wbs_adr_i[3]
+port 600 nsew signal input
+flabel metal2 s 26528 -800 26640 480 0 FreeSans 1120 90 0 0 wbs_adr_i[4]
+port 601 nsew signal input
+flabel metal2 s 30074 -800 30186 480 0 FreeSans 1120 90 0 0 wbs_adr_i[5]
+port 602 nsew signal input
+flabel metal2 s 33620 -800 33732 480 0 FreeSans 1120 90 0 0 wbs_adr_i[6]
+port 603 nsew signal input
+flabel metal2 s 37166 -800 37278 480 0 FreeSans 1120 90 0 0 wbs_adr_i[7]
+port 604 nsew signal input
+flabel metal2 s 40712 -800 40824 480 0 FreeSans 1120 90 0 0 wbs_adr_i[8]
+port 605 nsew signal input
+flabel metal2 s 44258 -800 44370 480 0 FreeSans 1120 90 0 0 wbs_adr_i[9]
+port 606 nsew signal input
+flabel metal2 s 4070 -800 4182 480 0 FreeSans 1120 90 0 0 wbs_cyc_i
+port 607 nsew signal input
+flabel metal2 s 8798 -800 8910 480 0 FreeSans 1120 90 0 0 wbs_dat_i[0]
+port 608 nsew signal input
+flabel metal2 s 48986 -800 49098 480 0 FreeSans 1120 90 0 0 wbs_dat_i[10]
+port 609 nsew signal input
+flabel metal2 s 52532 -800 52644 480 0 FreeSans 1120 90 0 0 wbs_dat_i[11]
+port 610 nsew signal input
+flabel metal2 s 56078 -800 56190 480 0 FreeSans 1120 90 0 0 wbs_dat_i[12]
+port 611 nsew signal input
+flabel metal2 s 59624 -800 59736 480 0 FreeSans 1120 90 0 0 wbs_dat_i[13]
+port 612 nsew signal input
+flabel metal2 s 63170 -800 63282 480 0 FreeSans 1120 90 0 0 wbs_dat_i[14]
+port 613 nsew signal input
+flabel metal2 s 66716 -800 66828 480 0 FreeSans 1120 90 0 0 wbs_dat_i[15]
+port 614 nsew signal input
+flabel metal2 s 70262 -800 70374 480 0 FreeSans 1120 90 0 0 wbs_dat_i[16]
+port 615 nsew signal input
+flabel metal2 s 73808 -800 73920 480 0 FreeSans 1120 90 0 0 wbs_dat_i[17]
+port 616 nsew signal input
+flabel metal2 s 77354 -800 77466 480 0 FreeSans 1120 90 0 0 wbs_dat_i[18]
+port 617 nsew signal input
+flabel metal2 s 80900 -800 81012 480 0 FreeSans 1120 90 0 0 wbs_dat_i[19]
+port 618 nsew signal input
+flabel metal2 s 13526 -800 13638 480 0 FreeSans 1120 90 0 0 wbs_dat_i[1]
+port 619 nsew signal input
+flabel metal2 s 84446 -800 84558 480 0 FreeSans 1120 90 0 0 wbs_dat_i[20]
+port 620 nsew signal input
+flabel metal2 s 87992 -800 88104 480 0 FreeSans 1120 90 0 0 wbs_dat_i[21]
+port 621 nsew signal input
+flabel metal2 s 91538 -800 91650 480 0 FreeSans 1120 90 0 0 wbs_dat_i[22]
+port 622 nsew signal input
+flabel metal2 s 95084 -800 95196 480 0 FreeSans 1120 90 0 0 wbs_dat_i[23]
+port 623 nsew signal input
+flabel metal2 s 98630 -800 98742 480 0 FreeSans 1120 90 0 0 wbs_dat_i[24]
+port 624 nsew signal input
+flabel metal2 s 102176 -800 102288 480 0 FreeSans 1120 90 0 0 wbs_dat_i[25]
+port 625 nsew signal input
+flabel metal2 s 105722 -800 105834 480 0 FreeSans 1120 90 0 0 wbs_dat_i[26]
+port 626 nsew signal input
+flabel metal2 s 109268 -800 109380 480 0 FreeSans 1120 90 0 0 wbs_dat_i[27]
+port 627 nsew signal input
+flabel metal2 s 112814 -800 112926 480 0 FreeSans 1120 90 0 0 wbs_dat_i[28]
+port 628 nsew signal input
+flabel metal2 s 116360 -800 116472 480 0 FreeSans 1120 90 0 0 wbs_dat_i[29]
+port 629 nsew signal input
+flabel metal2 s 18254 -800 18366 480 0 FreeSans 1120 90 0 0 wbs_dat_i[2]
+port 630 nsew signal input
+flabel metal2 s 119906 -800 120018 480 0 FreeSans 1120 90 0 0 wbs_dat_i[30]
+port 631 nsew signal input
+flabel metal2 s 123452 -800 123564 480 0 FreeSans 1120 90 0 0 wbs_dat_i[31]
+port 632 nsew signal input
+flabel metal2 s 22982 -800 23094 480 0 FreeSans 1120 90 0 0 wbs_dat_i[3]
+port 633 nsew signal input
+flabel metal2 s 27710 -800 27822 480 0 FreeSans 1120 90 0 0 wbs_dat_i[4]
+port 634 nsew signal input
+flabel metal2 s 31256 -800 31368 480 0 FreeSans 1120 90 0 0 wbs_dat_i[5]
+port 635 nsew signal input
+flabel metal2 s 34802 -800 34914 480 0 FreeSans 1120 90 0 0 wbs_dat_i[6]
+port 636 nsew signal input
+flabel metal2 s 38348 -800 38460 480 0 FreeSans 1120 90 0 0 wbs_dat_i[7]
+port 637 nsew signal input
+flabel metal2 s 41894 -800 42006 480 0 FreeSans 1120 90 0 0 wbs_dat_i[8]
+port 638 nsew signal input
+flabel metal2 s 45440 -800 45552 480 0 FreeSans 1120 90 0 0 wbs_dat_i[9]
+port 639 nsew signal input
+flabel metal2 s 9980 -800 10092 480 0 FreeSans 1120 90 0 0 wbs_dat_o[0]
+port 640 nsew signal tristate
+flabel metal2 s 50168 -800 50280 480 0 FreeSans 1120 90 0 0 wbs_dat_o[10]
+port 641 nsew signal tristate
+flabel metal2 s 53714 -800 53826 480 0 FreeSans 1120 90 0 0 wbs_dat_o[11]
+port 642 nsew signal tristate
+flabel metal2 s 57260 -800 57372 480 0 FreeSans 1120 90 0 0 wbs_dat_o[12]
+port 643 nsew signal tristate
+flabel metal2 s 60806 -800 60918 480 0 FreeSans 1120 90 0 0 wbs_dat_o[13]
+port 644 nsew signal tristate
+flabel metal2 s 64352 -800 64464 480 0 FreeSans 1120 90 0 0 wbs_dat_o[14]
+port 645 nsew signal tristate
+flabel metal2 s 67898 -800 68010 480 0 FreeSans 1120 90 0 0 wbs_dat_o[15]
+port 646 nsew signal tristate
+flabel metal2 s 71444 -800 71556 480 0 FreeSans 1120 90 0 0 wbs_dat_o[16]
+port 647 nsew signal tristate
+flabel metal2 s 74990 -800 75102 480 0 FreeSans 1120 90 0 0 wbs_dat_o[17]
+port 648 nsew signal tristate
+flabel metal2 s 78536 -800 78648 480 0 FreeSans 1120 90 0 0 wbs_dat_o[18]
+port 649 nsew signal tristate
+flabel metal2 s 82082 -800 82194 480 0 FreeSans 1120 90 0 0 wbs_dat_o[19]
+port 650 nsew signal tristate
+flabel metal2 s 14708 -800 14820 480 0 FreeSans 1120 90 0 0 wbs_dat_o[1]
+port 651 nsew signal tristate
+flabel metal2 s 85628 -800 85740 480 0 FreeSans 1120 90 0 0 wbs_dat_o[20]
+port 652 nsew signal tristate
+flabel metal2 s 89174 -800 89286 480 0 FreeSans 1120 90 0 0 wbs_dat_o[21]
+port 653 nsew signal tristate
+flabel metal2 s 92720 -800 92832 480 0 FreeSans 1120 90 0 0 wbs_dat_o[22]
+port 654 nsew signal tristate
+flabel metal2 s 96266 -800 96378 480 0 FreeSans 1120 90 0 0 wbs_dat_o[23]
+port 655 nsew signal tristate
+flabel metal2 s 99812 -800 99924 480 0 FreeSans 1120 90 0 0 wbs_dat_o[24]
+port 656 nsew signal tristate
+flabel metal2 s 103358 -800 103470 480 0 FreeSans 1120 90 0 0 wbs_dat_o[25]
+port 657 nsew signal tristate
+flabel metal2 s 106904 -800 107016 480 0 FreeSans 1120 90 0 0 wbs_dat_o[26]
+port 658 nsew signal tristate
+flabel metal2 s 110450 -800 110562 480 0 FreeSans 1120 90 0 0 wbs_dat_o[27]
+port 659 nsew signal tristate
+flabel metal2 s 113996 -800 114108 480 0 FreeSans 1120 90 0 0 wbs_dat_o[28]
+port 660 nsew signal tristate
+flabel metal2 s 117542 -800 117654 480 0 FreeSans 1120 90 0 0 wbs_dat_o[29]
+port 661 nsew signal tristate
+flabel metal2 s 19436 -800 19548 480 0 FreeSans 1120 90 0 0 wbs_dat_o[2]
+port 662 nsew signal tristate
+flabel metal2 s 121088 -800 121200 480 0 FreeSans 1120 90 0 0 wbs_dat_o[30]
+port 663 nsew signal tristate
+flabel metal2 s 124634 -800 124746 480 0 FreeSans 1120 90 0 0 wbs_dat_o[31]
+port 664 nsew signal tristate
+flabel metal2 s 24164 -800 24276 480 0 FreeSans 1120 90 0 0 wbs_dat_o[3]
+port 665 nsew signal tristate
+flabel metal2 s 28892 -800 29004 480 0 FreeSans 1120 90 0 0 wbs_dat_o[4]
+port 666 nsew signal tristate
+flabel metal2 s 32438 -800 32550 480 0 FreeSans 1120 90 0 0 wbs_dat_o[5]
+port 667 nsew signal tristate
+flabel metal2 s 35984 -800 36096 480 0 FreeSans 1120 90 0 0 wbs_dat_o[6]
+port 668 nsew signal tristate
+flabel metal2 s 39530 -800 39642 480 0 FreeSans 1120 90 0 0 wbs_dat_o[7]
+port 669 nsew signal tristate
+flabel metal2 s 43076 -800 43188 480 0 FreeSans 1120 90 0 0 wbs_dat_o[8]
+port 670 nsew signal tristate
+flabel metal2 s 46622 -800 46734 480 0 FreeSans 1120 90 0 0 wbs_dat_o[9]
+port 671 nsew signal tristate
+flabel metal2 s 11162 -800 11274 480 0 FreeSans 1120 90 0 0 wbs_sel_i[0]
+port 672 nsew signal input
+flabel metal2 s 15890 -800 16002 480 0 FreeSans 1120 90 0 0 wbs_sel_i[1]
+port 673 nsew signal input
+flabel metal2 s 20618 -800 20730 480 0 FreeSans 1120 90 0 0 wbs_sel_i[2]
+port 674 nsew signal input
+flabel metal2 s 25346 -800 25458 480 0 FreeSans 1120 90 0 0 wbs_sel_i[3]
+port 675 nsew signal input
+flabel metal2 s 5252 -800 5364 480 0 FreeSans 1120 90 0 0 wbs_stb_i
+port 676 nsew signal input
+flabel metal2 s 6434 -800 6546 480 0 FreeSans 1120 90 0 0 wbs_we_i
+port 677 nsew signal input
+flabel metal3 s 582340 181430 584800 186230 0 FreeSans 1120 0 0 0 vssd1
+port 569 nsew signal bidirectional
+flabel metal3 s 582340 191430 584800 196230 0 FreeSans 1120 0 0 0 vssd1
+port 568 nsew signal bidirectional
+rlabel metal4 559206 641470 559206 641470 1 VDD
+rlabel metal5 524812 682428 524812 682428 3 GND
+rlabel metal5 165552 699664 165552 699664 7 Vn
+rlabel metal5 217310 693970 217310 693970 7 Vp
+rlabel metal3 68152 698908 68152 698908 7 CLK
+rlabel metal3 465398 698900 465398 698900 7 Outp
+rlabel metal3 413390 699678 413390 699678 7 Outn
+rlabel metal3 438920 662050 438920 662050 7 L1
+rlabel metal3 447318 662074 447318 662074 7 L2
+flabel metal3 s 120194 702300 125194 704800 0 FreeSans 1920 180 0 0 io_analog[7]
+port 44 nsew signal bidirectional
+rlabel locali 107246 567078 107246 567078 7 GND
+rlabel locali 107734 567078 107734 567078 7 GND
+rlabel locali 101256 567116 101256 567116 7 GND
+rlabel locali 101744 567116 101744 567116 7 GND
+rlabel metal3 120162 700086 120162 700086 3 CLKBAR
 << properties >>
 string FIXED_BBOX 0 0 584000 704000
 << end >>
diff --git a/mag/user_analog_project_wrapper.spice b/mag/user_analog_project_wrapper.spice
index f1be201..7e8f31b 100644
--- a/mag/user_analog_project_wrapper.spice
+++ b/mag/user_analog_project_wrapper.spice
@@ -1,22 +1,9 @@
 * SPICE3 file created from user_analog_project_wrapper.ext - technology: sky130A
 
-.subckt sky130_fd_pr__diode_pw2nd_05v5_3P6M5Y a_n100_n100# w_n238_n238#
-D0 w_n238_n238# a_n100_n100# sky130_fd_pr__diode_pw2nd_05v5 pj=4e+06u area=1e+12p
-.ends
-
 .subckt sky130_fd_pr__diode_pd2nw_05v5_RT56W3 w_n321_n321# a_n45_n45# w_n183_n183#
 D0 a_n45_n45# w_n183_n183# sky130_fd_pr__diode_pd2nw_05v5 pj=1.8e+06u area=2.025e+11p
 .ends
 
-.subckt sky130_fd_sc_hd__buf_2 A VGND VPWR X VNB VPB
-X0 VPWR a_27_47# X VPB sky130_fd_pr__pfet_01v8_hvt ad=5.63e+11p pd=5.18e+06u as=2.7e+11p ps=2.54e+06u w=1e+06u l=150000u
-X1 X a_27_47# VPWR VPB sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=1e+06u l=150000u
-X2 VPWR A a_27_47# VPB sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=1.664e+11p ps=1.8e+06u w=640000u l=150000u
-X3 X a_27_47# VGND VNB sky130_fd_pr__nfet_01v8 ad=1.755e+11p pd=1.84e+06u as=3.6625e+11p ps=3.78e+06u w=650000u l=150000u
-X4 VGND a_27_47# X VNB sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=650000u l=150000u
-X5 VGND A a_27_47# VNB sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=1.092e+11p ps=1.36e+06u w=420000u l=150000u
-.ends
-
 .subckt sky130_fd_sc_hd__buf_16 A VGND VPWR X VNB VPB
 X0 VGND A a_109_47# VNB sky130_fd_pr__nfet_01v8 ad=2.093e+12p pd=2.204e+07u as=5.265e+11p ps=5.52e+06u w=650000u l=150000u
 X1 X a_109_47# VPWR VPB sky130_fd_pr__pfet_01v8_hvt ad=2.16e+12p pd=2.032e+07u as=3.22e+12p ps=3.044e+07u w=1e+06u l=150000u
@@ -62,13 +49,22 @@
 X41 VPWR a_109_47# X VPB sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=1e+06u l=150000u
 X42 a_109_47# A VGND VNB sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=650000u l=150000u
 X43 VGND a_109_47# X VNB sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=650000u l=150000u
-C0 VPB VPWR 2.24fF
-C1 X VPWR 3.72fF
-C2 X a_109_47# 4.49fF
-C3 X VGND 2.53fF
+C0 a_109_47# X 4.49fF
+C1 X VGND 2.53fF
+C2 VPB VPWR 2.24fF
+C3 VPWR X 3.72fF
 C4 VPB VNB 2.02fF
 .ends
 
+.subckt sky130_fd_sc_hd__buf_2 A VGND VPWR X VNB VPB
+X0 VPWR a_27_47# X VPB sky130_fd_pr__pfet_01v8_hvt ad=5.63e+11p pd=5.18e+06u as=2.7e+11p ps=2.54e+06u w=1e+06u l=150000u
+X1 X a_27_47# VPWR VPB sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=1e+06u l=150000u
+X2 VPWR A a_27_47# VPB sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=1.664e+11p ps=1.8e+06u w=640000u l=150000u
+X3 X a_27_47# VGND VNB sky130_fd_pr__nfet_01v8 ad=1.755e+11p pd=1.84e+06u as=3.6625e+11p ps=3.78e+06u w=650000u l=150000u
+X4 VGND a_27_47# X VNB sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=650000u l=150000u
+X5 VGND A a_27_47# VNB sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=1.092e+11p ps=1.36e+06u w=420000u l=150000u
+.ends
+
 .subckt sky130_fd_pr__diode_pw2nd_05v5_GT7G3L a_n45_n45# w_n183_n183#
 D0 w_n183_n183# a_n45_n45# sky130_fd_pr__diode_pw2nd_05v5 pj=1.8e+06u area=2.025e+11p
 .ends
@@ -105,7 +101,7 @@
 Xpmos_2uf2_0 VDD VDD Vin Vout pmos_2uf2_0/w_n319_n202# Vin VSUBS pmos_2uf2#0
 .ends
 
-.subckt latch_3 a_646_808# m1_686_734# w_n16_492# inv_W12_1/GND inv_W12_1/Vin VSUBS
+.subckt latch_3 a_646_808# inv_W12_1/GND m1_686_734# w_n16_492# inv_W12_1/Vin VSUBS
 + inv_W12_0/Vin
 Xsky130_fd_pr__pfet_01v8_GJYUB2_0 m1_686_734# a_646_808# a_646_808# m1_686_734# m1_686_734#
 + inv_W12_1/VDD a_646_808# a_646_808# w_n16_492# inv_W12_1/VDD a_646_808# inv_W12_1/VDD
@@ -210,11 +206,11 @@
 .ends
 
 .subckt comparator_v6 Outn Vp Vn CLK VDD GND Outp CLKBAR
-Xlatch_3_0 CLKBAR VDD VDD GND Dp GND Dn latch_3
+Xlatch_3_0 CLKBAR GND VDD VDD Dp GND Dn latch_3
 Xpreamp_part12_0 VDD fp CLK Vn Dp CLK Vp VDD GND fn CLK Dn GND preamp_part12
 XSR_latch_0 Outp Dn Dp Outn VDD VDD GND GND SR_latch
 Xpreamp_part22_0 VDD fp CLKBAR CLKBAR CLK CLK fn VDD VDD GND VDD preamp_part22
-C0 VDD CLKBAR 2.34fF
+C0 CLKBAR VDD 2.34fF
 C1 VDD GND 29.75fF
 C2 CLK GND 14.11fF
 C3 fp GND 2.32fF
@@ -224,6 +220,10 @@
 C7 CLKBAR GND 3.04fF
 .ends
 
+.subckt sky130_fd_pr__diode_pw2nd_05v5_GT7E3L a_n45_n45# w_n183_n183#
+D0 w_n183_n183# a_n45_n45# sky130_fd_pr__diode_pw2nd_05v5 pj=1.8e+06u area=2.025e+11p
+.ends
+
 .subckt user_analog_project_wrapper gpio_analog[0] gpio_analog[10] gpio_analog[11]
 + gpio_analog[12] gpio_analog[13] gpio_analog[14] gpio_analog[15] gpio_analog[16]
 + gpio_analog[17] gpio_analog[1] gpio_analog[2] gpio_analog[3] gpio_analog[4] gpio_analog[5]
@@ -231,8 +231,8 @@
 + gpio_noesd[11] gpio_noesd[12] gpio_noesd[13] gpio_noesd[14] gpio_noesd[15] gpio_noesd[16]
 + gpio_noesd[17] gpio_noesd[1] gpio_noesd[2] gpio_noesd[3] gpio_noesd[4] gpio_noesd[5]
 + gpio_noesd[6] gpio_noesd[7] gpio_noesd[8] gpio_noesd[9] io_analog[0] io_analog[10]
-+ io_analog[1] io_analog[2] io_analog[3] io_analog[7] io_analog[8] io_analog[9] io_analog[4]
-+ io_analog[5] io_analog[6] io_clamp_high[0] io_clamp_high[1] io_clamp_high[2] io_clamp_low[0]
++ io_analog[1] io_analog[2] io_analog[3] io_analog[5] io_analog[6] io_analog[7] io_analog[8]
++ io_analog[9] io_analog[4] io_clamp_high[0] io_clamp_high[1] io_clamp_high[2] io_clamp_low[0]
 + io_clamp_low[1] io_clamp_low[2] io_in[0] io_in[10] io_in[11] io_in[12] io_in[13]
 + io_in[14] io_in[15] io_in[16] io_in[17] io_in[18] io_in[19] io_in[1] io_in[20] io_in[21]
 + io_in[22] io_in[23] io_in[24] io_in[25] io_in[26] io_in[2] io_in[3] io_in[4] io_in[5]
@@ -330,99 +330,73 @@
 + wbs_dat_o[30] wbs_dat_o[31] wbs_dat_o[3] wbs_dat_o[4] wbs_dat_o[5] wbs_dat_o[6]
 + wbs_dat_o[7] wbs_dat_o[8] wbs_dat_o[9] wbs_sel_i[0] wbs_sel_i[1] wbs_sel_i[2] wbs_sel_i[3]
 + wbs_stb_i wbs_we_i
-Xsky130_fd_pr__diode_pw2nd_05v5_3P6M5Y_0 io_analog[7] io_clamp_low[1] sky130_fd_pr__diode_pw2nd_05v5_3P6M5Y
-Xsky130_fd_pr__diode_pd2nw_05v5_RT56W3_0 io_clamp_low[1] io_analog[8] io_clamp_high[1]
-+ sky130_fd_pr__diode_pd2nw_05v5_RT56W3
-Xsky130_fd_sc_hd__buf_2_0 comparator_v6_0/Outn io_clamp_low[1] io_clamp_high[1] sky130_fd_sc_hd__buf_2_0/X
-+ io_clamp_low[1] io_clamp_high[1] sky130_fd_sc_hd__buf_2
-Xsky130_fd_sc_hd__buf_16_0 sky130_fd_sc_hd__buf_2_0/X io_clamp_low[1] io_clamp_high[1]
-+ io_analog[3] io_clamp_low[1] io_clamp_high[1] sky130_fd_sc_hd__buf_16
-Xsky130_fd_pr__diode_pd2nw_05v5_RT56W3_1 io_clamp_low[1] io_analog[7] io_clamp_high[1]
-+ sky130_fd_pr__diode_pd2nw_05v5_RT56W3
-Xsky130_fd_sc_hd__buf_16_1 sky130_fd_sc_hd__buf_2_1/X io_clamp_low[1] io_clamp_high[1]
-+ io_analog[2] io_clamp_low[1] io_clamp_high[1] sky130_fd_sc_hd__buf_16
-Xsky130_fd_sc_hd__buf_2_1 comparator_v6_0/Outp io_clamp_low[1] io_clamp_high[1] sky130_fd_sc_hd__buf_2_1/X
-+ io_clamp_low[1] io_clamp_high[1] sky130_fd_sc_hd__buf_2
-Xsky130_fd_pr__diode_pw2nd_05v5_GT7G3L_0 io_analog[8] io_clamp_low[1] sky130_fd_pr__diode_pw2nd_05v5_GT7G3L
-Xsky130_fd_sc_hd__buf_16_2 sky130_fd_sc_hd__buf_2_3/X io_clamp_low[1] io_clamp_high[1]
-+ comparator_v6_0/CLKBAR io_clamp_low[1] io_clamp_high[1] sky130_fd_sc_hd__buf_16
-Xsky130_fd_sc_hd__buf_2_2 io_analog[8] io_clamp_low[1] io_clamp_high[1] sky130_fd_sc_hd__buf_2_2/X
-+ io_clamp_low[1] io_clamp_high[1] sky130_fd_sc_hd__buf_2
-Xsky130_fd_sc_hd__buf_16_3 sky130_fd_sc_hd__buf_2_2/X io_clamp_low[1] io_clamp_high[1]
-+ comparator_v6_0/CLK io_clamp_low[1] io_clamp_high[1] sky130_fd_sc_hd__buf_16
-Xsky130_fd_sc_hd__buf_2_3 io_analog[7] io_clamp_low[1] io_clamp_high[1] sky130_fd_sc_hd__buf_2_3/X
-+ io_clamp_low[1] io_clamp_high[1] sky130_fd_sc_hd__buf_2
-Xsky130_fd_sc_hd__buf_16_4 sky130_fd_sc_hd__buf_2_4/X io_clamp_low[1] io_clamp_high[1]
-+ io_analog[0] io_clamp_low[1] io_clamp_high[1] sky130_fd_sc_hd__buf_16
-Xsky130_fd_sc_hd__buf_2_4 io_analog[1] io_clamp_low[1] io_clamp_high[1] sky130_fd_sc_hd__buf_2_4/X
-+ io_clamp_low[1] io_clamp_high[1] sky130_fd_sc_hd__buf_2
+Xsky130_fd_pr__diode_pd2nw_05v5_RT56W3_0 vssa1 io_analog[8] vccd1 sky130_fd_pr__diode_pd2nw_05v5_RT56W3
+Xsky130_fd_pr__diode_pd2nw_05v5_RT56W3_1 vssa1 io_analog[7] vccd1 sky130_fd_pr__diode_pd2nw_05v5_RT56W3
+Xsky130_fd_sc_hd__buf_16_0 sky130_fd_sc_hd__buf_2_0/X vssa1 vccd1 comparator_v6_0/CLK
++ vssa1 vccd1 sky130_fd_sc_hd__buf_16
+Xsky130_fd_sc_hd__buf_2_0 io_analog[8] vssa1 vccd1 sky130_fd_sc_hd__buf_2_0/X vssa1
++ vccd1 sky130_fd_sc_hd__buf_2
+Xsky130_fd_pr__diode_pw2nd_05v5_GT7G3L_0 io_analog[7] vssa1 sky130_fd_pr__diode_pw2nd_05v5_GT7G3L
+Xsky130_fd_sc_hd__buf_16_1 sky130_fd_sc_hd__buf_2_1/X vssa1 vccd1 io_analog[1] vssa1
++ vccd1 sky130_fd_sc_hd__buf_16
+Xsky130_fd_sc_hd__buf_2_1 io_analog[8] vssa1 vccd1 sky130_fd_sc_hd__buf_2_1/X vssa1
++ vccd1 sky130_fd_sc_hd__buf_2
+Xsky130_fd_sc_hd__buf_16_2 sky130_fd_sc_hd__buf_2_2/X vssa1 vccd1 comparator_v6_0/CLKBAR
++ vssa1 vccd1 sky130_fd_sc_hd__buf_16
+Xsky130_fd_sc_hd__buf_2_2 io_analog[7] vssa1 vccd1 sky130_fd_sc_hd__buf_2_2/X vssa1
++ vccd1 sky130_fd_sc_hd__buf_2
+Xsky130_fd_sc_hd__buf_16_3 L1 vssa1 vccd1 io_analog[3] vssa1 vccd1 sky130_fd_sc_hd__buf_16
+Xsky130_fd_sc_hd__buf_2_3 comparator_v6_0/Outn vssa1 vccd1 L1 vssa1 vccd1 sky130_fd_sc_hd__buf_2
+Xsky130_fd_sc_hd__buf_16_4 L2 vssa1 vccd1 io_analog[2] vssa1 vccd1 sky130_fd_sc_hd__buf_16
+Xsky130_fd_sc_hd__buf_2_4 comparator_v6_0/Outp vssa1 vccd1 L2 vssa1 vccd1 sky130_fd_sc_hd__buf_2
 Xcomparator_v6_0 comparator_v6_0/Outn io_analog[5] io_analog[6] comparator_v6_0/CLK
-+ io_clamp_high[1] io_clamp_low[1] comparator_v6_0/Outp comparator_v6_0/CLKBAR comparator_v6
-V0 io_clamp_high[1] vccd1 0.0
-V1 io_clamp_high[1] io_clamp_high[2] 0.0
-V2 io_clamp_low[1] io_clamp_low[2] 0.0
-V3 io_clamp_low[1] vssa1 0.0
-C0 sky130_fd_sc_hd__buf_2_3/X io_analog[5] 75.68fF
-C1 m4_186716_584374# sky130_fd_sc_hd__buf_2_2/X 4.20fF
-C2 io_analog[6] m4_180902_677200# 104.05fF
-C3 m3_165578_698240# m4_165578_698240# 68.96fF
-C4 io_clamp_high[1] sky130_fd_sc_hd__buf_2_1/X 66.21fF
-C5 comparator_v6_0/CLKBAR io_analog[5] 2.23fF
-C6 io_analog[6] m4_170578_677212# 53.59fF
-C7 io_analog[6] m4_175894_702434# 47.96fF
-C8 io_clamp_high[1] m4_170578_677212# 30.18fF
-C9 m4_165578_698240# io_analog[6] 57.03fF
-C10 io_clamp_high[1] io_analog[3] 34.41fF
-C11 io_analog[0] io_clamp_high[1] 115.74fF
-C12 m4_186716_584374# io_analog[6] 185.47fF
-C13 sky130_fd_sc_hd__buf_2_0/X io_clamp_high[1] 66.26fF
-C14 io_analog[6] io_clamp_high[1] 111.51fF
-C15 io_clamp_high[1] comparator_v6_0/Outn 9.36fF
-C16 io_analog[6] comparator_v6_0/CLK 2.17fF
-C17 m4_186716_584374# sky130_fd_sc_hd__buf_2_3/X 4.20fF
-C18 io_clamp_high[1] sky130_fd_sc_hd__buf_2_3/X 122.04fF
-C19 m4_204098_586508# sky130_fd_sc_hd__buf_2_3/X 4.77fF
-C20 io_clamp_high[1] io_analog[5] 155.94fF
-C21 m4_204098_586508# io_analog[5] 176.62fF
-C22 io_analog[4] io_clamp_low[1] 25.05fF
-C23 vssa1 io_clamp_low[1] 14.37fF
-C24 vssd2 io_clamp_low[1] 13.04fF
-C25 vssd1 io_clamp_low[1] 13.62fF
-C26 vdda2 io_clamp_low[1] 13.04fF
-C27 vdda1 io_clamp_low[1] 26.08fF
-C28 vssa2 io_clamp_low[1] 13.04fF
-C29 vccd2 io_clamp_low[1] 13.04fF
-C30 io_analog[10] io_clamp_low[1] 6.83fF
-C31 io_clamp_high[0] io_clamp_low[1] 3.58fF
-C32 io_clamp_low[0] io_clamp_low[1] 3.58fF
-C33 io_analog[9] io_clamp_low[1] 6.83fF
-C34 m4_141154_541976# io_clamp_low[1] 136.63fF **FLOATING
-C35 m4_204098_586508# io_clamp_low[1] 29.23fF **FLOATING
-C36 m4_186716_584374# io_clamp_low[1] 28.89fF **FLOATING
-C37 m4_180902_677200# io_clamp_low[1] 18.04fF **FLOATING
-C38 m4_170578_677212# io_clamp_low[1] 32.74fF **FLOATING
-C39 m4_165578_698240# io_clamp_low[1] 4.28fF **FLOATING
-C40 m3_165578_698240# io_clamp_low[1] 16.00fF **FLOATING
-C41 io_analog[3] io_clamp_low[1] 26.57fF
-C42 io_clamp_high[1] io_clamp_low[1] 2793.62fF
-C43 comparator_v6_0/Outp io_clamp_low[1] 26.65fF
-C44 comparator_v6_0/Outn io_clamp_low[1] 19.92fF
-C45 comparator_v6_0/CLK io_clamp_low[1] 17.79fF
-C46 comparator_v6_0/fp io_clamp_low[1] 2.32fF
-C47 comparator_v6_0/fn io_clamp_low[1] 2.31fF
-C48 io_analog[5] io_clamp_low[1] 358.33fF
-C49 io_analog[6] io_clamp_low[1] 259.42fF
-C50 comparator_v6_0/Dp io_clamp_low[1] 3.53fF
-C51 comparator_v6_0/Dn io_clamp_low[1] 3.24fF
-C52 comparator_v6_0/CLKBAR io_clamp_low[1] 7.21fF
-C53 io_analog[1] io_clamp_low[1] 25.06fF
-C54 io_analog[0] io_clamp_low[1] 431.98fF
-C55 io_analog[7] io_clamp_low[1] 69.37fF
-C56 sky130_fd_sc_hd__buf_2_2/X io_clamp_low[1] 354.87fF
-C57 io_analog[8] io_clamp_low[1] 72.97fF
-C58 sky130_fd_sc_hd__buf_2_3/X io_clamp_low[1] 303.75fF
-C59 sky130_fd_sc_hd__buf_2_1/X io_clamp_low[1] 190.77fF
-C60 io_analog[2] io_clamp_low[1] 25.67fF
-C61 sky130_fd_sc_hd__buf_2_0/X io_clamp_low[1] 195.72fF
++ vccd1 vssa1 comparator_v6_0/Outp comparator_v6_0/CLKBAR comparator_v6
+Xsky130_fd_pr__diode_pw2nd_05v5_GT7E3L_0 io_analog[8] vssa1 sky130_fd_pr__diode_pw2nd_05v5_GT7E3L
+V0 vssa1 io_clamp_low[1] 0.0
+V1 vssa1 io_clamp_low[2] 0.0
+V2 vccd1 io_clamp_high[2] 0.0
+V3 vccd1 io_clamp_high[1] 0.0
+C0 vccd1 io_analog[2] 35.31fF
+C1 vccd1 io_analog[5] 148.89fF
+C2 vccd1 comparator_v6_0/Outp 66.96fF
+C3 comparator_v6_0/CLK io_analog[6] 3.97fF
+C4 io_analog[5] comparator_v6_0/CLKBAR 82.86fF
+C5 vccd1 comparator_v6_0/Outn 67.22fF
+C6 vccd1 io_analog[7] 122.74fF
+C7 vccd1 io_analog[3] 35.17fF
+C8 comparator_v6_0/CLK vccd1 9.52fF
+C9 vccd1 io_analog[1] 66.55fF
+C10 vccd1 io_analog[6] 138.79fF
+C11 io_analog[4] vssa1 25.05fF
+C12 vssd2 vssa1 13.04fF
+C13 vssd1 vssa1 13.62fF
+C14 vdda2 vssa1 13.04fF
+C15 vdda1 vssa1 26.08fF
+C16 vssa2 vssa1 13.04fF
+C17 io_analog[0] vssa1 6.83fF
+C18 vccd2 vssa1 13.04fF
+C19 io_clamp_high[0] vssa1 3.58fF
+C20 io_clamp_low[0] vssa1 3.58fF
+C21 io_analog[10] vssa1 6.83fF
+C22 io_analog[9] vssa1 6.98fF
+C23 vccd1 vssa1 2793.99fF
+C24 comparator_v6_0/Outp vssa1 110.60fF
+C25 comparator_v6_0/Outn vssa1 103.95fF
+C26 comparator_v6_0/CLK vssa1 170.32fF
+C27 comparator_v6_0/fp vssa1 2.32fF
+C28 comparator_v6_0/fn vssa1 2.31fF
+C29 io_analog[5] vssa1 373.47fF
+C30 io_analog[6] vssa1 385.54fF
+C31 comparator_v6_0/Dp vssa1 3.53fF
+C32 comparator_v6_0/Dn vssa1 3.23fF
+C33 comparator_v6_0/CLKBAR vssa1 141.85fF
+C34 L2 vssa1 104.54fF
+C35 io_analog[2] vssa1 22.71fF
+C36 L1 vssa1 110.67fF
+C37 io_analog[3] vssa1 22.64fF
+C38 io_analog[7] vssa1 215.24fF
+C39 sky130_fd_sc_hd__buf_2_1/X vssa1 3.60fF
+C40 io_analog[8] vssa1 301.10fF
+C41 io_analog[1] vssa1 420.76fF
 .ends
 
diff --git a/netgen/comp.out b/netgen/comp.out
index 3310934..0ca564c 100644
--- a/netgen/comp.out
+++ b/netgen/comp.out
@@ -2,32 +2,6 @@
 Equate elements:  no current cell.
 Equate elements:  no current cell.
 
-Class sky130_fd_sc_hd__buf_2 (0):  Merged 2 parallel devices.
-Class sky130_fd_sc_hd__buf_2 (1):  Merged 2 parallel devices.
-Subcircuit summary:
-Circuit 1: sky130_fd_sc_hd__buf_2          |Circuit 2: sky130_fd_sc_hd__buf_2          
--------------------------------------------|-------------------------------------------
-sky130_fd_pr__pfet_01v8_hvt (3->2)         |sky130_fd_pr__pfet_01v8_hvt (3->2)         
-sky130_fd_pr__nfet_01v8 (3->2)             |sky130_fd_pr__nfet_01v8 (3->2)             
-Number of devices: 4                       |Number of devices: 4                       
-Number of nets: 7                          |Number of nets: 7                          
----------------------------------------------------------------------------------------
-Circuits match uniquely.
-Netlists match uniquely.
-
-Subcircuit pins:
-Circuit 1: sky130_fd_sc_hd__buf_2          |Circuit 2: sky130_fd_sc_hd__buf_2          
--------------------------------------------|-------------------------------------------
-X                                          |X                                          
-VGND                                       |VGND                                       
-VNB                                        |VNB                                        
-A                                          |A                                          
-VPWR                                       |VPWR                                       
-VPB                                        |VPB                                        
----------------------------------------------------------------------------------------
-Cell pin lists are equivalent.
-Device classes sky130_fd_sc_hd__buf_2 and sky130_fd_sc_hd__buf_2 are equivalent.
-
 Class sky130_fd_sc_hd__buf_16 (0):  Merged 40 parallel devices.
 Class sky130_fd_sc_hd__buf_16 (1):  Merged 40 parallel devices.
 Subcircuit summary:
@@ -53,7 +27,32 @@
 ---------------------------------------------------------------------------------------
 Cell pin lists are equivalent.
 Device classes sky130_fd_sc_hd__buf_16 and sky130_fd_sc_hd__buf_16 are equivalent.
-Flattening unmatched subcell sky130_fd_pr__diode_pw2nd_05v5_3P6M5Y in circuit user_analog_project_wrapper (0)(1 instance)
+
+Class sky130_fd_sc_hd__buf_2 (0):  Merged 2 parallel devices.
+Class sky130_fd_sc_hd__buf_2 (1):  Merged 2 parallel devices.
+Subcircuit summary:
+Circuit 1: sky130_fd_sc_hd__buf_2          |Circuit 2: sky130_fd_sc_hd__buf_2          
+-------------------------------------------|-------------------------------------------
+sky130_fd_pr__pfet_01v8_hvt (3->2)         |sky130_fd_pr__pfet_01v8_hvt (3->2)         
+sky130_fd_pr__nfet_01v8 (3->2)             |sky130_fd_pr__nfet_01v8 (3->2)             
+Number of devices: 4                       |Number of devices: 4                       
+Number of nets: 7                          |Number of nets: 7                          
+---------------------------------------------------------------------------------------
+Circuits match uniquely.
+Netlists match uniquely.
+
+Subcircuit pins:
+Circuit 1: sky130_fd_sc_hd__buf_2          |Circuit 2: sky130_fd_sc_hd__buf_2          
+-------------------------------------------|-------------------------------------------
+X                                          |X                                          
+VGND                                       |VGND                                       
+VNB                                        |VNB                                        
+A                                          |A                                          
+VPWR                                       |VPWR                                       
+VPB                                        |VPB                                        
+---------------------------------------------------------------------------------------
+Cell pin lists are equivalent.
+Device classes sky130_fd_sc_hd__buf_2 and sky130_fd_sc_hd__buf_2 are equivalent.
 Flattening unmatched subcell sky130_fd_pr__diode_pd2nw_05v5_RT56W3 in circuit user_analog_project_wrapper (0)(2 instances)
 Flattening unmatched subcell sky130_fd_pr__diode_pw2nd_05v5_GT7G3L in circuit user_analog_project_wrapper (0)(1 instance)
 Flattening unmatched subcell comparator_v6 in circuit user_analog_project_wrapper (0)(1 instance)
@@ -74,6 +73,7 @@
 Flattening unmatched subcell sky130_fd_pr__pfet_01v8_AC5E9B in circuit user_analog_project_wrapper (0)(2 instances)
 Flattening unmatched subcell preamp_part22 in circuit user_analog_project_wrapper (0)(1 instance)
 Flattening unmatched subcell sky130_fd_pr__pfet_01v8_RFM3CD#0 in circuit user_analog_project_wrapper (0)(6 instances)
+Flattening unmatched subcell sky130_fd_pr__diode_pw2nd_05v5_GT7E3L in circuit user_analog_project_wrapper (0)(1 instance)
 Flattening unmatched subcell comparator in circuit user_analog_project_wrapper (1)(1 instance)
 
 Cell user_analog_project_wrapper (0) disconnected node: gpio_analog[0]
@@ -112,6 +112,7 @@
 Cell user_analog_project_wrapper (0) disconnected node: gpio_noesd[7]
 Cell user_analog_project_wrapper (0) disconnected node: gpio_noesd[8]
 Cell user_analog_project_wrapper (0) disconnected node: gpio_noesd[9]
+Cell user_analog_project_wrapper (0) disconnected node: io_analog[0]
 Cell user_analog_project_wrapper (0) disconnected node: io_analog[10]
 Cell user_analog_project_wrapper (0) disconnected node: io_analog[9]
 Cell user_analog_project_wrapper (0) disconnected node: io_analog[4]
@@ -1368,6 +1369,7 @@
 Cell user_analog_project_wrapper (1) disconnected node: io_analog[10]
 Cell user_analog_project_wrapper (1) disconnected node: io_analog[9]
 Cell user_analog_project_wrapper (1) disconnected node: io_analog[4]
+Cell user_analog_project_wrapper (1) disconnected node: io_analog[0]
 Cell user_analog_project_wrapper (1) disconnected node: io_clamp_high[0]
 Cell user_analog_project_wrapper (1) disconnected node: io_clamp_low[0]
 Cell user_analog_project_wrapper (1) disconnected node: user_clock2
@@ -1411,6 +1413,7 @@
 Cell user_analog_project_wrapper (0) disconnected node: gpio_noesd[7]
 Cell user_analog_project_wrapper (0) disconnected node: gpio_noesd[8]
 Cell user_analog_project_wrapper (0) disconnected node: gpio_noesd[9]
+Cell user_analog_project_wrapper (0) disconnected node: io_analog[0]
 Cell user_analog_project_wrapper (0) disconnected node: io_analog[10]
 Cell user_analog_project_wrapper (0) disconnected node: io_analog[9]
 Cell user_analog_project_wrapper (0) disconnected node: io_analog[4]
@@ -2667,6 +2670,7 @@
 Cell user_analog_project_wrapper (1) disconnected node: io_analog[10]
 Cell user_analog_project_wrapper (1) disconnected node: io_analog[9]
 Cell user_analog_project_wrapper (1) disconnected node: io_analog[4]
+Cell user_analog_project_wrapper (1) disconnected node: io_analog[0]
 Cell user_analog_project_wrapper (1) disconnected node: io_clamp_high[0]
 Cell user_analog_project_wrapper (1) disconnected node: io_clamp_low[0]
 Cell user_analog_project_wrapper (1) disconnected node: user_clock2
@@ -2676,15 +2680,15 @@
 Subcircuit summary:
 Circuit 1: user_analog_project_wrapper     |Circuit 2: user_analog_project_wrapper     
 -------------------------------------------|-------------------------------------------
-sky130_fd_pr__diode_pw2nd_05v5 (2)         |sky130_fd_pr__diode_pw2nd_05v5 (2)         
 sky130_fd_pr__diode_pd2nw_05v5 (2)         |sky130_fd_pr__diode_pd2nw_05v5 (2)         
-sky130_fd_sc_hd__buf_2 (5)                 |sky130_fd_sc_hd__buf_2 (5)                 
 sky130_fd_sc_hd__buf_16 (5)                |sky130_fd_sc_hd__buf_16 (5)                
+sky130_fd_sc_hd__buf_2 (5)                 |sky130_fd_sc_hd__buf_2 (5)                 
+sky130_fd_pr__diode_pw2nd_05v5 (2)         |sky130_fd_pr__diode_pw2nd_05v5 (2)         
 sky130_fd_pr__pfet_01v8 (21->13)           |sky130_fd_pr__pfet_01v8 (13)               
 sky130_fd_pr__nfet_01v8 (20->11)           |sky130_fd_pr__nfet_01v8 (11)               
 vsrc (4)                                   |vsrc (4)                                   
 Number of devices: 42                      |Number of devices: 42                      
-Number of nets: 33                         |Number of nets: 33                         
+Number of nets: 32                         |Number of nets: 32                         
 ---------------------------------------------------------------------------------------
 Resolving automorphisms by property value.
 Resolving automorphisms by pin name.
@@ -2696,18 +2700,17 @@
 -------------------------------------------|-------------------------------------------
 io_analog[6]                               |io_analog[6]                               
 io_analog[5]                               |io_analog[5]                               
-io_analog[1]                               |io_analog[1]                               
-io_analog[0]                               |io_analog[0]                               
 io_analog[2]                               |io_analog[2]                               
 io_analog[3]                               |io_analog[3]                               
-io_clamp_high[2]                           |io_clamp_high[2]                           
-io_clamp_high[1]                           |io_clamp_high[1]                           
-io_clamp_low[1]                            |io_clamp_low[1]                            
+io_analog[1]                               |io_analog[1]                               
 io_clamp_low[2]                            |io_clamp_low[2]                            
-vccd1                                      |vccd1                                      
-vssa1                                      |vssa1                                      
-io_analog[8]                               |io_analog[8]                               
+io_clamp_low[1]                            |io_clamp_low[1]                            
+io_clamp_high[1]                           |io_clamp_high[1]                           
+io_clamp_high[2]                           |io_clamp_high[2]                           
 io_analog[7]                               |io_analog[7]                               
+vccd1                                      |vccd1                                      
+io_analog[8]                               |io_analog[8]                               
+vssa1                                      |vssa1                                      
 gpio_analog[0]                             |gpio_analog[0]                             
 gpio_analog[10]                            |gpio_analog[10]                            
 gpio_analog[11]                            |gpio_analog[11]                            
@@ -2744,6 +2747,7 @@
 gpio_noesd[7]                              |gpio_noesd[7]                              
 gpio_noesd[8]                              |gpio_noesd[8]                              
 gpio_noesd[9]                              |gpio_noesd[9]                              
+io_analog[0]                               |io_analog[0]                               
 io_analog[10]                              |io_analog[10]                              
 io_analog[9]                               |io_analog[9]                               
 io_analog[4]                               |io_analog[4]                               
diff --git a/netgen/example_por.spice b/netgen/example_por.spice
index 0c9cb9c..4f61382 100644
--- a/netgen/example_por.spice
+++ b/netgen/example_por.spice
@@ -1,21 +1,7 @@
 * SPICE3 file created from user_analog_project_wrapper.ext - technology: sky130A
 
-.subckt sky130_fd_pr__diode_pw2nd_05v5_3P6M5Y a_n100_n100# w_n238_n238#
-D0 w_n238_n238# a_n100_n100# sky130_fd_pr__diode_pw2nd_05v5 pj=4e+06u area=1e+12p
-.ends
-
-.subckt sky130_fd_pr__diode_pd2nw_05v5_G4XDRY w_n376_n376# a_n100_n100# w_n238_n238#
-D0 a_n100_n100# w_n238_n238# sky130_fd_pr__diode_pd2nw_05v5 pj=4e+06u area=1e+12p
-C0 li_n340_n340# w_n376_n376# 2.20fF **FLOATING
-.ends
-
-.subckt sky130_fd_sc_hd__buf_2 A VGND VPWR X VNB VPB
-X0 VPWR a_27_47# X VPB sky130_fd_pr__pfet_01v8_hvt ad=5.63e+11p pd=5.18e+06u as=2.7e+11p ps=2.54e+06u w=1e+06u l=150000u
-X1 X a_27_47# VPWR VPB sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=1e+06u l=150000u
-X2 VPWR A a_27_47# VPB sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=1.664e+11p ps=1.8e+06u w=640000u l=150000u
-X3 X a_27_47# VGND VNB sky130_fd_pr__nfet_01v8 ad=1.755e+11p pd=1.84e+06u as=3.6625e+11p ps=3.78e+06u w=650000u l=150000u
-X4 VGND a_27_47# X VNB sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=650000u l=150000u
-X5 VGND A a_27_47# VNB sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=1.092e+11p ps=1.36e+06u w=420000u l=150000u
+.subckt sky130_fd_pr__diode_pd2nw_05v5_RT56W3 w_n321_n321# a_n45_n45# w_n183_n183#
+D0 a_n45_n45# w_n183_n183# sky130_fd_pr__diode_pd2nw_05v5 pj=1.8e+06u area=2.025e+11p
 .ends
 
 .subckt sky130_fd_sc_hd__buf_16 A VGND VPWR X VNB VPB
@@ -66,6 +52,19 @@
 
 .ends
 
+.subckt sky130_fd_sc_hd__buf_2 A VGND VPWR X VNB VPB
+X0 VPWR a_27_47# X VPB sky130_fd_pr__pfet_01v8_hvt ad=5.63e+11p pd=5.18e+06u as=2.7e+11p ps=2.54e+06u w=1e+06u l=150000u
+X1 X a_27_47# VPWR VPB sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=1e+06u l=150000u
+X2 VPWR A a_27_47# VPB sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=1.664e+11p ps=1.8e+06u w=640000u l=150000u
+X3 X a_27_47# VGND VNB sky130_fd_pr__nfet_01v8 ad=1.755e+11p pd=1.84e+06u as=3.6625e+11p ps=3.78e+06u w=650000u l=150000u
+X4 VGND a_27_47# X VNB sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=650000u l=150000u
+X5 VGND A a_27_47# VNB sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=1.092e+11p ps=1.36e+06u w=420000u l=150000u
+.ends
+
+.subckt sky130_fd_pr__diode_pw2nd_05v5_GT7G3L a_n45_n45# w_n183_n183#
+D0 w_n183_n183# a_n45_n45# sky130_fd_pr__diode_pw2nd_05v5 pj=1.8e+06u area=2.025e+11p
+.ends
+
 .subckt sky130_fd_pr__pfet_01v8_GJYUB2 a_207_n100# a_81_n126# a_n207_n128# a_15_n100#
 + a_n177_n100# a_111_n100# a_n15_n128# a_n111_n126# w_n305_n200# a_n81_n100# a_177_n128#
 + a_n269_n100# VSUBS
@@ -207,8 +206,11 @@
 Xpreamp_part12_0 VDD fp CLK Vn Dp CLK Vp VDD GND fn CLK Dn GND preamp_part12
 XSR_latch_0 Outp Dn Dp Outn VDD VDD GND GND SR_latch
 Xpreamp_part22_0 VDD fp CLKBAR CLKBAR CLK CLK fn VDD VDD GND VDD preamp_part22
-.GLOBAL GND
-.GLOBAL VDD
+
+.ends
+
+.subckt sky130_fd_pr__diode_pw2nd_05v5_GT7E3L a_n45_n45# w_n183_n183#
+D0 w_n183_n183# a_n45_n45# sky130_fd_pr__diode_pw2nd_05v5 pj=1.8e+06u area=2.025e+11p
 .ends
 
 .subckt user_analog_project_wrapper gpio_analog[0] gpio_analog[10] gpio_analog[11]
@@ -299,13 +301,13 @@
 + la_oenb[85] la_oenb[86] la_oenb[87] la_oenb[88] la_oenb[89] la_oenb[8] la_oenb[90]
 + la_oenb[91] la_oenb[92] la_oenb[93] la_oenb[94] la_oenb[95] la_oenb[96] la_oenb[97]
 + la_oenb[98] la_oenb[99] la_oenb[9] user_clock2 user_irq[0] user_irq[1] user_irq[2]
-+ vccd2 vdda1 vdda2 vssa2 vssd1 vssd2 wb_clk_i wb_rst_i wbs_ack_o wbs_adr_i[0] wbs_adr_i[10]
-+ wbs_adr_i[11] wbs_adr_i[12] wbs_adr_i[13] wbs_adr_i[14] wbs_adr_i[15] wbs_adr_i[16]
-+ wbs_adr_i[17] wbs_adr_i[18] wbs_adr_i[19] wbs_adr_i[1] wbs_adr_i[20] wbs_adr_i[21]
-+ wbs_adr_i[22] wbs_adr_i[23] wbs_adr_i[24] wbs_adr_i[25] wbs_adr_i[26] wbs_adr_i[27]
-+ wbs_adr_i[28] wbs_adr_i[29] wbs_adr_i[2] wbs_adr_i[30] wbs_adr_i[31] wbs_adr_i[3]
-+ wbs_adr_i[4] wbs_adr_i[5] wbs_adr_i[6] wbs_adr_i[7] wbs_adr_i[8] wbs_adr_i[9] wbs_cyc_i
-+ wbs_dat_i[0] wbs_dat_i[10] wbs_dat_i[11] wbs_dat_i[12] wbs_dat_i[13] wbs_dat_i[14]
++ vccd1 vccd2 vdda1 vdda2 vssa1 vssa2 vssd1 vssd2 wb_clk_i wb_rst_i wbs_ack_o wbs_adr_i[0]
++ wbs_adr_i[10] wbs_adr_i[11] wbs_adr_i[12] wbs_adr_i[13] wbs_adr_i[14] wbs_adr_i[15]
++ wbs_adr_i[16] wbs_adr_i[17] wbs_adr_i[18] wbs_adr_i[19] wbs_adr_i[1] wbs_adr_i[20]
++ wbs_adr_i[21] wbs_adr_i[22] wbs_adr_i[23] wbs_adr_i[24] wbs_adr_i[25] wbs_adr_i[26]
++ wbs_adr_i[27] wbs_adr_i[28] wbs_adr_i[29] wbs_adr_i[2] wbs_adr_i[30] wbs_adr_i[31]
++ wbs_adr_i[3] wbs_adr_i[4] wbs_adr_i[5] wbs_adr_i[6] wbs_adr_i[7] wbs_adr_i[8] wbs_adr_i[9]
++ wbs_cyc_i wbs_dat_i[0] wbs_dat_i[10] wbs_dat_i[11] wbs_dat_i[12] wbs_dat_i[13] wbs_dat_i[14]
 + wbs_dat_i[15] wbs_dat_i[16] wbs_dat_i[17] wbs_dat_i[18] wbs_dat_i[19] wbs_dat_i[1]
 + wbs_dat_i[20] wbs_dat_i[21] wbs_dat_i[22] wbs_dat_i[23] wbs_dat_i[24] wbs_dat_i[25]
 + wbs_dat_i[26] wbs_dat_i[27] wbs_dat_i[28] wbs_dat_i[29] wbs_dat_i[2] wbs_dat_i[30]
@@ -316,82 +318,74 @@
 + wbs_dat_o[25] wbs_dat_o[26] wbs_dat_o[27] wbs_dat_o[28] wbs_dat_o[29] wbs_dat_o[2]
 + wbs_dat_o[30] wbs_dat_o[31] wbs_dat_o[3] wbs_dat_o[4] wbs_dat_o[5] wbs_dat_o[6]
 + wbs_dat_o[7] wbs_dat_o[8] wbs_dat_o[9] wbs_sel_i[0] wbs_sel_i[1] wbs_sel_i[2] wbs_sel_i[3]
-+ wbs_stb_i wbs_we_i vccd1 vssa1
-Xsky130_fd_pr__diode_pw2nd_05v5_3P6M5Y_0 io_analog[7] vssa1 sky130_fd_pr__diode_pw2nd_05v5_3P6M5Y
-Xsky130_fd_pr__diode_pd2nw_05v5_G4XDRY_0 vssa1 io_analog[7] vccd1 sky130_fd_pr__diode_pd2nw_05v5_G4XDRY
-Xsky130_fd_sc_hd__buf_2_0 comparator_v6_0/Outn vssa1 vccd1 L1 vssa1 vccd1 sky130_fd_sc_hd__buf_2
-Xsky130_fd_sc_hd__buf_16_0 L1 vssa1 vccd1 io_analog[3] vssa1 vccd1 sky130_fd_sc_hd__buf_16
-Xsky130_fd_sc_hd__buf_16_1 L2 vssa1 vccd1 io_analog[2] vssa1 vccd1 sky130_fd_sc_hd__buf_16
-Xsky130_fd_sc_hd__buf_2_1 comparator_v6_0/Outp vssa1 vccd1 L2 vssa1 vccd1 sky130_fd_sc_hd__buf_2
-Xsky130_fd_sc_hd__buf_16_2 sky130_fd_sc_hd__buf_2_3/X vssa1 vccd1 comparator_v6_0/CLKBAR
++ wbs_stb_i wbs_we_i
+Xsky130_fd_pr__diode_pd2nw_05v5_RT56W3_0 vssa1 io_analog[8] vccd1 sky130_fd_pr__diode_pd2nw_05v5_RT56W3
+Xsky130_fd_pr__diode_pd2nw_05v5_RT56W3_1 vssa1 io_analog[7] vccd1 sky130_fd_pr__diode_pd2nw_05v5_RT56W3
+Xsky130_fd_sc_hd__buf_16_0 sky130_fd_sc_hd__buf_2_0/X vssa1 vccd1 comparator_v6_0/CLK
 + vssa1 vccd1 sky130_fd_sc_hd__buf_16
-Xsky130_fd_sc_hd__buf_2_2 io_analog[8] vssa1 vccd1 sky130_fd_sc_hd__buf_2_2/X vssa1
+Xsky130_fd_sc_hd__buf_2_0 io_analog[8] vssa1 vccd1 sky130_fd_sc_hd__buf_2_0/X vssa1
 + vccd1 sky130_fd_sc_hd__buf_2
-Xsky130_fd_sc_hd__buf_16_3 sky130_fd_sc_hd__buf_2_2/X vssa1 vccd1 comparator_v6_0/CLK
-+ vssa1 vccd1 sky130_fd_sc_hd__buf_16
-Xsky130_fd_sc_hd__buf_2_3 io_analog[7] vssa1 vccd1 sky130_fd_sc_hd__buf_2_3/X vssa1
-+ vccd1 sky130_fd_sc_hd__buf_2
-Xsky130_fd_sc_hd__buf_16_4 sky130_fd_sc_hd__buf_2_4/X vssa1 vccd1 io_analog[0] vssa1
+Xsky130_fd_pr__diode_pw2nd_05v5_GT7G3L_0 io_analog[7] vssa1 sky130_fd_pr__diode_pw2nd_05v5_GT7G3L
+Xsky130_fd_sc_hd__buf_16_1 sky130_fd_sc_hd__buf_2_1/X vssa1 vccd1 io_analog[1] vssa1
 + vccd1 sky130_fd_sc_hd__buf_16
-Xsky130_fd_sc_hd__buf_2_4 io_analog[1] vssa1 vccd1 sky130_fd_sc_hd__buf_2_4/X vssa1
+Xsky130_fd_sc_hd__buf_2_1 io_analog[8] vssa1 vccd1 sky130_fd_sc_hd__buf_2_1/X vssa1
 + vccd1 sky130_fd_sc_hd__buf_2
+Xsky130_fd_sc_hd__buf_16_2 sky130_fd_sc_hd__buf_2_2/X vssa1 vccd1 comparator_v6_0/CLKBAR
++ vssa1 vccd1 sky130_fd_sc_hd__buf_16
+Xsky130_fd_sc_hd__buf_2_2 io_analog[7] vssa1 vccd1 sky130_fd_sc_hd__buf_2_2/X vssa1
++ vccd1 sky130_fd_sc_hd__buf_2
+Xsky130_fd_sc_hd__buf_2_3 comparator_v6_0/Outn vssa1 vccd1 L1 vssa1 vccd1 sky130_fd_sc_hd__buf_2
+Xsky130_fd_sc_hd__buf_16_3 L1 vssa1 vccd1 io_analog[3] vssa1 vccd1 sky130_fd_sc_hd__buf_16
+Xsky130_fd_sc_hd__buf_16_4 L2 vssa1 vccd1 io_analog[2] vssa1 vccd1 sky130_fd_sc_hd__buf_16
+Xsky130_fd_sc_hd__buf_2_4 comparator_v6_0/Outp vssa1 vccd1 L2 vssa1 vccd1 sky130_fd_sc_hd__buf_2
 Xcomparator_v6_0 comparator_v6_0/Outn io_analog[5] io_analog[6] comparator_v6_0/CLK
 + vccd1 vssa1 comparator_v6_0/Outp comparator_v6_0/CLKBAR comparator_v6
-R0 vccd1 io_clamp_high[1] 0.000000
-R1 vccd1 io_clamp_high[2] 0.000000
-R2 vssa1 io_clamp_low[2] 0.000000
-D0 vssa1 io_analog[8] sky130_fd_pr__diode_pw2nd_05v5 pj=4e+06u area=1e+12p
-D1 io_analog[8] vccd1 sky130_fd_pr__diode_pd2nw_05v5 pj=4e+06u area=1e+12p
-R3 vssa1 io_clamp_low[1] 0.000000
-C0 io_analog[6] vccd1 523.50fF
-C1 io_analog[5] sky130_fd_sc_hd__buf_2_3/X 75.68fF
-C2 io_analog[6] comparator_v6_0/CLK 2.17fF
-C3 comparator_v6_0/CLKBAR io_analog[5] 2.23fF
-C4 vccd1 L1 66.26fF
-C5 L2 vccd1 66.14fF
-C6 m4_165510_677212# io_analog[6] 766.31fF
-C7 vccd1 sky130_fd_sc_hd__buf_2_2/X 4.31fF
-C8 vccd1 io_analog[0] 65.54fF
-C9 vccd1 comparator_v6_0/Outn 9.36fF
-C10 io_analog[5] vccd1 573.17fF
-C11 sky130_fd_sc_hd__buf_2_3/X vccd1 131.01fF
-C12 m4_165510_677212# vccd1 30.18fF
-C13 io_analog[3] vccd1 34.41fF
-C14 io_analog[4] vssa1 25.05fF
-C15 vssd2 vssa1 13.04fF
-C16 vssd1 vssa1 13.62fF
-C17 vdda2 vssa1 13.04fF
-C18 vdda1 vssa1 26.08fF
-C19 vssa2 vssa1 13.04fF
-C20 vccd2 vssa1 13.04fF
+Xsky130_fd_pr__diode_pw2nd_05v5_GT7E3L_0 io_analog[8] vssa1 sky130_fd_pr__diode_pw2nd_05v5_GT7E3L
+V0 vssa1 io_clamp_low[1] 0.0
+V1 vssa1 io_clamp_low[2] 0.0
+V2 vccd1 io_clamp_high[2] 0.0
+V3 vccd1 io_clamp_high[1] 0.0
+C0 vccd1 io_analog[2] 35.31fF
+C1 io_analog[1] vccd1 66.55fF
+C2 comparator_v6_0/CLKBAR io_analog[5] 82.86fF
+C3 vccd1 io_analog[6] 138.79fF
+C4 io_analog[7] vccd1 122.74fF
+C5 comparator_v6_0/CLK vccd1 9.52fF
+C6 io_analog[3] vccd1 35.17fF
+C7 vccd1 comparator_v6_0/Outn 67.22fF
+C8 vccd1 io_analog[5] 148.89fF
+C9 comparator_v6_0/CLK io_analog[6] 3.97fF
+C10 comparator_v6_0/Outp vccd1 66.96fF
+C11 io_analog[4] vssa1 25.05fF
+C12 vssd2 vssa1 13.04fF
+C13 vssd1 vssa1 13.62fF
+C14 vdda2 vssa1 13.04fF
+C15 vdda1 vssa1 26.08fF
+C16 vssa2 vssa1 13.04fF
+C17 io_analog[0] vssa1 6.83fF
+C18 vccd2 vssa1 13.04fF
+C19 io_clamp_high[0] vssa1 3.58fF
+C20 io_clamp_low[0] vssa1 3.58fF
 C21 io_analog[10] vssa1 6.83fF
-C22 io_clamp_high[0] vssa1 3.58fF
-C23 io_clamp_low[0] vssa1 3.58fF
-C24 io_analog[9] vssa1 6.83fF
-C25 m4_141154_541976# vssa1 136.63fF **FLOATING
-C26 m4_165510_677212# vssa1 110.87fF **FLOATING
-C27 li_73093_686955# vssa1 2.20fF **FLOATING
-C28 io_analog[3] vssa1 26.43fF
-C29 vccd1 vssa1 2923.93fF
-C30 comparator_v6_0/Outp vssa1 26.62fF
-C31 comparator_v6_0/Outn vssa1 19.87fF
-C32 comparator_v6_0/CLK vssa1 17.79fF
-C33 comparator_v6_0/fp vssa1 2.32fF
-C34 comparator_v6_0/fn vssa1 2.31fF
-C35 io_analog[5] vssa1 337.44fF
-C36 io_analog[6] vssa1 234.19fF
-C37 comparator_v6_0/Dp vssa1 3.53fF
-C38 comparator_v6_0/Dn vssa1 3.23fF
-C39 comparator_v6_0/CLKBAR vssa1 7.21fF
-C40 io_analog[1] vssa1 397.14fF
-C41 io_analog[0] vssa1 35.98fF
-C42 io_analog[7] vssa1 63.99fF
-C43 sky130_fd_sc_hd__buf_2_2/X vssa1 354.67fF
-C44 io_analog[8] vssa1 68.96fF
-C45 sky130_fd_sc_hd__buf_2_3/X vssa1 303.55fF
-C46 L2 vssa1 190.71fF
-C47 io_analog[2] vssa1 25.67fF
-C48 L1 vssa1 195.71fF
-C49 sky130_fd_pr__diode_pd2nw_05v5_G4XDRY_0/li_n340_n340# vssa1 2.20fF **FLOATING
+C22 io_analog[9] vssa1 6.98fF
+C23 vccd1 vssa1 2793.98fF
+C24 comparator_v6_0/Outp vssa1 110.60fF
+C25 comparator_v6_0/Outn vssa1 103.95fF
+C26 comparator_v6_0/CLK vssa1 170.32fF
+C27 comparator_v6_0/fp vssa1 2.32fF
+C28 comparator_v6_0/fn vssa1 2.31fF
+C29 io_analog[5] vssa1 373.47fF
+C30 io_analog[6] vssa1 385.54fF
+C31 comparator_v6_0/Dp vssa1 3.53fF
+C32 comparator_v6_0/Dn vssa1 3.23fF
+C33 comparator_v6_0/CLKBAR vssa1 141.85fF
+C34 L2 vssa1 104.54fF
+C35 io_analog[2] vssa1 22.71fF
+C36 io_analog[3] vssa1 22.64fF
+C37 L1 vssa1 110.67fF
+C38 io_analog[7] vssa1 215.24fF
+C39 sky130_fd_sc_hd__buf_2_1/X vssa1 3.60fF
+C40 io_analog[8] vssa1 301.10fF
+C41 io_analog[1] vssa1 420.76fF
 .ends
 
diff --git a/netgen/user_analog_project_wrapper.spice b/netgen/user_analog_project_wrapper.spice
index 0410cd1..7fde01e 100644
--- a/netgen/user_analog_project_wrapper.spice
+++ b/netgen/user_analog_project_wrapper.spice
@@ -1,22 +1,9 @@
 * SPICE3 file created from user_analog_project_wrapper.ext - technology: sky130A
 
-.subckt sky130_fd_pr__diode_pw2nd_05v5_3P6M5Y a_n100_n100# w_n238_n238#
-D0 w_n238_n238# a_n100_n100# sky130_fd_pr__diode_pw2nd_05v5 pj=4e+06u area=1e+12p
-.ends
-
 .subckt sky130_fd_pr__diode_pd2nw_05v5_RT56W3 w_n321_n321# a_n45_n45# w_n183_n183#
 D0 a_n45_n45# w_n183_n183# sky130_fd_pr__diode_pd2nw_05v5 pj=1.8e+06u area=2.025e+11p
 .ends
 
-.subckt sky130_fd_sc_hd__buf_2 A VGND VPWR X VNB VPB
-X0 VPWR a_27_47# X VPB sky130_fd_pr__pfet_01v8_hvt ad=5.63e+11p pd=5.18e+06u as=2.7e+11p ps=2.54e+06u w=1e+06u l=150000u
-X1 X a_27_47# VPWR VPB sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=1e+06u l=150000u
-X2 VPWR A a_27_47# VPB sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=1.664e+11p ps=1.8e+06u w=640000u l=150000u
-X3 X a_27_47# VGND VNB sky130_fd_pr__nfet_01v8 ad=1.755e+11p pd=1.84e+06u as=3.6625e+11p ps=3.78e+06u w=650000u l=150000u
-X4 VGND a_27_47# X VNB sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=650000u l=150000u
-X5 VGND A a_27_47# VNB sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=1.092e+11p ps=1.36e+06u w=420000u l=150000u
-.ends
-
 .subckt sky130_fd_sc_hd__buf_16 A VGND VPWR X VNB VPB
 X0 VGND A a_109_47# VNB sky130_fd_pr__nfet_01v8 ad=2.093e+12p pd=2.204e+07u as=5.265e+11p ps=5.52e+06u w=650000u l=150000u
 X1 X a_109_47# VPWR VPB sky130_fd_pr__pfet_01v8_hvt ad=2.16e+12p pd=2.032e+07u as=3.22e+12p ps=3.044e+07u w=1e+06u l=150000u
@@ -62,7 +49,20 @@
 X41 VPWR a_109_47# X VPB sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=1e+06u l=150000u
 X42 a_109_47# A VGND VNB sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=650000u l=150000u
 X43 VGND a_109_47# X VNB sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=650000u l=150000u
+*C0 X VGND 2.53fF
+*C1 VPWR VPB 2.24fF
+*C2 VPWR X 3.72fF
+*C3 X a_109_47# 4.49fF
+*C4 VPB VNB 2.02fF
+.ends
 
+.subckt sky130_fd_sc_hd__buf_2 A VGND VPWR X VNB VPB
+X0 VPWR a_27_47# X VPB sky130_fd_pr__pfet_01v8_hvt ad=5.63e+11p pd=5.18e+06u as=2.7e+11p ps=2.54e+06u w=1e+06u l=150000u
+X1 X a_27_47# VPWR VPB sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=1e+06u l=150000u
+X2 VPWR A a_27_47# VPB sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=1.664e+11p ps=1.8e+06u w=640000u l=150000u
+X3 X a_27_47# VGND VNB sky130_fd_pr__nfet_01v8 ad=1.755e+11p pd=1.84e+06u as=3.6625e+11p ps=3.78e+06u w=650000u l=150000u
+X4 VGND a_27_47# X VNB sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=650000u l=150000u
+X5 VGND A a_27_47# VNB sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=1.092e+11p ps=1.36e+06u w=420000u l=150000u
 .ends
 
 .subckt sky130_fd_pr__diode_pw2nd_05v5_GT7G3L a_n45_n45# w_n183_n183#
@@ -213,6 +213,10 @@
 
 .ends
 
+.subckt sky130_fd_pr__diode_pw2nd_05v5_GT7E3L a_n45_n45# w_n183_n183#
+D0 w_n183_n183# a_n45_n45# sky130_fd_pr__diode_pw2nd_05v5 pj=1.8e+06u area=2.025e+11p
+.ends
+
 .subckt user_analog_project_wrapper gpio_analog[0] gpio_analog[10] gpio_analog[11]
 + gpio_analog[12] gpio_analog[13] gpio_analog[14] gpio_analog[15] gpio_analog[16]
 + gpio_analog[17] gpio_analog[1] gpio_analog[2] gpio_analog[3] gpio_analog[4] gpio_analog[5]
@@ -319,32 +323,32 @@
 + wbs_dat_o[30] wbs_dat_o[31] wbs_dat_o[3] wbs_dat_o[4] wbs_dat_o[5] wbs_dat_o[6]
 + wbs_dat_o[7] wbs_dat_o[8] wbs_dat_o[9] wbs_sel_i[0] wbs_sel_i[1] wbs_sel_i[2] wbs_sel_i[3]
 + wbs_stb_i wbs_we_i
-Xsky130_fd_pr__diode_pw2nd_05v5_3P6M5Y_0 io_analog[7] vssa1 sky130_fd_pr__diode_pw2nd_05v5_3P6M5Y
 Xsky130_fd_pr__diode_pd2nw_05v5_RT56W3_0 vssa1 io_analog[8] vccd1 sky130_fd_pr__diode_pd2nw_05v5_RT56W3
-Xsky130_fd_sc_hd__buf_2_0 comparator_v6_0/Outn vssa1 vccd1 L1 vssa1 vccd1 sky130_fd_sc_hd__buf_2
-Xsky130_fd_sc_hd__buf_16_0 L1 vssa1 vccd1 io_analog[3] vssa1 vccd1 sky130_fd_sc_hd__buf_16
 Xsky130_fd_pr__diode_pd2nw_05v5_RT56W3_1 vssa1 io_analog[7] vccd1 sky130_fd_pr__diode_pd2nw_05v5_RT56W3
-Xsky130_fd_pr__diode_pw2nd_05v5_GT7G3L_0 io_analog[8] vssa1 sky130_fd_pr__diode_pw2nd_05v5_GT7G3L
-Xsky130_fd_sc_hd__buf_16_1 L2 vssa1 vccd1 io_analog[2] vssa1 vccd1 sky130_fd_sc_hd__buf_16
-Xsky130_fd_sc_hd__buf_2_1 comparator_v6_0/Outp vssa1 vccd1 L2 vssa1 vccd1 sky130_fd_sc_hd__buf_2
-Xsky130_fd_sc_hd__buf_16_2 sky130_fd_sc_hd__buf_2_3/X vssa1 vccd1 comparator_v6_0/CLKBAR
+Xsky130_fd_sc_hd__buf_16_0 sky130_fd_sc_hd__buf_2_0/X vssa1 vccd1 comparator_v6_0/CLK
 + vssa1 vccd1 sky130_fd_sc_hd__buf_16
-Xsky130_fd_sc_hd__buf_2_2 io_analog[8] vssa1 vccd1 sky130_fd_sc_hd__buf_2_2/X vssa1
+Xsky130_fd_sc_hd__buf_2_0 io_analog[8] vssa1 vccd1 sky130_fd_sc_hd__buf_2_0/X vssa1
 + vccd1 sky130_fd_sc_hd__buf_2
-Xsky130_fd_sc_hd__buf_16_3 sky130_fd_sc_hd__buf_2_2/X vssa1 vccd1 comparator_v6_0/CLK
-+ vssa1 vccd1 sky130_fd_sc_hd__buf_16
-Xsky130_fd_sc_hd__buf_2_3 io_analog[7] vssa1 vccd1 sky130_fd_sc_hd__buf_2_3/X vssa1
-+ vccd1 sky130_fd_sc_hd__buf_2
-Xsky130_fd_sc_hd__buf_16_4 sky130_fd_sc_hd__buf_2_4/X vssa1 vccd1 io_analog[0] vssa1
+Xsky130_fd_pr__diode_pw2nd_05v5_GT7G3L_0 io_analog[7] vssa1 sky130_fd_pr__diode_pw2nd_05v5_GT7G3L
+Xsky130_fd_sc_hd__buf_16_1 sky130_fd_sc_hd__buf_2_1/X vssa1 vccd1 io_analog[1] vssa1
 + vccd1 sky130_fd_sc_hd__buf_16
-Xsky130_fd_sc_hd__buf_2_4 io_analog[1] vssa1 vccd1 sky130_fd_sc_hd__buf_2_4/X vssa1
+Xsky130_fd_sc_hd__buf_2_1 io_analog[8] vssa1 vccd1 sky130_fd_sc_hd__buf_2_1/X vssa1
 + vccd1 sky130_fd_sc_hd__buf_2
+Xsky130_fd_sc_hd__buf_16_2 sky130_fd_sc_hd__buf_2_2/X vssa1 vccd1 comparator_v6_0/CLKBAR
++ vssa1 vccd1 sky130_fd_sc_hd__buf_16
+Xsky130_fd_sc_hd__buf_2_2 io_analog[7] vssa1 vccd1 sky130_fd_sc_hd__buf_2_2/X vssa1
++ vccd1 sky130_fd_sc_hd__buf_2
+Xsky130_fd_sc_hd__buf_2_3 comparator_v6_0/Outn vssa1 vccd1 L1 vssa1 vccd1 sky130_fd_sc_hd__buf_2
+Xsky130_fd_sc_hd__buf_16_3 L1 vssa1 vccd1 io_analog[3] vssa1 vccd1 sky130_fd_sc_hd__buf_16
+Xsky130_fd_sc_hd__buf_16_4 L2 vssa1 vccd1 io_analog[2] vssa1 vccd1 sky130_fd_sc_hd__buf_16
+Xsky130_fd_sc_hd__buf_2_4 comparator_v6_0/Outp vssa1 vccd1 L2 vssa1 vccd1 sky130_fd_sc_hd__buf_2
 Xcomparator_v6_0 comparator_v6_0/Outn io_analog[5] io_analog[6] comparator_v6_0/CLK
 + vccd1 vssa1 comparator_v6_0/Outp comparator_v6_0/CLKBAR comparator_v6
-V0 vccd1 io_clamp_high[1] 0.0
-V1 vccd1 io_clamp_high[2] 0.0
-V2 vssa1 io_clamp_low[2] 0.0
-V3 vssa1 io_clamp_low[1] 0.0
+Xsky130_fd_pr__diode_pw2nd_05v5_GT7E3L_0 io_analog[8] vssa1 sky130_fd_pr__diode_pw2nd_05v5_GT7E3L
+V0 vssa1 io_clamp_low[1] 0.0
+V1 vssa1 io_clamp_low[2] 0.0
+V2 vccd1 io_clamp_high[2] 0.0
+V3 vccd1 io_clamp_high[1] 0.0
 
 .ends
 
diff --git a/netgen/xtra b/netgen/xtra
new file mode 100644
index 0000000..0c9cb9c
--- /dev/null
+++ b/netgen/xtra
@@ -0,0 +1,397 @@
+* SPICE3 file created from user_analog_project_wrapper.ext - technology: sky130A
+
+.subckt sky130_fd_pr__diode_pw2nd_05v5_3P6M5Y a_n100_n100# w_n238_n238#
+D0 w_n238_n238# a_n100_n100# sky130_fd_pr__diode_pw2nd_05v5 pj=4e+06u area=1e+12p
+.ends
+
+.subckt sky130_fd_pr__diode_pd2nw_05v5_G4XDRY w_n376_n376# a_n100_n100# w_n238_n238#
+D0 a_n100_n100# w_n238_n238# sky130_fd_pr__diode_pd2nw_05v5 pj=4e+06u area=1e+12p
+C0 li_n340_n340# w_n376_n376# 2.20fF **FLOATING
+.ends
+
+.subckt sky130_fd_sc_hd__buf_2 A VGND VPWR X VNB VPB
+X0 VPWR a_27_47# X VPB sky130_fd_pr__pfet_01v8_hvt ad=5.63e+11p pd=5.18e+06u as=2.7e+11p ps=2.54e+06u w=1e+06u l=150000u
+X1 X a_27_47# VPWR VPB sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=1e+06u l=150000u
+X2 VPWR A a_27_47# VPB sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=1.664e+11p ps=1.8e+06u w=640000u l=150000u
+X3 X a_27_47# VGND VNB sky130_fd_pr__nfet_01v8 ad=1.755e+11p pd=1.84e+06u as=3.6625e+11p ps=3.78e+06u w=650000u l=150000u
+X4 VGND a_27_47# X VNB sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=650000u l=150000u
+X5 VGND A a_27_47# VNB sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=1.092e+11p ps=1.36e+06u w=420000u l=150000u
+.ends
+
+.subckt sky130_fd_sc_hd__buf_16 A VGND VPWR X VNB VPB
+X0 VGND A a_109_47# VNB sky130_fd_pr__nfet_01v8 ad=2.093e+12p pd=2.204e+07u as=5.265e+11p ps=5.52e+06u w=650000u l=150000u
+X1 X a_109_47# VPWR VPB sky130_fd_pr__pfet_01v8_hvt ad=2.16e+12p pd=2.032e+07u as=3.22e+12p ps=3.044e+07u w=1e+06u l=150000u
+X2 VGND a_109_47# X VNB sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=1.404e+12p ps=1.472e+07u w=650000u l=150000u
+X3 VGND a_109_47# X VNB sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=650000u l=150000u
+X4 X a_109_47# VPWR VPB sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=1e+06u l=150000u
+X5 VGND a_109_47# X VNB sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=650000u l=150000u
+X6 VPWR a_109_47# X VPB sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=1e+06u l=150000u
+X7 a_109_47# A VPWR VPB sky130_fd_pr__pfet_01v8_hvt ad=8.1e+11p pd=7.62e+06u as=0p ps=0u w=1e+06u l=150000u
+X8 X a_109_47# VPWR VPB sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=1e+06u l=150000u
+X9 VPWR A a_109_47# VPB sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=1e+06u l=150000u
+X10 X a_109_47# VGND VNB sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=650000u l=150000u
+X11 X a_109_47# VGND VNB sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=650000u l=150000u
+X12 X a_109_47# VGND VNB sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=650000u l=150000u
+X13 X a_109_47# VGND VNB sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=650000u l=150000u
+X14 a_109_47# A VPWR VPB sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=1e+06u l=150000u
+X15 VPWR a_109_47# X VPB sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=1e+06u l=150000u
+X16 X a_109_47# VGND VNB sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=650000u l=150000u
+X17 X a_109_47# VPWR VPB sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=1e+06u l=150000u
+X18 VPWR A a_109_47# VPB sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=1e+06u l=150000u
+X19 VPWR a_109_47# X VPB sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=1e+06u l=150000u
+X20 VGND A a_109_47# VNB sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=650000u l=150000u
+X21 VGND A a_109_47# VNB sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=650000u l=150000u
+X22 VGND a_109_47# X VNB sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=650000u l=150000u
+X23 X a_109_47# VPWR VPB sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=1e+06u l=150000u
+X24 VGND a_109_47# X VNB sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=650000u l=150000u
+X25 VPWR a_109_47# X VPB sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=1e+06u l=150000u
+X26 VGND a_109_47# X VNB sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=650000u l=150000u
+X27 VPWR a_109_47# X VPB sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=1e+06u l=150000u
+X28 X a_109_47# VPWR VPB sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=1e+06u l=150000u
+X29 VGND a_109_47# X VNB sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=650000u l=150000u
+X30 a_109_47# A VGND VNB sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=650000u l=150000u
+X31 a_109_47# A VGND VNB sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=650000u l=150000u
+X32 X a_109_47# VPWR VPB sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=1e+06u l=150000u
+X33 VPWR a_109_47# X VPB sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=1e+06u l=150000u
+X34 X a_109_47# VGND VNB sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=650000u l=150000u
+X35 X a_109_47# VGND VNB sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=650000u l=150000u
+X36 VPWR A a_109_47# VPB sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=1e+06u l=150000u
+X37 X a_109_47# VPWR VPB sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=1e+06u l=150000u
+X38 X a_109_47# VGND VNB sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=650000u l=150000u
+X39 a_109_47# A VPWR VPB sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=1e+06u l=150000u
+X40 VPWR a_109_47# X VPB sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=1e+06u l=150000u
+X41 VPWR a_109_47# X VPB sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=1e+06u l=150000u
+X42 a_109_47# A VGND VNB sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=650000u l=150000u
+X43 VGND a_109_47# X VNB sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=650000u l=150000u
+
+.ends
+
+.subckt sky130_fd_pr__pfet_01v8_GJYUB2 a_207_n100# a_81_n126# a_n207_n128# a_15_n100#
++ a_n177_n100# a_111_n100# a_n15_n128# a_n111_n126# w_n305_n200# a_n81_n100# a_177_n128#
++ a_n269_n100# VSUBS
+X0 a_207_n100# a_177_n128# a_111_n100# w_n305_n200# sky130_fd_pr__pfet_01v8 ad=3.1e+11p pd=2.62e+06u as=3.3e+11p ps=2.66e+06u w=1e+06u l=150000u
+X1 a_15_n100# a_n15_n128# a_n81_n100# w_n305_n200# sky130_fd_pr__pfet_01v8 ad=3.3e+11p pd=2.66e+06u as=3.3e+11p ps=2.66e+06u w=1e+06u l=150000u
+X2 a_111_n100# a_81_n126# a_15_n100# w_n305_n200# sky130_fd_pr__pfet_01v8 ad=0p pd=0u as=0p ps=0u w=1e+06u l=150000u
+X3 a_n81_n100# a_n111_n126# a_n177_n100# w_n305_n200# sky130_fd_pr__pfet_01v8 ad=0p pd=0u as=3.3e+11p ps=2.66e+06u w=1e+06u l=150000u
+X4 a_n177_n100# a_n207_n128# a_n269_n100# w_n305_n200# sky130_fd_pr__pfet_01v8 ad=0p pd=0u as=3.1e+11p ps=2.62e+06u w=1e+06u l=150000u
+.ends
+
+.subckt sky130_fd_pr__nfet_01v8_7RYEVP a_n73_n69# a_n15_n89# a_15_n69# VSUBS
+X0 a_15_n69# a_n15_n89# a_n73_n69# VSUBS sky130_fd_pr__nfet_01v8 ad=2.9e+11p pd=2.58e+06u as=2.9e+11p ps=2.58e+06u w=1e+06u l=150000u
+.ends
+
+.subckt nmos_1u#0 sky130_fd_pr__nfet_01v8_7RYEVP_0/a_n15_n89# sky130_fd_pr__nfet_01v8_7RYEVP_0/a_15_n69#
++ sky130_fd_pr__nfet_01v8_7RYEVP_0/a_n73_n69# VSUBS
+Xsky130_fd_pr__nfet_01v8_7RYEVP_0 sky130_fd_pr__nfet_01v8_7RYEVP_0/a_n73_n69# sky130_fd_pr__nfet_01v8_7RYEVP_0/a_n15_n89#
++ sky130_fd_pr__nfet_01v8_7RYEVP_0/a_15_n69# VSUBS sky130_fd_pr__nfet_01v8_7RYEVP
+.ends
+
+.subckt pmos_2uf2#0 a_n139_n100# a_63_n100# a_33_n130# a_n33_n100# w_n319_n202# a_n63_n130#
++ VSUBS
+X0 a_63_n100# a_33_n130# a_n33_n100# w_n319_n202# sky130_fd_pr__pfet_01v8 ad=3.048e+11p pd=2.62e+06u as=3.3e+11p ps=2.66e+06u w=1e+06u l=150000u
+X1 a_n33_n100# a_n63_n130# a_n139_n100# w_n319_n202# sky130_fd_pr__pfet_01v8 ad=0p pd=0u as=3.8e+11p ps=2.76e+06u w=1e+06u l=150000u
+.ends
+
+.subckt inv_W12 Vout Vin VDD GND pmos_2uf2_0/w_n319_n202# nmos_1u_0/sky130_fd_pr__nfet_01v8_7RYEVP_0/a_15_n69#
++ VSUBS
+Xnmos_1u_0 Vin nmos_1u_0/sky130_fd_pr__nfet_01v8_7RYEVP_0/a_15_n69# GND VSUBS nmos_1u#0
+Xpmos_2uf2_0 VDD VDD Vin Vout pmos_2uf2_0/w_n319_n202# Vin VSUBS pmos_2uf2#0
+.ends
+
+.subckt latch_3 a_646_808# inv_W12_1/GND m1_686_734# w_n16_492# inv_W12_1/Vin VSUBS
++ inv_W12_0/Vin
+Xsky130_fd_pr__pfet_01v8_GJYUB2_0 m1_686_734# a_646_808# a_646_808# m1_686_734# m1_686_734#
++ inv_W12_1/VDD a_646_808# a_646_808# w_n16_492# inv_W12_1/VDD a_646_808# inv_W12_1/VDD
++ VSUBS sky130_fd_pr__pfet_01v8_GJYUB2
+Xinv_W12_0 inv_W12_1/Vin inv_W12_0/Vin inv_W12_1/VDD inv_W12_1/GND w_n16_492# inv_W12_1/Vin
++ VSUBS inv_W12
+Xinv_W12_1 inv_W12_0/Vin inv_W12_1/Vin inv_W12_1/VDD inv_W12_1/GND w_n16_492# inv_W12_0/Vin
++ VSUBS inv_W12
+
+.ends
+
+.subckt sky130_fd_pr__nfet_01v8_G6PLX8 a_n129_n500# a_63_n500# a_n221_n474# a_n33_n500#
++ a_n159_n522# a_159_n500# VSUBS
+X0 a_n33_n500# a_n159_n522# a_n129_n500# VSUBS sky130_fd_pr__nfet_01v8 ad=3.3e+11p pd=2.66e+06u as=3.3e+11p ps=2.66e+06u w=1e+06u l=150000u
+X1 a_159_n500# a_n159_n522# a_63_n500# VSUBS sky130_fd_pr__nfet_01v8 ad=3.048e+11p pd=2.62e+06u as=3.3e+11p ps=2.66e+06u w=1e+06u l=150000u
+X2 a_63_n500# a_n159_n522# a_n33_n500# VSUBS sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=1e+06u l=150000u
+X3 a_n129_n500# a_n159_n522# a_n221_n474# VSUBS sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=3.048e+11p ps=2.62e+06u w=1e+06u l=150000u
+.ends
+
+.subckt sky130_fd_pr__pfet_01v8_RFM3CD#0 a_n73_n100# w_n109_n162# a_15_n100# a_n15_n126#
++ VSUBS
+X0 a_15_n100# a_n15_n126# a_n73_n100# w_n109_n162# sky130_fd_pr__pfet_01v8 ad=2.9e+11p pd=2.58e+06u as=2.9e+11p ps=2.58e+06u w=1e+06u l=150000u
+.ends
+
+.subckt sky130_fd_pr__nfet_01v8_F5U58G#1 a_15_n500# a_n15_n526# a_n73_n500# VSUBS
+X0 a_15_n500# a_n15_n526# a_n73_n500# VSUBS sky130_fd_pr__nfet_01v8 ad=2.9e+11p pd=2.58e+06u as=2.9e+11p ps=2.58e+06u w=1e+06u l=150000u
+.ends
+
+.subckt sky130_fd_pr__nfet_01v8_RURP52 a_33_n370# a_63_n348# a_n63_n370# a_n33_n348#
++ a_n125_n348# VSUBS
+X0 a_n33_n348# a_n63_n370# a_n125_n348# VSUBS sky130_fd_pr__nfet_01v8 ad=4.95e+11p pd=3.66e+06u as=4.65e+11p ps=3.62e+06u w=1.5e+06u l=150000u
+X1 a_63_n348# a_33_n370# a_n33_n348# VSUBS sky130_fd_pr__nfet_01v8 ad=4.65e+11p pd=3.62e+06u as=0p ps=0u w=1.5e+06u l=150000u
+.ends
+
+.subckt sky130_fd_pr__nfet_01v8_8FHE5N a_n125_n439# a_63_n450# a_n63_n476# a_n33_n450#
++ a_33_n476# VSUBS
+X0 a_63_n450# a_33_n476# a_n33_n450# VSUBS sky130_fd_pr__nfet_01v8 ad=1.528e+11p pd=1.62e+06u as=1.65e+11p ps=1.66e+06u w=500000u l=150000u
+X1 a_n33_n450# a_n63_n476# a_n125_n439# VSUBS sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=1.528e+11p ps=1.62e+06u w=500000u l=150000u
+.ends
+
+.subckt preamp_part12 li_n720_1336# a_n72_236# a_80_n658# a_n434_n660# m1_n692_n210#
++ a_n506_870# a_388_n660# w_n720_994# li_n720_n474# a_414_256# a_706_862# li_954_n358#
++ VSUBS
+Xsky130_fd_pr__nfet_01v8_G6PLX8_0 a_414_256# a_414_256# m1_n128_n164# m1_n128_n164#
++ a_n434_n660# m1_n128_n164# VSUBS sky130_fd_pr__nfet_01v8_G6PLX8
+Xsky130_fd_pr__nfet_01v8_G6PLX8_1 a_n72_236# a_n72_236# m1_338_n220# m1_338_n220#
++ a_388_n660# m1_338_n220# VSUBS sky130_fd_pr__nfet_01v8_G6PLX8
+Xsky130_fd_pr__pfet_01v8_RFM3CD_0 li_n720_1336# w_n720_994# a_414_256# a_n506_870#
++ VSUBS sky130_fd_pr__pfet_01v8_RFM3CD#0
+Xsky130_fd_pr__pfet_01v8_RFM3CD_1 a_n72_236# w_n720_994# li_n720_1336# a_706_862#
++ VSUBS sky130_fd_pr__pfet_01v8_RFM3CD#0
+Xsky130_fd_pr__nfet_01v8_F5U58G_0 li_n720_n474# a_414_256# m1_n692_n210# VSUBS sky130_fd_pr__nfet_01v8_F5U58G#1
+Xsky130_fd_pr__nfet_01v8_F5U58G_1 li_954_n358# a_n72_236# li_n720_n474# VSUBS sky130_fd_pr__nfet_01v8_F5U58G#1
+Xsky130_fd_pr__nfet_01v8_RURP52_0 a_n72_236# li_n218_192# a_n72_236# m1_n128_n164#
++ li_n218_192# VSUBS sky130_fd_pr__nfet_01v8_RURP52
+Xsky130_fd_pr__nfet_01v8_RURP52_1 a_414_256# li_n218_192# a_414_256# m1_338_n220#
++ li_n218_192# VSUBS sky130_fd_pr__nfet_01v8_RURP52
+Xsky130_fd_pr__nfet_01v8_8FHE5N_0 li_n720_n474# li_n720_n474# a_80_n658# li_n218_192#
++ a_80_n658# VSUBS sky130_fd_pr__nfet_01v8_8FHE5N
+
+.ends
+
+.subckt sky130_fd_pr__nfet_01v8_F5U58G a_n73_n100# a_15_n100# a_n15_n126# VSUBS
+X0 a_15_n100# a_n15_n126# a_n73_n100# VSUBS sky130_fd_pr__nfet_01v8 ad=2.9e+11p pd=2.58e+06u as=2.9e+11p ps=2.58e+06u w=1e+06u l=150000u
+.ends
+
+.subckt sky130_fd_pr__pfet_01v8_AC5E9B w_n161_n200# a_33_n126# a_63_n100# a_n125_n74#
++ a_n33_n100# a_n63_n130# VSUBS
+X0 a_63_n100# a_33_n126# a_n33_n100# w_n161_n200# sky130_fd_pr__pfet_01v8 ad=3.048e+11p pd=2.62e+06u as=3.3e+11p ps=2.66e+06u w=1e+06u l=150000u
+X1 a_n33_n100# a_n63_n130# a_n125_n74# w_n161_n200# sky130_fd_pr__pfet_01v8 ad=0p pd=0u as=3.048e+11p ps=2.62e+06u w=1e+06u l=150000u
+.ends
+
+.subckt SR_latch a_648_848# sky130_fd_pr__nfet_01v8_F5U58G_1/a_n15_n126# sky130_fd_pr__nfet_01v8_F5U58G_0/a_n15_n126#
++ a_262_508# VDD w_0_524# GND VSUBS
+Xsky130_fd_pr__nfet_01v8_F5U58G_0 a_648_848# GND sky130_fd_pr__nfet_01v8_F5U58G_0/a_n15_n126#
++ VSUBS sky130_fd_pr__nfet_01v8_F5U58G
+Xsky130_fd_pr__nfet_01v8_F5U58G_1 GND a_262_508# sky130_fd_pr__nfet_01v8_F5U58G_1/a_n15_n126#
++ VSUBS sky130_fd_pr__nfet_01v8_F5U58G
+Xsky130_fd_pr__pfet_01v8_AC5E9B_0 w_0_524# a_262_508# VDD VDD a_648_848# a_262_508#
++ VSUBS sky130_fd_pr__pfet_01v8_AC5E9B
+Xsky130_fd_pr__pfet_01v8_AC5E9B_1 w_0_524# a_648_848# VDD VDD a_262_508# a_648_848#
++ VSUBS sky130_fd_pr__pfet_01v8_AC5E9B
+.ends
+
+.subckt preamp_part22 w_78_306# a_392_716# sky130_fd_pr__pfet_01v8_RFM3CD#0_0/a_n15_n126#
++ sky130_fd_pr__pfet_01v8_RFM3CD#0_1/a_n15_n126# sky130_fd_pr__pfet_01v8_RFM3CD#0_2/a_n15_n126#
++ sky130_fd_pr__pfet_01v8_RFM3CD#0_3/a_n15_n126# a_810_594# li_116_1034# sky130_fd_pr__pfet_01v8_RFM3CD#0_3/a_15_n100#
++ VSUBS sky130_fd_pr__pfet_01v8_RFM3CD#0_2/a_n73_n100#
+Xsky130_fd_pr__pfet_01v8_RFM3CD#0_0 li_214_402# w_78_306# a_810_594# sky130_fd_pr__pfet_01v8_RFM3CD#0_0/a_n15_n126#
++ VSUBS sky130_fd_pr__pfet_01v8_RFM3CD#0
+Xsky130_fd_pr__pfet_01v8_RFM3CD#0_1 a_392_716# w_78_306# li_1016_536# sky130_fd_pr__pfet_01v8_RFM3CD#0_1/a_n15_n126#
++ VSUBS sky130_fd_pr__pfet_01v8_RFM3CD#0
+Xsky130_fd_pr__pfet_01v8_RFM3CD#0_2 sky130_fd_pr__pfet_01v8_RFM3CD#0_2/a_n73_n100#
++ w_78_306# li_214_402# sky130_fd_pr__pfet_01v8_RFM3CD#0_2/a_n15_n126# VSUBS sky130_fd_pr__pfet_01v8_RFM3CD#0
+Xsky130_fd_pr__pfet_01v8_RFM3CD#0_3 li_1016_536# w_78_306# sky130_fd_pr__pfet_01v8_RFM3CD#0_3/a_15_n100#
++ sky130_fd_pr__pfet_01v8_RFM3CD#0_3/a_n15_n126# VSUBS sky130_fd_pr__pfet_01v8_RFM3CD#0
+Xsky130_fd_pr__pfet_01v8_RFM3CD_0 li_214_402# w_78_306# li_116_1034# a_392_716# VSUBS
++ sky130_fd_pr__pfet_01v8_RFM3CD#0
+Xsky130_fd_pr__pfet_01v8_RFM3CD_1 li_116_1034# w_78_306# li_1016_536# a_810_594# VSUBS
++ sky130_fd_pr__pfet_01v8_RFM3CD#0
+
+.ends
+
+.subckt comparator_v6 Outn Vp Vn CLK VDD GND Outp CLKBAR
+Xlatch_3_0 CLKBAR GND VDD VDD Dp GND Dn latch_3
+Xpreamp_part12_0 VDD fp CLK Vn Dp CLK Vp VDD GND fn CLK Dn GND preamp_part12
+XSR_latch_0 Outp Dn Dp Outn VDD VDD GND GND SR_latch
+Xpreamp_part22_0 VDD fp CLKBAR CLKBAR CLK CLK fn VDD VDD GND VDD preamp_part22
+.GLOBAL GND
+.GLOBAL VDD
+.ends
+
+.subckt user_analog_project_wrapper gpio_analog[0] gpio_analog[10] gpio_analog[11]
++ gpio_analog[12] gpio_analog[13] gpio_analog[14] gpio_analog[15] gpio_analog[16]
++ gpio_analog[17] gpio_analog[1] gpio_analog[2] gpio_analog[3] gpio_analog[4] gpio_analog[5]
++ gpio_analog[6] gpio_analog[7] gpio_analog[8] gpio_analog[9] gpio_noesd[0] gpio_noesd[10]
++ gpio_noesd[11] gpio_noesd[12] gpio_noesd[13] gpio_noesd[14] gpio_noesd[15] gpio_noesd[16]
++ gpio_noesd[17] gpio_noesd[1] gpio_noesd[2] gpio_noesd[3] gpio_noesd[4] gpio_noesd[5]
++ gpio_noesd[6] gpio_noesd[7] gpio_noesd[8] gpio_noesd[9] io_analog[0] io_analog[10]
++ io_analog[1] io_analog[2] io_analog[3] io_analog[5] io_analog[6] io_analog[7] io_analog[8]
++ io_analog[9] io_analog[4] io_clamp_high[0] io_clamp_high[1] io_clamp_high[2] io_clamp_low[0]
++ io_clamp_low[1] io_clamp_low[2] io_in[0] io_in[10] io_in[11] io_in[12] io_in[13]
++ io_in[14] io_in[15] io_in[16] io_in[17] io_in[18] io_in[19] io_in[1] io_in[20] io_in[21]
++ io_in[22] io_in[23] io_in[24] io_in[25] io_in[26] io_in[2] io_in[3] io_in[4] io_in[5]
++ io_in[6] io_in[7] io_in[8] io_in[9] io_in_3v3[0] io_in_3v3[10] io_in_3v3[11] io_in_3v3[12]
++ io_in_3v3[13] io_in_3v3[14] io_in_3v3[15] io_in_3v3[16] io_in_3v3[17] io_in_3v3[18]
++ io_in_3v3[19] io_in_3v3[1] io_in_3v3[20] io_in_3v3[21] io_in_3v3[22] io_in_3v3[23]
++ io_in_3v3[24] io_in_3v3[25] io_in_3v3[26] io_in_3v3[2] io_in_3v3[3] io_in_3v3[4]
++ io_in_3v3[5] io_in_3v3[6] io_in_3v3[7] io_in_3v3[8] io_in_3v3[9] io_oeb[0] io_oeb[10]
++ io_oeb[11] io_oeb[12] io_oeb[13] io_oeb[14] io_oeb[15] io_oeb[16] io_oeb[17] io_oeb[18]
++ io_oeb[19] io_oeb[1] io_oeb[20] io_oeb[21] io_oeb[22] io_oeb[23] io_oeb[24] io_oeb[25]
++ io_oeb[26] io_oeb[2] io_oeb[3] io_oeb[4] io_oeb[5] io_oeb[6] io_oeb[7] io_oeb[8]
++ io_oeb[9] io_out[0] io_out[10] io_out[11] io_out[12] io_out[13] io_out[14] io_out[15]
++ io_out[16] io_out[17] io_out[18] io_out[19] io_out[1] io_out[20] io_out[21] io_out[22]
++ io_out[23] io_out[24] io_out[25] io_out[26] io_out[2] io_out[3] io_out[4] io_out[5]
++ io_out[6] io_out[7] io_out[8] io_out[9] la_data_in[0] la_data_in[100] la_data_in[101]
++ la_data_in[102] la_data_in[103] la_data_in[104] la_data_in[105] la_data_in[106]
++ la_data_in[107] la_data_in[108] la_data_in[109] la_data_in[10] la_data_in[110] la_data_in[111]
++ la_data_in[112] la_data_in[113] la_data_in[114] la_data_in[115] la_data_in[116]
++ la_data_in[117] la_data_in[118] la_data_in[119] la_data_in[11] la_data_in[120] la_data_in[121]
++ la_data_in[122] la_data_in[123] la_data_in[124] la_data_in[125] la_data_in[126]
++ la_data_in[127] la_data_in[12] la_data_in[13] la_data_in[14] la_data_in[15] la_data_in[16]
++ la_data_in[17] la_data_in[18] la_data_in[19] la_data_in[1] la_data_in[20] la_data_in[21]
++ la_data_in[22] la_data_in[23] la_data_in[24] la_data_in[25] la_data_in[26] la_data_in[27]
++ la_data_in[28] la_data_in[29] la_data_in[2] la_data_in[30] la_data_in[31] la_data_in[32]
++ la_data_in[33] la_data_in[34] la_data_in[35] la_data_in[36] la_data_in[37] la_data_in[38]
++ la_data_in[39] la_data_in[3] la_data_in[40] la_data_in[41] la_data_in[42] la_data_in[43]
++ la_data_in[44] la_data_in[45] la_data_in[46] la_data_in[47] la_data_in[48] la_data_in[49]
++ la_data_in[4] la_data_in[50] la_data_in[51] la_data_in[52] la_data_in[53] la_data_in[54]
++ la_data_in[55] la_data_in[56] la_data_in[57] la_data_in[58] la_data_in[59] la_data_in[5]
++ la_data_in[60] la_data_in[61] la_data_in[62] la_data_in[63] la_data_in[64] la_data_in[65]
++ la_data_in[66] la_data_in[67] la_data_in[68] la_data_in[69] la_data_in[6] la_data_in[70]
++ la_data_in[71] la_data_in[72] la_data_in[73] la_data_in[74] la_data_in[75] la_data_in[76]
++ la_data_in[77] la_data_in[78] la_data_in[79] la_data_in[7] la_data_in[80] la_data_in[81]
++ la_data_in[82] la_data_in[83] la_data_in[84] la_data_in[85] la_data_in[86] la_data_in[87]
++ la_data_in[88] la_data_in[89] la_data_in[8] la_data_in[90] la_data_in[91] la_data_in[92]
++ la_data_in[93] la_data_in[94] la_data_in[95] la_data_in[96] la_data_in[97] la_data_in[98]
++ la_data_in[99] la_data_in[9] la_data_out[0] la_data_out[100] la_data_out[101] la_data_out[102]
++ la_data_out[103] la_data_out[104] la_data_out[105] la_data_out[106] la_data_out[107]
++ la_data_out[108] la_data_out[109] la_data_out[10] la_data_out[110] la_data_out[111]
++ la_data_out[112] la_data_out[113] la_data_out[114] la_data_out[115] la_data_out[116]
++ la_data_out[117] la_data_out[118] la_data_out[119] la_data_out[11] la_data_out[120]
++ la_data_out[121] la_data_out[122] la_data_out[123] la_data_out[124] la_data_out[125]
++ la_data_out[126] la_data_out[127] la_data_out[12] la_data_out[13] la_data_out[14]
++ la_data_out[15] la_data_out[16] la_data_out[17] la_data_out[18] la_data_out[19]
++ la_data_out[1] la_data_out[20] la_data_out[21] la_data_out[22] la_data_out[23] la_data_out[24]
++ la_data_out[25] la_data_out[26] la_data_out[27] la_data_out[28] la_data_out[29]
++ la_data_out[2] la_data_out[30] la_data_out[31] la_data_out[32] la_data_out[33] la_data_out[34]
++ la_data_out[35] la_data_out[36] la_data_out[37] la_data_out[38] la_data_out[39]
++ la_data_out[3] la_data_out[40] la_data_out[41] la_data_out[42] la_data_out[43] la_data_out[44]
++ la_data_out[45] la_data_out[46] la_data_out[47] la_data_out[48] la_data_out[49]
++ la_data_out[4] la_data_out[50] la_data_out[51] la_data_out[52] la_data_out[53] la_data_out[54]
++ la_data_out[55] la_data_out[56] la_data_out[57] la_data_out[58] la_data_out[59]
++ la_data_out[5] la_data_out[60] la_data_out[61] la_data_out[62] la_data_out[63] la_data_out[64]
++ la_data_out[65] la_data_out[66] la_data_out[67] la_data_out[68] la_data_out[69]
++ la_data_out[6] la_data_out[70] la_data_out[71] la_data_out[72] la_data_out[73] la_data_out[74]
++ la_data_out[75] la_data_out[76] la_data_out[77] la_data_out[78] la_data_out[79]
++ la_data_out[7] la_data_out[80] la_data_out[81] la_data_out[82] la_data_out[83] la_data_out[84]
++ la_data_out[85] la_data_out[86] la_data_out[87] la_data_out[88] la_data_out[89]
++ la_data_out[8] la_data_out[90] la_data_out[91] la_data_out[92] la_data_out[93] la_data_out[94]
++ la_data_out[95] la_data_out[96] la_data_out[97] la_data_out[98] la_data_out[99]
++ la_data_out[9] la_oenb[0] la_oenb[100] la_oenb[101] la_oenb[102] la_oenb[103] la_oenb[104]
++ la_oenb[105] la_oenb[106] la_oenb[107] la_oenb[108] la_oenb[109] la_oenb[10] la_oenb[110]
++ la_oenb[111] la_oenb[112] la_oenb[113] la_oenb[114] la_oenb[115] la_oenb[116] la_oenb[117]
++ la_oenb[118] la_oenb[119] la_oenb[11] la_oenb[120] la_oenb[121] la_oenb[122] la_oenb[123]
++ la_oenb[124] la_oenb[125] la_oenb[126] la_oenb[127] la_oenb[12] la_oenb[13] la_oenb[14]
++ la_oenb[15] la_oenb[16] la_oenb[17] la_oenb[18] la_oenb[19] la_oenb[1] la_oenb[20]
++ la_oenb[21] la_oenb[22] la_oenb[23] la_oenb[24] la_oenb[25] la_oenb[26] la_oenb[27]
++ la_oenb[28] la_oenb[29] la_oenb[2] la_oenb[30] la_oenb[31] la_oenb[32] la_oenb[33]
++ la_oenb[34] la_oenb[35] la_oenb[36] la_oenb[37] la_oenb[38] la_oenb[39] la_oenb[3]
++ la_oenb[40] la_oenb[41] la_oenb[42] la_oenb[43] la_oenb[44] la_oenb[45] la_oenb[46]
++ la_oenb[47] la_oenb[48] la_oenb[49] la_oenb[4] la_oenb[50] la_oenb[51] la_oenb[52]
++ la_oenb[53] la_oenb[54] la_oenb[55] la_oenb[56] la_oenb[57] la_oenb[58] la_oenb[59]
++ la_oenb[5] la_oenb[60] la_oenb[61] la_oenb[62] la_oenb[63] la_oenb[64] la_oenb[65]
++ la_oenb[66] la_oenb[67] la_oenb[68] la_oenb[69] la_oenb[6] la_oenb[70] la_oenb[71]
++ la_oenb[72] la_oenb[73] la_oenb[74] la_oenb[75] la_oenb[76] la_oenb[77] la_oenb[78]
++ la_oenb[79] la_oenb[7] la_oenb[80] la_oenb[81] la_oenb[82] la_oenb[83] la_oenb[84]
++ la_oenb[85] la_oenb[86] la_oenb[87] la_oenb[88] la_oenb[89] la_oenb[8] la_oenb[90]
++ la_oenb[91] la_oenb[92] la_oenb[93] la_oenb[94] la_oenb[95] la_oenb[96] la_oenb[97]
++ la_oenb[98] la_oenb[99] la_oenb[9] user_clock2 user_irq[0] user_irq[1] user_irq[2]
++ vccd2 vdda1 vdda2 vssa2 vssd1 vssd2 wb_clk_i wb_rst_i wbs_ack_o wbs_adr_i[0] wbs_adr_i[10]
++ wbs_adr_i[11] wbs_adr_i[12] wbs_adr_i[13] wbs_adr_i[14] wbs_adr_i[15] wbs_adr_i[16]
++ wbs_adr_i[17] wbs_adr_i[18] wbs_adr_i[19] wbs_adr_i[1] wbs_adr_i[20] wbs_adr_i[21]
++ wbs_adr_i[22] wbs_adr_i[23] wbs_adr_i[24] wbs_adr_i[25] wbs_adr_i[26] wbs_adr_i[27]
++ wbs_adr_i[28] wbs_adr_i[29] wbs_adr_i[2] wbs_adr_i[30] wbs_adr_i[31] wbs_adr_i[3]
++ wbs_adr_i[4] wbs_adr_i[5] wbs_adr_i[6] wbs_adr_i[7] wbs_adr_i[8] wbs_adr_i[9] wbs_cyc_i
++ wbs_dat_i[0] wbs_dat_i[10] wbs_dat_i[11] wbs_dat_i[12] wbs_dat_i[13] wbs_dat_i[14]
++ wbs_dat_i[15] wbs_dat_i[16] wbs_dat_i[17] wbs_dat_i[18] wbs_dat_i[19] wbs_dat_i[1]
++ wbs_dat_i[20] wbs_dat_i[21] wbs_dat_i[22] wbs_dat_i[23] wbs_dat_i[24] wbs_dat_i[25]
++ wbs_dat_i[26] wbs_dat_i[27] wbs_dat_i[28] wbs_dat_i[29] wbs_dat_i[2] wbs_dat_i[30]
++ wbs_dat_i[31] wbs_dat_i[3] wbs_dat_i[4] wbs_dat_i[5] wbs_dat_i[6] wbs_dat_i[7] wbs_dat_i[8]
++ wbs_dat_i[9] wbs_dat_o[0] wbs_dat_o[10] wbs_dat_o[11] wbs_dat_o[12] wbs_dat_o[13]
++ wbs_dat_o[14] wbs_dat_o[15] wbs_dat_o[16] wbs_dat_o[17] wbs_dat_o[18] wbs_dat_o[19]
++ wbs_dat_o[1] wbs_dat_o[20] wbs_dat_o[21] wbs_dat_o[22] wbs_dat_o[23] wbs_dat_o[24]
++ wbs_dat_o[25] wbs_dat_o[26] wbs_dat_o[27] wbs_dat_o[28] wbs_dat_o[29] wbs_dat_o[2]
++ wbs_dat_o[30] wbs_dat_o[31] wbs_dat_o[3] wbs_dat_o[4] wbs_dat_o[5] wbs_dat_o[6]
++ wbs_dat_o[7] wbs_dat_o[8] wbs_dat_o[9] wbs_sel_i[0] wbs_sel_i[1] wbs_sel_i[2] wbs_sel_i[3]
++ wbs_stb_i wbs_we_i vccd1 vssa1
+Xsky130_fd_pr__diode_pw2nd_05v5_3P6M5Y_0 io_analog[7] vssa1 sky130_fd_pr__diode_pw2nd_05v5_3P6M5Y
+Xsky130_fd_pr__diode_pd2nw_05v5_G4XDRY_0 vssa1 io_analog[7] vccd1 sky130_fd_pr__diode_pd2nw_05v5_G4XDRY
+Xsky130_fd_sc_hd__buf_2_0 comparator_v6_0/Outn vssa1 vccd1 L1 vssa1 vccd1 sky130_fd_sc_hd__buf_2
+Xsky130_fd_sc_hd__buf_16_0 L1 vssa1 vccd1 io_analog[3] vssa1 vccd1 sky130_fd_sc_hd__buf_16
+Xsky130_fd_sc_hd__buf_16_1 L2 vssa1 vccd1 io_analog[2] vssa1 vccd1 sky130_fd_sc_hd__buf_16
+Xsky130_fd_sc_hd__buf_2_1 comparator_v6_0/Outp vssa1 vccd1 L2 vssa1 vccd1 sky130_fd_sc_hd__buf_2
+Xsky130_fd_sc_hd__buf_16_2 sky130_fd_sc_hd__buf_2_3/X vssa1 vccd1 comparator_v6_0/CLKBAR
++ vssa1 vccd1 sky130_fd_sc_hd__buf_16
+Xsky130_fd_sc_hd__buf_2_2 io_analog[8] vssa1 vccd1 sky130_fd_sc_hd__buf_2_2/X vssa1
++ vccd1 sky130_fd_sc_hd__buf_2
+Xsky130_fd_sc_hd__buf_16_3 sky130_fd_sc_hd__buf_2_2/X vssa1 vccd1 comparator_v6_0/CLK
++ vssa1 vccd1 sky130_fd_sc_hd__buf_16
+Xsky130_fd_sc_hd__buf_2_3 io_analog[7] vssa1 vccd1 sky130_fd_sc_hd__buf_2_3/X vssa1
++ vccd1 sky130_fd_sc_hd__buf_2
+Xsky130_fd_sc_hd__buf_16_4 sky130_fd_sc_hd__buf_2_4/X vssa1 vccd1 io_analog[0] vssa1
++ vccd1 sky130_fd_sc_hd__buf_16
+Xsky130_fd_sc_hd__buf_2_4 io_analog[1] vssa1 vccd1 sky130_fd_sc_hd__buf_2_4/X vssa1
++ vccd1 sky130_fd_sc_hd__buf_2
+Xcomparator_v6_0 comparator_v6_0/Outn io_analog[5] io_analog[6] comparator_v6_0/CLK
++ vccd1 vssa1 comparator_v6_0/Outp comparator_v6_0/CLKBAR comparator_v6
+R0 vccd1 io_clamp_high[1] 0.000000
+R1 vccd1 io_clamp_high[2] 0.000000
+R2 vssa1 io_clamp_low[2] 0.000000
+D0 vssa1 io_analog[8] sky130_fd_pr__diode_pw2nd_05v5 pj=4e+06u area=1e+12p
+D1 io_analog[8] vccd1 sky130_fd_pr__diode_pd2nw_05v5 pj=4e+06u area=1e+12p
+R3 vssa1 io_clamp_low[1] 0.000000
+C0 io_analog[6] vccd1 523.50fF
+C1 io_analog[5] sky130_fd_sc_hd__buf_2_3/X 75.68fF
+C2 io_analog[6] comparator_v6_0/CLK 2.17fF
+C3 comparator_v6_0/CLKBAR io_analog[5] 2.23fF
+C4 vccd1 L1 66.26fF
+C5 L2 vccd1 66.14fF
+C6 m4_165510_677212# io_analog[6] 766.31fF
+C7 vccd1 sky130_fd_sc_hd__buf_2_2/X 4.31fF
+C8 vccd1 io_analog[0] 65.54fF
+C9 vccd1 comparator_v6_0/Outn 9.36fF
+C10 io_analog[5] vccd1 573.17fF
+C11 sky130_fd_sc_hd__buf_2_3/X vccd1 131.01fF
+C12 m4_165510_677212# vccd1 30.18fF
+C13 io_analog[3] vccd1 34.41fF
+C14 io_analog[4] vssa1 25.05fF
+C15 vssd2 vssa1 13.04fF
+C16 vssd1 vssa1 13.62fF
+C17 vdda2 vssa1 13.04fF
+C18 vdda1 vssa1 26.08fF
+C19 vssa2 vssa1 13.04fF
+C20 vccd2 vssa1 13.04fF
+C21 io_analog[10] vssa1 6.83fF
+C22 io_clamp_high[0] vssa1 3.58fF
+C23 io_clamp_low[0] vssa1 3.58fF
+C24 io_analog[9] vssa1 6.83fF
+C25 m4_141154_541976# vssa1 136.63fF **FLOATING
+C26 m4_165510_677212# vssa1 110.87fF **FLOATING
+C27 li_73093_686955# vssa1 2.20fF **FLOATING
+C28 io_analog[3] vssa1 26.43fF
+C29 vccd1 vssa1 2923.93fF
+C30 comparator_v6_0/Outp vssa1 26.62fF
+C31 comparator_v6_0/Outn vssa1 19.87fF
+C32 comparator_v6_0/CLK vssa1 17.79fF
+C33 comparator_v6_0/fp vssa1 2.32fF
+C34 comparator_v6_0/fn vssa1 2.31fF
+C35 io_analog[5] vssa1 337.44fF
+C36 io_analog[6] vssa1 234.19fF
+C37 comparator_v6_0/Dp vssa1 3.53fF
+C38 comparator_v6_0/Dn vssa1 3.23fF
+C39 comparator_v6_0/CLKBAR vssa1 7.21fF
+C40 io_analog[1] vssa1 397.14fF
+C41 io_analog[0] vssa1 35.98fF
+C42 io_analog[7] vssa1 63.99fF
+C43 sky130_fd_sc_hd__buf_2_2/X vssa1 354.67fF
+C44 io_analog[8] vssa1 68.96fF
+C45 sky130_fd_sc_hd__buf_2_3/X vssa1 303.55fF
+C46 L2 vssa1 190.71fF
+C47 io_analog[2] vssa1 25.67fF
+C48 L1 vssa1 195.71fF
+C49 sky130_fd_pr__diode_pd2nw_05v5_G4XDRY_0/li_n340_n340# vssa1 2.20fF **FLOATING
+.ends
+
diff --git a/precheck_results/04_JUN_2022___09_24_39/logs/gds.info b/precheck_results/04_JUN_2022___09_24_39/logs/gds.info
new file mode 100644
index 0000000..f6caad4
--- /dev/null
+++ b/precheck_results/04_JUN_2022___09_24_39/logs/gds.info
@@ -0,0 +1 @@
+user_analog_project_wrapper.gds: b6e1379204bf1da0f95732af53c618303abac104
\ No newline at end of file
diff --git a/precheck_results/04_JUN_2022___09_24_39/logs/klayout_beol_check.log b/precheck_results/04_JUN_2022___09_24_39/logs/klayout_beol_check.log
new file mode 100644
index 0000000..14c2b4c
--- /dev/null
+++ b/precheck_results/04_JUN_2022___09_24_39/logs/klayout_beol_check.log
@@ -0,0 +1,992 @@
+/home/krishna/mpw_precheckmpw6/checks/tech-files/sky130A_mr.drc:38: warning: already initialized constant DRC::DRCEngine::FEOL
+/home/krishna/mpw_precheckmpw6/checks/tech-files/sky130A_mr.drc:28: warning: previous definition of FEOL was here
+/home/krishna/mpw_precheckmpw6/checks/tech-files/sky130A_mr.drc:42: warning: already initialized constant DRC::DRCEngine::BEOL
+/home/krishna/mpw_precheckmpw6/checks/tech-files/sky130A_mr.drc:29: warning: previous definition of BEOL was here
+/home/krishna/mpw_precheckmpw6/checks/tech-files/sky130A_mr.drc:50: warning: already initialized constant DRC::DRCEngine::OFFGRID
+/home/krishna/mpw_precheckmpw6/checks/tech-files/sky130A_mr.drc:30: warning: previous definition of OFFGRID was here
+/home/krishna/mpw_precheckmpw6/checks/tech-files/sky130A_mr.drc:56: warning: already initialized constant DRC::DRCEngine::SEAL
+/home/krishna/mpw_precheckmpw6/checks/tech-files/sky130A_mr.drc:31: warning: previous definition of SEAL was here
+/home/krishna/mpw_precheckmpw6/checks/tech-files/sky130A_mr.drc:62: warning: already initialized constant DRC::DRCEngine::FLOATING_MET
+/home/krishna/mpw_precheckmpw6/checks/tech-files/sky130A_mr.drc:32: warning: previous definition of FLOATING_MET was here
+"input" in: sky130A_mr.drc:112
+    Polygons (raw): 62 (flat)  25 (hierarchical)
+    Elapsed: 0.010s  Memory: 522.00M
+"polygons" in: sky130A_mr.drc:113
+    Polygons (raw): 38 (flat)  30 (hierarchical)
+    Elapsed: 0.000s  Memory: 522.00M
+"polygons" in: sky130A_mr.drc:114
+    Polygons (raw): 89 (flat)  69 (hierarchical)
+    Elapsed: 0.010s  Memory: 522.00M
+"polygons" in: sky130A_mr.drc:115
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 522.00M
+"polygons" in: sky130A_mr.drc:116
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 522.00M
+"polygons" in: sky130A_mr.drc:117
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 522.00M
+"polygons" in: sky130A_mr.drc:118
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 522.00M
+"polygons" in: sky130A_mr.drc:119
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 522.00M
+"polygons" in: sky130A_mr.drc:120
+    Polygons (raw): 10 (flat)  2 (hierarchical)
+    Elapsed: 0.010s  Memory: 522.00M
+"polygons" in: sky130A_mr.drc:121
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 522.00M
+"polygons" in: sky130A_mr.drc:122
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 522.00M
+"polygons" in: sky130A_mr.drc:123
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 522.00M
+"polygons" in: sky130A_mr.drc:124
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 522.00M
+"polygons" in: sky130A_mr.drc:125
+    Polygons (raw): 202 (flat)  116 (hierarchical)
+    Elapsed: 0.000s  Memory: 522.00M
+"polygons" in: sky130A_mr.drc:126
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 522.00M
+"polygons" in: sky130A_mr.drc:127
+    Polygons (raw): 62 (flat)  43 (hierarchical)
+    Elapsed: 0.000s  Memory: 522.00M
+"polygons" in: sky130A_mr.drc:128
+    Polygons (raw): 52 (flat)  26 (hierarchical)
+    Elapsed: 0.010s  Memory: 522.00M
+"polygons" in: sky130A_mr.drc:129
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 522.00M
+"polygons" in: sky130A_mr.drc:130
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 522.00M
+"polygons" in: sky130A_mr.drc:131
+    Polygons (raw): 33 (flat)  24 (hierarchical)
+    Elapsed: 0.000s  Memory: 522.00M
+"polygons" in: sky130A_mr.drc:132
+    Polygons (raw): 907 (flat)  291 (hierarchical)
+    Elapsed: 0.010s  Memory: 522.00M
+"polygons" in: sky130A_mr.drc:134
+    Polygons (raw): 566 (flat)  394 (hierarchical)
+    Elapsed: 0.000s  Memory: 522.00M
+"polygons" in: sky130A_mr.drc:135
+    Polygons (raw): 1756 (flat)  1480 (hierarchical)
+    Elapsed: 0.010s  Memory: 522.00M
+"polygons" in: sky130A_mr.drc:137
+    Polygons (raw): 349 (flat)  299 (hierarchical)
+    Elapsed: 0.000s  Memory: 522.00M
+"polygons" in: sky130A_mr.drc:138
+    Polygons (raw): 2570 (flat)  2570 (hierarchical)
+    Elapsed: 0.010s  Memory: 522.00M
+"polygons" in: sky130A_mr.drc:140
+    Polygons (raw): 603 (flat)  603 (hierarchical)
+    Elapsed: 0.000s  Memory: 522.00M
+"polygons" in: sky130A_mr.drc:141
+    Polygons (raw): 1761 (flat)  1761 (hierarchical)
+    Elapsed: 0.010s  Memory: 522.00M
+"polygons" in: sky130A_mr.drc:143
+    Polygons (raw): 458 (flat)  458 (hierarchical)
+    Elapsed: 0.000s  Memory: 522.00M
+"polygons" in: sky130A_mr.drc:144
+    Polygons (raw): 25800 (flat)  25800 (hierarchical)
+    Elapsed: 0.020s  Memory: 523.00M
+"polygons" in: sky130A_mr.drc:146
+    Polygons (raw): 177 (flat)  177 (hierarchical)
+    Elapsed: 0.010s  Memory: 523.00M
+"polygons" in: sky130A_mr.drc:147
+    Polygons (raw): 552 (flat)  552 (hierarchical)
+    Elapsed: 0.000s  Memory: 523.00M
+"polygons" in: sky130A_mr.drc:149
+    Polygons (raw): 153 (flat)  153 (hierarchical)
+    Elapsed: 0.010s  Memory: 523.00M
+"polygons" in: sky130A_mr.drc:151
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 523.00M
+"polygons" in: sky130A_mr.drc:152
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 523.00M
+"polygons" in: sky130A_mr.drc:153
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 523.00M
+"polygons" in: sky130A_mr.drc:154
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 523.00M
+"polygons" in: sky130A_mr.drc:155
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 523.00M
+"polygons" in: sky130A_mr.drc:156
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 523.00M
+"polygons" in: sky130A_mr.drc:157
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 523.00M
+"polygons" in: sky130A_mr.drc:158
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 523.00M
+"polygons" in: sky130A_mr.drc:159
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 523.00M
+"polygons" in: sky130A_mr.drc:160
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 523.00M
+"polygons" in: sky130A_mr.drc:161
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 523.00M
+"polygons" in: sky130A_mr.drc:162
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 523.00M
+"polygons" in: sky130A_mr.drc:163
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 523.00M
+"polygons" in: sky130A_mr.drc:164
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 523.00M
+"polygons" in: sky130A_mr.drc:165
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 523.00M
+"polygons" in: sky130A_mr.drc:166
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 523.00M
+"polygons" in: sky130A_mr.drc:167
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 523.00M
+"polygons" in: sky130A_mr.drc:168
+    Polygons (raw): 10 (flat)  2 (hierarchical)
+    Elapsed: 0.010s  Memory: 523.00M
+"polygons" in: sky130A_mr.drc:169
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 523.00M
+"polygons" in: sky130A_mr.drc:170
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 523.00M
+"polygons" in: sky130A_mr.drc:171
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 523.00M
+"polygons" in: sky130A_mr.drc:172
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 523.00M
+"polygons" in: sky130A_mr.drc:173
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 523.00M
+"polygons" in: sky130A_mr.drc:174
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 523.00M
+"polygons" in: sky130A_mr.drc:175
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 523.00M
+"polygons" in: sky130A_mr.drc:176
+    Polygons (raw): 1 (flat)  1 (hierarchical)
+    Elapsed: 0.000s  Memory: 523.00M
+"polygons" in: sky130A_mr.drc:177
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 523.00M
+"polygons" in: sky130A_mr.drc:178
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 523.00M
+"polygons" in: sky130A_mr.drc:179
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 523.00M
+"polygons" in: sky130A_mr.drc:180
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 523.00M
+"polygons" in: sky130A_mr.drc:181
+    Polygons (raw): 4 (flat)  3 (hierarchical)
+    Elapsed: 0.010s  Memory: 523.00M
+"polygons" in: sky130A_mr.drc:182
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 523.00M
+"polygons" in: sky130A_mr.drc:183
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 523.00M
+"polygons" in: sky130A_mr.drc:184
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 523.00M
+"polygons" in: sky130A_mr.drc:185
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 523.00M
+"polygons" in: sky130A_mr.drc:186
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 523.00M
+"polygons" in: sky130A_mr.drc:187
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 523.00M
+"polygons" in: sky130A_mr.drc:188
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 523.00M
+"polygons" in: sky130A_mr.drc:189
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 523.00M
+"polygons" in: sky130A_mr.drc:190
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 523.00M
+"polygons" in: sky130A_mr.drc:191
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 523.00M
+"polygons" in: sky130A_mr.drc:192
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 523.00M
+"polygons" in: sky130A_mr.drc:193
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 523.00M
+"polygons" in: sky130A_mr.drc:194
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 523.00M
+"polygons" in: sky130A_mr.drc:195
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 523.00M
+"polygons" in: sky130A_mr.drc:196
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 523.00M
+"polygons" in: sky130A_mr.drc:197
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 523.00M
+"polygons" in: sky130A_mr.drc:198
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 523.00M
+"polygons" in: sky130A_mr.drc:199
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 523.00M
+"polygons" in: sky130A_mr.drc:200
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 523.00M
+"polygons" in: sky130A_mr.drc:201
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 523.00M
+"polygons" in: sky130A_mr.drc:202
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 523.00M
+"polygons" in: sky130A_mr.drc:203
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 523.00M
+DRC section
+BEOL section
+START: 67/20 (li)
+"not" in: sky130A_mr.drc:381
+    Polygons (raw): 566 (flat)  394 (hierarchical)
+    Elapsed: 0.000s  Memory: 523.00M
+"width" in: sky130A_mr.drc:382
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 523.00M
+"output" in: sky130A_mr.drc:382
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 523.00M
+"edges" in: sky130A_mr.drc:384
+    Edges: 2849 (flat)  1598 (hierarchical)
+    Elapsed: 0.030s  Memory: 1067.00M
+"space" in: sky130A_mr.drc:384
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 1067.00M
+"output" in: sky130A_mr.drc:384
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 1067.00M
+"not" in: sky130A_mr.drc:385
+    Polygons (raw): 907 (flat)  291 (hierarchical)
+    Elapsed: 0.000s  Memory: 1067.00M
+"enclosing" in: sky130A_mr.drc:386
+    Edge pairs: 1195 (flat)  859 (hierarchical)
+    Elapsed: 0.030s  Memory: 1067.00M
+"second_edges" in: sky130A_mr.drc:386
+    Edges: 1195 (flat)  859 (hierarchical)
+    Elapsed: 0.010s  Memory: 1067.00M
+"width" in: sky130A_mr.drc:387
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 1067.00M
+"polygons" in: sky130A_mr.drc:388
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 1067.00M
+"interacting" in: sky130A_mr.drc:388
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 1067.00M
+"output" in: sky130A_mr.drc:389
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 1067.00M
+"with_area" in: sky130A_mr.drc:390
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 1067.00M
+"output" in: sky130A_mr.drc:390
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 1067.00M
+END: 67/20 (li)
+START: 67/44 (mcon)
+"not" in: sky130A_mr.drc:395
+    Polygons (raw): 1756 (flat)  1480 (hierarchical)
+    Elapsed: 0.000s  Memory: 1067.00M
+"not" in: sky130A_mr.drc:402
+    Polygons (raw): 1756 (flat)  1480 (hierarchical)
+    Elapsed: 0.000s  Memory: 1067.00M
+"non_rectangles" in: sky130A_mr.drc:403
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 1067.00M
+"output" in: sky130A_mr.drc:403
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 1067.00M
+"drc" in: sky130A_mr.drc:405
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 1068.00M
+"output" in: sky130A_mr.drc:405
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 1068.00M
+"drc" in: sky130A_mr.drc:406
+    Edges: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 1068.00M
+"output" in: sky130A_mr.drc:406
+    Edges: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 1068.00M
+"space" in: sky130A_mr.drc:407
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 1068.00M
+"output" in: sky130A_mr.drc:407
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 1068.00M
+"not" in: sky130A_mr.drc:413
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.020s  Memory: 1068.00M
+"output" in: sky130A_mr.drc:413
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 1068.00M
+END: 67/44 (mcon)
+START: 68/20 (m1)
+"width" in: sky130A_mr.drc:418
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 1068.00M
+"output" in: sky130A_mr.drc:418
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 1068.00M
+"sized" in: sky130A_mr.drc:419
+    Polygons (raw): 17 (flat)  17 (hierarchical)
+    Elapsed: 0.000s  Memory: 1068.00M
+"sized" in: sky130A_mr.drc:419
+    Polygons (raw): 17 (flat)  17 (hierarchical)
+    Elapsed: 0.010s  Memory: 1068.00M
+"snap" in: sky130A_mr.drc:419
+    Polygons (raw): 17 (flat)  17 (hierarchical)
+    Elapsed: 0.000s  Memory: 1068.00M
+"&" in: sky130A_mr.drc:419
+    Polygons (raw): 17 (flat)  17 (hierarchical)
+    Elapsed: 0.010s  Memory: 1068.00M
+"edges" in: sky130A_mr.drc:420
+    Edges: 1235 (flat)  1093 (hierarchical)
+    Elapsed: 0.010s  Memory: 1068.00M
+"-" in: sky130A_mr.drc:420
+    Edges: 1100 (flat)  964 (hierarchical)
+    Elapsed: 0.000s  Memory: 1068.00M
+"edges" in: sky130A_mr.drc:421
+    Edges: 122 (flat)  122 (hierarchical)
+    Elapsed: 0.010s  Memory: 1068.00M
+"merged" in: sky130A_mr.drc:421
+    Polygons (raw): 123 (flat)  113 (hierarchical)
+    Elapsed: 0.010s  Memory: 1068.00M
+"outside_part" in: sky130A_mr.drc:421
+    Edges: 138 (flat)  138 (hierarchical)
+    Elapsed: 0.010s  Memory: 1068.00M
+"space" in: sky130A_mr.drc:423
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 1068.00M
+"output" in: sky130A_mr.drc:423
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 1068.00M
+"separation" in: sky130A_mr.drc:425
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 1068.00M
+"space" in: sky130A_mr.drc:425
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 1068.00M
+"+" in: sky130A_mr.drc:425
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 1068.00M
+"output" in: sky130A_mr.drc:425
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 1068.00M
+"input" in: sky130A_mr.drc:429
+    Polygons (raw): 349 (flat)  305 (hierarchical)
+    Elapsed: 0.010s  Memory: 1068.00M
+"enclosing" in: sky130A_mr.drc:431
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.020s  Memory: 1068.00M
+"output" in: sky130A_mr.drc:431
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 1068.00M
+"not" in: sky130A_mr.drc:432
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.020s  Memory: 1068.00M
+"output" in: sky130A_mr.drc:432
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 1068.00M
+"input" in: sky130A_mr.drc:434
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 1068.00M
+"enclosing" in: sky130A_mr.drc:435
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 1068.00M
+"output" in: sky130A_mr.drc:435
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 1068.00M
+"not" in: sky130A_mr.drc:437
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 1068.00M
+"output" in: sky130A_mr.drc:437
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 1068.00M
+"with_area" in: sky130A_mr.drc:439
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 1068.00M
+"output" in: sky130A_mr.drc:439
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 1068.00M
+"holes" in: sky130A_mr.drc:441
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 1068.00M
+"with_area" in: sky130A_mr.drc:441
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 1068.00M
+"output" in: sky130A_mr.drc:441
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 1068.00M
+"enclosing" in: sky130A_mr.drc:448
+    Edge pairs: 245 (flat)  205 (hierarchical)
+    Elapsed: 0.020s  Memory: 1068.00M
+"second_edges" in: sky130A_mr.drc:448
+    Edges: 245 (flat)  205 (hierarchical)
+    Elapsed: 0.000s  Memory: 1068.00M
+"width" in: sky130A_mr.drc:449
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 1068.00M
+"polygons" in: sky130A_mr.drc:450
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 1068.00M
+"interacting" in: sky130A_mr.drc:450
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 1068.00M
+"output" in: sky130A_mr.drc:451
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 1068.00M
+END: 68/20 (m1)
+START: 68/44 (via)
+"not" in: sky130A_mr.drc:465
+    Polygons (raw): 2570 (flat)  2570 (hierarchical)
+    Elapsed: 0.010s  Memory: 1068.00M
+"non_rectangles" in: sky130A_mr.drc:467
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 1068.00M
+"output" in: sky130A_mr.drc:467
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 1068.00M
+"width" in: sky130A_mr.drc:468
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 1068.00M
+"output" in: sky130A_mr.drc:468
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 1068.00M
+"drc" in: sky130A_mr.drc:470
+    Edges: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 1068.00M
+"output" in: sky130A_mr.drc:470
+    Edges: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 1068.00M
+"space" in: sky130A_mr.drc:472
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.030s  Memory: 1068.00M
+"output" in: sky130A_mr.drc:472
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 1068.00M
+"edges" in: sky130A_mr.drc:480
+    Edges: 1235 (flat)  1093 (hierarchical)
+    Elapsed: 0.010s  Memory: 1068.00M
+"drc" in: sky130A_mr.drc:480
+    Edges: 10280 (flat)  10280 (hierarchical)
+    Elapsed: 0.040s  Memory: 1068.00M
+"enclosing" in: sky130A_mr.drc:480
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.020s  Memory: 1068.00M
+"output" in: sky130A_mr.drc:480
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 1068.00M
+"squares" in: sky130A_mr.drc:481
+    Polygons (raw): 2570 (flat)  2570 (hierarchical)
+    Elapsed: 0.010s  Memory: 1068.00M
+"drc" in: sky130A_mr.drc:481
+    Edges: 10280 (flat)  10280 (hierarchical)
+    Elapsed: 0.030s  Memory: 1068.00M
+"not" in: sky130A_mr.drc:481
+    Edges: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.030s  Memory: 1068.00M
+"output" in: sky130A_mr.drc:481
+    Edges: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 1068.00M
+"edges" in: sky130A_mr.drc:483
+    Edges: 1235 (flat)  1093 (hierarchical)
+    Elapsed: 0.020s  Memory: 1068.00M
+"drc" in: sky130A_mr.drc:483
+    Edges: 10280 (flat)  10280 (hierarchical)
+    Elapsed: 0.030s  Memory: 1068.00M
+"enclosing" in: sky130A_mr.drc:483
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 1068.00M
+"second_edges" in: sky130A_mr.drc:483
+    Edges: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 1068.00M
+"width" in: sky130A_mr.drc:484
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 1068.00M
+"polygons" in: sky130A_mr.drc:485
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 1068.00M
+"interacting" in: sky130A_mr.drc:485
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 1068.00M
+"output" in: sky130A_mr.drc:486
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 1068.00M
+END: 68/44 (via)
+START: 69/20 (m2)
+"width" in: sky130A_mr.drc:493
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 1069.00M
+"output" in: sky130A_mr.drc:493
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 1069.00M
+"sized" in: sky130A_mr.drc:495
+    Polygons (raw): 13 (flat)  13 (hierarchical)
+    Elapsed: 0.010s  Memory: 1069.00M
+"sized" in: sky130A_mr.drc:495
+    Polygons (raw): 13 (flat)  13 (hierarchical)
+    Elapsed: 0.010s  Memory: 1069.00M
+"snap" in: sky130A_mr.drc:495
+    Polygons (raw): 13 (flat)  13 (hierarchical)
+    Elapsed: 0.000s  Memory: 1069.00M
+"&" in: sky130A_mr.drc:495
+    Polygons (raw): 13 (flat)  13 (hierarchical)
+    Elapsed: 0.010s  Memory: 1069.00M
+"edges" in: sky130A_mr.drc:496
+    Edges: 2367 (flat)  2367 (hierarchical)
+    Elapsed: 0.010s  Memory: 1069.00M
+"-" in: sky130A_mr.drc:496
+    Edges: 2296 (flat)  2296 (hierarchical)
+    Elapsed: 0.010s  Memory: 1069.00M
+"edges" in: sky130A_mr.drc:497
+    Edges: 64 (flat)  64 (hierarchical)
+    Elapsed: 0.000s  Memory: 1069.00M
+"merged" in: sky130A_mr.drc:497
+    Polygons (raw): 550 (flat)  550 (hierarchical)
+    Elapsed: 0.010s  Memory: 1069.00M
+"outside_part" in: sky130A_mr.drc:497
+    Edges: 65 (flat)  65 (hierarchical)
+    Elapsed: 0.000s  Memory: 1069.00M
+"not" in: sky130A_mr.drc:498
+    Polygons (raw): 2570 (flat)  2570 (hierarchical)
+    Elapsed: 0.010s  Memory: 1069.00M
+"space" in: sky130A_mr.drc:500
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.020s  Memory: 1069.00M
+"output" in: sky130A_mr.drc:500
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 1069.00M
+"separation" in: sky130A_mr.drc:502
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 1069.00M
+"space" in: sky130A_mr.drc:502
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 1069.00M
+"+" in: sky130A_mr.drc:502
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 1069.00M
+"output" in: sky130A_mr.drc:502
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 1069.00M
+"with_area" in: sky130A_mr.drc:504
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 1069.00M
+"output" in: sky130A_mr.drc:504
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 1069.00M
+"holes" in: sky130A_mr.drc:505
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 1069.00M
+"with_area" in: sky130A_mr.drc:505
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 1069.00M
+"output" in: sky130A_mr.drc:505
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 1069.00M
+"enclosing" in: sky130A_mr.drc:510
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.020s  Memory: 1069.00M
+"output" in: sky130A_mr.drc:510
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 1069.00M
+"not" in: sky130A_mr.drc:511
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 1069.00M
+"output" in: sky130A_mr.drc:511
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 1069.00M
+"enclosing" in: sky130A_mr.drc:512
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.020s  Memory: 1069.00M
+"second_edges" in: sky130A_mr.drc:512
+    Edges: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 1069.00M
+"width" in: sky130A_mr.drc:513
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 1069.00M
+"polygons" in: sky130A_mr.drc:514
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 1069.00M
+"interacting" in: sky130A_mr.drc:514
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 1069.00M
+"output" in: sky130A_mr.drc:515
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 1069.00M
+END: 69/20 (m2)
+START: 69/44 (via2)
+"not" in: sky130A_mr.drc:530
+    Polygons (raw): 1761 (flat)  1761 (hierarchical)
+    Elapsed: 0.000s  Memory: 1069.00M
+"non_rectangles" in: sky130A_mr.drc:531
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.020s  Memory: 1069.00M
+"output" in: sky130A_mr.drc:531
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 1069.00M
+"width" in: sky130A_mr.drc:532
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 1069.00M
+"output" in: sky130A_mr.drc:532
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 1069.00M
+"edges" in: sky130A_mr.drc:533
+    Edges: 7044 (flat)  7044 (hierarchical)
+    Elapsed: 0.010s  Memory: 1069.00M
+"without_length" in: sky130A_mr.drc:533
+    Edges: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.020s  Memory: 1071.00M
+"output" in: sky130A_mr.drc:533
+    Edges: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 1071.00M
+"space" in: sky130A_mr.drc:534
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.020s  Memory: 1071.00M
+"output" in: sky130A_mr.drc:534
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 1071.00M
+"enclosing" in: sky130A_mr.drc:542
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.020s  Memory: 1071.00M
+"output" in: sky130A_mr.drc:542
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 1071.00M
+"not" in: sky130A_mr.drc:543
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 1071.00M
+"output" in: sky130A_mr.drc:543
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 1071.00M
+"enclosing" in: sky130A_mr.drc:545
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 1071.00M
+"second_edges" in: sky130A_mr.drc:545
+    Edges: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 1071.00M
+"width" in: sky130A_mr.drc:546
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 1071.00M
+"polygons" in: sky130A_mr.drc:547
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 1071.00M
+"interacting" in: sky130A_mr.drc:547
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 1071.00M
+"output" in: sky130A_mr.drc:548
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 1071.00M
+END: 69/44 (via2)
+START: 70/20 (m3)
+"width" in: sky130A_mr.drc:554
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 1071.00M
+"output" in: sky130A_mr.drc:554
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 1071.00M
+"sized" in: sky130A_mr.drc:556
+    Polygons (raw): 53 (flat)  53 (hierarchical)
+    Elapsed: 0.000s  Memory: 1071.00M
+"sized" in: sky130A_mr.drc:556
+    Polygons (raw): 53 (flat)  53 (hierarchical)
+    Elapsed: 0.010s  Memory: 1071.00M
+"snap" in: sky130A_mr.drc:556
+    Polygons (raw): 53 (flat)  53 (hierarchical)
+    Elapsed: 0.010s  Memory: 1071.00M
+"&" in: sky130A_mr.drc:556
+    Polygons (raw): 98 (flat)  98 (hierarchical)
+    Elapsed: 0.010s  Memory: 1071.00M
+"edges" in: sky130A_mr.drc:557
+    Edges: 1658 (flat)  1658 (hierarchical)
+    Elapsed: 0.010s  Memory: 1071.00M
+"-" in: sky130A_mr.drc:557
+    Edges: 883 (flat)  883 (hierarchical)
+    Elapsed: 0.010s  Memory: 1071.00M
+"edges" in: sky130A_mr.drc:558
+    Edges: 671 (flat)  671 (hierarchical)
+    Elapsed: 0.010s  Memory: 1071.00M
+"merged" in: sky130A_mr.drc:558
+    Polygons (raw): 228 (flat)  228 (hierarchical)
+    Elapsed: 0.000s  Memory: 1071.00M
+"outside_part" in: sky130A_mr.drc:558
+    Edges: 676 (flat)  676 (hierarchical)
+    Elapsed: 0.010s  Memory: 1071.00M
+"space" in: sky130A_mr.drc:560
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 1071.00M
+"output" in: sky130A_mr.drc:560
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 1071.00M
+"separation" in: sky130A_mr.drc:562
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 1071.00M
+"space" in: sky130A_mr.drc:562
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 1071.00M
+"+" in: sky130A_mr.drc:562
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 1071.00M
+"output" in: sky130A_mr.drc:562
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 1071.00M
+"enclosing" in: sky130A_mr.drc:567
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 1071.00M
+"output" in: sky130A_mr.drc:567
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 1071.00M
+"not" in: sky130A_mr.drc:568
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 1071.00M
+"output" in: sky130A_mr.drc:568
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 1071.00M
+END: 70/20 (m3)
+START: 70/44 (via3)
+"not" in: sky130A_mr.drc:582
+    Polygons (raw): 25800 (flat)  25800 (hierarchical)
+    Elapsed: 0.000s  Memory: 1071.00M
+"non_rectangles" in: sky130A_mr.drc:583
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.140s  Memory: 1078.00M
+"output" in: sky130A_mr.drc:583
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 1078.00M
+"width" in: sky130A_mr.drc:584
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.020s  Memory: 1078.00M
+"output" in: sky130A_mr.drc:584
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 1078.00M
+"edges" in: sky130A_mr.drc:585
+    Edges: 103200 (flat)  103200 (hierarchical)
+    Elapsed: 0.100s  Memory: 1078.00M
+"without_length" in: sky130A_mr.drc:585
+    Edges: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.250s  Memory: 1105.00M
+"output" in: sky130A_mr.drc:585
+    Edges: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 1105.00M
+"space" in: sky130A_mr.drc:587
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.180s  Memory: 1105.00M
+"output" in: sky130A_mr.drc:587
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 1105.00M
+"enclosing" in: sky130A_mr.drc:588
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.230s  Memory: 1105.00M
+"output" in: sky130A_mr.drc:588
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 1105.00M
+"not" in: sky130A_mr.drc:589
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.060s  Memory: 1105.00M
+"output" in: sky130A_mr.drc:589
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 1105.00M
+"enclosing" in: sky130A_mr.drc:591
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.240s  Memory: 1105.00M
+"second_edges" in: sky130A_mr.drc:591
+    Edges: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 1105.00M
+"width" in: sky130A_mr.drc:592
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 1105.00M
+"polygons" in: sky130A_mr.drc:593
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 1105.00M
+"interacting" in: sky130A_mr.drc:593
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 1105.00M
+"output" in: sky130A_mr.drc:594
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 1105.00M
+END: 70/44 (via3)
+START: 71/20 (m4)
+"width" in: sky130A_mr.drc:600
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 1105.00M
+"output" in: sky130A_mr.drc:600
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 1105.00M
+"sized" in: sky130A_mr.drc:602
+    Polygons (raw): 20 (flat)  20 (hierarchical)
+    Elapsed: 0.010s  Memory: 1105.00M
+"sized" in: sky130A_mr.drc:602
+    Polygons (raw): 20 (flat)  20 (hierarchical)
+    Elapsed: 0.010s  Memory: 1105.00M
+"snap" in: sky130A_mr.drc:602
+    Polygons (raw): 20 (flat)  20 (hierarchical)
+    Elapsed: 0.000s  Memory: 1105.00M
+"&" in: sky130A_mr.drc:602
+    Polygons (raw): 46 (flat)  46 (hierarchical)
+    Elapsed: 0.010s  Memory: 1105.00M
+"edges" in: sky130A_mr.drc:603
+    Edges: 572 (flat)  572 (hierarchical)
+    Elapsed: 0.010s  Memory: 1105.00M
+"-" in: sky130A_mr.drc:603
+    Edges: 116 (flat)  116 (hierarchical)
+    Elapsed: 0.010s  Memory: 1105.00M
+"edges" in: sky130A_mr.drc:604
+    Edges: 366 (flat)  366 (hierarchical)
+    Elapsed: 0.010s  Memory: 1105.00M
+"merged" in: sky130A_mr.drc:604
+    Polygons (raw): 31 (flat)  31 (hierarchical)
+    Elapsed: 0.010s  Memory: 1105.00M
+"outside_part" in: sky130A_mr.drc:604
+    Edges: 371 (flat)  371 (hierarchical)
+    Elapsed: 0.010s  Memory: 1105.00M
+"space" in: sky130A_mr.drc:606
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 1105.00M
+"output" in: sky130A_mr.drc:606
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 1105.00M
+"with_area" in: sky130A_mr.drc:608
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 1105.00M
+"output" in: sky130A_mr.drc:608
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 1105.00M
+"separation" in: sky130A_mr.drc:610
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 1105.00M
+"space" in: sky130A_mr.drc:610
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 1105.00M
+"+" in: sky130A_mr.drc:610
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 1105.00M
+"output" in: sky130A_mr.drc:610
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 1105.00M
+"enclosing" in: sky130A_mr.drc:615
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.190s  Memory: 1105.00M
+"output" in: sky130A_mr.drc:615
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 1105.00M
+"not" in: sky130A_mr.drc:616
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.060s  Memory: 1105.00M
+"output" in: sky130A_mr.drc:616
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 1105.00M
+END: 71/20 (m4)
+START: 71/44 (via4)
+"not" in: sky130A_mr.drc:629
+    Polygons (raw): 552 (flat)  552 (hierarchical)
+    Elapsed: 0.010s  Memory: 1105.00M
+"non_rectangles" in: sky130A_mr.drc:630
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 1105.00M
+"output" in: sky130A_mr.drc:630
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 1105.00M
+"width" in: sky130A_mr.drc:631
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 1105.00M
+"output" in: sky130A_mr.drc:631
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 1105.00M
+"drc" in: sky130A_mr.drc:632
+    Edges: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 1105.00M
+"output" in: sky130A_mr.drc:632
+    Edges: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 1105.00M
+"space" in: sky130A_mr.drc:634
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 1105.00M
+"polygons" in: sky130A_mr.drc:634
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 1105.00M
+"output" in: sky130A_mr.drc:634
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 1105.00M
+"enclosing" in: sky130A_mr.drc:642
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 1105.00M
+"output" in: sky130A_mr.drc:642
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 1105.00M
+"not" in: sky130A_mr.drc:643
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 1105.00M
+"output" in: sky130A_mr.drc:643
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 1105.00M
+END: 71/44 (via4)
+START: 72/20 (m5)
+"width" in: sky130A_mr.drc:648
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 1105.00M
+"output" in: sky130A_mr.drc:648
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 1105.00M
+"space" in: sky130A_mr.drc:650
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 1105.00M
+"output" in: sky130A_mr.drc:650
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 1105.00M
+"enclosing" in: sky130A_mr.drc:652
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 1105.00M
+"output" in: sky130A_mr.drc:652
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 1105.00M
+"not" in: sky130A_mr.drc:653
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 1105.00M
+"output" in: sky130A_mr.drc:653
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 1105.00M
+"with_area" in: sky130A_mr.drc:657
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 1105.00M
+"output" in: sky130A_mr.drc:657
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 1105.00M
+END: 72/20 (m5)
+START: 76/20 (pad)
+"space" in: sky130A_mr.drc:662
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 1105.00M
+"output" in: sky130A_mr.drc:662
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 1105.00M
+END: 76/20 (pad)
+Writing report database: /home/krishna/Comparator_MPW6/precheck_results/04_JUN_2022___09_24_39/outputs/reports/klayout_beol_check.xml ..
+Total elapsed: 3.790s  Memory: 1105.00M
diff --git a/precheck_results/04_JUN_2022___09_24_39/logs/klayout_beol_check.total b/precheck_results/04_JUN_2022___09_24_39/logs/klayout_beol_check.total
new file mode 100644
index 0000000..c227083
--- /dev/null
+++ b/precheck_results/04_JUN_2022___09_24_39/logs/klayout_beol_check.total
@@ -0,0 +1 @@
+0
\ No newline at end of file
diff --git a/precheck_results/04_JUN_2022___09_24_39/logs/klayout_feol_check.log b/precheck_results/04_JUN_2022___09_24_39/logs/klayout_feol_check.log
new file mode 100644
index 0000000..928d621
--- /dev/null
+++ b/precheck_results/04_JUN_2022___09_24_39/logs/klayout_feol_check.log
@@ -0,0 +1,789 @@
+/home/krishna/mpw_precheckmpw6/checks/tech-files/sky130A_mr.drc:36: warning: already initialized constant DRC::DRCEngine::FEOL
+/home/krishna/mpw_precheckmpw6/checks/tech-files/sky130A_mr.drc:28: warning: previous definition of FEOL was here
+/home/krishna/mpw_precheckmpw6/checks/tech-files/sky130A_mr.drc:44: warning: already initialized constant DRC::DRCEngine::BEOL
+/home/krishna/mpw_precheckmpw6/checks/tech-files/sky130A_mr.drc:29: warning: previous definition of BEOL was here
+/home/krishna/mpw_precheckmpw6/checks/tech-files/sky130A_mr.drc:50: warning: already initialized constant DRC::DRCEngine::OFFGRID
+/home/krishna/mpw_precheckmpw6/checks/tech-files/sky130A_mr.drc:30: warning: previous definition of OFFGRID was here
+/home/krishna/mpw_precheckmpw6/checks/tech-files/sky130A_mr.drc:56: warning: already initialized constant DRC::DRCEngine::SEAL
+/home/krishna/mpw_precheckmpw6/checks/tech-files/sky130A_mr.drc:31: warning: previous definition of SEAL was here
+/home/krishna/mpw_precheckmpw6/checks/tech-files/sky130A_mr.drc:62: warning: already initialized constant DRC::DRCEngine::FLOATING_MET
+/home/krishna/mpw_precheckmpw6/checks/tech-files/sky130A_mr.drc:32: warning: previous definition of FLOATING_MET was here
+"input" in: sky130A_mr.drc:112
+    Polygons (raw): 62 (flat)  25 (hierarchical)
+    Elapsed: 0.010s  Memory: 522.00M
+"polygons" in: sky130A_mr.drc:113
+    Polygons (raw): 38 (flat)  30 (hierarchical)
+    Elapsed: 0.000s  Memory: 522.00M
+"polygons" in: sky130A_mr.drc:114
+    Polygons (raw): 89 (flat)  69 (hierarchical)
+    Elapsed: 0.010s  Memory: 522.00M
+"polygons" in: sky130A_mr.drc:115
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 522.00M
+"polygons" in: sky130A_mr.drc:116
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 522.00M
+"polygons" in: sky130A_mr.drc:117
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 522.00M
+"polygons" in: sky130A_mr.drc:118
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 522.00M
+"polygons" in: sky130A_mr.drc:119
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 522.00M
+"polygons" in: sky130A_mr.drc:120
+    Polygons (raw): 10 (flat)  2 (hierarchical)
+    Elapsed: 0.000s  Memory: 522.00M
+"polygons" in: sky130A_mr.drc:121
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 522.00M
+"polygons" in: sky130A_mr.drc:122
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 522.00M
+"polygons" in: sky130A_mr.drc:123
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 522.00M
+"polygons" in: sky130A_mr.drc:124
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 522.00M
+"polygons" in: sky130A_mr.drc:125
+    Polygons (raw): 202 (flat)  116 (hierarchical)
+    Elapsed: 0.010s  Memory: 522.00M
+"polygons" in: sky130A_mr.drc:126
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 522.00M
+"polygons" in: sky130A_mr.drc:127
+    Polygons (raw): 62 (flat)  43 (hierarchical)
+    Elapsed: 0.010s  Memory: 522.00M
+"polygons" in: sky130A_mr.drc:128
+    Polygons (raw): 52 (flat)  26 (hierarchical)
+    Elapsed: 0.000s  Memory: 522.00M
+"polygons" in: sky130A_mr.drc:129
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 522.00M
+"polygons" in: sky130A_mr.drc:130
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 522.00M
+"polygons" in: sky130A_mr.drc:131
+    Polygons (raw): 33 (flat)  24 (hierarchical)
+    Elapsed: 0.010s  Memory: 522.00M
+"polygons" in: sky130A_mr.drc:132
+    Polygons (raw): 907 (flat)  291 (hierarchical)
+    Elapsed: 0.000s  Memory: 522.00M
+"polygons" in: sky130A_mr.drc:134
+    Polygons (raw): 566 (flat)  394 (hierarchical)
+    Elapsed: 0.010s  Memory: 522.00M
+"polygons" in: sky130A_mr.drc:135
+    Polygons (raw): 1756 (flat)  1480 (hierarchical)
+    Elapsed: 0.000s  Memory: 522.00M
+"polygons" in: sky130A_mr.drc:137
+    Polygons (raw): 349 (flat)  299 (hierarchical)
+    Elapsed: 0.010s  Memory: 522.00M
+"polygons" in: sky130A_mr.drc:138
+    Polygons (raw): 2570 (flat)  2570 (hierarchical)
+    Elapsed: 0.000s  Memory: 522.00M
+"polygons" in: sky130A_mr.drc:140
+    Polygons (raw): 603 (flat)  603 (hierarchical)
+    Elapsed: 0.010s  Memory: 522.00M
+"polygons" in: sky130A_mr.drc:141
+    Polygons (raw): 1761 (flat)  1761 (hierarchical)
+    Elapsed: 0.010s  Memory: 522.00M
+"polygons" in: sky130A_mr.drc:143
+    Polygons (raw): 458 (flat)  458 (hierarchical)
+    Elapsed: 0.000s  Memory: 522.00M
+"polygons" in: sky130A_mr.drc:144
+    Polygons (raw): 25800 (flat)  25800 (hierarchical)
+    Elapsed: 0.020s  Memory: 523.00M
+"polygons" in: sky130A_mr.drc:146
+    Polygons (raw): 177 (flat)  177 (hierarchical)
+    Elapsed: 0.000s  Memory: 523.00M
+"polygons" in: sky130A_mr.drc:147
+    Polygons (raw): 552 (flat)  552 (hierarchical)
+    Elapsed: 0.000s  Memory: 523.00M
+"polygons" in: sky130A_mr.drc:149
+    Polygons (raw): 153 (flat)  153 (hierarchical)
+    Elapsed: 0.010s  Memory: 523.00M
+"polygons" in: sky130A_mr.drc:151
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 523.00M
+"polygons" in: sky130A_mr.drc:152
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 523.00M
+"polygons" in: sky130A_mr.drc:153
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 523.00M
+"polygons" in: sky130A_mr.drc:154
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 523.00M
+"polygons" in: sky130A_mr.drc:155
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 523.00M
+"polygons" in: sky130A_mr.drc:156
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 523.00M
+"polygons" in: sky130A_mr.drc:157
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 523.00M
+"polygons" in: sky130A_mr.drc:158
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 523.00M
+"polygons" in: sky130A_mr.drc:159
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 523.00M
+"polygons" in: sky130A_mr.drc:160
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 523.00M
+"polygons" in: sky130A_mr.drc:161
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 523.00M
+"polygons" in: sky130A_mr.drc:162
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 523.00M
+"polygons" in: sky130A_mr.drc:163
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 523.00M
+"polygons" in: sky130A_mr.drc:164
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 523.00M
+"polygons" in: sky130A_mr.drc:165
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 523.00M
+"polygons" in: sky130A_mr.drc:166
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 523.00M
+"polygons" in: sky130A_mr.drc:167
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 523.00M
+"polygons" in: sky130A_mr.drc:168
+    Polygons (raw): 10 (flat)  2 (hierarchical)
+    Elapsed: 0.010s  Memory: 523.00M
+"polygons" in: sky130A_mr.drc:169
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 523.00M
+"polygons" in: sky130A_mr.drc:170
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 523.00M
+"polygons" in: sky130A_mr.drc:171
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 523.00M
+"polygons" in: sky130A_mr.drc:172
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 523.00M
+"polygons" in: sky130A_mr.drc:173
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 523.00M
+"polygons" in: sky130A_mr.drc:174
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 523.00M
+"polygons" in: sky130A_mr.drc:175
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 523.00M
+"polygons" in: sky130A_mr.drc:176
+    Polygons (raw): 1 (flat)  1 (hierarchical)
+    Elapsed: 0.010s  Memory: 523.00M
+"polygons" in: sky130A_mr.drc:177
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 523.00M
+"polygons" in: sky130A_mr.drc:178
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 523.00M
+"polygons" in: sky130A_mr.drc:179
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 523.00M
+"polygons" in: sky130A_mr.drc:180
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 523.00M
+"polygons" in: sky130A_mr.drc:181
+    Polygons (raw): 4 (flat)  3 (hierarchical)
+    Elapsed: 0.000s  Memory: 523.00M
+"polygons" in: sky130A_mr.drc:182
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 523.00M
+"polygons" in: sky130A_mr.drc:183
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 523.00M
+"polygons" in: sky130A_mr.drc:184
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 523.00M
+"polygons" in: sky130A_mr.drc:185
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 523.00M
+"polygons" in: sky130A_mr.drc:186
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 523.00M
+"polygons" in: sky130A_mr.drc:187
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 523.00M
+"polygons" in: sky130A_mr.drc:188
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 523.00M
+"polygons" in: sky130A_mr.drc:189
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 523.00M
+"polygons" in: sky130A_mr.drc:190
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 523.00M
+"polygons" in: sky130A_mr.drc:191
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 523.00M
+"polygons" in: sky130A_mr.drc:192
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 523.00M
+"polygons" in: sky130A_mr.drc:193
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 523.00M
+"polygons" in: sky130A_mr.drc:194
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 523.00M
+"polygons" in: sky130A_mr.drc:195
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 523.00M
+"polygons" in: sky130A_mr.drc:196
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 523.00M
+"polygons" in: sky130A_mr.drc:197
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 523.00M
+"polygons" in: sky130A_mr.drc:198
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 523.00M
+"polygons" in: sky130A_mr.drc:199
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 523.00M
+"polygons" in: sky130A_mr.drc:200
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 523.00M
+"polygons" in: sky130A_mr.drc:201
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 523.00M
+"polygons" in: sky130A_mr.drc:202
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 523.00M
+"polygons" in: sky130A_mr.drc:203
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 523.00M
+DRC section
+FEOL section
+START: 64/18 (dnwell)
+"width" in: sky130A_mr.drc:229
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 523.00M
+"output" in: sky130A_mr.drc:229
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 523.00M
+END: 64/18 (dnwell)
+"input" in: sky130A_mr.drc:233
+    Polygons (raw): 62 (flat)  43 (hierarchical)
+    Elapsed: 0.000s  Memory: 523.00M
+"input" in: sky130A_mr.drc:234
+    Polygons (raw): 52 (flat)  26 (hierarchical)
+    Elapsed: 0.010s  Memory: 523.00M
+"input" in: sky130A_mr.drc:235
+    Polygons (raw): 89 (flat)  69 (hierarchical)
+    Elapsed: 0.000s  Memory: 523.00M
+START: 64/20 (nwell)
+"width" in: sky130A_mr.drc:239
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 523.00M
+"output" in: sky130A_mr.drc:239
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 523.00M
+"space" in: sky130A_mr.drc:240
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 1067.00M
+"output" in: sky130A_mr.drc:240
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 1067.00M
+"merge" in: sky130A_mr.drc:241
+    Polygons (raw): 15 (flat)  14 (hierarchical)
+    Elapsed: 0.010s  Memory: 1067.00M
+"holes" in: sky130A_mr.drc:242
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 1067.00M
+"enclosing" in: sky130A_mr.drc:242
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 1067.00M
+"output" in: sky130A_mr.drc:242
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 1067.00M
+END: 64/20 (nwell)
+START: 78/44 (hvtp)
+"width" in: sky130A_mr.drc:247
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 1067.00M
+"output" in: sky130A_mr.drc:247
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 1067.00M
+"space" in: sky130A_mr.drc:248
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 1067.00M
+"output" in: sky130A_mr.drc:248
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 1067.00M
+END: 78/44 (hvtp)
+START: 18/20 (htvr)
+"width" in: sky130A_mr.drc:253
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 1067.00M
+"output" in: sky130A_mr.drc:253
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 1067.00M
+"separation" in: sky130A_mr.drc:254
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 1067.00M
+"output" in: sky130A_mr.drc:254
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 1067.00M
+"and" in: sky130A_mr.drc:255
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 1067.00M
+"output" in: sky130A_mr.drc:255
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 1067.00M
+END: 18/20 (htvr)
+START: 25/44 (lvtn)
+"width" in: sky130A_mr.drc:260
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 1067.00M
+"output" in: sky130A_mr.drc:260
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 1067.00M
+"space" in: sky130A_mr.drc:261
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 1067.00M
+"output" in: sky130A_mr.drc:261
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 1067.00M
+END: 25/44 (lvtn)
+START: 92/44 (ncm)
+"width" in: sky130A_mr.drc:266
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 1067.00M
+"output" in: sky130A_mr.drc:266
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 1067.00M
+"space" in: sky130A_mr.drc:267
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 1067.00M
+"output" in: sky130A_mr.drc:267
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 1067.00M
+END: 92/44 (ncm)
+START: 65/20 (diff)
+"or" in: sky130A_mr.drc:272
+    Polygons (raw): 68 (flat)  35 (hierarchical)
+    Elapsed: 0.010s  Memory: 1067.00M
+"rectangles" in: sky130A_mr.drc:273
+    Polygons (raw): 31 (flat)  11 (hierarchical)
+    Elapsed: 0.000s  Memory: 1067.00M
+"width" in: sky130A_mr.drc:273
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 1067.00M
+"polygons" in: sky130A_mr.drc:273
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 1067.00M
+"edges" in: sky130A_mr.drc:274
+    Edges: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 1067.00M
+"outside_part" in: sky130A_mr.drc:274
+    Edges: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 1067.00M
+"outside" in: sky130A_mr.drc:274
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 1067.00M
+"edges" in: sky130A_mr.drc:274
+    Edges: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 1067.00M
+"not" in: sky130A_mr.drc:274
+    Edges: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 1067.00M
+"output" in: sky130A_mr.drc:275
+    Edges: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 1067.00M
+"outside" in: sky130A_mr.drc:276
+    Polygons (raw): 48 (flat)  17 (hierarchical)
+    Elapsed: 0.010s  Memory: 1067.00M
+"width" in: sky130A_mr.drc:276
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 1067.00M
+"output" in: sky130A_mr.drc:276
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 1067.00M
+END: 65/20 (diff)
+START: 65/44 (tap)
+"rectangles" in: sky130A_mr.drc:280
+    Polygons (raw): 14 (flat)  14 (hierarchical)
+    Elapsed: 0.010s  Memory: 1067.00M
+"width" in: sky130A_mr.drc:280
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 1067.00M
+"polygons" in: sky130A_mr.drc:280
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 1067.00M
+"edges" in: sky130A_mr.drc:281
+    Edges: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 1067.00M
+"outside_part" in: sky130A_mr.drc:281
+    Edges: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 1067.00M
+"outside" in: sky130A_mr.drc:281
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 1067.00M
+"edges" in: sky130A_mr.drc:281
+    Edges: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 1067.00M
+"not" in: sky130A_mr.drc:281
+    Edges: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 1067.00M
+"output" in: sky130A_mr.drc:282
+    Edges: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 1067.00M
+"not" in: sky130A_mr.drc:283
+    Polygons (raw): 38 (flat)  30 (hierarchical)
+    Elapsed: 0.010s  Memory: 1067.00M
+"width" in: sky130A_mr.drc:283
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 1067.00M
+"output" in: sky130A_mr.drc:283
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 1067.00M
+END: 65/44 (tap)
+"space" in: sky130A_mr.drc:286
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 1067.00M
+"output" in: sky130A_mr.drc:286
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 1067.00M
+START: 80/20 (tunm)
+"width" in: sky130A_mr.drc:290
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 1067.00M
+"output" in: sky130A_mr.drc:290
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 1067.00M
+"space" in: sky130A_mr.drc:291
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 1067.00M
+"output" in: sky130A_mr.drc:291
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 1067.00M
+END: 80/20 (tunm)
+START: 66/20 (poly)
+"width" in: sky130A_mr.drc:296
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 1067.00M
+"output" in: sky130A_mr.drc:296
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 1067.00M
+"not" in: sky130A_mr.drc:297
+    Polygons (raw): 202 (flat)  116 (hierarchical)
+    Elapsed: 0.010s  Memory: 1067.00M
+"space" in: sky130A_mr.drc:297
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 1067.00M
+"output" in: sky130A_mr.drc:297
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 1067.00M
+START: 86/20 (rpm)
+"width" in: sky130A_mr.drc:302
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 1067.00M
+"output" in: sky130A_mr.drc:302
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 1067.00M
+"space" in: sky130A_mr.drc:303
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 1067.00M
+"output" in: sky130A_mr.drc:303
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 1067.00M
+END: 86/20 (rpm)
+START: 79/20 (urpm)
+"width" in: sky130A_mr.drc:308
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 1067.00M
+"output" in: sky130A_mr.drc:308
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 1067.00M
+"space" in: sky130A_mr.drc:309
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 1067.00M
+"output" in: sky130A_mr.drc:309
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 1067.00M
+END: 79/20 (urpm)
+START: 95/20 (npc)
+"width" in: sky130A_mr.drc:314
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 1067.00M
+"output" in: sky130A_mr.drc:314
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 1067.00M
+"space" in: sky130A_mr.drc:315
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 1067.00M
+"output" in: sky130A_mr.drc:315
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 1067.00M
+END: 95/20 (npc)
+START: 93/44 (nsdm)
+"outside" in: sky130A_mr.drc:320
+    Polygons (raw): 34 (flat)  22 (hierarchical)
+    Elapsed: 0.010s  Memory: 1067.00M
+"width" in: sky130A_mr.drc:320
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 1067.00M
+"output" in: sky130A_mr.drc:320
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 1067.00M
+"not" in: sky130A_mr.drc:321
+    Polygons (raw): 62 (flat)  43 (hierarchical)
+    Elapsed: 0.010s  Memory: 1067.00M
+"space" in: sky130A_mr.drc:321
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 1083.00M
+"output" in: sky130A_mr.drc:321
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 1067.00M
+END: 93/44 (nsdm)
+START: 94/20 (psdm)
+"outside" in: sky130A_mr.drc:326
+    Polygons (raw): 28 (flat)  16 (hierarchical)
+    Elapsed: 0.010s  Memory: 1067.00M
+"width" in: sky130A_mr.drc:326
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 1067.00M
+"output" in: sky130A_mr.drc:326
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 1067.00M
+"not" in: sky130A_mr.drc:327
+    Polygons (raw): 52 (flat)  26 (hierarchical)
+    Elapsed: 0.000s  Memory: 1067.00M
+"space" in: sky130A_mr.drc:327
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 1067.00M
+"output" in: sky130A_mr.drc:327
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 1067.00M
+END: 94/20 (psdm)
+START: 66/44 (licon)
+"not" in: sky130A_mr.drc:338
+    Polygons (raw): 359 (flat)  106 (hierarchical)
+    Elapsed: 0.000s  Memory: 1067.00M
+"and" in: sky130A_mr.drc:339
+    Polygons (raw): 743 (flat)  236 (hierarchical)
+    Elapsed: 0.010s  Memory: 1067.00M
+"interacting" in: sky130A_mr.drc:339
+    Polygons (raw): 743 (flat)  236 (hierarchical)
+    Elapsed: 0.020s  Memory: 1067.00M
+"not" in: sky130A_mr.drc:340
+    Polygons (raw): 743 (flat)  236 (hierarchical)
+    Elapsed: 0.000s  Memory: 1067.00M
+"non_rectangles" in: sky130A_mr.drc:341
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 1067.00M
+"output" in: sky130A_mr.drc:341
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 1067.00M
+"or" in: sky130A_mr.drc:342
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 1067.00M
+"not" in: sky130A_mr.drc:342
+    Polygons (raw): 907 (flat)  291 (hierarchical)
+    Elapsed: 0.000s  Memory: 1067.00M
+"edges" in: sky130A_mr.drc:342
+    Edges: 3628 (flat)  1164 (hierarchical)
+    Elapsed: 0.000s  Memory: 1067.00M
+"without_length" in: sky130A_mr.drc:342
+    Edges: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 1067.00M
+"output" in: sky130A_mr.drc:342
+    Edges: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 1067.00M
+"separation" in: sky130A_mr.drc:343
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 1067.00M
+"output" in: sky130A_mr.drc:343
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 1067.00M
+"and" in: sky130A_mr.drc:344
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 1067.00M
+"output" in: sky130A_mr.drc:344
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 1067.00M
+"interacting" in: sky130A_mr.drc:345
+    Polygons (raw): 164 (flat)  55 (hierarchical)
+    Elapsed: 0.000s  Memory: 1067.00M
+"interacting" in: sky130A_mr.drc:345
+    Polygons (raw): 743 (flat)  236 (hierarchical)
+    Elapsed: 0.010s  Memory: 1067.00M
+"and" in: sky130A_mr.drc:345
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 1067.00M
+"output" in: sky130A_mr.drc:345
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 1067.00M
+END: 66/44 (licon)
+START: 89/44 (capm)
+"and" in: sky130A_mr.drc:350
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 1067.00M
+"sized" in: sky130A_mr.drc:350
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 1067.00M
+"width" in: sky130A_mr.drc:351
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 1067.00M
+"output" in: sky130A_mr.drc:351
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 1067.00M
+"space" in: sky130A_mr.drc:352
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 1067.00M
+"output" in: sky130A_mr.drc:352
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 1067.00M
+"interacting" in: sky130A_mr.drc:353
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 1067.00M
+"isolated" in: sky130A_mr.drc:353
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 1067.00M
+"output" in: sky130A_mr.drc:353
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 1067.00M
+"isolated" in: sky130A_mr.drc:354
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 1067.00M
+"output" in: sky130A_mr.drc:354
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 1067.00M
+"and" in: sky130A_mr.drc:355
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 1067.00M
+"enclosing" in: sky130A_mr.drc:355
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 1067.00M
+"output" in: sky130A_mr.drc:355
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 1067.00M
+"enclosing" in: sky130A_mr.drc:356
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 1067.00M
+"output" in: sky130A_mr.drc:356
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 1067.00M
+"enclosing" in: sky130A_mr.drc:357
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 1075.00M
+"output" in: sky130A_mr.drc:357
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 1067.00M
+"separation" in: sky130A_mr.drc:358
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 1067.00M
+"output" in: sky130A_mr.drc:358
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 1067.00M
+END: 89/44 (capm)
+START: 97/44 (cap2m)
+"and" in: sky130A_mr.drc:363
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 1067.00M
+"sized" in: sky130A_mr.drc:363
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 1067.00M
+"width" in: sky130A_mr.drc:364
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 1067.00M
+"output" in: sky130A_mr.drc:364
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 1067.00M
+"space" in: sky130A_mr.drc:365
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 1067.00M
+"output" in: sky130A_mr.drc:365
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 1067.00M
+"interacting" in: sky130A_mr.drc:366
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 1067.00M
+"isolated" in: sky130A_mr.drc:366
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 1075.00M
+"output" in: sky130A_mr.drc:366
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 1067.00M
+"isolated" in: sky130A_mr.drc:368
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 1067.00M
+"output" in: sky130A_mr.drc:368
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 1067.00M
+"and" in: sky130A_mr.drc:369
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 1067.00M
+"enclosing" in: sky130A_mr.drc:369
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 1067.00M
+"output" in: sky130A_mr.drc:369
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 1067.00M
+"enclosing" in: sky130A_mr.drc:370
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 1067.00M
+"output" in: sky130A_mr.drc:370
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 1067.00M
+"enclosing" in: sky130A_mr.drc:371
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 1067.00M
+"output" in: sky130A_mr.drc:371
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 1067.00M
+"separation" in: sky130A_mr.drc:372
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 1067.00M
+"output" in: sky130A_mr.drc:372
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 1067.00M
+END: 97/44 (cap2m)
+FEOL section
+START: 75/20 (hvi)
+"not" in: sky130A_mr.drc:672
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 1067.00M
+"width" in: sky130A_mr.drc:673
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 1067.00M
+"output" in: sky130A_mr.drc:673
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 1067.00M
+"space" in: sky130A_mr.drc:674
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 1067.00M
+"output" in: sky130A_mr.drc:674
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 1067.00M
+END: 75/20 (hvi)
+START: 125/20 (hvntm)
+"not" in: sky130A_mr.drc:679
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 1067.00M
+"width" in: sky130A_mr.drc:680
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 1067.00M
+"output" in: sky130A_mr.drc:680
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 1067.00M
+"space" in: sky130A_mr.drc:681
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 1067.00M
+"output" in: sky130A_mr.drc:681
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 1067.00M
+END: 125/20 (hvntm)
+Writing report database: /home/krishna/Comparator_MPW6/precheck_results/04_JUN_2022___09_24_39/outputs/reports/klayout_feol_check.xml ..
+Total elapsed: 1.420s  Memory: 1067.00M
diff --git a/precheck_results/04_JUN_2022___09_24_39/logs/klayout_feol_check.total b/precheck_results/04_JUN_2022___09_24_39/logs/klayout_feol_check.total
new file mode 100644
index 0000000..c227083
--- /dev/null
+++ b/precheck_results/04_JUN_2022___09_24_39/logs/klayout_feol_check.total
@@ -0,0 +1 @@
+0
\ No newline at end of file
diff --git a/precheck_results/04_JUN_2022___09_24_39/logs/klayout_met_min_ca_density_check.log b/precheck_results/04_JUN_2022___09_24_39/logs/klayout_met_min_ca_density_check.log
new file mode 100644
index 0000000..a517bcb
--- /dev/null
+++ b/precheck_results/04_JUN_2022___09_24_39/logs/klayout_met_min_ca_density_check.log
@@ -0,0 +1,79 @@
+"polygons" in: met_min_ca_density.lydrc:35
+    Polygons (raw): 616 (flat)  404 (hierarchical)
+    Elapsed: 0.010s  Memory: 522.00M
+"polygons" in: met_min_ca_density.lydrc:36
+    Polygons (raw): 1756 (flat)  1480 (hierarchical)
+    Elapsed: 0.010s  Memory: 522.00M
+"polygons" in: met_min_ca_density.lydrc:38
+    Polygons (raw): 369 (flat)  303 (hierarchical)
+    Elapsed: 0.000s  Memory: 522.00M
+"polygons" in: met_min_ca_density.lydrc:39
+    Polygons (raw): 2570 (flat)  2570 (hierarchical)
+    Elapsed: 0.010s  Memory: 522.00M
+"polygons" in: met_min_ca_density.lydrc:41
+    Polygons (raw): 1097 (flat)  1097 (hierarchical)
+    Elapsed: 0.000s  Memory: 522.00M
+"polygons" in: met_min_ca_density.lydrc:42
+    Polygons (raw): 1761 (flat)  1761 (hierarchical)
+    Elapsed: 0.010s  Memory: 522.00M
+"polygons" in: met_min_ca_density.lydrc:44
+    Polygons (raw): 642 (flat)  642 (hierarchical)
+    Elapsed: 0.000s  Memory: 522.00M
+"polygons" in: met_min_ca_density.lydrc:45
+    Polygons (raw): 25800 (flat)  25800 (hierarchical)
+    Elapsed: 0.020s  Memory: 523.00M
+"polygons" in: met_min_ca_density.lydrc:47
+    Polygons (raw): 181 (flat)  181 (hierarchical)
+    Elapsed: 0.000s  Memory: 523.00M
+"polygons" in: met_min_ca_density.lydrc:48
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 523.00M
+"polygons" in: met_min_ca_density.lydrc:49
+    Polygons (raw): 552 (flat)  552 (hierarchical)
+    Elapsed: 0.010s  Memory: 523.00M
+"polygons" in: met_min_ca_density.lydrc:51
+    Polygons (raw): 159 (flat)  159 (hierarchical)
+    Elapsed: 0.000s  Memory: 523.00M
+"input" in: met_min_ca_density.lydrc:53
+    Polygons (raw): 5 (flat)  4 (hierarchical)
+    Elapsed: 0.010s  Memory: 523.00M
+"area" in: met_min_ca_density.lydrc:55
+    Elapsed: 0.000s  Memory: 523.00M
+"polygons" in: met_min_ca_density.lydrc:59
+    Polygons (raw): 616 (flat)  404 (hierarchical)
+    Elapsed: 0.010s  Memory: 523.00M
+"area" in: met_min_ca_density.lydrc:59
+    Elapsed: 0.000s  Memory: 523.00M
+li1_ca_density is 0.9998144907840715
+"polygons" in: met_min_ca_density.lydrc:69
+    Polygons (raw): 369 (flat)  303 (hierarchical)
+    Elapsed: 0.010s  Memory: 523.00M
+"area" in: met_min_ca_density.lydrc:69
+    Elapsed: 0.010s  Memory: 523.00M
+m1_ca_density is 0.9997226470316392
+"polygons" in: met_min_ca_density.lydrc:79
+    Polygons (raw): 1097 (flat)  1097 (hierarchical)
+    Elapsed: 0.000s  Memory: 523.00M
+"area" in: met_min_ca_density.lydrc:79
+    Elapsed: 0.010s  Memory: 523.00M
+m2_ca_density is 0.999675358061566
+"polygons" in: met_min_ca_density.lydrc:89
+    Polygons (raw): 642 (flat)  642 (hierarchical)
+    Elapsed: 0.010s  Memory: 523.00M
+"area" in: met_min_ca_density.lydrc:89
+    Elapsed: 0.000s  Memory: 523.00M
+m3_ca_density is 0.9840555168265489
+"polygons" in: met_min_ca_density.lydrc:99
+    Polygons (raw): 181 (flat)  181 (hierarchical)
+    Elapsed: 0.010s  Memory: 523.00M
+"area" in: met_min_ca_density.lydrc:99
+    Elapsed: 0.000s  Memory: 523.00M
+m4_ca_density is 0.9791221846007161
+"polygons" in: met_min_ca_density.lydrc:109
+    Polygons (raw): 159 (flat)  159 (hierarchical)
+    Elapsed: 0.010s  Memory: 523.00M
+"area" in: met_min_ca_density.lydrc:109
+    Elapsed: 0.010s  Memory: 523.00M
+m5_ca_density is 0.9695933055825809
+Writing report database: /home/krishna/Comparator_MPW6/precheck_results/04_JUN_2022___09_24_39/outputs/reports/klayout_met_min_ca_density_check.xml ..
+Total elapsed: 0.180s  Memory: 522.00M
diff --git a/precheck_results/04_JUN_2022___09_24_39/logs/klayout_met_min_ca_density_check.total b/precheck_results/04_JUN_2022___09_24_39/logs/klayout_met_min_ca_density_check.total
new file mode 100644
index 0000000..c227083
--- /dev/null
+++ b/precheck_results/04_JUN_2022___09_24_39/logs/klayout_met_min_ca_density_check.total
@@ -0,0 +1 @@
+0
\ No newline at end of file
diff --git a/precheck_results/04_JUN_2022___09_24_39/logs/klayout_offgrid_check.log b/precheck_results/04_JUN_2022___09_24_39/logs/klayout_offgrid_check.log
new file mode 100644
index 0000000..1d342a0
--- /dev/null
+++ b/precheck_results/04_JUN_2022___09_24_39/logs/klayout_offgrid_check.log
@@ -0,0 +1,755 @@
+/home/krishna/mpw_precheckmpw6/checks/tech-files/sky130A_mr.drc:38: warning: already initialized constant DRC::DRCEngine::FEOL
+/home/krishna/mpw_precheckmpw6/checks/tech-files/sky130A_mr.drc:28: warning: previous definition of FEOL was here
+/home/krishna/mpw_precheckmpw6/checks/tech-files/sky130A_mr.drc:44: warning: already initialized constant DRC::DRCEngine::BEOL
+/home/krishna/mpw_precheckmpw6/checks/tech-files/sky130A_mr.drc:29: warning: previous definition of BEOL was here
+/home/krishna/mpw_precheckmpw6/checks/tech-files/sky130A_mr.drc:48: warning: already initialized constant DRC::DRCEngine::OFFGRID
+/home/krishna/mpw_precheckmpw6/checks/tech-files/sky130A_mr.drc:30: warning: previous definition of OFFGRID was here
+/home/krishna/mpw_precheckmpw6/checks/tech-files/sky130A_mr.drc:56: warning: already initialized constant DRC::DRCEngine::SEAL
+/home/krishna/mpw_precheckmpw6/checks/tech-files/sky130A_mr.drc:31: warning: previous definition of SEAL was here
+/home/krishna/mpw_precheckmpw6/checks/tech-files/sky130A_mr.drc:62: warning: already initialized constant DRC::DRCEngine::FLOATING_MET
+/home/krishna/mpw_precheckmpw6/checks/tech-files/sky130A_mr.drc:32: warning: previous definition of FLOATING_MET was here
+"input" in: sky130A_mr.drc:112
+    Polygons (raw): 62 (flat)  25 (hierarchical)
+    Elapsed: 0.020s  Memory: 522.00M
+"polygons" in: sky130A_mr.drc:113
+    Polygons (raw): 38 (flat)  30 (hierarchical)
+    Elapsed: 0.000s  Memory: 522.00M
+"polygons" in: sky130A_mr.drc:114
+    Polygons (raw): 89 (flat)  69 (hierarchical)
+    Elapsed: 0.010s  Memory: 522.00M
+"polygons" in: sky130A_mr.drc:115
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 522.00M
+"polygons" in: sky130A_mr.drc:116
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 522.00M
+"polygons" in: sky130A_mr.drc:117
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 522.00M
+"polygons" in: sky130A_mr.drc:118
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 522.00M
+"polygons" in: sky130A_mr.drc:119
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 522.00M
+"polygons" in: sky130A_mr.drc:120
+    Polygons (raw): 10 (flat)  2 (hierarchical)
+    Elapsed: 0.000s  Memory: 522.00M
+"polygons" in: sky130A_mr.drc:121
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 522.00M
+"polygons" in: sky130A_mr.drc:122
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 522.00M
+"polygons" in: sky130A_mr.drc:123
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 522.00M
+"polygons" in: sky130A_mr.drc:124
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 522.00M
+"polygons" in: sky130A_mr.drc:125
+    Polygons (raw): 202 (flat)  116 (hierarchical)
+    Elapsed: 0.010s  Memory: 522.00M
+"polygons" in: sky130A_mr.drc:126
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 522.00M
+"polygons" in: sky130A_mr.drc:127
+    Polygons (raw): 62 (flat)  43 (hierarchical)
+    Elapsed: 0.010s  Memory: 522.00M
+"polygons" in: sky130A_mr.drc:128
+    Polygons (raw): 52 (flat)  26 (hierarchical)
+    Elapsed: 0.000s  Memory: 522.00M
+"polygons" in: sky130A_mr.drc:129
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 522.00M
+"polygons" in: sky130A_mr.drc:130
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 522.00M
+"polygons" in: sky130A_mr.drc:131
+    Polygons (raw): 33 (flat)  24 (hierarchical)
+    Elapsed: 0.010s  Memory: 522.00M
+"polygons" in: sky130A_mr.drc:132
+    Polygons (raw): 907 (flat)  291 (hierarchical)
+    Elapsed: 0.000s  Memory: 522.00M
+"polygons" in: sky130A_mr.drc:134
+    Polygons (raw): 566 (flat)  394 (hierarchical)
+    Elapsed: 0.010s  Memory: 522.00M
+"polygons" in: sky130A_mr.drc:135
+    Polygons (raw): 1756 (flat)  1480 (hierarchical)
+    Elapsed: 0.000s  Memory: 522.00M
+"polygons" in: sky130A_mr.drc:137
+    Polygons (raw): 349 (flat)  299 (hierarchical)
+    Elapsed: 0.010s  Memory: 522.00M
+"polygons" in: sky130A_mr.drc:138
+    Polygons (raw): 2570 (flat)  2570 (hierarchical)
+    Elapsed: 0.010s  Memory: 522.00M
+"polygons" in: sky130A_mr.drc:140
+    Polygons (raw): 603 (flat)  603 (hierarchical)
+    Elapsed: 0.000s  Memory: 522.00M
+"polygons" in: sky130A_mr.drc:141
+    Polygons (raw): 1761 (flat)  1761 (hierarchical)
+    Elapsed: 0.010s  Memory: 522.00M
+"polygons" in: sky130A_mr.drc:143
+    Polygons (raw): 458 (flat)  458 (hierarchical)
+    Elapsed: 0.000s  Memory: 522.00M
+"polygons" in: sky130A_mr.drc:144
+    Polygons (raw): 25800 (flat)  25800 (hierarchical)
+    Elapsed: 0.020s  Memory: 523.00M
+"polygons" in: sky130A_mr.drc:146
+    Polygons (raw): 177 (flat)  177 (hierarchical)
+    Elapsed: 0.000s  Memory: 523.00M
+"polygons" in: sky130A_mr.drc:147
+    Polygons (raw): 552 (flat)  552 (hierarchical)
+    Elapsed: 0.010s  Memory: 523.00M
+"polygons" in: sky130A_mr.drc:149
+    Polygons (raw): 153 (flat)  153 (hierarchical)
+    Elapsed: 0.010s  Memory: 523.00M
+"polygons" in: sky130A_mr.drc:151
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 523.00M
+"polygons" in: sky130A_mr.drc:152
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 523.00M
+"polygons" in: sky130A_mr.drc:153
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 523.00M
+"polygons" in: sky130A_mr.drc:154
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 523.00M
+"polygons" in: sky130A_mr.drc:155
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 523.00M
+"polygons" in: sky130A_mr.drc:156
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 523.00M
+"polygons" in: sky130A_mr.drc:157
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 523.00M
+"polygons" in: sky130A_mr.drc:158
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 523.00M
+"polygons" in: sky130A_mr.drc:159
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 523.00M
+"polygons" in: sky130A_mr.drc:160
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 523.00M
+"polygons" in: sky130A_mr.drc:161
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 523.00M
+"polygons" in: sky130A_mr.drc:162
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 523.00M
+"polygons" in: sky130A_mr.drc:163
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 523.00M
+"polygons" in: sky130A_mr.drc:164
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 523.00M
+"polygons" in: sky130A_mr.drc:165
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 523.00M
+"polygons" in: sky130A_mr.drc:166
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 523.00M
+"polygons" in: sky130A_mr.drc:167
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 523.00M
+"polygons" in: sky130A_mr.drc:168
+    Polygons (raw): 10 (flat)  2 (hierarchical)
+    Elapsed: 0.000s  Memory: 523.00M
+"polygons" in: sky130A_mr.drc:169
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 523.00M
+"polygons" in: sky130A_mr.drc:170
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 523.00M
+"polygons" in: sky130A_mr.drc:171
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 523.00M
+"polygons" in: sky130A_mr.drc:172
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 523.00M
+"polygons" in: sky130A_mr.drc:173
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 523.00M
+"polygons" in: sky130A_mr.drc:174
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 523.00M
+"polygons" in: sky130A_mr.drc:175
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 523.00M
+"polygons" in: sky130A_mr.drc:176
+    Polygons (raw): 1 (flat)  1 (hierarchical)
+    Elapsed: 0.000s  Memory: 523.00M
+"polygons" in: sky130A_mr.drc:177
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 523.00M
+"polygons" in: sky130A_mr.drc:178
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 523.00M
+"polygons" in: sky130A_mr.drc:179
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 523.00M
+"polygons" in: sky130A_mr.drc:180
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 523.00M
+"polygons" in: sky130A_mr.drc:181
+    Polygons (raw): 4 (flat)  3 (hierarchical)
+    Elapsed: 0.010s  Memory: 523.00M
+"polygons" in: sky130A_mr.drc:182
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 523.00M
+"polygons" in: sky130A_mr.drc:183
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 523.00M
+"polygons" in: sky130A_mr.drc:184
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 523.00M
+"polygons" in: sky130A_mr.drc:185
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 523.00M
+"polygons" in: sky130A_mr.drc:186
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 523.00M
+"polygons" in: sky130A_mr.drc:187
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 523.00M
+"polygons" in: sky130A_mr.drc:188
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 523.00M
+"polygons" in: sky130A_mr.drc:189
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 523.00M
+"polygons" in: sky130A_mr.drc:190
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 523.00M
+"polygons" in: sky130A_mr.drc:191
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 523.00M
+"polygons" in: sky130A_mr.drc:192
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 523.00M
+"polygons" in: sky130A_mr.drc:193
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 523.00M
+"polygons" in: sky130A_mr.drc:194
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 523.00M
+"polygons" in: sky130A_mr.drc:195
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 523.00M
+"polygons" in: sky130A_mr.drc:196
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 523.00M
+"polygons" in: sky130A_mr.drc:197
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 523.00M
+"polygons" in: sky130A_mr.drc:198
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 523.00M
+"polygons" in: sky130A_mr.drc:199
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 523.00M
+"polygons" in: sky130A_mr.drc:200
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 523.00M
+"polygons" in: sky130A_mr.drc:201
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 523.00M
+"polygons" in: sky130A_mr.drc:202
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 523.00M
+"polygons" in: sky130A_mr.drc:203
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 523.00M
+DRC section
+OFFGRID-ANGLES section
+"ongrid" in: sky130A_mr.drc:690
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 523.00M
+"output" in: sky130A_mr.drc:690
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 523.00M
+"with_angle" in: sky130A_mr.drc:691
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 523.00M
+"output" in: sky130A_mr.drc:691
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 523.00M
+"ongrid" in: sky130A_mr.drc:692
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 523.00M
+"output" in: sky130A_mr.drc:692
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 523.00M
+"with_angle" in: sky130A_mr.drc:693
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 523.00M
+"output" in: sky130A_mr.drc:693
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 523.00M
+"ongrid" in: sky130A_mr.drc:694
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 523.00M
+"output" in: sky130A_mr.drc:694
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 523.00M
+"with_angle" in: sky130A_mr.drc:695
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 523.00M
+"output" in: sky130A_mr.drc:695
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 523.00M
+"ongrid" in: sky130A_mr.drc:696
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 523.00M
+"output" in: sky130A_mr.drc:696
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 523.00M
+"with_angle" in: sky130A_mr.drc:697
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 523.00M
+"output" in: sky130A_mr.drc:697
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 523.00M
+"ongrid" in: sky130A_mr.drc:698
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 523.00M
+"output" in: sky130A_mr.drc:698
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 523.00M
+"with_angle" in: sky130A_mr.drc:699
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 523.00M
+"output" in: sky130A_mr.drc:699
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 523.00M
+"ongrid" in: sky130A_mr.drc:700
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 523.00M
+"output" in: sky130A_mr.drc:700
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 523.00M
+"with_angle" in: sky130A_mr.drc:701
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 523.00M
+"output" in: sky130A_mr.drc:701
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 523.00M
+"ongrid" in: sky130A_mr.drc:702
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 523.00M
+"output" in: sky130A_mr.drc:702
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 523.00M
+"with_angle" in: sky130A_mr.drc:703
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 523.00M
+"output" in: sky130A_mr.drc:703
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 523.00M
+"ongrid" in: sky130A_mr.drc:704
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 523.00M
+"output" in: sky130A_mr.drc:704
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 523.00M
+"with_angle" in: sky130A_mr.drc:705
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 523.00M
+"output" in: sky130A_mr.drc:705
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 523.00M
+"ongrid" in: sky130A_mr.drc:706
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 523.00M
+"output" in: sky130A_mr.drc:706
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 523.00M
+"ongrid" in: sky130A_mr.drc:707
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 523.00M
+"output" in: sky130A_mr.drc:707
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 523.00M
+"and" in: sky130A_mr.drc:708
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 523.00M
+"not" in: sky130A_mr.drc:708
+    Polygons (raw): 62 (flat)  25 (hierarchical)
+    Elapsed: 0.000s  Memory: 523.00M
+"with_angle" in: sky130A_mr.drc:708
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 523.00M
+"output" in: sky130A_mr.drc:708
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 523.00M
+"and" in: sky130A_mr.drc:709
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 523.00M
+"and" in: sky130A_mr.drc:709
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 523.00M
+"with_angle" in: sky130A_mr.drc:709
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 523.00M
+"output" in: sky130A_mr.drc:709
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 523.00M
+"and" in: sky130A_mr.drc:710
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 523.00M
+"not" in: sky130A_mr.drc:710
+    Polygons (raw): 38 (flat)  30 (hierarchical)
+    Elapsed: 0.010s  Memory: 523.00M
+"with_angle" in: sky130A_mr.drc:710
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 523.00M
+"output" in: sky130A_mr.drc:710
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 523.00M
+"and" in: sky130A_mr.drc:711
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 523.00M
+"and" in: sky130A_mr.drc:711
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 523.00M
+"with_angle" in: sky130A_mr.drc:711
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 523.00M
+"output" in: sky130A_mr.drc:711
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 523.00M
+"ongrid" in: sky130A_mr.drc:712
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 523.00M
+"output" in: sky130A_mr.drc:712
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 523.00M
+"with_angle" in: sky130A_mr.drc:713
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 523.00M
+"output" in: sky130A_mr.drc:713
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 523.00M
+"ongrid" in: sky130A_mr.drc:714
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 523.00M
+"output" in: sky130A_mr.drc:714
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 523.00M
+"with_angle" in: sky130A_mr.drc:715
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 523.00M
+"output" in: sky130A_mr.drc:715
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 523.00M
+"ongrid" in: sky130A_mr.drc:716
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 523.00M
+"output" in: sky130A_mr.drc:716
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 523.00M
+"with_angle" in: sky130A_mr.drc:717
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 523.00M
+"output" in: sky130A_mr.drc:717
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 523.00M
+"ongrid" in: sky130A_mr.drc:718
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 523.00M
+"output" in: sky130A_mr.drc:718
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 523.00M
+"with_angle" in: sky130A_mr.drc:719
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 523.00M
+"output" in: sky130A_mr.drc:719
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 523.00M
+"ongrid" in: sky130A_mr.drc:720
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 523.00M
+"output" in: sky130A_mr.drc:720
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 523.00M
+"with_angle" in: sky130A_mr.drc:721
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 523.00M
+"output" in: sky130A_mr.drc:721
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 523.00M
+"ongrid" in: sky130A_mr.drc:722
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 523.00M
+"output" in: sky130A_mr.drc:722
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 523.00M
+"with_angle" in: sky130A_mr.drc:723
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 523.00M
+"output" in: sky130A_mr.drc:723
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 523.00M
+"ongrid" in: sky130A_mr.drc:724
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 523.00M
+"output" in: sky130A_mr.drc:724
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 523.00M
+"with_angle" in: sky130A_mr.drc:725
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 523.00M
+"output" in: sky130A_mr.drc:725
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 523.00M
+"ongrid" in: sky130A_mr.drc:726
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 523.00M
+"output" in: sky130A_mr.drc:726
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 523.00M
+"with_angle" in: sky130A_mr.drc:727
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 523.00M
+"output" in: sky130A_mr.drc:727
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 523.00M
+"ongrid" in: sky130A_mr.drc:728
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.020s  Memory: 523.00M
+"output" in: sky130A_mr.drc:728
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 523.00M
+"with_angle" in: sky130A_mr.drc:729
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 523.00M
+"output" in: sky130A_mr.drc:729
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 523.00M
+"ongrid" in: sky130A_mr.drc:730
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 523.00M
+"output" in: sky130A_mr.drc:730
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 523.00M
+"with_angle" in: sky130A_mr.drc:731
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 523.00M
+"output" in: sky130A_mr.drc:731
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 523.00M
+"ongrid" in: sky130A_mr.drc:732
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 523.00M
+"output" in: sky130A_mr.drc:732
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 523.00M
+"with_angle" in: sky130A_mr.drc:733
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 523.00M
+"output" in: sky130A_mr.drc:733
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 523.00M
+"ongrid" in: sky130A_mr.drc:734
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.020s  Memory: 524.00M
+"output" in: sky130A_mr.drc:734
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 524.00M
+"with_angle" in: sky130A_mr.drc:735
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 524.00M
+"output" in: sky130A_mr.drc:735
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 524.00M
+"ongrid" in: sky130A_mr.drc:736
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 524.00M
+"output" in: sky130A_mr.drc:736
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 524.00M
+"with_angle" in: sky130A_mr.drc:737
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 524.00M
+"output" in: sky130A_mr.drc:737
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 524.00M
+"ongrid" in: sky130A_mr.drc:738
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.020s  Memory: 524.00M
+"output" in: sky130A_mr.drc:738
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 524.00M
+"with_angle" in: sky130A_mr.drc:739
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 524.00M
+"output" in: sky130A_mr.drc:739
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 524.00M
+"ongrid" in: sky130A_mr.drc:740
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 524.00M
+"output" in: sky130A_mr.drc:740
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 524.00M
+"with_angle" in: sky130A_mr.drc:741
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 524.00M
+"output" in: sky130A_mr.drc:741
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 524.00M
+"ongrid" in: sky130A_mr.drc:742
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.140s  Memory: 530.00M
+"output" in: sky130A_mr.drc:742
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 530.00M
+"with_angle" in: sky130A_mr.drc:743
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.020s  Memory: 530.00M
+"output" in: sky130A_mr.drc:743
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 530.00M
+"ongrid" in: sky130A_mr.drc:744
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 530.00M
+"output" in: sky130A_mr.drc:744
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 530.00M
+"with_angle" in: sky130A_mr.drc:745
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 530.00M
+"output" in: sky130A_mr.drc:745
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 530.00M
+"ongrid" in: sky130A_mr.drc:746
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 530.00M
+"output" in: sky130A_mr.drc:746
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 530.00M
+"with_angle" in: sky130A_mr.drc:747
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 530.00M
+"output" in: sky130A_mr.drc:747
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 530.00M
+"ongrid" in: sky130A_mr.drc:748
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 530.00M
+"output" in: sky130A_mr.drc:748
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 530.00M
+"with_angle" in: sky130A_mr.drc:749
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 530.00M
+"output" in: sky130A_mr.drc:749
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 530.00M
+"ongrid" in: sky130A_mr.drc:750
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 530.00M
+"output" in: sky130A_mr.drc:750
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 530.00M
+"with_angle" in: sky130A_mr.drc:751
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 530.00M
+"output" in: sky130A_mr.drc:751
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 530.00M
+"ongrid" in: sky130A_mr.drc:752
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 530.00M
+"output" in: sky130A_mr.drc:752
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 530.00M
+"with_angle" in: sky130A_mr.drc:753
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 530.00M
+"output" in: sky130A_mr.drc:753
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 530.00M
+"ongrid" in: sky130A_mr.drc:754
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 530.00M
+"output" in: sky130A_mr.drc:754
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 530.00M
+"with_angle" in: sky130A_mr.drc:755
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 530.00M
+"output" in: sky130A_mr.drc:755
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 530.00M
+"ongrid" in: sky130A_mr.drc:756
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 530.00M
+"output" in: sky130A_mr.drc:756
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 530.00M
+"with_angle" in: sky130A_mr.drc:757
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 530.00M
+"output" in: sky130A_mr.drc:757
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 530.00M
+"ongrid" in: sky130A_mr.drc:758
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 530.00M
+"output" in: sky130A_mr.drc:758
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 530.00M
+"with_angle" in: sky130A_mr.drc:759
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 530.00M
+"output" in: sky130A_mr.drc:759
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 530.00M
+"ongrid" in: sky130A_mr.drc:760
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 530.00M
+"output" in: sky130A_mr.drc:760
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 530.00M
+"with_angle" in: sky130A_mr.drc:761
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 530.00M
+"output" in: sky130A_mr.drc:761
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 530.00M
+"ongrid" in: sky130A_mr.drc:762
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 530.00M
+"output" in: sky130A_mr.drc:762
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 530.00M
+"with_angle" in: sky130A_mr.drc:763
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 530.00M
+"output" in: sky130A_mr.drc:763
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 530.00M
+"ongrid" in: sky130A_mr.drc:764
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 530.00M
+"output" in: sky130A_mr.drc:764
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 530.00M
+"with_angle" in: sky130A_mr.drc:765
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 530.00M
+"output" in: sky130A_mr.drc:765
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 530.00M
+"ongrid" in: sky130A_mr.drc:766
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 530.00M
+"output" in: sky130A_mr.drc:766
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 530.00M
+Writing report database: /home/krishna/Comparator_MPW6/precheck_results/04_JUN_2022___09_24_39/outputs/reports/klayout_offgrid_check.xml ..
+Total elapsed: 1.470s  Memory: 530.00M
diff --git a/precheck_results/04_JUN_2022___09_24_39/logs/klayout_offgrid_check.total b/precheck_results/04_JUN_2022___09_24_39/logs/klayout_offgrid_check.total
new file mode 100644
index 0000000..c227083
--- /dev/null
+++ b/precheck_results/04_JUN_2022___09_24_39/logs/klayout_offgrid_check.total
@@ -0,0 +1 @@
+0
\ No newline at end of file
diff --git a/precheck_results/04_JUN_2022___09_24_39/logs/klayout_pin_label_purposes_overlapping_drawing_check.log b/precheck_results/04_JUN_2022___09_24_39/logs/klayout_pin_label_purposes_overlapping_drawing_check.log
new file mode 100644
index 0000000..1313438
--- /dev/null
+++ b/precheck_results/04_JUN_2022___09_24_39/logs/klayout_pin_label_purposes_overlapping_drawing_check.log
@@ -0,0 +1,29 @@
+Running pin_label_purposes_overlapping_drawing.rb.drc on file=/home/krishna/Comparator_MPW6/gds/user_analog_project_wrapper.gds, topcell=user_analog_project_wrapper, output to /home/krishna/Comparator_MPW6/precheck_results/04_JUN_2022___09_24_39/outputs/reports/klayout_pin_label_purposes_overlapping_drawing_check.xml
+  deep:true tiled:false threads:12
+--- #err|description, table for cell: user_analog_project_wrapper
+NO-Check ----        pwell:64/44/EMP   122/16/dat    64/59/EMP    44/16/EMP     44/5/EMP
+         ----        nwell:64/20/dat    64/16/dat     64/5/EMP
+         ----         diff:65/20/dat    65/16/EMP     65/6/EMP
+         ----          tap:65/44/dat    65/48/EMP     65/5/EMP
+         ----         poly:66/20/dat    66/16/EMP     66/5/EMP
+         ----       licon1:66/44/dat    66/58/EMP
+         ----          li1:67/20/dat    67/16/dat     67/5/EMP
+         ----         mcon:67/44/dat    67/48/EMP
+         ----         met1:68/20/dat    68/16/dat     68/5/EMP
+         ----          via:68/44/dat    68/58/EMP
+         ----         met2:69/20/dat    69/16/dat     69/5/EMP
+         ----         via2:69/44/dat    69/58/EMP
+         ----         met3:70/20/dat    70/16/dat     70/5/EMP
+         ----         via3:70/44/dat    70/48/EMP
+         ----         met4:71/20/dat    71/16/dat     71/5/EMP
+         ----         via4:71/44/dat    71/48/EMP
+         ----         met5:72/20/dat    72/16/dat     72/5/EMP
+         ----          pad:76/20/EMP     76/5/EMP    76/16/EMP
+         ----          pnp:82/44/EMP    82/59/EMP
+         ----          npn:82/20/EMP     82/5/EMP
+         ----          rdl:74/20/EMP    74/16/EMP     74/5/EMP
+         ----     inductor:82/24/EMP    82/25/EMP
+       0 total error(s) among 0 error type(s), 33 checks, cell: user_analog_project_wrapper
+Writing report...
+VmPeak:	 2435416 kB
+VmHWM:	  257980 kB
diff --git a/precheck_results/04_JUN_2022___09_24_39/logs/klayout_pin_label_purposes_overlapping_drawing_check.total b/precheck_results/04_JUN_2022___09_24_39/logs/klayout_pin_label_purposes_overlapping_drawing_check.total
new file mode 100644
index 0000000..c227083
--- /dev/null
+++ b/precheck_results/04_JUN_2022___09_24_39/logs/klayout_pin_label_purposes_overlapping_drawing_check.total
@@ -0,0 +1 @@
+0
\ No newline at end of file
diff --git a/precheck_results/04_JUN_2022___09_24_39/logs/klayout_zeroarea_check.log b/precheck_results/04_JUN_2022___09_24_39/logs/klayout_zeroarea_check.log
new file mode 100644
index 0000000..8a88911
--- /dev/null
+++ b/precheck_results/04_JUN_2022___09_24_39/logs/klayout_zeroarea_check.log
@@ -0,0 +1,4 @@
+0 zero-area shapes
+writing to /home/krishna/Comparator_MPW6/precheck_results/04_JUN_2022___09_24_39/outputs/user_analog_project_wrapper_no_zero_areas.gds
+VmPeak:	  542072 kB
+VmHWM:	  260480 kB
diff --git a/precheck_results/04_JUN_2022___09_24_39/logs/klayout_zeroarea_check.total b/precheck_results/04_JUN_2022___09_24_39/logs/klayout_zeroarea_check.total
new file mode 100644
index 0000000..c227083
--- /dev/null
+++ b/precheck_results/04_JUN_2022___09_24_39/logs/klayout_zeroarea_check.total
@@ -0,0 +1 @@
+0
\ No newline at end of file
diff --git a/precheck_results/04_JUN_2022___09_24_39/logs/magic_drc_check.log b/precheck_results/04_JUN_2022___09_24_39/logs/magic_drc_check.log
new file mode 100644
index 0000000..36b3606
--- /dev/null
+++ b/precheck_results/04_JUN_2022___09_24_39/logs/magic_drc_check.log
@@ -0,0 +1,30 @@
+
+Magic 8.3 revision 274 - Compiled on Fri Mar  4 22:54:15 UTC 2022.
+Starting magic under Tcl interpreter
+Using the terminal as the console.
+Using NULL graphics device.
+Processing system .magicrc file
+Sourcing design .magicrc for technology sky130A ...
+2 Magic internal units = 1 Lambda
+Could not find file '/usr/local/share/pdk/sky130A/sky130A/libs.tech/magic/sky130A.tech' in any of these directories:
+         . $CAD_ROOT/magic/sys $CAD_ROOT/magic/sys/current
+Error parsing "/home/krishna/mpw_precheckmpw6/checks/tech-files/sky130A.magicrc": couldn't read file "/usr/local/share/pdk/sky130A/sky130A/libs.tech/magic/sky130A.tcl": no such file or directory
+Bad local startup file "/home/krishna/mpw_precheckmpw6/checks/tech-files/sky130A.magicrc", continuing without.
+Scaled tech values by 2 / 1 to match internal grid scaling
+Loading "/home/krishna/mpw_precheckmpw6/checks/drc_checks/magic/magic_drc_check.tcl" from command line.
+Don't know how to read GDS-II:
+Nothing in "cifinput" section of tech file.
+[INFO]: Loading user_analog_project_wrapper
+
+File user_analog_project_wrapper.mag couldn't be read
+No such file or directory
+Creating new cell
+"drc(full)" is not one of the DRC styles Magic knows.
+The current style is "default".
+The DRC styles are: default.
+No errors found.
+[INFO]: COUNT: 0
+[INFO]: Should be divided by 3 or 4
+[INFO]: DRC Checking DONE (/home/krishna/Comparator_MPW6/precheck_results/04_JUN_2022___09_24_39/outputs/reports/magic_drc_check.drc.report)
+[INFO]: Saving mag view with DRC errors(/home/krishna/Comparator_MPW6/precheck_results/04_JUN_2022___09_24_39/outputs/user_analog_project_wrapper.magic.drc.mag)
+[INFO]: Saved
diff --git a/precheck_results/04_JUN_2022___09_24_39/logs/magic_drc_check.total b/precheck_results/04_JUN_2022___09_24_39/logs/magic_drc_check.total
new file mode 100644
index 0000000..c227083
--- /dev/null
+++ b/precheck_results/04_JUN_2022___09_24_39/logs/magic_drc_check.total
@@ -0,0 +1 @@
+0
\ No newline at end of file
diff --git a/precheck_results/04_JUN_2022___09_24_39/logs/pdks.info b/precheck_results/04_JUN_2022___09_24_39/logs/pdks.info
new file mode 100644
index 0000000..3072015
--- /dev/null
+++ b/precheck_results/04_JUN_2022___09_24_39/logs/pdks.info
@@ -0,0 +1,2 @@
+Open PDKs a3d6ffea8022332b859daa454cef7ee7131c5181
+Skywater PDK f70d8ca46961ff92719d8870a18a076370b85f6c
\ No newline at end of file
diff --git a/precheck_results/04_JUN_2022___09_24_39/logs/precheck.log b/precheck_results/04_JUN_2022___09_24_39/logs/precheck.log
new file mode 100644
index 0000000..8470d39
--- /dev/null
+++ b/precheck_results/04_JUN_2022___09_24_39/logs/precheck.log
@@ -0,0 +1,60 @@
+2022-06-04 09:24:39 - [INFO] - {{EXTRACTING FILES}} Extracting compressed files in: /home/krishna/Comparator_MPW6
+2022-06-04 09:24:39 - [INFO] - {{Project Type Info}} analog
+2022-06-04 09:24:39 - [INFO] - {{Project GDS Info}} user_analog_project_wrapper: b6e1379204bf1da0f95732af53c618303abac104
+2022-06-04 09:24:39 - [INFO] - {{Tools Info}} KLayout: v0.27.8 | Magic: v8.3.274
+2022-06-04 09:24:39 - [INFO] - {{PDKs Info}} Open PDKs: a3d6ffea8022332b859daa454cef7ee7131c5181 | Skywater PDK: f70d8ca46961ff92719d8870a18a076370b85f6c
+2022-06-04 09:24:39 - [INFO] - {{START}} Precheck Started, the full log 'precheck.log' will be located in '/home/krishna/Comparator_MPW6/precheck_results/04_JUN_2022___09_24_39/logs'
+2022-06-04 09:24:39 - [INFO] - {{PRECHECK SEQUENCE}} Precheck will run the following checks: [License, Makefile, Default, Documentation, Consistency, XOR, Magic DRC, Klayout FEOL, Klayout BEOL, Klayout Offgrid, Klayout Metal Minimum Clear Area Density, Klayout Pin Label Purposes Overlapping Drawing, Klayout ZeroArea]
+2022-06-04 09:24:39 - [INFO] - {{STEP UPDATE}} Executing Check 1 of 13: License
+2022-06-04 09:24:40 - [INFO] - An approved LICENSE (Apache-2.0) was found in /home/krishna/Comparator_MPW6.
+2022-06-04 09:24:40 - [INFO] - {{MAIN LICENSE CHECK PASSED}} An approved LICENSE was found in project root.
+2022-06-04 09:24:41 - [INFO] - An approved LICENSE (Apache-2.0) was found in /home/krishna/Comparator_MPW6.
+2022-06-04 09:24:41 - [INFO] - {{SUBMODULES LICENSE CHECK PASSED}} No prohibited LICENSE file(s) was found in project submodules
+2022-06-04 09:24:41 - [WARNING] - {{SPDX COMPLIANCE CHECK FAILED}} Found 87 non-compliant file(s) with the SPDX Standard.
+2022-06-04 09:24:41 - [INFO] - SPDX COMPLIANCE: NON-COMPLIANT FILE(S) PREVIEW: ['/home/krishna/Comparator_MPW6/Makefile', '/home/krishna/Comparator_MPW6/.spiceinit', '/home/krishna/Comparator_MPW6/verilog/dv/Makefile', '/home/krishna/Comparator_MPW6/verilog/dv/mprj_por/mprj_por.c', '/home/krishna/Comparator_MPW6/verilog/dv/mprj_por/mprj_por_tb.v', '/home/krishna/Comparator_MPW6/verilog/dv/mprj_por/Makefile', '/home/krishna/Comparator_MPW6/verilog/rtl/uprj_analog_netlists.v', '/home/krishna/Comparator_MPW6/verilog/rtl/example_por.v', '/home/krishna/Comparator_MPW6/verilog/rtl/user_analog_proj_example.v', '/home/krishna/Comparator_MPW6/verilog/rtl/user_analog_project_wrapper.v', '/home/krishna/Comparator_MPW6/verilog/rtl/comparator.v', '/home/krishna/Comparator_MPW6/precheck_results/04_JUN_2022___09_24_39/logs/tools.info', '/home/krishna/Comparator_MPW6/precheck_results/04_JUN_2022___09_24_39/logs/gds.info', '/home/krishna/Comparator_MPW6/precheck_results/04_JUN_2022___09_24_39/logs/pdks.info', '/home/krishna/Comparator_MPW6/precheck_results/04_JUN_2022___09_00_52/outputs/reports/magic_drc_check.drc.report']
+2022-06-04 09:24:41 - [INFO] - For the full SPDX compliance report check: /home/krishna/Comparator_MPW6/precheck_results/04_JUN_2022___09_24_39/logs/spdx_compliance_report.log
+2022-06-04 09:24:41 - [INFO] - {{STEP UPDATE}} Executing Check 2 of 13: Makefile
+2022-06-04 09:24:41 - [INFO] - {{MAKEFILE CHECK PASSED}} Makefile valid.
+2022-06-04 09:24:41 - [INFO] - {{STEP UPDATE}} Executing Check 3 of 13: Default
+2022-06-04 09:24:41 - [INFO] - {{README DEFAULT CHECK PASSED}} Project 'README.md' was modified and is not identical to the default 'README.md'
+2022-06-04 09:24:41 - [INFO] - {{CONTENT DEFAULT CHECK PASSED}} Project 'gds' was modified and is not identical to the default 'gds'
+2022-06-04 09:24:41 - [INFO] - {{STEP UPDATE}} Executing Check 4 of 13: Documentation
+2022-06-04 09:24:41 - [INFO] - {{DOCUMENTATION CHECK PASSED}} Project documentation is appropriate.
+2022-06-04 09:24:41 - [INFO] - {{STEP UPDATE}} Executing Check 5 of 13: Consistency
+2022-06-04 09:24:43 - [INFO] - HIERARCHY CHECK PASSED: Module user_analog_project_wrapper is instantiated in caravan. 
+2022-06-04 09:24:43 - [INFO] - COMPLEXITY CHECK PASSED: Netlist caravan contains at least 8 instances (68 instances). 
+2022-06-04 09:24:43 - [INFO] - MODELING CHECK PASSED: Netlist caravan is structural.
+2022-06-04 09:24:43 - [INFO] - SUBMODULE HOOKS CHECK PASSED: All module ports for user_analog_project_wrapper are correctly connected in the top level netlist caravan.
+2022-06-04 09:24:43 - [INFO] - {{NETLIST CONSISTENCY CHECK PASSED}} caravan netlist passed all consistency checks.
+2022-06-04 09:24:43 - [INFO] - PORTS CHECK PASSED: Netlist user_analog_project_wrapper ports match the golden wrapper ports
+2022-06-04 09:24:43 - [INFO] - COMPLEXITY CHECK PASSED: Netlist user_analog_project_wrapper contains at least 1 instances (19 instances). 
+2022-06-04 09:24:43 - [INFO] - MODELING CHECK PASSED: Netlist user_analog_project_wrapper is structural.
+2022-06-04 09:24:43 - [INFO] - LAYOUT CHECK PASSED: The GDS layout for user_analog_project_wrapper matches the provided structural netlist.
+2022-06-04 09:24:43 - [INFO] - {{NETLIST CONSISTENCY CHECK PASSED}} user_analog_project_wrapper netlist passed all consistency checks.
+2022-06-04 09:24:43 - [INFO] - {{CONSISTENCY CHECK PASSED}} The user netlist and the top netlist are valid.
+2022-06-04 09:24:43 - [INFO] - {{STEP UPDATE}} Executing Check 6 of 13: XOR
+2022-06-04 09:24:45 - [ERROR] - XOR CHECK FILE NOT FOUND in /home/krishna/Comparator_MPW6/precheck_results/04_JUN_2022___09_24_39/logs/xor_check.total
+2022-06-04 09:24:45 - [WARNING] - {{XOR CHECK FAILED}} The GDS file has non-conforming geometries.
+2022-06-04 09:24:45 - [INFO] - {{STEP UPDATE}} Executing Check 7 of 13: Magic DRC
+2022-06-04 09:24:45 - [INFO] - 0 DRC violations
+2022-06-04 09:24:45 - [INFO] - {{MAGIC DRC CHECK PASSED}} The GDS file, user_analog_project_wrapper.gds, has no DRC violations.
+2022-06-04 09:24:45 - [INFO] - {{STEP UPDATE}} Executing Check 8 of 13: Klayout FEOL
+2022-06-04 09:24:47 - [INFO] - No DRC Violations found
+2022-06-04 09:24:47 - [INFO] - {{Klayout FEOL CHECK PASSED}} The GDS file, user_analog_project_wrapper.gds, has no DRC violations.
+2022-06-04 09:24:47 - [INFO] - {{STEP UPDATE}} Executing Check 9 of 13: Klayout BEOL
+2022-06-04 09:24:51 - [INFO] - No DRC Violations found
+2022-06-04 09:24:51 - [INFO] - {{Klayout BEOL CHECK PASSED}} The GDS file, user_analog_project_wrapper.gds, has no DRC violations.
+2022-06-04 09:24:51 - [INFO] - {{STEP UPDATE}} Executing Check 10 of 13: Klayout Offgrid
+2022-06-04 09:24:53 - [INFO] - No DRC Violations found
+2022-06-04 09:24:53 - [INFO] - {{Klayout Offgrid CHECK PASSED}} The GDS file, user_analog_project_wrapper.gds, has no DRC violations.
+2022-06-04 09:24:53 - [INFO] - {{STEP UPDATE}} Executing Check 11 of 13: Klayout Metal Minimum Clear Area Density
+2022-06-04 09:24:54 - [INFO] - No DRC Violations found
+2022-06-04 09:24:54 - [INFO] - {{Klayout Metal Minimum Clear Area Density CHECK PASSED}} The GDS file, user_analog_project_wrapper.gds, has no DRC violations.
+2022-06-04 09:24:54 - [INFO] - {{STEP UPDATE}} Executing Check 12 of 13: Klayout Pin Label Purposes Overlapping Drawing
+2022-06-04 09:24:56 - [INFO] - No DRC Violations found
+2022-06-04 09:24:56 - [INFO] - {{Klayout Pin Label Purposes Overlapping Drawing CHECK PASSED}} The GDS file, user_analog_project_wrapper.gds, has no DRC violations.
+2022-06-04 09:24:56 - [INFO] - {{STEP UPDATE}} Executing Check 13 of 13: Klayout ZeroArea
+2022-06-04 09:24:56 - [INFO] - No DRC Violations found
+2022-06-04 09:24:56 - [INFO] - {{Klayout ZeroArea CHECK PASSED}} The GDS file, user_analog_project_wrapper.gds, has no DRC violations.
+2022-06-04 09:24:56 - [INFO] - {{FINISH}} Executing Finished, the full log 'precheck.log' can be found in '/home/krishna/Comparator_MPW6/precheck_results/04_JUN_2022___09_24_39/logs'
+2022-06-04 09:24:56 - [CRITICAL] - {{FAILURE}} 1 Check(s) Failed: ['XOR'] !!!
diff --git a/precheck_results/04_JUN_2022___09_24_39/logs/spdx_compliance_report.log b/precheck_results/04_JUN_2022___09_24_39/logs/spdx_compliance_report.log
new file mode 100644
index 0000000..de81a4f
--- /dev/null
+++ b/precheck_results/04_JUN_2022___09_24_39/logs/spdx_compliance_report.log
@@ -0,0 +1,87 @@
+/home/krishna/Comparator_MPW6/Makefile
+/home/krishna/Comparator_MPW6/.spiceinit
+/home/krishna/Comparator_MPW6/verilog/dv/Makefile
+/home/krishna/Comparator_MPW6/verilog/dv/mprj_por/mprj_por.c
+/home/krishna/Comparator_MPW6/verilog/dv/mprj_por/mprj_por_tb.v
+/home/krishna/Comparator_MPW6/verilog/dv/mprj_por/Makefile
+/home/krishna/Comparator_MPW6/verilog/rtl/uprj_analog_netlists.v
+/home/krishna/Comparator_MPW6/verilog/rtl/example_por.v
+/home/krishna/Comparator_MPW6/verilog/rtl/user_analog_proj_example.v
+/home/krishna/Comparator_MPW6/verilog/rtl/user_analog_project_wrapper.v
+/home/krishna/Comparator_MPW6/verilog/rtl/comparator.v
+/home/krishna/Comparator_MPW6/precheck_results/04_JUN_2022___09_24_39/logs/tools.info
+/home/krishna/Comparator_MPW6/precheck_results/04_JUN_2022___09_24_39/logs/gds.info
+/home/krishna/Comparator_MPW6/precheck_results/04_JUN_2022___09_24_39/logs/pdks.info
+/home/krishna/Comparator_MPW6/precheck_results/04_JUN_2022___09_00_52/outputs/reports/magic_drc_check.drc.report
+/home/krishna/Comparator_MPW6/precheck_results/04_JUN_2022___09_00_52/logs/klayout_zeroarea_check.total
+/home/krishna/Comparator_MPW6/precheck_results/04_JUN_2022___09_00_52/logs/tools.info
+/home/krishna/Comparator_MPW6/precheck_results/04_JUN_2022___09_00_52/logs/klayout_beol_check.total
+/home/krishna/Comparator_MPW6/precheck_results/04_JUN_2022___09_00_52/logs/klayout_pin_label_purposes_overlapping_drawing_check.total
+/home/krishna/Comparator_MPW6/precheck_results/04_JUN_2022___09_00_52/logs/klayout_feol_check.total
+/home/krishna/Comparator_MPW6/precheck_results/04_JUN_2022___09_00_52/logs/gds.info
+/home/krishna/Comparator_MPW6/precheck_results/04_JUN_2022___09_00_52/logs/pdks.info
+/home/krishna/Comparator_MPW6/precheck_results/04_JUN_2022___09_00_52/logs/magic_drc_check.total
+/home/krishna/Comparator_MPW6/precheck_results/04_JUN_2022___09_00_52/logs/klayout_offgrid_check.total
+/home/krishna/Comparator_MPW6/precheck_results/04_JUN_2022___09_00_52/logs/klayout_met_min_ca_density_check.total
+/home/krishna/Comparator_MPW6/mag/sky130_fd_pr__pfet_01v8_AC5Z8B#0.ext
+/home/krishna/Comparator_MPW6/mag/latch_3.ext
+/home/krishna/Comparator_MPW6/mag/inv_W2.ext
+/home/krishna/Comparator_MPW6/mag/sky130_fd_pr__diode_pw2nd_05v5_KLAK3C.ext
+/home/krishna/Comparator_MPW6/mag/sky130_fd_pr__diode_pw2nd_05v5_FT7GK8.ext
+/home/krishna/Comparator_MPW6/mag/sky130_fd_pr__diode_pw2nd_05v5_3P6M5Y.ext
+/home/krishna/Comparator_MPW6/mag/sky130_fd_pr__pfet_01v8_5SM9EE.ext
+/home/krishna/Comparator_MPW6/mag/latch_22.ext
+/home/krishna/Comparator_MPW6/mag/sky130_fd_pr__diode_pw2nd_05v5_GT7G3L.ext
+/home/krishna/Comparator_MPW6/mag/inv_W2#0.ext
+/home/krishna/Comparator_MPW6/mag/.magicrc
+/home/krishna/Comparator_MPW6/mag/sky130_fd_pr__diode_pd2nw_05v5_G4XDRY.ext
+/home/krishna/Comparator_MPW6/mag/sky130_fd_pr__nfet_01v8_XJTKXQ#1.ext
+/home/krishna/Comparator_MPW6/mag/sky130_fd_pr__nfet_01v8_XJTKXQ.ext
+/home/krishna/Comparator_MPW6/mag/sky130_fd_pr__pfet_01v8_AC5Z8B.ext
+/home/krishna/Comparator_MPW6/mag/buffer_2#1.ext
+/home/krishna/Comparator_MPW6/mag/comparator_v6.ext
+/home/krishna/Comparator_MPW6/mag/buffer_1#0.ext
+/home/krishna/Comparator_MPW6/mag/latch_2.ext
+/home/krishna/Comparator_MPW6/mag/user_analog_project_wrapper.ext
+/home/krishna/Comparator_MPW6/mag/buffer_2#0.ext
+/home/krishna/Comparator_MPW6/mag/sky130_fd_pr__diode_pd2nw_05v5_RT56W3.ext
+/home/krishna/Comparator_MPW6/mag/latch/SR_latch.ext
+/home/krishna/Comparator_MPW6/mag/latch/sky130_fd_pr__pfet_01v8_AC5Z8B#0.ext
+/home/krishna/Comparator_MPW6/mag/latch/sky130A.magicrc
+/home/krishna/Comparator_MPW6/mag/latch/latch_22.ext
+/home/krishna/Comparator_MPW6/mag/latch/sky130_fd_pr__pfet_01v8_AC5E9B.ext
+/home/krishna/Comparator_MPW6/mag/latch/.magicrc
+/home/krishna/Comparator_MPW6/mag/latch/sky130_fd_pr__nfet_01v8_XJTKXQ#1.ext
+/home/krishna/Comparator_MPW6/mag/latch/sky130_fd_pr__nfet_01v8_F5U58G.ext
+/home/krishna/Comparator_MPW6/mag/latch/sky130_fd_pr__pfet_01v8_GJYUB2.ext
+/home/krishna/Comparator_MPW6/mag/latch/latch_2.ext
+/home/krishna/Comparator_MPW6/mag/latch/sky130_fd_pr__pfet_01v8_5SVZDE.ext
+/home/krishna/Comparator_MPW6/mag/latch/latch.ext
+/home/krishna/Comparator_MPW6/mag/preamp/sky130_fd_pr__nfet_01v8_G6PLX8.ext
+/home/krishna/Comparator_MPW6/mag/preamp/preamp_part1.ext
+/home/krishna/Comparator_MPW6/mag/preamp/preamp_part2.ext
+/home/krishna/Comparator_MPW6/mag/preamp/sky130_fd_pr__nfet_01v8_XJTKXQ#0.ext
+/home/krishna/Comparator_MPW6/mag/preamp/sky130_fd_pr__nfet_01v8_F5U58G#1.ext
+/home/krishna/Comparator_MPW6/mag/preamp/.magicrc
+/home/krishna/Comparator_MPW6/mag/preamp/sky130_fd_pr__pfet_01v8_RFM3CD.ext
+/home/krishna/Comparator_MPW6/mag/preamp/sky130_fd_pr__nfet_01v8_8FHE5N.ext
+/home/krishna/Comparator_MPW6/mag/preamp/sky130_fd_pr__nfet_01v8_F5U58G#0.ext
+/home/krishna/Comparator_MPW6/xschem/xschemrc
+/home/krishna/Comparator_MPW6/xschem/buffer.sch
+/home/krishna/Comparator_MPW6/xschem/user_analog_project_wrapper.sym
+/home/krishna/Comparator_MPW6/xschem/SR_latch.sym
+/home/krishna/Comparator_MPW6/xschem/comparator.sch
+/home/krishna/Comparator_MPW6/xschem/comparator.sym
+/home/krishna/Comparator_MPW6/xschem/analog_wrapper_tb.sch
+/home/krishna/Comparator_MPW6/xschem/user_analog_project_wrapper.sch
+/home/krishna/Comparator_MPW6/xschem/.spiceinit
+/home/krishna/Comparator_MPW6/xschem/comparator_tb.sch
+/home/krishna/Comparator_MPW6/xschem/SR_latch.sch
+/home/krishna/Comparator_MPW6/xschem/buffer.sym
+/home/krishna/Comparator_MPW6/docs/Makefile
+/home/krishna/Comparator_MPW6/docs/environment.yml
+/home/krishna/Comparator_MPW6/docs/source/conf.py
+/home/krishna/Comparator_MPW6/docs/source/index.rst
+/home/krishna/Comparator_MPW6/netgen/run_lvs_wrapper_verilog.sh
+/home/krishna/Comparator_MPW6/netgen/run_lvs_por.sh
+/home/krishna/Comparator_MPW6/netgen/run_lvs_wrapper_xschem.sh
diff --git a/precheck_results/04_JUN_2022___09_24_39/logs/tools.info b/precheck_results/04_JUN_2022___09_24_39/logs/tools.info
new file mode 100644
index 0000000..9b2230a
--- /dev/null
+++ b/precheck_results/04_JUN_2022___09_24_39/logs/tools.info
@@ -0,0 +1,2 @@
+KLayout: 0.27.8
+Magic: 8.3.274
\ No newline at end of file
diff --git a/precheck_results/04_JUN_2022___09_24_39/logs/xor_check.log b/precheck_results/04_JUN_2022___09_24_39/logs/xor_check.log
new file mode 100644
index 0000000..5a74ba2
--- /dev/null
+++ b/precheck_results/04_JUN_2022___09_24_39/logs/xor_check.log
@@ -0,0 +1,179 @@
+Reading file /home/krishna/Comparator_MPW6/gds/user_analog_project_wrapper.gds for cell user_analog_project_wrapper
+dbu=0.001
+cell user_analog_project_wrapper dbu-bbox(ll;ur)=(-4000,-4000;2924000,3524000)
+cell user_analog_project_wrapper dbu-bbox(left,bottom,right,top)=(-4000,-4000,2924000,3524000)
+cell user_analog_project_wrapper dbu-size(width,height)=(2928000,3528000)
+cell user_analog_project_wrapper micron-bbox(left,bottom,right,top)=(-4.0,-4.0,2924.0,3524.0)
+cell user_analog_project_wrapper micron-size(width,height)=(2928.0,3528.0)
+Done.
+
+Magic 8.3 revision 274 - Compiled on Fri Mar  4 22:54:15 UTC 2022.
+Starting magic under Tcl interpreter
+Using the terminal as the console.
+Using NULL graphics device.
+Processing system .magicrc file
+Loading "/home/krishna/mpw_precheckmpw6/checks/xor_check/erase_box.tcl" from command line.
+"sky130(vendor)" is not one of the CIF input styles Magic knows.
+Error: No style is set
+The CIF input styles are: .
+Don't know how to read GDS-II:
+Nothing in "cifinput" section of tech file.
+File user_analog_project_wrapper.mag couldn't be read
+No such file or directory
+Creating new cell
+Root cell box:
+           width x height  (   llx,  lly  ), (   urx,  ury  )  area (units^2)
+
+microns:  43.000 x 3520.000  (-43.000,  0.000), ( 0.000,  3520.000)  151360.000
+lambda:       43 x 3520    (   -43,  0    ), (     0,  3520 )  151360    
+Root cell box:
+           width x height  (   llx,  lly  ), (   urx,  ury  )  area (units^2)
+
+microns:  43.000 x 3520.000  ( 2920.000,  0.000), ( 2963.000,  3520.000)  151360.000
+lambda:       43 x 3520    (  2920,  0    ), (  2963,  3520 )  151360    
+Root cell box:
+           width x height  (   llx,  lly  ), (   urx,  ury  )  area (units^2)
+
+microns:  3006.000 x 38.000  (-43.000, -38.000), ( 2963.000,  0.000)  114228.000
+lambda:     3006 x 38      (   -43, -38   ), (  2963,  0    )  114228    
+Root cell box:
+           width x height  (   llx,  lly  ), (   urx,  ury  )  area (units^2)
+
+microns:  3006.000 x 37.000  (-43.000,  3520.000), ( 2963.000,  3557.000)  111222.000
+lambda:     3006 x 37      (   -43,  3520 ), (  2963,  3557 )  111222    
+Unrecognized layer: metal5
+Layer names are:
+    mag or magnet
+    f or fence
+    r or rotate
+    $
+    *
+    errors
+    labels
+    subcell
+    connect
+Unrecognized layer: metal4
+Layer names are:
+    mag or magnet
+    f or fence
+    r or rotate
+    $
+    *
+    errors
+    labels
+    subcell
+    connect
+Unrecognized layer: metal5
+Layer names are:
+    mag or magnet
+    f or fence
+    r or rotate
+    $
+    *
+    errors
+    labels
+    subcell
+    connect
+Unrecognized layer: metal4
+Layer names are:
+    mag or magnet
+    f or fence
+    r or rotate
+    $
+    *
+    errors
+    labels
+    subcell
+    connect
+No CIF/GDS output style set!
+I/O error in writing file /home/krishna/Comparator_MPW6/precheck_results/04_JUN_2022___09_24_39/outputs/user_analog_project_wrapper_erased.gds.
+File may be incompletely written.
+
+Magic 8.3 revision 274 - Compiled on Fri Mar  4 22:54:15 UTC 2022.
+Starting magic under Tcl interpreter
+Using the terminal as the console.
+Using NULL graphics device.
+Processing system .magicrc file
+Loading "/home/krishna/mpw_precheckmpw6/checks/xor_check/erase_box.tcl" from command line.
+"sky130(vendor)" is not one of the CIF input styles Magic knows.
+Error: No style is set
+The CIF input styles are: .
+Don't know how to read GDS-II:
+Nothing in "cifinput" section of tech file.
+File user_analog_project_wrapper.mag couldn't be read
+No such file or directory
+Creating new cell
+Root cell box:
+           width x height  (   llx,  lly  ), (   urx,  ury  )  area (units^2)
+
+microns:  43.000 x 3520.000  (-43.000,  0.000), ( 0.000,  3520.000)  151360.000
+lambda:       43 x 3520    (   -43,  0    ), (     0,  3520 )  151360    
+Root cell box:
+           width x height  (   llx,  lly  ), (   urx,  ury  )  area (units^2)
+
+microns:  43.000 x 3520.000  ( 2920.000,  0.000), ( 2963.000,  3520.000)  151360.000
+lambda:       43 x 3520    (  2920,  0    ), (  2963,  3520 )  151360    
+Root cell box:
+           width x height  (   llx,  lly  ), (   urx,  ury  )  area (units^2)
+
+microns:  3006.000 x 38.000  (-43.000, -38.000), ( 2963.000,  0.000)  114228.000
+lambda:     3006 x 38      (   -43, -38   ), (  2963,  0    )  114228    
+Root cell box:
+           width x height  (   llx,  lly  ), (   urx,  ury  )  area (units^2)
+
+microns:  3006.000 x 37.000  (-43.000,  3520.000), ( 2963.000,  3557.000)  111222.000
+lambda:     3006 x 37      (   -43,  3520 ), (  2963,  3557 )  111222    
+Unrecognized layer: metal5
+Layer names are:
+    mag or magnet
+    f or fence
+    r or rotate
+    $
+    *
+    errors
+    labels
+    subcell
+    connect
+Unrecognized layer: metal4
+Layer names are:
+    mag or magnet
+    f or fence
+    r or rotate
+    $
+    *
+    errors
+    labels
+    subcell
+    connect
+Unrecognized layer: metal5
+Layer names are:
+    mag or magnet
+    f or fence
+    r or rotate
+    $
+    *
+    errors
+    labels
+    subcell
+    connect
+Unrecognized layer: metal4
+Layer names are:
+    mag or magnet
+    f or fence
+    r or rotate
+    $
+    *
+    errors
+    labels
+    subcell
+    connect
+No CIF/GDS output style set!
+I/O error in writing file /home/krishna/Comparator_MPW6/precheck_results/04_JUN_2022___09_24_39/outputs/user_analog_project_wrapper_empty_erased.gds.
+File may be incompletely written.
+Reading /home/krishna/Comparator_MPW6/precheck_results/04_JUN_2022___09_24_39/outputs/user_analog_project_wrapper_erased.gds ..
+ERROR: In /home/krishna/mpw_precheckmpw6/checks/xor_check/xor.rb.drc: 'source': Stream has unknown format: /home/krishna/Comparator_MPW6/precheck_results/04_JUN_2022___09_24_39/outputs/user_analog_project_wrapper_erased.gds in Layout::read
+Total elapsed: 0.000s  Memory: 521.00M
+ERROR: 'source': Stream has unknown format: /home/krishna/Comparator_MPW6/precheck_results/04_JUN_2022___09_24_39/outputs/user_analog_project_wrapper_erased.gds in Layout::read in Executable::execute
+  /home/krishna/mpw_precheckmpw6/checks/xor_check/xor.rb.drc:15:in `execute'
+  :/built-in-macros/drc_interpreters.lym:27:in `instance_eval'
+  :/built-in-macros/drc_interpreters.lym:27:in `execute'
diff --git a/precheck_results/04_JUN_2022___09_24_39/outputs/reports/klayout_beol_check.xml b/precheck_results/04_JUN_2022___09_24_39/outputs/reports/klayout_beol_check.xml
new file mode 100644
index 0000000..9ad4ffd
--- /dev/null
+++ b/precheck_results/04_JUN_2022___09_24_39/outputs/reports/klayout_beol_check.xml
@@ -0,0 +1,447 @@
+<?xml version="1.0" encoding="utf-8"?>
+<report-database>
+ <description>SKY130 DRC runset</description>
+ <original-file/>
+ <generator>drc: script='/home/krishna/mpw_precheckmpw6/checks/tech-files/sky130A_mr.drc'</generator>
+ <top-cell>user_analog_project_wrapper</top-cell>
+ <tags>
+ </tags>
+ <categories>
+  <category>
+   <name>li.1</name>
+   <description>li.1 : min. li width : 0.17um</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>li.3</name>
+   <description>li.3 : min. li spacing : 0.17um</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>li.5</name>
+   <description>li.5 : min. li enclosure of licon of 2 adjacent edges : 0.08um</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>li.6</name>
+   <description>li.6 : min. li area : 0.0561um²</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>ct.1</name>
+   <description>ct.1: non-ring mcon should be rectangular</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>ct.1_a</name>
+   <description>ct.1_a : minimum width of mcon : 0.17um</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>ct.1_b</name>
+   <description>ct.1_b : maximum length of mcon : 0.17um</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>ct.2</name>
+   <description>ct.2 : min. mcon spacing : 0.19um</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>ct.4</name>
+   <description>ct.4 : mcon should covered by li</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>m1.1</name>
+   <description>m1.1 : min. m1 width : 0.14um</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>m1.2</name>
+   <description>m1.2 : min. m1 spacing : 0.14um</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>m1.3ab</name>
+   <description>m1.3ab : min. 3um.m1 spacing m1 : 0.28um</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>791_m1.4</name>
+   <description>791_m1.4 : min. m1 enclosure of mcon : 0.03um</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>m1.4</name>
+   <description>m1.4 : mcon periphery must be enclosed by m1</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>m1.4a</name>
+   <description>m1.4a : min. m1 enclosure of mcon for specific cells : 0.005um</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>m1.4a_a</name>
+   <description>m1.4a_a : mcon periph must be enclosed by met1 for specific cells</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>m1.6</name>
+   <description>m1.6 : min. m1 area : 0.083um²</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>m1.7</name>
+   <description>m1.7 : min. m1 with holes area : 0.14um²</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>m1.5</name>
+   <description>m1.5 : min. m1 enclosure of mcon of 2 adjacent edges : 0.06um</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>via.1a</name>
+   <description>via.1a : via outside of moduleCut should be rectangular</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>via.1a_a</name>
+   <description>via.1a_a : min. width of via outside of moduleCut : 0.15um</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>via.1a_b</name>
+   <description>via.1a_b : maximum length of via : 0.15um</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>via.2</name>
+   <description>via.2 : min. via spacing : 0.17um</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>via.4a</name>
+   <description>via.4a : min. m1 enclosure of 0.15um via : 0.055um</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>via.4a_a</name>
+   <description>via.4a_a : 0.15um via must be enclosed by met1</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>via.5a</name>
+   <description>via.5a : min. m1 enclosure of 0.15um via of 2 adjacent edges : 0.085um</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>m2.1</name>
+   <description>m2.1 : min. m2 width : 0.14um</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>m2.2</name>
+   <description>m2.2 : min. m2 spacing : 0.14um</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>m2.3ab</name>
+   <description>m2.3ab : min. 3um.m2 spacing m2 : 0.28um</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>m2.6</name>
+   <description>m2.6 : min. m2 area : 0.0676um²</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>m2.7</name>
+   <description>m2.7 : min. m2 holes area : 0.14um²</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>m2.4</name>
+   <description>m2.4 : min. m2 enclosure of via : 0.055um</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>m2.4_a</name>
+   <description>m2.4_a : via in periphery must be enclosed by met2</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>m2.5</name>
+   <description>m2.5 : min. m2 enclosure of via of 2 adjacent edges : 0.085um</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>via2.1a</name>
+   <description>via2.1a : via2 outside of moduleCut should be rectangular</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>via2.1a_a</name>
+   <description>via2.1a_a : min. width of via2 outside of moduleCut : 0.2um</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>via2.1a_b</name>
+   <description>via2.1a_b : maximum length of via2 : 0.2um</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>via2.2</name>
+   <description>via2.2 : min. via2 spacing : 0.2um</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>via2.4</name>
+   <description>via2.4 : min. m2 enclosure of via2 : 0.04um</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>via2.4_a</name>
+   <description>via2.4_a : via must be enclosed by met2</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>via2.5</name>
+   <description>via2.5 : min. m3 enclosure of via2 of 2 adjacent edges : 0.085um</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>m3.1</name>
+   <description>m3.1 : min. m3 width : 0.3um</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>m3.2</name>
+   <description>m3.2 : min. m3 spacing : 0.3um</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>m3.3cd</name>
+   <description>m3.3cd : min. 3um.m3 spacing m3 : 0.4um</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>m3.4</name>
+   <description>m3.4 : min. m3 enclosure of via2 : 0.065um</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>m3.4_a</name>
+   <description>m3.4_a : via2 must be enclosed by met3</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>via3.1</name>
+   <description>via3.1 : via3 outside of moduleCut should be rectangular</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>via3.1_a</name>
+   <description>via3.1_a : min. width of via3 outside of moduleCut : 0.2um</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>via3.1_b</name>
+   <description>via3.1_b : maximum length of via3 : 0.2um</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>via3.2</name>
+   <description>via3.2 : min. via3 spacing : 0.2um</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>via3.4</name>
+   <description>via3.4 : min. m3 enclosure of via3 : 0.06um</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>via3.4_a</name>
+   <description>via3.4_a : non-ring via3 must be enclosed by met3</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>via3.5</name>
+   <description>via3.5 : min. m3 enclosure of via3 of 2 adjacent edges : 0.09um</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>m4.1</name>
+   <description>m4.1 : min. m4 width : 0.3um</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>m4.2</name>
+   <description>m4.2 : min. m4 spacing : 0.3um</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>m4.4a</name>
+   <description>m4.4a : min. m4 area : 0.240um²</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>m4.5ab</name>
+   <description>m4.5ab : min. 3um.m4 spacing m4 : 0.4um</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>m4.3</name>
+   <description>m4.3 : min. m4 enclosure of via3 : 0.065um</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>m4.3_a</name>
+   <description>m4.3_a : via3 must be enclosed by met4</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>via4.1</name>
+   <description>via4.1 : via4 outside of moduleCut should be rectangular</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>via4.1_a</name>
+   <description>via4.1_a : min. width of via4 outside of moduleCut : 0.8um</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>via4.1_b</name>
+   <description>via4.1_b : maximum length of via4 : 0.8um</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>via4.2</name>
+   <description>via4.2 : min. via4 spacing : 0.8um</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>via4.4</name>
+   <description>via4.4 : min. m4 enclosure of via4 : 0.19um</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>via4.4_a</name>
+   <description>via4.4_a : m4 must enclose all via4</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>m5.1</name>
+   <description>m5.1 : min. m5 width : 1.6um</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>m5.2</name>
+   <description>m5.2 : min. m5 spacing : 1.6um</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>m5.3</name>
+   <description>m5.3 : min. m5 enclosure of via4 : 0.31um</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>m5.3_a</name>
+   <description>m5.3_a : via must be enclosed by m5</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>m5.4</name>
+   <description>m5.4 : min. m5 area : 4.0um²</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>pad.2</name>
+   <description>pad.2 : min. pad spacing : 1.27um</description>
+   <categories>
+   </categories>
+  </category>
+ </categories>
+ <cells>
+  <cell>
+   <name>user_analog_project_wrapper</name>
+   <variant/>
+   <references>
+   </references>
+  </cell>
+ </cells>
+ <items>
+ </items>
+</report-database>
diff --git a/precheck_results/04_JUN_2022___09_24_39/outputs/reports/klayout_feol_check.xml b/precheck_results/04_JUN_2022___09_24_39/outputs/reports/klayout_feol_check.xml
new file mode 100644
index 0000000..5c0c69c
--- /dev/null
+++ b/precheck_results/04_JUN_2022___09_24_39/outputs/reports/klayout_feol_check.xml
@@ -0,0 +1,363 @@
+<?xml version="1.0" encoding="utf-8"?>
+<report-database>
+ <description>SKY130 DRC runset</description>
+ <original-file/>
+ <generator>drc: script='/home/krishna/mpw_precheckmpw6/checks/tech-files/sky130A_mr.drc'</generator>
+ <top-cell>user_analog_project_wrapper</top-cell>
+ <tags>
+ </tags>
+ <categories>
+  <category>
+   <name>dnwell.2</name>
+   <description>dnwell.2 : min. dnwell width : 3.0um</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>nwell.1</name>
+   <description>nwell.1 : min. nwell width : 0.84um</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>nwell.2a</name>
+   <description>nwell.2a : min. nwell spacing (merged if less) : 1.27um</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>nwell.6</name>
+   <description>nwell.6 : min enclosure of nwellHole by dnwell : 1.03um</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>hvtp.1</name>
+   <description>hvtp.1 : min. hvtp width : 0.38um</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>hvtp.2</name>
+   <description>hvtp.2 : min. hvtp spacing : 0.38um</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>hvtr.1</name>
+   <description>hvtr.1 : min. hvtr width : 0.38um</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>hvtr.2</name>
+   <description>hvtr.2 : min. hvtr spacing : 0.38um</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>hvtr.2_a</name>
+   <description>hvtr.2_a : hvtr must not overlap hvtp</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>lvtn.1a</name>
+   <description>lvtn.1a : min. lvtn width : 0.38um</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>lvtn.2</name>
+   <description>lvtn.2 : min. lvtn spacing : 0.38um</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>ncm.1</name>
+   <description>ncm.1 : min. ncm width : 0.38um</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>ncm.2a</name>
+   <description>ncm.2a : min. ncm spacing : 0.38um</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>difftap.1</name>
+   <description>difftap.1 : min. diff width across areaid:ce : 0.15um</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>difftap.1_a</name>
+   <description>difftap.1_a : min. diff width in periphery : 0.15um</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>difftap.1_b</name>
+   <description>difftap.1_b : min. tap width across areaid:ce : 0.15um</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>difftap.1_c</name>
+   <description>difftap.1_c : min. tap width in periphery : 0.15um</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>difftap.3</name>
+   <description>difftap.3 : min. difftap spacing : 0.27um</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>tunm.1</name>
+   <description>tunm.1 : min. tunm width : 0.41um</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>tunm.2</name>
+   <description>tunm.2 : min. tunm spacing : 0.5um</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>poly.1a</name>
+   <description>poly.1a : min. poly width : 0.15um</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>poly.2</name>
+   <description>poly.2 : min. poly spacing : 0.21um</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>rpm.1a</name>
+   <description>rpm.1a : min. rpm width : 1.27um</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>rpm.2</name>
+   <description>rpm.2 : min. rpm spacing : 0.84um</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>urpm.1a</name>
+   <description>urpm.1a : min. rpm width : 1.27um</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>urpm.2</name>
+   <description>urpm.2 : min. rpm spacing : 0.84um</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>npc.1</name>
+   <description>npc.1 : min. npc width : 0.27um</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>npc.2</name>
+   <description>npc.2 : min. npc spacing, should be manually merged if less than : 0.27um</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>nsd.1</name>
+   <description>nsd.1 : min. nsdm width : 0.38um</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>nsd.2</name>
+   <description>nsd.2 : min. nsdm spacing, should be manually merged if less than : 0.38um</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>psd.1</name>
+   <description>psd.1 : min. psdm width : 0.38um</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>psd.2</name>
+   <description>psd.2 : min. psdm spacing, should be manually merged if less than : 0.38um</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>licon.1</name>
+   <description>licon.1 : licon should be rectangle</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>licon.1_a/b</name>
+   <description>licon.1_a/b : minimum/maximum width of licon : 0.17um</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>licon.13</name>
+   <description>licon.13 : min. difftap licon spacing to npc : 0.09um</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>licon.13_a</name>
+   <description>licon.13_a : licon of diffTap in periphery must not overlap npc</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>licon.17</name>
+   <description>licon.17 : Licons may not overlap both poly and (diff or tap)</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>capm.1</name>
+   <description>capm.1 : min. capm width : 1.0um</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>capm.2a</name>
+   <description>capm.2a : min. capm spacing : 0.84um</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>capm.2b</name>
+   <description>capm.2b : min. capm spacing : 1.2um</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>capm.2b_a</name>
+   <description>capm.2b_a : min. spacing of m3_bot_plate : 1.2um</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>capm.3</name>
+   <description>capm.3 : min. capm and m3 enclosure of m3 : 0.14um</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>capm.3_a</name>
+   <description>capm.3_a : min. m3 enclosure of capm : 0.14um</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>capm.4</name>
+   <description>capm.4 : min. capm enclosure of via3 : 0.14um</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>capm.5</name>
+   <description>capm.5 : min. capm spacing to via3 : 0.14um</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>cap2m.1</name>
+   <description>cap2m.1 : min. cap2m width : 1.0um</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>cap2m.2a</name>
+   <description>cap2m.2a : min. cap2m spacing : 0.84um</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>cap2m.2b</name>
+   <description>cap2m.2b : min. cap2m spacing : 1.2um</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>cap2m.2b_a</name>
+   <description>cap2m.2b_a : min. spacing of m4_bot_plate : 1.2um</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>cap2m.3</name>
+   <description>cap2m.3 : min. m4 enclosure of cap2m : 0.14um</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>cap2m.3_a</name>
+   <description>cap2m.3_a : min. m4 enclosure of cap2m : 0.14um</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>cap2m.4</name>
+   <description>cap2m.4 : min. cap2m enclosure of via4 : 0.14um</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>cap2m.5</name>
+   <description>cap2m.5 : min. cap2m spacing to via4 : 0.14um</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>hvi.1</name>
+   <description>hvi.1 : min. hvi width : 0.6um</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>hvi.2a</name>
+   <description>hvi.2a : min. hvi spacing : 0.7um</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>hvntm.1</name>
+   <description>hvntm.1 : min. hvntm width : 0.7um</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>hvntm.2</name>
+   <description>hvntm.2 : min. hvntm spacing : 0.7um</description>
+   <categories>
+   </categories>
+  </category>
+ </categories>
+ <cells>
+  <cell>
+   <name>user_analog_project_wrapper</name>
+   <variant/>
+   <references>
+   </references>
+  </cell>
+ </cells>
+ <items>
+ </items>
+</report-database>
diff --git a/precheck_results/04_JUN_2022___09_24_39/outputs/reports/klayout_met_min_ca_density_check.xml b/precheck_results/04_JUN_2022___09_24_39/outputs/reports/klayout_met_min_ca_density_check.xml
new file mode 100644
index 0000000..dc650ad
--- /dev/null
+++ b/precheck_results/04_JUN_2022___09_24_39/outputs/reports/klayout_met_min_ca_density_check.xml
@@ -0,0 +1,21 @@
+<?xml version="1.0" encoding="utf-8"?>
+<report-database>
+ <description>Density Checks</description>
+ <original-file/>
+ <generator>drc: script='/home/krishna/mpw_precheckmpw6/checks/drc_checks/klayout/met_min_ca_density.lydrc'</generator>
+ <top-cell>user_analog_project_wrapper</top-cell>
+ <tags>
+ </tags>
+ <categories>
+ </categories>
+ <cells>
+  <cell>
+   <name>user_analog_project_wrapper</name>
+   <variant/>
+   <references>
+   </references>
+  </cell>
+ </cells>
+ <items>
+ </items>
+</report-database>
diff --git a/precheck_results/04_JUN_2022___09_24_39/outputs/reports/klayout_offgrid_check.xml b/precheck_results/04_JUN_2022___09_24_39/outputs/reports/klayout_offgrid_check.xml
new file mode 100644
index 0000000..80a7de2
--- /dev/null
+++ b/precheck_results/04_JUN_2022___09_24_39/outputs/reports/klayout_offgrid_check.xml
@@ -0,0 +1,483 @@
+<?xml version="1.0" encoding="utf-8"?>
+<report-database>
+ <description>SKY130 DRC runset</description>
+ <original-file/>
+ <generator>drc: script='/home/krishna/mpw_precheckmpw6/checks/tech-files/sky130A_mr.drc'</generator>
+ <top-cell>user_analog_project_wrapper</top-cell>
+ <tags>
+ </tags>
+ <categories>
+  <category>
+   <name>dnwell_OFFGRID</name>
+   <description>x.1b : OFFGRID vertex on dnwell</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>dnwell_angle</name>
+   <description>x.3a : non 45 degree angle dnwell</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>nwell_OFFGRID</name>
+   <description>x.1b : OFFGRID vertex on nwell</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>nwell_angle</name>
+   <description>x.3a : non 45 degree angle nwell</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>pwbm_OFFGRID</name>
+   <description>x.1b : OFFGRID vertex on pwbm</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>pwbm_angle</name>
+   <description>x.3a : non 45 degree angle pwbm</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>pwde_OFFGRID</name>
+   <description>x.1b : OFFGRID vertex on pwde</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>pwde_angle</name>
+   <description>x.3a : non 45 degree angle pwde</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>hvtp_OFFGRID</name>
+   <description>x.1b : OFFGRID vertex on hvtp</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>hvtp_angle</name>
+   <description>x.3a : non 45 degree angle hvtp</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>hvtr_OFFGRID</name>
+   <description>x.1b : OFFGRID vertex on hvtr</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>hvtr_angle</name>
+   <description>x.3a : non 45 degree angle hvtr</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>lvtn_OFFGRID</name>
+   <description>x.1b : OFFGRID vertex on lvtn</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>lvtn_angle</name>
+   <description>x.3a : non 45 degree angle lvtn</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>ncm_OFFGRID</name>
+   <description>x.1b : OFFGRID vertex on ncm</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>ncm_angle</name>
+   <description>x.3a : non 45 degree angle ncm</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>diff_OFFGRID</name>
+   <description>x.1b : OFFGRID vertex on diff</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>tap_OFFGRID</name>
+   <description>x.1b : OFFGRID vertex on tap</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>diff_angle</name>
+   <description>x.2 : non 90 degree angle diff</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>diff_angle</name>
+   <description>x.2c : non 45 degree angle diff</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>tap_angle</name>
+   <description>x.2 : non 90 degree angle tap</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>tap_angle</name>
+   <description>x.2c : non 45 degree angle tap</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>tunm_OFFGRID</name>
+   <description>x.1b : OFFGRID vertex on tunm</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>tunm_angle</name>
+   <description>x.3a : non 45 degree angle tunm</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>poly_OFFGRID</name>
+   <description>x.1b : OFFGRID vertex on poly</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>poly_angle</name>
+   <description>x.2 : non 90 degree angle poly</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>rpm_OFFGRID</name>
+   <description>x.1b : OFFGRID vertex on rpm</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>rpm_angle</name>
+   <description>x.3a : non 45 degree angle rpm</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>npc_OFFGRID</name>
+   <description>x.1b : OFFGRID vertex on npc</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>npc_angle</name>
+   <description>x.3a : non 45 degree angle npc</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>nsdm_OFFGRID</name>
+   <description>x.1b : OFFGRID vertex on nsdm</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>nsdm_angle</name>
+   <description>x.3a : non 45 degree angle nsdm</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>psdm_OFFGRID</name>
+   <description>x.1b : OFFGRID vertex on psdm</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>psdm_angle</name>
+   <description>x.3a : non 45 degree angle psdm</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>licon_OFFGRID</name>
+   <description>x.1b : OFFGRID vertex on licon</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>licon_angle</name>
+   <description>x.2 : non 90 degree angle licon</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>li_OFFGRID</name>
+   <description>x.1b : OFFGRID vertex on li</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>li_angle</name>
+   <description>x.3a : non 45 degree angle li</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>ct_OFFGRID</name>
+   <description>x.1b : OFFGRID vertex on mcon</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>ct_angle</name>
+   <description>x.2 : non 90 degree angle mcon</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>vpp_OFFGRID</name>
+   <description>x.1b : OFFGRID vertex on vpp</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>vpp_angle</name>
+   <description>x.3a : non 45 degree angle vpp</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>m1_OFFGRID</name>
+   <description>x.1b : OFFGRID vertex on m1</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>m1_angle</name>
+   <description>x.3a : non 45 degree angle m1</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>via_OFFGRID</name>
+   <description>x.1b : OFFGRID vertex on via</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>via_angle</name>
+   <description>x.2 : non 90 degree angle via</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>m2_OFFGRID</name>
+   <description>x.1b : OFFGRID vertex on m2</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>m2_angle</name>
+   <description>x.3a : non 45 degree angle m2</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>via2_OFFGRID</name>
+   <description>x.1b : OFFGRID vertex on via2</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>via2_angle</name>
+   <description>x.2 : non 90 degree angle via2</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>m3_OFFGRID</name>
+   <description>x.1b : OFFGRID vertex on m3</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>m3_angle</name>
+   <description>x.3a : non 45 degree angle m3</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>via3_OFFGRID</name>
+   <description>x.1b : OFFGRID vertex on via3</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>via3_angle</name>
+   <description>x.2 : non 90 degree angle via3</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>nsm_OFFGRID</name>
+   <description>x.1b : OFFGRID vertex on nsm</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>nsm_angle</name>
+   <description>x.3a : non 45 degree angle nsm</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>m4_OFFGRID</name>
+   <description>x.1b : OFFGRID vertex on m4</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>m4_angle</name>
+   <description>x.3a : non 45 degree angle m4</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>via4_OFFGRID</name>
+   <description>x.1b : OFFGRID vertex on via4</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>via4_angle</name>
+   <description>x.2 : non 90 degree angle via4</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>m5_OFFGRID</name>
+   <description>x.1b : OFFGRID vertex on m5</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>m5_angle</name>
+   <description>x.3a : non 45 degree angle m5</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>pad_OFFGRID</name>
+   <description>x.1b : OFFGRID vertex on pad</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>pad_angle</name>
+   <description>x.3a : non 45 degree angle pad</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>mf_OFFGRID</name>
+   <description>x.1b : OFFGRID vertex on mf</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>mf_angle</name>
+   <description>x.2 : non 90 degree angle mf</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>hvi_OFFGRID</name>
+   <description>x.1b : OFFGRID vertex on hvi</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>hvi_angle</name>
+   <description>x.3a : non 45 degree angle hvi</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>hvntm_OFFGRID</name>
+   <description>x.1b : OFFGRID vertex on hvntm</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>hvntm_angle</name>
+   <description>x.3a : non 45 degree angle hvntm</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>vhvi_OFFGRID</name>
+   <description>x.1b : OFFGRID vertex on vhvi</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>vhvi_angle</name>
+   <description>x.3a : non 45 degree angle vhvi</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>uhvi_OFFGRID</name>
+   <description>x.1b : OFFGRID vertex on uhvi</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>uhvi_angle</name>
+   <description>x.3a : non 45 degree angle uhvi</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>pwell_rs_OFFGRID</name>
+   <description>x.1b : OFFGRID vertex on pwell_rs</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>pwell_rs_angle</name>
+   <description>x.3a : non 45 degree angle pwell_rs</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>areaid_re_OFFGRID</name>
+   <description>x.1b : OFFGRID vertex on areaid.re</description>
+   <categories>
+   </categories>
+  </category>
+ </categories>
+ <cells>
+  <cell>
+   <name>user_analog_project_wrapper</name>
+   <variant/>
+   <references>
+   </references>
+  </cell>
+ </cells>
+ <items>
+ </items>
+</report-database>
diff --git a/precheck_results/04_JUN_2022___09_24_39/outputs/reports/klayout_pin_label_purposes_overlapping_drawing_check.xml b/precheck_results/04_JUN_2022___09_24_39/outputs/reports/klayout_pin_label_purposes_overlapping_drawing_check.xml
new file mode 100644
index 0000000..95d0489
--- /dev/null
+++ b/precheck_results/04_JUN_2022___09_24_39/outputs/reports/klayout_pin_label_purposes_overlapping_drawing_check.xml
@@ -0,0 +1,21 @@
+<?xml version="1.0" encoding="utf-8"?>
+<report-database>
+ <description>pin_label_purposes_overlapping_drawing.rb.drc, input=/home/krishna/Comparator_MPW6/gds/user_analog_project_wrapper.gds, topcell=user_analog_project_wrapper</description>
+ <original-file/>
+ <generator>drc: script='/home/krishna/mpw_precheckmpw6/checks/drc_checks/klayout/pin_label_purposes_overlapping_drawing.rb.drc'</generator>
+ <top-cell>user_analog_project_wrapper</top-cell>
+ <tags>
+ </tags>
+ <categories>
+ </categories>
+ <cells>
+  <cell>
+   <name>user_analog_project_wrapper</name>
+   <variant/>
+   <references>
+   </references>
+  </cell>
+ </cells>
+ <items>
+ </items>
+</report-database>
diff --git a/precheck_results/04_JUN_2022___09_24_39/outputs/reports/klayout_zeroarea_check.xml b/precheck_results/04_JUN_2022___09_24_39/outputs/reports/klayout_zeroarea_check.xml
new file mode 100644
index 0000000..c90408a
--- /dev/null
+++ b/precheck_results/04_JUN_2022___09_24_39/outputs/reports/klayout_zeroarea_check.xml
@@ -0,0 +1,21 @@
+<?xml version="1.0" encoding="utf-8"?>
+<report-database>
+ <description>zero area check</description>
+ <original-file/>
+ <generator>drc: script='/home/krishna/mpw_precheckmpw6/checks/drc_checks/klayout/zeroarea.rb.drc'</generator>
+ <top-cell>user_analog_project_wrapper</top-cell>
+ <tags>
+ </tags>
+ <categories>
+ </categories>
+ <cells>
+  <cell>
+   <name>user_analog_project_wrapper</name>
+   <variant/>
+   <references>
+   </references>
+  </cell>
+ </cells>
+ <items>
+ </items>
+</report-database>
diff --git a/precheck_results/04_JUN_2022___09_24_39/outputs/reports/magic_drc_check.drc.report b/precheck_results/04_JUN_2022___09_24_39/outputs/reports/magic_drc_check.drc.report
new file mode 100644
index 0000000..829b9d5
--- /dev/null
+++ b/precheck_results/04_JUN_2022___09_24_39/outputs/reports/magic_drc_check.drc.report
@@ -0,0 +1,5 @@
+user_analog_project_wrapper
+----------------------------------------
+[INFO]: COUNT: 0
+[INFO]: Should be divided by 3 or 4
+
diff --git a/precheck_results/04_JUN_2022___09_24_39/outputs/reports/magic_drc_check.rdb b/precheck_results/04_JUN_2022___09_24_39/outputs/reports/magic_drc_check.rdb
new file mode 100644
index 0000000..8a882f3
--- /dev/null
+++ b/precheck_results/04_JUN_2022___09_24_39/outputs/reports/magic_drc_check.rdb
@@ -0,0 +1,2 @@
+$user_analog_project_wrapper
+ 100
diff --git a/precheck_results/04_JUN_2022___09_24_39/outputs/reports/magic_drc_check.tcl b/precheck_results/04_JUN_2022___09_24_39/outputs/reports/magic_drc_check.tcl
new file mode 100644
index 0000000..e69de29
--- /dev/null
+++ b/precheck_results/04_JUN_2022___09_24_39/outputs/reports/magic_drc_check.tcl
diff --git a/precheck_results/04_JUN_2022___09_24_39/outputs/reports/magic_drc_check.tr b/precheck_results/04_JUN_2022___09_24_39/outputs/reports/magic_drc_check.tr
new file mode 100644
index 0000000..e69de29
--- /dev/null
+++ b/precheck_results/04_JUN_2022___09_24_39/outputs/reports/magic_drc_check.tr
diff --git a/precheck_results/04_JUN_2022___09_24_39/outputs/reports/magic_drc_check.xml b/precheck_results/04_JUN_2022___09_24_39/outputs/reports/magic_drc_check.xml
new file mode 100644
index 0000000..7161d7c
--- /dev/null
+++ b/precheck_results/04_JUN_2022___09_24_39/outputs/reports/magic_drc_check.xml
@@ -0,0 +1,10 @@
+<?xml version="1.0" ?>
+<report-database>
+    <categories/>
+    <cells>
+        <cell>
+            <name>user_analog_project_wrapper</name>
+        </cell>
+    </cells>
+    <items/>
+</report-database>
diff --git a/precheck_results/04_JUN_2022___09_24_39/outputs/user_analog_project_wrapper.magic.drc.mag b/precheck_results/04_JUN_2022___09_24_39/outputs/user_analog_project_wrapper.magic.drc.mag
new file mode 100644
index 0000000..bc7865b
--- /dev/null
+++ b/precheck_results/04_JUN_2022___09_24_39/outputs/user_analog_project_wrapper.magic.drc.mag
@@ -0,0 +1,7 @@
+magic
+tech minimum
+magscale 1 2
+timestamp 0
+<< checkpaint >>
+rect 0 0 1 1
+<< end >>
diff --git a/precheck_results/04_JUN_2022___09_24_39/outputs/user_analog_project_wrapper_empty_erased.gds b/precheck_results/04_JUN_2022___09_24_39/outputs/user_analog_project_wrapper_empty_erased.gds
new file mode 100644
index 0000000..e69de29
--- /dev/null
+++ b/precheck_results/04_JUN_2022___09_24_39/outputs/user_analog_project_wrapper_empty_erased.gds
diff --git a/precheck_results/04_JUN_2022___09_24_39/outputs/user_analog_project_wrapper_erased.gds b/precheck_results/04_JUN_2022___09_24_39/outputs/user_analog_project_wrapper_erased.gds
new file mode 100644
index 0000000..e69de29
--- /dev/null
+++ b/precheck_results/04_JUN_2022___09_24_39/outputs/user_analog_project_wrapper_erased.gds
diff --git a/precheck_results/04_JUN_2022___09_24_39/outputs/user_analog_project_wrapper_no_zero_areas.gds b/precheck_results/04_JUN_2022___09_24_39/outputs/user_analog_project_wrapper_no_zero_areas.gds
new file mode 100644
index 0000000..985e1f7
--- /dev/null
+++ b/precheck_results/04_JUN_2022___09_24_39/outputs/user_analog_project_wrapper_no_zero_areas.gds
Binary files differ
diff --git a/precheck_results/04_JUN_2022___10_00_20/logs/gds.info b/precheck_results/04_JUN_2022___10_00_20/logs/gds.info
new file mode 100644
index 0000000..19e8fa0
--- /dev/null
+++ b/precheck_results/04_JUN_2022___10_00_20/logs/gds.info
@@ -0,0 +1 @@
+user_analog_project_wrapper.gds: 4eea5b17d61ad4e5046b72b704ca3367662b59b7
\ No newline at end of file
diff --git a/precheck_results/04_JUN_2022___10_00_20/logs/klayout_beol_check.log b/precheck_results/04_JUN_2022___10_00_20/logs/klayout_beol_check.log
new file mode 100644
index 0000000..245fd71
--- /dev/null
+++ b/precheck_results/04_JUN_2022___10_00_20/logs/klayout_beol_check.log
@@ -0,0 +1,992 @@
+/home/krishna/mpw_precheckmpw6/checks/tech-files/sky130A_mr.drc:38: warning: already initialized constant DRC::DRCEngine::FEOL
+/home/krishna/mpw_precheckmpw6/checks/tech-files/sky130A_mr.drc:28: warning: previous definition of FEOL was here
+/home/krishna/mpw_precheckmpw6/checks/tech-files/sky130A_mr.drc:42: warning: already initialized constant DRC::DRCEngine::BEOL
+/home/krishna/mpw_precheckmpw6/checks/tech-files/sky130A_mr.drc:29: warning: previous definition of BEOL was here
+/home/krishna/mpw_precheckmpw6/checks/tech-files/sky130A_mr.drc:50: warning: already initialized constant DRC::DRCEngine::OFFGRID
+/home/krishna/mpw_precheckmpw6/checks/tech-files/sky130A_mr.drc:30: warning: previous definition of OFFGRID was here
+/home/krishna/mpw_precheckmpw6/checks/tech-files/sky130A_mr.drc:56: warning: already initialized constant DRC::DRCEngine::SEAL
+/home/krishna/mpw_precheckmpw6/checks/tech-files/sky130A_mr.drc:31: warning: previous definition of SEAL was here
+/home/krishna/mpw_precheckmpw6/checks/tech-files/sky130A_mr.drc:62: warning: already initialized constant DRC::DRCEngine::FLOATING_MET
+/home/krishna/mpw_precheckmpw6/checks/tech-files/sky130A_mr.drc:32: warning: previous definition of FLOATING_MET was here
+"input" in: sky130A_mr.drc:112
+    Polygons (raw): 62 (flat)  25 (hierarchical)
+    Elapsed: 0.000s  Memory: 522.00M
+"polygons" in: sky130A_mr.drc:113
+    Polygons (raw): 48 (flat)  40 (hierarchical)
+    Elapsed: 0.010s  Memory: 522.00M
+"polygons" in: sky130A_mr.drc:114
+    Polygons (raw): 89 (flat)  69 (hierarchical)
+    Elapsed: 0.010s  Memory: 522.00M
+"polygons" in: sky130A_mr.drc:115
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 522.00M
+"polygons" in: sky130A_mr.drc:116
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 522.00M
+"polygons" in: sky130A_mr.drc:117
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 522.00M
+"polygons" in: sky130A_mr.drc:118
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 522.00M
+"polygons" in: sky130A_mr.drc:119
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 522.00M
+"polygons" in: sky130A_mr.drc:120
+    Polygons (raw): 10 (flat)  2 (hierarchical)
+    Elapsed: 0.000s  Memory: 522.00M
+"polygons" in: sky130A_mr.drc:121
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 522.00M
+"polygons" in: sky130A_mr.drc:122
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 522.00M
+"polygons" in: sky130A_mr.drc:123
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 522.00M
+"polygons" in: sky130A_mr.drc:124
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 522.00M
+"polygons" in: sky130A_mr.drc:125
+    Polygons (raw): 202 (flat)  116 (hierarchical)
+    Elapsed: 0.010s  Memory: 522.00M
+"polygons" in: sky130A_mr.drc:126
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 522.00M
+"polygons" in: sky130A_mr.drc:127
+    Polygons (raw): 62 (flat)  43 (hierarchical)
+    Elapsed: 0.010s  Memory: 522.00M
+"polygons" in: sky130A_mr.drc:128
+    Polygons (raw): 74 (flat)  48 (hierarchical)
+    Elapsed: 0.000s  Memory: 522.00M
+"polygons" in: sky130A_mr.drc:129
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 522.00M
+"polygons" in: sky130A_mr.drc:130
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 522.00M
+"polygons" in: sky130A_mr.drc:131
+    Polygons (raw): 33 (flat)  24 (hierarchical)
+    Elapsed: 0.000s  Memory: 522.00M
+"polygons" in: sky130A_mr.drc:132
+    Polygons (raw): 919 (flat)  303 (hierarchical)
+    Elapsed: 0.010s  Memory: 522.00M
+"polygons" in: sky130A_mr.drc:134
+    Polygons (raw): 590 (flat)  418 (hierarchical)
+    Elapsed: 0.010s  Memory: 522.00M
+"polygons" in: sky130A_mr.drc:135
+    Polygons (raw): 1756 (flat)  1480 (hierarchical)
+    Elapsed: 0.000s  Memory: 522.00M
+"polygons" in: sky130A_mr.drc:137
+    Polygons (raw): 349 (flat)  299 (hierarchical)
+    Elapsed: 0.010s  Memory: 522.00M
+"polygons" in: sky130A_mr.drc:138
+    Polygons (raw): 2570 (flat)  2570 (hierarchical)
+    Elapsed: 0.000s  Memory: 522.00M
+"polygons" in: sky130A_mr.drc:140
+    Polygons (raw): 603 (flat)  603 (hierarchical)
+    Elapsed: 0.010s  Memory: 522.00M
+"polygons" in: sky130A_mr.drc:141
+    Polygons (raw): 1761 (flat)  1761 (hierarchical)
+    Elapsed: 0.000s  Memory: 522.00M
+"polygons" in: sky130A_mr.drc:143
+    Polygons (raw): 458 (flat)  458 (hierarchical)
+    Elapsed: 0.010s  Memory: 522.00M
+"polygons" in: sky130A_mr.drc:144
+    Polygons (raw): 25800 (flat)  25800 (hierarchical)
+    Elapsed: 0.010s  Memory: 523.00M
+"polygons" in: sky130A_mr.drc:146
+    Polygons (raw): 177 (flat)  177 (hierarchical)
+    Elapsed: 0.000s  Memory: 523.00M
+"polygons" in: sky130A_mr.drc:147
+    Polygons (raw): 552 (flat)  552 (hierarchical)
+    Elapsed: 0.010s  Memory: 523.00M
+"polygons" in: sky130A_mr.drc:149
+    Polygons (raw): 153 (flat)  153 (hierarchical)
+    Elapsed: 0.000s  Memory: 523.00M
+"polygons" in: sky130A_mr.drc:151
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 523.00M
+"polygons" in: sky130A_mr.drc:152
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 523.00M
+"polygons" in: sky130A_mr.drc:153
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 523.00M
+"polygons" in: sky130A_mr.drc:154
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 523.00M
+"polygons" in: sky130A_mr.drc:155
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 523.00M
+"polygons" in: sky130A_mr.drc:156
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 523.00M
+"polygons" in: sky130A_mr.drc:157
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 523.00M
+"polygons" in: sky130A_mr.drc:158
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 523.00M
+"polygons" in: sky130A_mr.drc:159
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 523.00M
+"polygons" in: sky130A_mr.drc:160
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 523.00M
+"polygons" in: sky130A_mr.drc:161
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 523.00M
+"polygons" in: sky130A_mr.drc:162
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 523.00M
+"polygons" in: sky130A_mr.drc:163
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 523.00M
+"polygons" in: sky130A_mr.drc:164
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 523.00M
+"polygons" in: sky130A_mr.drc:165
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 523.00M
+"polygons" in: sky130A_mr.drc:166
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 523.00M
+"polygons" in: sky130A_mr.drc:167
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 523.00M
+"polygons" in: sky130A_mr.drc:168
+    Polygons (raw): 10 (flat)  2 (hierarchical)
+    Elapsed: 0.000s  Memory: 523.00M
+"polygons" in: sky130A_mr.drc:169
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 523.00M
+"polygons" in: sky130A_mr.drc:170
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 523.00M
+"polygons" in: sky130A_mr.drc:171
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 523.00M
+"polygons" in: sky130A_mr.drc:172
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 523.00M
+"polygons" in: sky130A_mr.drc:173
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 523.00M
+"polygons" in: sky130A_mr.drc:174
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 523.00M
+"polygons" in: sky130A_mr.drc:175
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 523.00M
+"polygons" in: sky130A_mr.drc:176
+    Polygons (raw): 1 (flat)  1 (hierarchical)
+    Elapsed: 0.010s  Memory: 523.00M
+"polygons" in: sky130A_mr.drc:177
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 523.00M
+"polygons" in: sky130A_mr.drc:178
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 523.00M
+"polygons" in: sky130A_mr.drc:179
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 523.00M
+"polygons" in: sky130A_mr.drc:180
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 523.00M
+"polygons" in: sky130A_mr.drc:181
+    Polygons (raw): 4 (flat)  3 (hierarchical)
+    Elapsed: 0.010s  Memory: 523.00M
+"polygons" in: sky130A_mr.drc:182
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 523.00M
+"polygons" in: sky130A_mr.drc:183
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 523.00M
+"polygons" in: sky130A_mr.drc:184
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 523.00M
+"polygons" in: sky130A_mr.drc:185
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 523.00M
+"polygons" in: sky130A_mr.drc:186
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 523.00M
+"polygons" in: sky130A_mr.drc:187
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 523.00M
+"polygons" in: sky130A_mr.drc:188
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 523.00M
+"polygons" in: sky130A_mr.drc:189
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 523.00M
+"polygons" in: sky130A_mr.drc:190
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 523.00M
+"polygons" in: sky130A_mr.drc:191
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 523.00M
+"polygons" in: sky130A_mr.drc:192
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 523.00M
+"polygons" in: sky130A_mr.drc:193
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 523.00M
+"polygons" in: sky130A_mr.drc:194
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 523.00M
+"polygons" in: sky130A_mr.drc:195
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 523.00M
+"polygons" in: sky130A_mr.drc:196
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 523.00M
+"polygons" in: sky130A_mr.drc:197
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 523.00M
+"polygons" in: sky130A_mr.drc:198
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 523.00M
+"polygons" in: sky130A_mr.drc:199
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 523.00M
+"polygons" in: sky130A_mr.drc:200
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 523.00M
+"polygons" in: sky130A_mr.drc:201
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 523.00M
+"polygons" in: sky130A_mr.drc:202
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 523.00M
+"polygons" in: sky130A_mr.drc:203
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 523.00M
+DRC section
+BEOL section
+START: 67/20 (li)
+"not" in: sky130A_mr.drc:381
+    Polygons (raw): 590 (flat)  418 (hierarchical)
+    Elapsed: 0.010s  Memory: 523.00M
+"width" in: sky130A_mr.drc:382
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 523.00M
+"output" in: sky130A_mr.drc:382
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 523.00M
+"edges" in: sky130A_mr.drc:384
+    Edges: 2975 (flat)  1728 (hierarchical)
+    Elapsed: 0.030s  Memory: 1067.00M
+"space" in: sky130A_mr.drc:384
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.020s  Memory: 1067.00M
+"output" in: sky130A_mr.drc:384
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 1067.00M
+"not" in: sky130A_mr.drc:385
+    Polygons (raw): 919 (flat)  303 (hierarchical)
+    Elapsed: 0.010s  Memory: 1067.00M
+"enclosing" in: sky130A_mr.drc:386
+    Edge pairs: 1213 (flat)  993 (hierarchical)
+    Elapsed: 0.030s  Memory: 1067.00M
+"second_edges" in: sky130A_mr.drc:386
+    Edges: 1213 (flat)  993 (hierarchical)
+    Elapsed: 0.000s  Memory: 1067.00M
+"width" in: sky130A_mr.drc:387
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 1067.00M
+"polygons" in: sky130A_mr.drc:388
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 1067.00M
+"interacting" in: sky130A_mr.drc:388
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 1067.00M
+"output" in: sky130A_mr.drc:389
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 1067.00M
+"with_area" in: sky130A_mr.drc:390
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 1067.00M
+"output" in: sky130A_mr.drc:390
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 1067.00M
+END: 67/20 (li)
+START: 67/44 (mcon)
+"not" in: sky130A_mr.drc:395
+    Polygons (raw): 1756 (flat)  1480 (hierarchical)
+    Elapsed: 0.010s  Memory: 1067.00M
+"not" in: sky130A_mr.drc:402
+    Polygons (raw): 1756 (flat)  1480 (hierarchical)
+    Elapsed: 0.000s  Memory: 1067.00M
+"non_rectangles" in: sky130A_mr.drc:403
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 1068.00M
+"output" in: sky130A_mr.drc:403
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 1068.00M
+"drc" in: sky130A_mr.drc:405
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.020s  Memory: 1068.00M
+"output" in: sky130A_mr.drc:405
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 1068.00M
+"drc" in: sky130A_mr.drc:406
+    Edges: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.020s  Memory: 1068.00M
+"output" in: sky130A_mr.drc:406
+    Edges: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 1068.00M
+"space" in: sky130A_mr.drc:407
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 1068.00M
+"output" in: sky130A_mr.drc:407
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 1068.00M
+"not" in: sky130A_mr.drc:413
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 1068.00M
+"output" in: sky130A_mr.drc:413
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 1068.00M
+END: 67/44 (mcon)
+START: 68/20 (m1)
+"width" in: sky130A_mr.drc:418
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 1068.00M
+"output" in: sky130A_mr.drc:418
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 1068.00M
+"sized" in: sky130A_mr.drc:419
+    Polygons (raw): 17 (flat)  17 (hierarchical)
+    Elapsed: 0.010s  Memory: 1068.00M
+"sized" in: sky130A_mr.drc:419
+    Polygons (raw): 17 (flat)  17 (hierarchical)
+    Elapsed: 0.000s  Memory: 1068.00M
+"snap" in: sky130A_mr.drc:419
+    Polygons (raw): 17 (flat)  17 (hierarchical)
+    Elapsed: 0.010s  Memory: 1068.00M
+"&" in: sky130A_mr.drc:419
+    Polygons (raw): 17 (flat)  17 (hierarchical)
+    Elapsed: 0.010s  Memory: 1068.00M
+"edges" in: sky130A_mr.drc:420
+    Edges: 1233 (flat)  1093 (hierarchical)
+    Elapsed: 0.010s  Memory: 1068.00M
+"-" in: sky130A_mr.drc:420
+    Edges: 1093 (flat)  962 (hierarchical)
+    Elapsed: 0.010s  Memory: 1068.00M
+"edges" in: sky130A_mr.drc:421
+    Edges: 126 (flat)  126 (hierarchical)
+    Elapsed: 0.010s  Memory: 1068.00M
+"merged" in: sky130A_mr.drc:421
+    Polygons (raw): 123 (flat)  113 (hierarchical)
+    Elapsed: 0.000s  Memory: 1068.00M
+"outside_part" in: sky130A_mr.drc:421
+    Edges: 142 (flat)  142 (hierarchical)
+    Elapsed: 0.010s  Memory: 1068.00M
+"space" in: sky130A_mr.drc:423
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 1068.00M
+"output" in: sky130A_mr.drc:423
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 1068.00M
+"separation" in: sky130A_mr.drc:425
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 1068.00M
+"space" in: sky130A_mr.drc:425
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 1068.00M
+"+" in: sky130A_mr.drc:425
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 1068.00M
+"output" in: sky130A_mr.drc:425
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 1068.00M
+"input" in: sky130A_mr.drc:429
+    Polygons (raw): 349 (flat)  305 (hierarchical)
+    Elapsed: 0.010s  Memory: 1068.00M
+"enclosing" in: sky130A_mr.drc:431
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.020s  Memory: 1068.00M
+"output" in: sky130A_mr.drc:431
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 1068.00M
+"not" in: sky130A_mr.drc:432
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 1068.00M
+"output" in: sky130A_mr.drc:432
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 1068.00M
+"input" in: sky130A_mr.drc:434
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 1068.00M
+"enclosing" in: sky130A_mr.drc:435
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 1068.00M
+"output" in: sky130A_mr.drc:435
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 1068.00M
+"not" in: sky130A_mr.drc:437
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 1068.00M
+"output" in: sky130A_mr.drc:437
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 1068.00M
+"with_area" in: sky130A_mr.drc:439
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.020s  Memory: 1068.00M
+"output" in: sky130A_mr.drc:439
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 1068.00M
+"holes" in: sky130A_mr.drc:441
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 1068.00M
+"with_area" in: sky130A_mr.drc:441
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 1068.00M
+"output" in: sky130A_mr.drc:441
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 1068.00M
+"enclosing" in: sky130A_mr.drc:448
+    Edge pairs: 245 (flat)  205 (hierarchical)
+    Elapsed: 0.010s  Memory: 1068.00M
+"second_edges" in: sky130A_mr.drc:448
+    Edges: 245 (flat)  205 (hierarchical)
+    Elapsed: 0.010s  Memory: 1068.00M
+"width" in: sky130A_mr.drc:449
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 1068.00M
+"polygons" in: sky130A_mr.drc:450
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 1068.00M
+"interacting" in: sky130A_mr.drc:450
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 1068.00M
+"output" in: sky130A_mr.drc:451
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 1068.00M
+END: 68/20 (m1)
+START: 68/44 (via)
+"not" in: sky130A_mr.drc:465
+    Polygons (raw): 2570 (flat)  2570 (hierarchical)
+    Elapsed: 0.000s  Memory: 1068.00M
+"non_rectangles" in: sky130A_mr.drc:467
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.020s  Memory: 1068.00M
+"output" in: sky130A_mr.drc:467
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 1068.00M
+"width" in: sky130A_mr.drc:468
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 1068.00M
+"output" in: sky130A_mr.drc:468
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 1068.00M
+"drc" in: sky130A_mr.drc:470
+    Edges: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 1068.00M
+"output" in: sky130A_mr.drc:470
+    Edges: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 1068.00M
+"space" in: sky130A_mr.drc:472
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.020s  Memory: 1068.00M
+"output" in: sky130A_mr.drc:472
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 1068.00M
+"edges" in: sky130A_mr.drc:480
+    Edges: 1233 (flat)  1093 (hierarchical)
+    Elapsed: 0.010s  Memory: 1068.00M
+"drc" in: sky130A_mr.drc:480
+    Edges: 10280 (flat)  10280 (hierarchical)
+    Elapsed: 0.030s  Memory: 1068.00M
+"enclosing" in: sky130A_mr.drc:480
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 1068.00M
+"output" in: sky130A_mr.drc:480
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 1068.00M
+"squares" in: sky130A_mr.drc:481
+    Polygons (raw): 2570 (flat)  2570 (hierarchical)
+    Elapsed: 0.010s  Memory: 1068.00M
+"drc" in: sky130A_mr.drc:481
+    Edges: 10280 (flat)  10280 (hierarchical)
+    Elapsed: 0.040s  Memory: 1068.00M
+"not" in: sky130A_mr.drc:481
+    Edges: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.020s  Memory: 1068.00M
+"output" in: sky130A_mr.drc:481
+    Edges: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 1068.00M
+"edges" in: sky130A_mr.drc:483
+    Edges: 1233 (flat)  1093 (hierarchical)
+    Elapsed: 0.020s  Memory: 1068.00M
+"drc" in: sky130A_mr.drc:483
+    Edges: 10280 (flat)  10280 (hierarchical)
+    Elapsed: 0.030s  Memory: 1068.00M
+"enclosing" in: sky130A_mr.drc:483
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.020s  Memory: 1068.00M
+"second_edges" in: sky130A_mr.drc:483
+    Edges: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 1068.00M
+"width" in: sky130A_mr.drc:484
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 1068.00M
+"polygons" in: sky130A_mr.drc:485
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 1068.00M
+"interacting" in: sky130A_mr.drc:485
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 1068.00M
+"output" in: sky130A_mr.drc:486
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 1068.00M
+END: 68/44 (via)
+START: 69/20 (m2)
+"width" in: sky130A_mr.drc:493
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 1069.00M
+"output" in: sky130A_mr.drc:493
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 1069.00M
+"sized" in: sky130A_mr.drc:495
+    Polygons (raw): 13 (flat)  13 (hierarchical)
+    Elapsed: 0.010s  Memory: 1069.00M
+"sized" in: sky130A_mr.drc:495
+    Polygons (raw): 13 (flat)  13 (hierarchical)
+    Elapsed: 0.000s  Memory: 1069.00M
+"snap" in: sky130A_mr.drc:495
+    Polygons (raw): 13 (flat)  13 (hierarchical)
+    Elapsed: 0.010s  Memory: 1069.00M
+"&" in: sky130A_mr.drc:495
+    Polygons (raw): 13 (flat)  13 (hierarchical)
+    Elapsed: 0.010s  Memory: 1069.00M
+"edges" in: sky130A_mr.drc:496
+    Edges: 2367 (flat)  2367 (hierarchical)
+    Elapsed: 0.010s  Memory: 1069.00M
+"-" in: sky130A_mr.drc:496
+    Edges: 2296 (flat)  2296 (hierarchical)
+    Elapsed: 0.010s  Memory: 1069.00M
+"edges" in: sky130A_mr.drc:497
+    Edges: 64 (flat)  64 (hierarchical)
+    Elapsed: 0.000s  Memory: 1069.00M
+"merged" in: sky130A_mr.drc:497
+    Polygons (raw): 550 (flat)  550 (hierarchical)
+    Elapsed: 0.010s  Memory: 1069.00M
+"outside_part" in: sky130A_mr.drc:497
+    Edges: 65 (flat)  65 (hierarchical)
+    Elapsed: 0.000s  Memory: 1069.00M
+"not" in: sky130A_mr.drc:498
+    Polygons (raw): 2570 (flat)  2570 (hierarchical)
+    Elapsed: 0.010s  Memory: 1069.00M
+"space" in: sky130A_mr.drc:500
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 1069.00M
+"output" in: sky130A_mr.drc:500
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 1069.00M
+"separation" in: sky130A_mr.drc:502
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 1069.00M
+"space" in: sky130A_mr.drc:502
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 1069.00M
+"+" in: sky130A_mr.drc:502
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 1069.00M
+"output" in: sky130A_mr.drc:502
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 1069.00M
+"with_area" in: sky130A_mr.drc:504
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 1069.00M
+"output" in: sky130A_mr.drc:504
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 1069.00M
+"holes" in: sky130A_mr.drc:505
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 1069.00M
+"with_area" in: sky130A_mr.drc:505
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 1069.00M
+"output" in: sky130A_mr.drc:505
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 1069.00M
+"enclosing" in: sky130A_mr.drc:510
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.020s  Memory: 1069.00M
+"output" in: sky130A_mr.drc:510
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 1069.00M
+"not" in: sky130A_mr.drc:511
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 1069.00M
+"output" in: sky130A_mr.drc:511
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 1069.00M
+"enclosing" in: sky130A_mr.drc:512
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.020s  Memory: 1069.00M
+"second_edges" in: sky130A_mr.drc:512
+    Edges: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 1069.00M
+"width" in: sky130A_mr.drc:513
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 1069.00M
+"polygons" in: sky130A_mr.drc:514
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 1069.00M
+"interacting" in: sky130A_mr.drc:514
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 1069.00M
+"output" in: sky130A_mr.drc:515
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 1069.00M
+END: 69/20 (m2)
+START: 69/44 (via2)
+"not" in: sky130A_mr.drc:530
+    Polygons (raw): 1761 (flat)  1761 (hierarchical)
+    Elapsed: 0.010s  Memory: 1069.00M
+"non_rectangles" in: sky130A_mr.drc:531
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 1070.00M
+"output" in: sky130A_mr.drc:531
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 1070.00M
+"width" in: sky130A_mr.drc:532
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 1070.00M
+"output" in: sky130A_mr.drc:532
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 1070.00M
+"edges" in: sky130A_mr.drc:533
+    Edges: 7044 (flat)  7044 (hierarchical)
+    Elapsed: 0.010s  Memory: 1070.00M
+"without_length" in: sky130A_mr.drc:533
+    Edges: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.020s  Memory: 1071.00M
+"output" in: sky130A_mr.drc:533
+    Edges: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 1071.00M
+"space" in: sky130A_mr.drc:534
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.020s  Memory: 1071.00M
+"output" in: sky130A_mr.drc:534
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 1071.00M
+"enclosing" in: sky130A_mr.drc:542
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.020s  Memory: 1071.00M
+"output" in: sky130A_mr.drc:542
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 1071.00M
+"not" in: sky130A_mr.drc:543
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.020s  Memory: 1071.00M
+"output" in: sky130A_mr.drc:543
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 1071.00M
+"enclosing" in: sky130A_mr.drc:545
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.020s  Memory: 1071.00M
+"second_edges" in: sky130A_mr.drc:545
+    Edges: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 1071.00M
+"width" in: sky130A_mr.drc:546
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 1071.00M
+"polygons" in: sky130A_mr.drc:547
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 1071.00M
+"interacting" in: sky130A_mr.drc:547
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 1071.00M
+"output" in: sky130A_mr.drc:548
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 1071.00M
+END: 69/44 (via2)
+START: 70/20 (m3)
+"width" in: sky130A_mr.drc:554
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 1071.00M
+"output" in: sky130A_mr.drc:554
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 1071.00M
+"sized" in: sky130A_mr.drc:556
+    Polygons (raw): 53 (flat)  53 (hierarchical)
+    Elapsed: 0.000s  Memory: 1071.00M
+"sized" in: sky130A_mr.drc:556
+    Polygons (raw): 53 (flat)  53 (hierarchical)
+    Elapsed: 0.010s  Memory: 1071.00M
+"snap" in: sky130A_mr.drc:556
+    Polygons (raw): 53 (flat)  53 (hierarchical)
+    Elapsed: 0.010s  Memory: 1071.00M
+"&" in: sky130A_mr.drc:556
+    Polygons (raw): 98 (flat)  98 (hierarchical)
+    Elapsed: 0.010s  Memory: 1071.00M
+"edges" in: sky130A_mr.drc:557
+    Edges: 1658 (flat)  1658 (hierarchical)
+    Elapsed: 0.010s  Memory: 1071.00M
+"-" in: sky130A_mr.drc:557
+    Edges: 883 (flat)  883 (hierarchical)
+    Elapsed: 0.010s  Memory: 1071.00M
+"edges" in: sky130A_mr.drc:558
+    Edges: 671 (flat)  671 (hierarchical)
+    Elapsed: 0.010s  Memory: 1071.00M
+"merged" in: sky130A_mr.drc:558
+    Polygons (raw): 228 (flat)  228 (hierarchical)
+    Elapsed: 0.000s  Memory: 1071.00M
+"outside_part" in: sky130A_mr.drc:558
+    Edges: 676 (flat)  676 (hierarchical)
+    Elapsed: 0.010s  Memory: 1071.00M
+"space" in: sky130A_mr.drc:560
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 1071.00M
+"output" in: sky130A_mr.drc:560
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 1071.00M
+"separation" in: sky130A_mr.drc:562
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 1071.00M
+"space" in: sky130A_mr.drc:562
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 1071.00M
+"+" in: sky130A_mr.drc:562
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 1071.00M
+"output" in: sky130A_mr.drc:562
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 1071.00M
+"enclosing" in: sky130A_mr.drc:567
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.020s  Memory: 1071.00M
+"output" in: sky130A_mr.drc:567
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 1071.00M
+"not" in: sky130A_mr.drc:568
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 1071.00M
+"output" in: sky130A_mr.drc:568
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 1071.00M
+END: 70/20 (m3)
+START: 70/44 (via3)
+"not" in: sky130A_mr.drc:582
+    Polygons (raw): 25800 (flat)  25800 (hierarchical)
+    Elapsed: 0.010s  Memory: 1071.00M
+"non_rectangles" in: sky130A_mr.drc:583
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.140s  Memory: 1078.00M
+"output" in: sky130A_mr.drc:583
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 1078.00M
+"width" in: sky130A_mr.drc:584
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.020s  Memory: 1078.00M
+"output" in: sky130A_mr.drc:584
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 1078.00M
+"edges" in: sky130A_mr.drc:585
+    Edges: 103200 (flat)  103200 (hierarchical)
+    Elapsed: 0.100s  Memory: 1078.00M
+"without_length" in: sky130A_mr.drc:585
+    Edges: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.250s  Memory: 1105.00M
+"output" in: sky130A_mr.drc:585
+    Edges: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 1105.00M
+"space" in: sky130A_mr.drc:587
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.180s  Memory: 1105.00M
+"output" in: sky130A_mr.drc:587
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 1105.00M
+"enclosing" in: sky130A_mr.drc:588
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.230s  Memory: 1105.00M
+"output" in: sky130A_mr.drc:588
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 1105.00M
+"not" in: sky130A_mr.drc:589
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.060s  Memory: 1105.00M
+"output" in: sky130A_mr.drc:589
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 1105.00M
+"enclosing" in: sky130A_mr.drc:591
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.230s  Memory: 1105.00M
+"second_edges" in: sky130A_mr.drc:591
+    Edges: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 1105.00M
+"width" in: sky130A_mr.drc:592
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 1105.00M
+"polygons" in: sky130A_mr.drc:593
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 1105.00M
+"interacting" in: sky130A_mr.drc:593
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 1105.00M
+"output" in: sky130A_mr.drc:594
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 1105.00M
+END: 70/44 (via3)
+START: 71/20 (m4)
+"width" in: sky130A_mr.drc:600
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 1105.00M
+"output" in: sky130A_mr.drc:600
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 1105.00M
+"sized" in: sky130A_mr.drc:602
+    Polygons (raw): 20 (flat)  20 (hierarchical)
+    Elapsed: 0.010s  Memory: 1105.00M
+"sized" in: sky130A_mr.drc:602
+    Polygons (raw): 20 (flat)  20 (hierarchical)
+    Elapsed: 0.010s  Memory: 1105.00M
+"snap" in: sky130A_mr.drc:602
+    Polygons (raw): 20 (flat)  20 (hierarchical)
+    Elapsed: 0.000s  Memory: 1105.00M
+"&" in: sky130A_mr.drc:602
+    Polygons (raw): 46 (flat)  46 (hierarchical)
+    Elapsed: 0.010s  Memory: 1105.00M
+"edges" in: sky130A_mr.drc:603
+    Edges: 572 (flat)  572 (hierarchical)
+    Elapsed: 0.010s  Memory: 1105.00M
+"-" in: sky130A_mr.drc:603
+    Edges: 116 (flat)  116 (hierarchical)
+    Elapsed: 0.010s  Memory: 1105.00M
+"edges" in: sky130A_mr.drc:604
+    Edges: 366 (flat)  366 (hierarchical)
+    Elapsed: 0.010s  Memory: 1105.00M
+"merged" in: sky130A_mr.drc:604
+    Polygons (raw): 31 (flat)  31 (hierarchical)
+    Elapsed: 0.010s  Memory: 1105.00M
+"outside_part" in: sky130A_mr.drc:604
+    Edges: 371 (flat)  371 (hierarchical)
+    Elapsed: 0.000s  Memory: 1105.00M
+"space" in: sky130A_mr.drc:606
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 1105.00M
+"output" in: sky130A_mr.drc:606
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 1105.00M
+"with_area" in: sky130A_mr.drc:608
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 1105.00M
+"output" in: sky130A_mr.drc:608
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 1105.00M
+"separation" in: sky130A_mr.drc:610
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 1105.00M
+"space" in: sky130A_mr.drc:610
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 1105.00M
+"+" in: sky130A_mr.drc:610
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 1105.00M
+"output" in: sky130A_mr.drc:610
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 1105.00M
+"enclosing" in: sky130A_mr.drc:615
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.190s  Memory: 1105.00M
+"output" in: sky130A_mr.drc:615
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 1105.00M
+"not" in: sky130A_mr.drc:616
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.050s  Memory: 1105.00M
+"output" in: sky130A_mr.drc:616
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 1105.00M
+END: 71/20 (m4)
+START: 71/44 (via4)
+"not" in: sky130A_mr.drc:629
+    Polygons (raw): 552 (flat)  552 (hierarchical)
+    Elapsed: 0.010s  Memory: 1105.00M
+"non_rectangles" in: sky130A_mr.drc:630
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 1105.00M
+"output" in: sky130A_mr.drc:630
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 1105.00M
+"width" in: sky130A_mr.drc:631
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 1105.00M
+"output" in: sky130A_mr.drc:631
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 1105.00M
+"drc" in: sky130A_mr.drc:632
+    Edges: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 1105.00M
+"output" in: sky130A_mr.drc:632
+    Edges: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 1105.00M
+"space" in: sky130A_mr.drc:634
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 1105.00M
+"polygons" in: sky130A_mr.drc:634
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 1105.00M
+"output" in: sky130A_mr.drc:634
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 1105.00M
+"enclosing" in: sky130A_mr.drc:642
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 1105.00M
+"output" in: sky130A_mr.drc:642
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 1105.00M
+"not" in: sky130A_mr.drc:643
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 1105.00M
+"output" in: sky130A_mr.drc:643
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 1105.00M
+END: 71/44 (via4)
+START: 72/20 (m5)
+"width" in: sky130A_mr.drc:648
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 1105.00M
+"output" in: sky130A_mr.drc:648
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 1105.00M
+"space" in: sky130A_mr.drc:650
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 1105.00M
+"output" in: sky130A_mr.drc:650
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 1105.00M
+"enclosing" in: sky130A_mr.drc:652
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 1105.00M
+"output" in: sky130A_mr.drc:652
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 1105.00M
+"not" in: sky130A_mr.drc:653
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 1105.00M
+"output" in: sky130A_mr.drc:653
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 1105.00M
+"with_area" in: sky130A_mr.drc:657
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 1105.00M
+"output" in: sky130A_mr.drc:657
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 1105.00M
+END: 72/20 (m5)
+START: 76/20 (pad)
+"space" in: sky130A_mr.drc:662
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 1105.00M
+"output" in: sky130A_mr.drc:662
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 1105.00M
+END: 76/20 (pad)
+Writing report database: /home/krishna/Comparator_MPW6/precheck_results/04_JUN_2022___10_00_20/outputs/reports/klayout_beol_check.xml ..
+Total elapsed: 3.790s  Memory: 1105.00M
diff --git a/precheck_results/04_JUN_2022___10_00_20/logs/klayout_beol_check.total b/precheck_results/04_JUN_2022___10_00_20/logs/klayout_beol_check.total
new file mode 100644
index 0000000..c227083
--- /dev/null
+++ b/precheck_results/04_JUN_2022___10_00_20/logs/klayout_beol_check.total
@@ -0,0 +1 @@
+0
\ No newline at end of file
diff --git a/precheck_results/04_JUN_2022___10_00_20/logs/klayout_feol_check.log b/precheck_results/04_JUN_2022___10_00_20/logs/klayout_feol_check.log
new file mode 100644
index 0000000..a22e735
--- /dev/null
+++ b/precheck_results/04_JUN_2022___10_00_20/logs/klayout_feol_check.log
@@ -0,0 +1,789 @@
+/home/krishna/mpw_precheckmpw6/checks/tech-files/sky130A_mr.drc:36: warning: already initialized constant DRC::DRCEngine::FEOL
+/home/krishna/mpw_precheckmpw6/checks/tech-files/sky130A_mr.drc:28: warning: previous definition of FEOL was here
+/home/krishna/mpw_precheckmpw6/checks/tech-files/sky130A_mr.drc:44: warning: already initialized constant DRC::DRCEngine::BEOL
+/home/krishna/mpw_precheckmpw6/checks/tech-files/sky130A_mr.drc:29: warning: previous definition of BEOL was here
+/home/krishna/mpw_precheckmpw6/checks/tech-files/sky130A_mr.drc:50: warning: already initialized constant DRC::DRCEngine::OFFGRID
+/home/krishna/mpw_precheckmpw6/checks/tech-files/sky130A_mr.drc:30: warning: previous definition of OFFGRID was here
+/home/krishna/mpw_precheckmpw6/checks/tech-files/sky130A_mr.drc:56: warning: already initialized constant DRC::DRCEngine::SEAL
+/home/krishna/mpw_precheckmpw6/checks/tech-files/sky130A_mr.drc:31: warning: previous definition of SEAL was here
+/home/krishna/mpw_precheckmpw6/checks/tech-files/sky130A_mr.drc:62: warning: already initialized constant DRC::DRCEngine::FLOATING_MET
+/home/krishna/mpw_precheckmpw6/checks/tech-files/sky130A_mr.drc:32: warning: previous definition of FLOATING_MET was here
+"input" in: sky130A_mr.drc:112
+    Polygons (raw): 62 (flat)  25 (hierarchical)
+    Elapsed: 0.010s  Memory: 522.00M
+"polygons" in: sky130A_mr.drc:113
+    Polygons (raw): 48 (flat)  40 (hierarchical)
+    Elapsed: 0.010s  Memory: 522.00M
+"polygons" in: sky130A_mr.drc:114
+    Polygons (raw): 89 (flat)  69 (hierarchical)
+    Elapsed: 0.000s  Memory: 522.00M
+"polygons" in: sky130A_mr.drc:115
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 522.00M
+"polygons" in: sky130A_mr.drc:116
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 522.00M
+"polygons" in: sky130A_mr.drc:117
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 522.00M
+"polygons" in: sky130A_mr.drc:118
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 522.00M
+"polygons" in: sky130A_mr.drc:119
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 522.00M
+"polygons" in: sky130A_mr.drc:120
+    Polygons (raw): 10 (flat)  2 (hierarchical)
+    Elapsed: 0.010s  Memory: 522.00M
+"polygons" in: sky130A_mr.drc:121
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 522.00M
+"polygons" in: sky130A_mr.drc:122
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 522.00M
+"polygons" in: sky130A_mr.drc:123
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 522.00M
+"polygons" in: sky130A_mr.drc:124
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 522.00M
+"polygons" in: sky130A_mr.drc:125
+    Polygons (raw): 202 (flat)  116 (hierarchical)
+    Elapsed: 0.000s  Memory: 522.00M
+"polygons" in: sky130A_mr.drc:126
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 522.00M
+"polygons" in: sky130A_mr.drc:127
+    Polygons (raw): 62 (flat)  43 (hierarchical)
+    Elapsed: 0.000s  Memory: 522.00M
+"polygons" in: sky130A_mr.drc:128
+    Polygons (raw): 74 (flat)  48 (hierarchical)
+    Elapsed: 0.010s  Memory: 522.00M
+"polygons" in: sky130A_mr.drc:129
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 522.00M
+"polygons" in: sky130A_mr.drc:130
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 522.00M
+"polygons" in: sky130A_mr.drc:131
+    Polygons (raw): 33 (flat)  24 (hierarchical)
+    Elapsed: 0.000s  Memory: 522.00M
+"polygons" in: sky130A_mr.drc:132
+    Polygons (raw): 919 (flat)  303 (hierarchical)
+    Elapsed: 0.010s  Memory: 522.00M
+"polygons" in: sky130A_mr.drc:134
+    Polygons (raw): 590 (flat)  418 (hierarchical)
+    Elapsed: 0.010s  Memory: 522.00M
+"polygons" in: sky130A_mr.drc:135
+    Polygons (raw): 1756 (flat)  1480 (hierarchical)
+    Elapsed: 0.000s  Memory: 522.00M
+"polygons" in: sky130A_mr.drc:137
+    Polygons (raw): 349 (flat)  299 (hierarchical)
+    Elapsed: 0.010s  Memory: 522.00M
+"polygons" in: sky130A_mr.drc:138
+    Polygons (raw): 2570 (flat)  2570 (hierarchical)
+    Elapsed: 0.000s  Memory: 522.00M
+"polygons" in: sky130A_mr.drc:140
+    Polygons (raw): 603 (flat)  603 (hierarchical)
+    Elapsed: 0.010s  Memory: 522.00M
+"polygons" in: sky130A_mr.drc:141
+    Polygons (raw): 1761 (flat)  1761 (hierarchical)
+    Elapsed: 0.000s  Memory: 522.00M
+"polygons" in: sky130A_mr.drc:143
+    Polygons (raw): 458 (flat)  458 (hierarchical)
+    Elapsed: 0.010s  Memory: 522.00M
+"polygons" in: sky130A_mr.drc:144
+    Polygons (raw): 25800 (flat)  25800 (hierarchical)
+    Elapsed: 0.010s  Memory: 523.00M
+"polygons" in: sky130A_mr.drc:146
+    Polygons (raw): 177 (flat)  177 (hierarchical)
+    Elapsed: 0.000s  Memory: 523.00M
+"polygons" in: sky130A_mr.drc:147
+    Polygons (raw): 552 (flat)  552 (hierarchical)
+    Elapsed: 0.010s  Memory: 523.00M
+"polygons" in: sky130A_mr.drc:149
+    Polygons (raw): 153 (flat)  153 (hierarchical)
+    Elapsed: 0.000s  Memory: 523.00M
+"polygons" in: sky130A_mr.drc:151
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 523.00M
+"polygons" in: sky130A_mr.drc:152
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 523.00M
+"polygons" in: sky130A_mr.drc:153
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 523.00M
+"polygons" in: sky130A_mr.drc:154
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 523.00M
+"polygons" in: sky130A_mr.drc:155
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 523.00M
+"polygons" in: sky130A_mr.drc:156
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 523.00M
+"polygons" in: sky130A_mr.drc:157
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 523.00M
+"polygons" in: sky130A_mr.drc:158
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 523.00M
+"polygons" in: sky130A_mr.drc:159
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 523.00M
+"polygons" in: sky130A_mr.drc:160
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 523.00M
+"polygons" in: sky130A_mr.drc:161
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 523.00M
+"polygons" in: sky130A_mr.drc:162
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 523.00M
+"polygons" in: sky130A_mr.drc:163
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 523.00M
+"polygons" in: sky130A_mr.drc:164
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 523.00M
+"polygons" in: sky130A_mr.drc:165
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 523.00M
+"polygons" in: sky130A_mr.drc:166
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 523.00M
+"polygons" in: sky130A_mr.drc:167
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 523.00M
+"polygons" in: sky130A_mr.drc:168
+    Polygons (raw): 10 (flat)  2 (hierarchical)
+    Elapsed: 0.000s  Memory: 523.00M
+"polygons" in: sky130A_mr.drc:169
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 523.00M
+"polygons" in: sky130A_mr.drc:170
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 523.00M
+"polygons" in: sky130A_mr.drc:171
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 523.00M
+"polygons" in: sky130A_mr.drc:172
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 523.00M
+"polygons" in: sky130A_mr.drc:173
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 523.00M
+"polygons" in: sky130A_mr.drc:174
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 523.00M
+"polygons" in: sky130A_mr.drc:175
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 523.00M
+"polygons" in: sky130A_mr.drc:176
+    Polygons (raw): 1 (flat)  1 (hierarchical)
+    Elapsed: 0.000s  Memory: 523.00M
+"polygons" in: sky130A_mr.drc:177
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 523.00M
+"polygons" in: sky130A_mr.drc:178
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 523.00M
+"polygons" in: sky130A_mr.drc:179
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 523.00M
+"polygons" in: sky130A_mr.drc:180
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 523.00M
+"polygons" in: sky130A_mr.drc:181
+    Polygons (raw): 4 (flat)  3 (hierarchical)
+    Elapsed: 0.000s  Memory: 523.00M
+"polygons" in: sky130A_mr.drc:182
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 523.00M
+"polygons" in: sky130A_mr.drc:183
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 523.00M
+"polygons" in: sky130A_mr.drc:184
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 523.00M
+"polygons" in: sky130A_mr.drc:185
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 523.00M
+"polygons" in: sky130A_mr.drc:186
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 523.00M
+"polygons" in: sky130A_mr.drc:187
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 523.00M
+"polygons" in: sky130A_mr.drc:188
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 523.00M
+"polygons" in: sky130A_mr.drc:189
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 523.00M
+"polygons" in: sky130A_mr.drc:190
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 523.00M
+"polygons" in: sky130A_mr.drc:191
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 523.00M
+"polygons" in: sky130A_mr.drc:192
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 523.00M
+"polygons" in: sky130A_mr.drc:193
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 523.00M
+"polygons" in: sky130A_mr.drc:194
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 523.00M
+"polygons" in: sky130A_mr.drc:195
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 523.00M
+"polygons" in: sky130A_mr.drc:196
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 523.00M
+"polygons" in: sky130A_mr.drc:197
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 523.00M
+"polygons" in: sky130A_mr.drc:198
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 523.00M
+"polygons" in: sky130A_mr.drc:199
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 523.00M
+"polygons" in: sky130A_mr.drc:200
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 523.00M
+"polygons" in: sky130A_mr.drc:201
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 523.00M
+"polygons" in: sky130A_mr.drc:202
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 523.00M
+"polygons" in: sky130A_mr.drc:203
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 523.00M
+DRC section
+FEOL section
+START: 64/18 (dnwell)
+"width" in: sky130A_mr.drc:229
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 523.00M
+"output" in: sky130A_mr.drc:229
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 523.00M
+END: 64/18 (dnwell)
+"input" in: sky130A_mr.drc:233
+    Polygons (raw): 62 (flat)  43 (hierarchical)
+    Elapsed: 0.010s  Memory: 523.00M
+"input" in: sky130A_mr.drc:234
+    Polygons (raw): 74 (flat)  48 (hierarchical)
+    Elapsed: 0.000s  Memory: 523.00M
+"input" in: sky130A_mr.drc:235
+    Polygons (raw): 89 (flat)  69 (hierarchical)
+    Elapsed: 0.010s  Memory: 523.00M
+START: 64/20 (nwell)
+"width" in: sky130A_mr.drc:239
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 523.00M
+"output" in: sky130A_mr.drc:239
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 523.00M
+"space" in: sky130A_mr.drc:240
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 1067.00M
+"output" in: sky130A_mr.drc:240
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 1067.00M
+"merge" in: sky130A_mr.drc:241
+    Polygons (raw): 15 (flat)  14 (hierarchical)
+    Elapsed: 0.000s  Memory: 1067.00M
+"holes" in: sky130A_mr.drc:242
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 1067.00M
+"enclosing" in: sky130A_mr.drc:242
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 1067.00M
+"output" in: sky130A_mr.drc:242
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 1067.00M
+END: 64/20 (nwell)
+START: 78/44 (hvtp)
+"width" in: sky130A_mr.drc:247
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 1067.00M
+"output" in: sky130A_mr.drc:247
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 1067.00M
+"space" in: sky130A_mr.drc:248
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 1067.00M
+"output" in: sky130A_mr.drc:248
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 1067.00M
+END: 78/44 (hvtp)
+START: 18/20 (htvr)
+"width" in: sky130A_mr.drc:253
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 1067.00M
+"output" in: sky130A_mr.drc:253
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 1067.00M
+"separation" in: sky130A_mr.drc:254
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 1067.00M
+"output" in: sky130A_mr.drc:254
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 1067.00M
+"and" in: sky130A_mr.drc:255
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 1067.00M
+"output" in: sky130A_mr.drc:255
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 1067.00M
+END: 18/20 (htvr)
+START: 25/44 (lvtn)
+"width" in: sky130A_mr.drc:260
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 1067.00M
+"output" in: sky130A_mr.drc:260
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 1067.00M
+"space" in: sky130A_mr.drc:261
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 1067.00M
+"output" in: sky130A_mr.drc:261
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 1067.00M
+END: 25/44 (lvtn)
+START: 92/44 (ncm)
+"width" in: sky130A_mr.drc:266
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 1067.00M
+"output" in: sky130A_mr.drc:266
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 1067.00M
+"space" in: sky130A_mr.drc:267
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 1067.00M
+"output" in: sky130A_mr.drc:267
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 1067.00M
+END: 92/44 (ncm)
+START: 65/20 (diff)
+"or" in: sky130A_mr.drc:272
+    Polygons (raw): 78 (flat)  45 (hierarchical)
+    Elapsed: 0.010s  Memory: 1067.00M
+"rectangles" in: sky130A_mr.drc:273
+    Polygons (raw): 31 (flat)  11 (hierarchical)
+    Elapsed: 0.000s  Memory: 1067.00M
+"width" in: sky130A_mr.drc:273
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 1067.00M
+"polygons" in: sky130A_mr.drc:273
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 1067.00M
+"edges" in: sky130A_mr.drc:274
+    Edges: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 1067.00M
+"outside_part" in: sky130A_mr.drc:274
+    Edges: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 1067.00M
+"outside" in: sky130A_mr.drc:274
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 1067.00M
+"edges" in: sky130A_mr.drc:274
+    Edges: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 1067.00M
+"not" in: sky130A_mr.drc:274
+    Edges: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 1067.00M
+"output" in: sky130A_mr.drc:275
+    Edges: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 1067.00M
+"outside" in: sky130A_mr.drc:276
+    Polygons (raw): 48 (flat)  17 (hierarchical)
+    Elapsed: 0.010s  Memory: 1067.00M
+"width" in: sky130A_mr.drc:276
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 1067.00M
+"output" in: sky130A_mr.drc:276
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 1067.00M
+END: 65/20 (diff)
+START: 65/44 (tap)
+"rectangles" in: sky130A_mr.drc:280
+    Polygons (raw): 24 (flat)  24 (hierarchical)
+    Elapsed: 0.010s  Memory: 1067.00M
+"width" in: sky130A_mr.drc:280
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 1067.00M
+"polygons" in: sky130A_mr.drc:280
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.020s  Memory: 1067.00M
+"edges" in: sky130A_mr.drc:281
+    Edges: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 1067.00M
+"outside_part" in: sky130A_mr.drc:281
+    Edges: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 1067.00M
+"outside" in: sky130A_mr.drc:281
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 1067.00M
+"edges" in: sky130A_mr.drc:281
+    Edges: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 1067.00M
+"not" in: sky130A_mr.drc:281
+    Edges: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 1067.00M
+"output" in: sky130A_mr.drc:282
+    Edges: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 1067.00M
+"not" in: sky130A_mr.drc:283
+    Polygons (raw): 48 (flat)  40 (hierarchical)
+    Elapsed: 0.010s  Memory: 1067.00M
+"width" in: sky130A_mr.drc:283
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 1067.00M
+"output" in: sky130A_mr.drc:283
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 1067.00M
+END: 65/44 (tap)
+"space" in: sky130A_mr.drc:286
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 1067.00M
+"output" in: sky130A_mr.drc:286
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 1067.00M
+START: 80/20 (tunm)
+"width" in: sky130A_mr.drc:290
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 1067.00M
+"output" in: sky130A_mr.drc:290
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 1067.00M
+"space" in: sky130A_mr.drc:291
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 1067.00M
+"output" in: sky130A_mr.drc:291
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 1067.00M
+END: 80/20 (tunm)
+START: 66/20 (poly)
+"width" in: sky130A_mr.drc:296
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 1067.00M
+"output" in: sky130A_mr.drc:296
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 1067.00M
+"not" in: sky130A_mr.drc:297
+    Polygons (raw): 202 (flat)  116 (hierarchical)
+    Elapsed: 0.010s  Memory: 1067.00M
+"space" in: sky130A_mr.drc:297
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 1067.00M
+"output" in: sky130A_mr.drc:297
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 1067.00M
+START: 86/20 (rpm)
+"width" in: sky130A_mr.drc:302
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 1067.00M
+"output" in: sky130A_mr.drc:302
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 1067.00M
+"space" in: sky130A_mr.drc:303
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 1067.00M
+"output" in: sky130A_mr.drc:303
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 1067.00M
+END: 86/20 (rpm)
+START: 79/20 (urpm)
+"width" in: sky130A_mr.drc:308
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 1067.00M
+"output" in: sky130A_mr.drc:308
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 1067.00M
+"space" in: sky130A_mr.drc:309
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 1067.00M
+"output" in: sky130A_mr.drc:309
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 1067.00M
+END: 79/20 (urpm)
+START: 95/20 (npc)
+"width" in: sky130A_mr.drc:314
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 1067.00M
+"output" in: sky130A_mr.drc:314
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 1067.00M
+"space" in: sky130A_mr.drc:315
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 1067.00M
+"output" in: sky130A_mr.drc:315
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 1067.00M
+END: 95/20 (npc)
+START: 93/44 (nsdm)
+"outside" in: sky130A_mr.drc:320
+    Polygons (raw): 34 (flat)  22 (hierarchical)
+    Elapsed: 0.010s  Memory: 1067.00M
+"width" in: sky130A_mr.drc:320
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 1067.00M
+"output" in: sky130A_mr.drc:320
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 1067.00M
+"not" in: sky130A_mr.drc:321
+    Polygons (raw): 62 (flat)  43 (hierarchical)
+    Elapsed: 0.010s  Memory: 1067.00M
+"space" in: sky130A_mr.drc:321
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 1067.00M
+"output" in: sky130A_mr.drc:321
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 1067.00M
+END: 93/44 (nsdm)
+START: 94/20 (psdm)
+"outside" in: sky130A_mr.drc:326
+    Polygons (raw): 34 (flat)  30 (hierarchical)
+    Elapsed: 0.010s  Memory: 1067.00M
+"width" in: sky130A_mr.drc:326
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 1067.00M
+"output" in: sky130A_mr.drc:326
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 1067.00M
+"not" in: sky130A_mr.drc:327
+    Polygons (raw): 74 (flat)  48 (hierarchical)
+    Elapsed: 0.010s  Memory: 1067.00M
+"space" in: sky130A_mr.drc:327
+    Edge pairs: 2 (flat)  2 (hierarchical)
+    Elapsed: 0.010s  Memory: 1067.00M
+"output" in: sky130A_mr.drc:327
+    Edge pairs: 2 (flat)  2 (hierarchical)
+    Elapsed: 0.000s  Memory: 1067.00M
+END: 94/20 (psdm)
+START: 66/44 (licon)
+"not" in: sky130A_mr.drc:338
+    Polygons (raw): 369 (flat)  116 (hierarchical)
+    Elapsed: 0.010s  Memory: 1067.00M
+"and" in: sky130A_mr.drc:339
+    Polygons (raw): 755 (flat)  248 (hierarchical)
+    Elapsed: 0.010s  Memory: 1067.00M
+"interacting" in: sky130A_mr.drc:339
+    Polygons (raw): 755 (flat)  248 (hierarchical)
+    Elapsed: 0.010s  Memory: 1067.00M
+"not" in: sky130A_mr.drc:340
+    Polygons (raw): 755 (flat)  248 (hierarchical)
+    Elapsed: 0.000s  Memory: 1067.00M
+"non_rectangles" in: sky130A_mr.drc:341
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 1067.00M
+"output" in: sky130A_mr.drc:341
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 1067.00M
+"or" in: sky130A_mr.drc:342
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 1067.00M
+"not" in: sky130A_mr.drc:342
+    Polygons (raw): 919 (flat)  303 (hierarchical)
+    Elapsed: 0.010s  Memory: 1067.00M
+"edges" in: sky130A_mr.drc:342
+    Edges: 3676 (flat)  1212 (hierarchical)
+    Elapsed: 0.000s  Memory: 1067.00M
+"without_length" in: sky130A_mr.drc:342
+    Edges: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 1067.00M
+"output" in: sky130A_mr.drc:342
+    Edges: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 1067.00M
+"separation" in: sky130A_mr.drc:343
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 1067.00M
+"output" in: sky130A_mr.drc:343
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 1067.00M
+"and" in: sky130A_mr.drc:344
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 1067.00M
+"output" in: sky130A_mr.drc:344
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 1067.00M
+"interacting" in: sky130A_mr.drc:345
+    Polygons (raw): 164 (flat)  55 (hierarchical)
+    Elapsed: 0.010s  Memory: 1067.00M
+"interacting" in: sky130A_mr.drc:345
+    Polygons (raw): 755 (flat)  248 (hierarchical)
+    Elapsed: 0.010s  Memory: 1067.00M
+"and" in: sky130A_mr.drc:345
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 1067.00M
+"output" in: sky130A_mr.drc:345
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 1067.00M
+END: 66/44 (licon)
+START: 89/44 (capm)
+"and" in: sky130A_mr.drc:350
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 1067.00M
+"sized" in: sky130A_mr.drc:350
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 1067.00M
+"width" in: sky130A_mr.drc:351
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 1067.00M
+"output" in: sky130A_mr.drc:351
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 1067.00M
+"space" in: sky130A_mr.drc:352
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 1067.00M
+"output" in: sky130A_mr.drc:352
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 1067.00M
+"interacting" in: sky130A_mr.drc:353
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 1075.00M
+"isolated" in: sky130A_mr.drc:353
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 1067.00M
+"output" in: sky130A_mr.drc:353
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 1067.00M
+"isolated" in: sky130A_mr.drc:354
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 1067.00M
+"output" in: sky130A_mr.drc:354
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 1067.00M
+"and" in: sky130A_mr.drc:355
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 1067.00M
+"enclosing" in: sky130A_mr.drc:355
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 1067.00M
+"output" in: sky130A_mr.drc:355
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 1067.00M
+"enclosing" in: sky130A_mr.drc:356
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 1067.00M
+"output" in: sky130A_mr.drc:356
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 1067.00M
+"enclosing" in: sky130A_mr.drc:357
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 1067.00M
+"output" in: sky130A_mr.drc:357
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 1067.00M
+"separation" in: sky130A_mr.drc:358
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 1067.00M
+"output" in: sky130A_mr.drc:358
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 1067.00M
+END: 89/44 (capm)
+START: 97/44 (cap2m)
+"and" in: sky130A_mr.drc:363
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 1067.00M
+"sized" in: sky130A_mr.drc:363
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 1067.00M
+"width" in: sky130A_mr.drc:364
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 1067.00M
+"output" in: sky130A_mr.drc:364
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 1067.00M
+"space" in: sky130A_mr.drc:365
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 1067.00M
+"output" in: sky130A_mr.drc:365
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 1067.00M
+"interacting" in: sky130A_mr.drc:366
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 1067.00M
+"isolated" in: sky130A_mr.drc:366
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.020s  Memory: 1067.00M
+"output" in: sky130A_mr.drc:366
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 1067.00M
+"isolated" in: sky130A_mr.drc:368
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 1067.00M
+"output" in: sky130A_mr.drc:368
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 1067.00M
+"and" in: sky130A_mr.drc:369
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 1067.00M
+"enclosing" in: sky130A_mr.drc:369
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 1067.00M
+"output" in: sky130A_mr.drc:369
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 1067.00M
+"enclosing" in: sky130A_mr.drc:370
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 1067.00M
+"output" in: sky130A_mr.drc:370
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 1067.00M
+"enclosing" in: sky130A_mr.drc:371
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 1067.00M
+"output" in: sky130A_mr.drc:371
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 1067.00M
+"separation" in: sky130A_mr.drc:372
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 1067.00M
+"output" in: sky130A_mr.drc:372
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 1067.00M
+END: 97/44 (cap2m)
+FEOL section
+START: 75/20 (hvi)
+"not" in: sky130A_mr.drc:672
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 1067.00M
+"width" in: sky130A_mr.drc:673
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 1067.00M
+"output" in: sky130A_mr.drc:673
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 1067.00M
+"space" in: sky130A_mr.drc:674
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 1067.00M
+"output" in: sky130A_mr.drc:674
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 1067.00M
+END: 75/20 (hvi)
+START: 125/20 (hvntm)
+"not" in: sky130A_mr.drc:679
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 1067.00M
+"width" in: sky130A_mr.drc:680
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 1067.00M
+"output" in: sky130A_mr.drc:680
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 1067.00M
+"space" in: sky130A_mr.drc:681
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 1067.00M
+"output" in: sky130A_mr.drc:681
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 1067.00M
+END: 125/20 (hvntm)
+Writing report database: /home/krishna/Comparator_MPW6/precheck_results/04_JUN_2022___10_00_20/outputs/reports/klayout_feol_check.xml ..
+Total elapsed: 1.420s  Memory: 1067.00M
diff --git a/precheck_results/04_JUN_2022___10_00_20/logs/klayout_feol_check.total b/precheck_results/04_JUN_2022___10_00_20/logs/klayout_feol_check.total
new file mode 100644
index 0000000..d8263ee
--- /dev/null
+++ b/precheck_results/04_JUN_2022___10_00_20/logs/klayout_feol_check.total
@@ -0,0 +1 @@
+2
\ No newline at end of file
diff --git a/precheck_results/04_JUN_2022___10_00_20/logs/klayout_met_min_ca_density_check.log b/precheck_results/04_JUN_2022___10_00_20/logs/klayout_met_min_ca_density_check.log
new file mode 100644
index 0000000..f1ec6cd
--- /dev/null
+++ b/precheck_results/04_JUN_2022___10_00_20/logs/klayout_met_min_ca_density_check.log
@@ -0,0 +1,79 @@
+"polygons" in: met_min_ca_density.lydrc:35
+    Polygons (raw): 640 (flat)  428 (hierarchical)
+    Elapsed: 0.010s  Memory: 522.00M
+"polygons" in: met_min_ca_density.lydrc:36
+    Polygons (raw): 1756 (flat)  1480 (hierarchical)
+    Elapsed: 0.000s  Memory: 522.00M
+"polygons" in: met_min_ca_density.lydrc:38
+    Polygons (raw): 369 (flat)  303 (hierarchical)
+    Elapsed: 0.010s  Memory: 522.00M
+"polygons" in: met_min_ca_density.lydrc:39
+    Polygons (raw): 2570 (flat)  2570 (hierarchical)
+    Elapsed: 0.000s  Memory: 522.00M
+"polygons" in: met_min_ca_density.lydrc:41
+    Polygons (raw): 1097 (flat)  1097 (hierarchical)
+    Elapsed: 0.010s  Memory: 522.00M
+"polygons" in: met_min_ca_density.lydrc:42
+    Polygons (raw): 1761 (flat)  1761 (hierarchical)
+    Elapsed: 0.010s  Memory: 522.00M
+"polygons" in: met_min_ca_density.lydrc:44
+    Polygons (raw): 642 (flat)  642 (hierarchical)
+    Elapsed: 0.000s  Memory: 522.00M
+"polygons" in: met_min_ca_density.lydrc:45
+    Polygons (raw): 25800 (flat)  25800 (hierarchical)
+    Elapsed: 0.020s  Memory: 523.00M
+"polygons" in: met_min_ca_density.lydrc:47
+    Polygons (raw): 181 (flat)  181 (hierarchical)
+    Elapsed: 0.000s  Memory: 523.00M
+"polygons" in: met_min_ca_density.lydrc:48
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 523.00M
+"polygons" in: met_min_ca_density.lydrc:49
+    Polygons (raw): 552 (flat)  552 (hierarchical)
+    Elapsed: 0.000s  Memory: 523.00M
+"polygons" in: met_min_ca_density.lydrc:51
+    Polygons (raw): 159 (flat)  159 (hierarchical)
+    Elapsed: 0.010s  Memory: 523.00M
+"input" in: met_min_ca_density.lydrc:53
+    Polygons (raw): 5 (flat)  4 (hierarchical)
+    Elapsed: 0.000s  Memory: 523.00M
+"area" in: met_min_ca_density.lydrc:55
+    Elapsed: 0.010s  Memory: 523.00M
+"polygons" in: met_min_ca_density.lydrc:59
+    Polygons (raw): 640 (flat)  428 (hierarchical)
+    Elapsed: 0.000s  Memory: 523.00M
+"area" in: met_min_ca_density.lydrc:59
+    Elapsed: 0.010s  Memory: 523.00M
+li1_ca_density is 0.9998141727408936
+"polygons" in: met_min_ca_density.lydrc:69
+    Polygons (raw): 369 (flat)  303 (hierarchical)
+    Elapsed: 0.000s  Memory: 523.00M
+"area" in: met_min_ca_density.lydrc:69
+    Elapsed: 0.000s  Memory: 523.00M
+m1_ca_density is 0.9997225643923179
+"polygons" in: met_min_ca_density.lydrc:79
+    Polygons (raw): 1097 (flat)  1097 (hierarchical)
+    Elapsed: 0.010s  Memory: 523.00M
+"area" in: met_min_ca_density.lydrc:79
+    Elapsed: 0.010s  Memory: 523.00M
+m2_ca_density is 0.999675358061566
+"polygons" in: met_min_ca_density.lydrc:89
+    Polygons (raw): 642 (flat)  642 (hierarchical)
+    Elapsed: 0.000s  Memory: 523.00M
+"area" in: met_min_ca_density.lydrc:89
+    Elapsed: 0.010s  Memory: 523.00M
+m3_ca_density is 0.9840555168265489
+"polygons" in: met_min_ca_density.lydrc:99
+    Polygons (raw): 181 (flat)  181 (hierarchical)
+    Elapsed: 0.000s  Memory: 523.00M
+"area" in: met_min_ca_density.lydrc:99
+    Elapsed: 0.010s  Memory: 523.00M
+m4_ca_density is 0.9791221846007161
+"polygons" in: met_min_ca_density.lydrc:109
+    Polygons (raw): 159 (flat)  159 (hierarchical)
+    Elapsed: 0.010s  Memory: 523.00M
+"area" in: met_min_ca_density.lydrc:109
+    Elapsed: 0.000s  Memory: 523.00M
+m5_ca_density is 0.9695933055825809
+Writing report database: /home/krishna/Comparator_MPW6/precheck_results/04_JUN_2022___10_00_20/outputs/reports/klayout_met_min_ca_density_check.xml ..
+Total elapsed: 0.180s  Memory: 522.00M
diff --git a/precheck_results/04_JUN_2022___10_00_20/logs/klayout_met_min_ca_density_check.total b/precheck_results/04_JUN_2022___10_00_20/logs/klayout_met_min_ca_density_check.total
new file mode 100644
index 0000000..c227083
--- /dev/null
+++ b/precheck_results/04_JUN_2022___10_00_20/logs/klayout_met_min_ca_density_check.total
@@ -0,0 +1 @@
+0
\ No newline at end of file
diff --git a/precheck_results/04_JUN_2022___10_00_20/logs/klayout_offgrid_check.log b/precheck_results/04_JUN_2022___10_00_20/logs/klayout_offgrid_check.log
new file mode 100644
index 0000000..dda85b8
--- /dev/null
+++ b/precheck_results/04_JUN_2022___10_00_20/logs/klayout_offgrid_check.log
@@ -0,0 +1,755 @@
+/home/krishna/mpw_precheckmpw6/checks/tech-files/sky130A_mr.drc:38: warning: already initialized constant DRC::DRCEngine::FEOL
+/home/krishna/mpw_precheckmpw6/checks/tech-files/sky130A_mr.drc:28: warning: previous definition of FEOL was here
+/home/krishna/mpw_precheckmpw6/checks/tech-files/sky130A_mr.drc:44: warning: already initialized constant DRC::DRCEngine::BEOL
+/home/krishna/mpw_precheckmpw6/checks/tech-files/sky130A_mr.drc:29: warning: previous definition of BEOL was here
+/home/krishna/mpw_precheckmpw6/checks/tech-files/sky130A_mr.drc:48: warning: already initialized constant DRC::DRCEngine::OFFGRID
+/home/krishna/mpw_precheckmpw6/checks/tech-files/sky130A_mr.drc:30: warning: previous definition of OFFGRID was here
+/home/krishna/mpw_precheckmpw6/checks/tech-files/sky130A_mr.drc:56: warning: already initialized constant DRC::DRCEngine::SEAL
+/home/krishna/mpw_precheckmpw6/checks/tech-files/sky130A_mr.drc:31: warning: previous definition of SEAL was here
+/home/krishna/mpw_precheckmpw6/checks/tech-files/sky130A_mr.drc:62: warning: already initialized constant DRC::DRCEngine::FLOATING_MET
+/home/krishna/mpw_precheckmpw6/checks/tech-files/sky130A_mr.drc:32: warning: previous definition of FLOATING_MET was here
+"input" in: sky130A_mr.drc:112
+    Polygons (raw): 62 (flat)  25 (hierarchical)
+    Elapsed: 0.000s  Memory: 522.00M
+"polygons" in: sky130A_mr.drc:113
+    Polygons (raw): 48 (flat)  40 (hierarchical)
+    Elapsed: 0.010s  Memory: 522.00M
+"polygons" in: sky130A_mr.drc:114
+    Polygons (raw): 89 (flat)  69 (hierarchical)
+    Elapsed: 0.010s  Memory: 522.00M
+"polygons" in: sky130A_mr.drc:115
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 522.00M
+"polygons" in: sky130A_mr.drc:116
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 522.00M
+"polygons" in: sky130A_mr.drc:117
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 522.00M
+"polygons" in: sky130A_mr.drc:118
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 522.00M
+"polygons" in: sky130A_mr.drc:119
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 522.00M
+"polygons" in: sky130A_mr.drc:120
+    Polygons (raw): 10 (flat)  2 (hierarchical)
+    Elapsed: 0.010s  Memory: 522.00M
+"polygons" in: sky130A_mr.drc:121
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 522.00M
+"polygons" in: sky130A_mr.drc:122
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 522.00M
+"polygons" in: sky130A_mr.drc:123
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 522.00M
+"polygons" in: sky130A_mr.drc:124
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 522.00M
+"polygons" in: sky130A_mr.drc:125
+    Polygons (raw): 202 (flat)  116 (hierarchical)
+    Elapsed: 0.000s  Memory: 522.00M
+"polygons" in: sky130A_mr.drc:126
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 522.00M
+"polygons" in: sky130A_mr.drc:127
+    Polygons (raw): 62 (flat)  43 (hierarchical)
+    Elapsed: 0.000s  Memory: 522.00M
+"polygons" in: sky130A_mr.drc:128
+    Polygons (raw): 74 (flat)  48 (hierarchical)
+    Elapsed: 0.010s  Memory: 522.00M
+"polygons" in: sky130A_mr.drc:129
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 522.00M
+"polygons" in: sky130A_mr.drc:130
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 522.00M
+"polygons" in: sky130A_mr.drc:131
+    Polygons (raw): 33 (flat)  24 (hierarchical)
+    Elapsed: 0.000s  Memory: 522.00M
+"polygons" in: sky130A_mr.drc:132
+    Polygons (raw): 919 (flat)  303 (hierarchical)
+    Elapsed: 0.010s  Memory: 522.00M
+"polygons" in: sky130A_mr.drc:134
+    Polygons (raw): 590 (flat)  418 (hierarchical)
+    Elapsed: 0.000s  Memory: 522.00M
+"polygons" in: sky130A_mr.drc:135
+    Polygons (raw): 1756 (flat)  1480 (hierarchical)
+    Elapsed: 0.000s  Memory: 522.00M
+"polygons" in: sky130A_mr.drc:137
+    Polygons (raw): 349 (flat)  299 (hierarchical)
+    Elapsed: 0.020s  Memory: 522.00M
+"polygons" in: sky130A_mr.drc:138
+    Polygons (raw): 2570 (flat)  2570 (hierarchical)
+    Elapsed: 0.010s  Memory: 522.00M
+"polygons" in: sky130A_mr.drc:140
+    Polygons (raw): 603 (flat)  603 (hierarchical)
+    Elapsed: 0.000s  Memory: 522.00M
+"polygons" in: sky130A_mr.drc:141
+    Polygons (raw): 1761 (flat)  1761 (hierarchical)
+    Elapsed: 0.010s  Memory: 522.00M
+"polygons" in: sky130A_mr.drc:143
+    Polygons (raw): 458 (flat)  458 (hierarchical)
+    Elapsed: 0.000s  Memory: 522.00M
+"polygons" in: sky130A_mr.drc:144
+    Polygons (raw): 25800 (flat)  25800 (hierarchical)
+    Elapsed: 0.020s  Memory: 523.00M
+"polygons" in: sky130A_mr.drc:146
+    Polygons (raw): 177 (flat)  177 (hierarchical)
+    Elapsed: 0.000s  Memory: 523.00M
+"polygons" in: sky130A_mr.drc:147
+    Polygons (raw): 552 (flat)  552 (hierarchical)
+    Elapsed: 0.010s  Memory: 523.00M
+"polygons" in: sky130A_mr.drc:149
+    Polygons (raw): 153 (flat)  153 (hierarchical)
+    Elapsed: 0.000s  Memory: 523.00M
+"polygons" in: sky130A_mr.drc:151
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 523.00M
+"polygons" in: sky130A_mr.drc:152
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 523.00M
+"polygons" in: sky130A_mr.drc:153
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 523.00M
+"polygons" in: sky130A_mr.drc:154
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 523.00M
+"polygons" in: sky130A_mr.drc:155
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 523.00M
+"polygons" in: sky130A_mr.drc:156
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 523.00M
+"polygons" in: sky130A_mr.drc:157
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 523.00M
+"polygons" in: sky130A_mr.drc:158
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 523.00M
+"polygons" in: sky130A_mr.drc:159
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 523.00M
+"polygons" in: sky130A_mr.drc:160
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 523.00M
+"polygons" in: sky130A_mr.drc:161
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 523.00M
+"polygons" in: sky130A_mr.drc:162
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 523.00M
+"polygons" in: sky130A_mr.drc:163
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 523.00M
+"polygons" in: sky130A_mr.drc:164
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 523.00M
+"polygons" in: sky130A_mr.drc:165
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 523.00M
+"polygons" in: sky130A_mr.drc:166
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 523.00M
+"polygons" in: sky130A_mr.drc:167
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 523.00M
+"polygons" in: sky130A_mr.drc:168
+    Polygons (raw): 10 (flat)  2 (hierarchical)
+    Elapsed: 0.010s  Memory: 523.00M
+"polygons" in: sky130A_mr.drc:169
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 523.00M
+"polygons" in: sky130A_mr.drc:170
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 523.00M
+"polygons" in: sky130A_mr.drc:171
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 523.00M
+"polygons" in: sky130A_mr.drc:172
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 523.00M
+"polygons" in: sky130A_mr.drc:173
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 523.00M
+"polygons" in: sky130A_mr.drc:174
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 523.00M
+"polygons" in: sky130A_mr.drc:175
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 523.00M
+"polygons" in: sky130A_mr.drc:176
+    Polygons (raw): 1 (flat)  1 (hierarchical)
+    Elapsed: 0.010s  Memory: 523.00M
+"polygons" in: sky130A_mr.drc:177
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 523.00M
+"polygons" in: sky130A_mr.drc:178
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 523.00M
+"polygons" in: sky130A_mr.drc:179
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 523.00M
+"polygons" in: sky130A_mr.drc:180
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 523.00M
+"polygons" in: sky130A_mr.drc:181
+    Polygons (raw): 4 (flat)  3 (hierarchical)
+    Elapsed: 0.000s  Memory: 523.00M
+"polygons" in: sky130A_mr.drc:182
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 523.00M
+"polygons" in: sky130A_mr.drc:183
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 523.00M
+"polygons" in: sky130A_mr.drc:184
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 523.00M
+"polygons" in: sky130A_mr.drc:185
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 523.00M
+"polygons" in: sky130A_mr.drc:186
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 523.00M
+"polygons" in: sky130A_mr.drc:187
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 523.00M
+"polygons" in: sky130A_mr.drc:188
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 523.00M
+"polygons" in: sky130A_mr.drc:189
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 523.00M
+"polygons" in: sky130A_mr.drc:190
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 523.00M
+"polygons" in: sky130A_mr.drc:191
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 523.00M
+"polygons" in: sky130A_mr.drc:192
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 523.00M
+"polygons" in: sky130A_mr.drc:193
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 523.00M
+"polygons" in: sky130A_mr.drc:194
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 523.00M
+"polygons" in: sky130A_mr.drc:195
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 523.00M
+"polygons" in: sky130A_mr.drc:196
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 523.00M
+"polygons" in: sky130A_mr.drc:197
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 523.00M
+"polygons" in: sky130A_mr.drc:198
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 523.00M
+"polygons" in: sky130A_mr.drc:199
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 523.00M
+"polygons" in: sky130A_mr.drc:200
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 523.00M
+"polygons" in: sky130A_mr.drc:201
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 523.00M
+"polygons" in: sky130A_mr.drc:202
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 523.00M
+"polygons" in: sky130A_mr.drc:203
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 523.00M
+DRC section
+OFFGRID-ANGLES section
+"ongrid" in: sky130A_mr.drc:690
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 523.00M
+"output" in: sky130A_mr.drc:690
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 523.00M
+"with_angle" in: sky130A_mr.drc:691
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 523.00M
+"output" in: sky130A_mr.drc:691
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 523.00M
+"ongrid" in: sky130A_mr.drc:692
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 523.00M
+"output" in: sky130A_mr.drc:692
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 523.00M
+"with_angle" in: sky130A_mr.drc:693
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 523.00M
+"output" in: sky130A_mr.drc:693
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 523.00M
+"ongrid" in: sky130A_mr.drc:694
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 523.00M
+"output" in: sky130A_mr.drc:694
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 523.00M
+"with_angle" in: sky130A_mr.drc:695
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 523.00M
+"output" in: sky130A_mr.drc:695
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 523.00M
+"ongrid" in: sky130A_mr.drc:696
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 523.00M
+"output" in: sky130A_mr.drc:696
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 523.00M
+"with_angle" in: sky130A_mr.drc:697
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 523.00M
+"output" in: sky130A_mr.drc:697
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 523.00M
+"ongrid" in: sky130A_mr.drc:698
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 523.00M
+"output" in: sky130A_mr.drc:698
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 523.00M
+"with_angle" in: sky130A_mr.drc:699
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 523.00M
+"output" in: sky130A_mr.drc:699
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 523.00M
+"ongrid" in: sky130A_mr.drc:700
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 523.00M
+"output" in: sky130A_mr.drc:700
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 523.00M
+"with_angle" in: sky130A_mr.drc:701
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 523.00M
+"output" in: sky130A_mr.drc:701
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 523.00M
+"ongrid" in: sky130A_mr.drc:702
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 523.00M
+"output" in: sky130A_mr.drc:702
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 523.00M
+"with_angle" in: sky130A_mr.drc:703
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 523.00M
+"output" in: sky130A_mr.drc:703
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 523.00M
+"ongrid" in: sky130A_mr.drc:704
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 523.00M
+"output" in: sky130A_mr.drc:704
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 523.00M
+"with_angle" in: sky130A_mr.drc:705
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 523.00M
+"output" in: sky130A_mr.drc:705
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 523.00M
+"ongrid" in: sky130A_mr.drc:706
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 523.00M
+"output" in: sky130A_mr.drc:706
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 523.00M
+"ongrid" in: sky130A_mr.drc:707
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 523.00M
+"output" in: sky130A_mr.drc:707
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 523.00M
+"and" in: sky130A_mr.drc:708
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 523.00M
+"not" in: sky130A_mr.drc:708
+    Polygons (raw): 62 (flat)  25 (hierarchical)
+    Elapsed: 0.010s  Memory: 523.00M
+"with_angle" in: sky130A_mr.drc:708
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 523.00M
+"output" in: sky130A_mr.drc:708
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 523.00M
+"and" in: sky130A_mr.drc:709
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 523.00M
+"and" in: sky130A_mr.drc:709
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 523.00M
+"with_angle" in: sky130A_mr.drc:709
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 523.00M
+"output" in: sky130A_mr.drc:709
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 523.00M
+"and" in: sky130A_mr.drc:710
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 523.00M
+"not" in: sky130A_mr.drc:710
+    Polygons (raw): 48 (flat)  40 (hierarchical)
+    Elapsed: 0.010s  Memory: 523.00M
+"with_angle" in: sky130A_mr.drc:710
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 523.00M
+"output" in: sky130A_mr.drc:710
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 523.00M
+"and" in: sky130A_mr.drc:711
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 523.00M
+"and" in: sky130A_mr.drc:711
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 523.00M
+"with_angle" in: sky130A_mr.drc:711
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 523.00M
+"output" in: sky130A_mr.drc:711
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 523.00M
+"ongrid" in: sky130A_mr.drc:712
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 523.00M
+"output" in: sky130A_mr.drc:712
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 523.00M
+"with_angle" in: sky130A_mr.drc:713
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 523.00M
+"output" in: sky130A_mr.drc:713
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 523.00M
+"ongrid" in: sky130A_mr.drc:714
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 523.00M
+"output" in: sky130A_mr.drc:714
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 523.00M
+"with_angle" in: sky130A_mr.drc:715
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 523.00M
+"output" in: sky130A_mr.drc:715
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 523.00M
+"ongrid" in: sky130A_mr.drc:716
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 523.00M
+"output" in: sky130A_mr.drc:716
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 523.00M
+"with_angle" in: sky130A_mr.drc:717
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 523.00M
+"output" in: sky130A_mr.drc:717
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 523.00M
+"ongrid" in: sky130A_mr.drc:718
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 523.00M
+"output" in: sky130A_mr.drc:718
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 523.00M
+"with_angle" in: sky130A_mr.drc:719
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 523.00M
+"output" in: sky130A_mr.drc:719
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 523.00M
+"ongrid" in: sky130A_mr.drc:720
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 523.00M
+"output" in: sky130A_mr.drc:720
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 523.00M
+"with_angle" in: sky130A_mr.drc:721
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 523.00M
+"output" in: sky130A_mr.drc:721
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 523.00M
+"ongrid" in: sky130A_mr.drc:722
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 523.00M
+"output" in: sky130A_mr.drc:722
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 523.00M
+"with_angle" in: sky130A_mr.drc:723
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 523.00M
+"output" in: sky130A_mr.drc:723
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 523.00M
+"ongrid" in: sky130A_mr.drc:724
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 523.00M
+"output" in: sky130A_mr.drc:724
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 523.00M
+"with_angle" in: sky130A_mr.drc:725
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 523.00M
+"output" in: sky130A_mr.drc:725
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 523.00M
+"ongrid" in: sky130A_mr.drc:726
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 523.00M
+"output" in: sky130A_mr.drc:726
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 523.00M
+"with_angle" in: sky130A_mr.drc:727
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 523.00M
+"output" in: sky130A_mr.drc:727
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 523.00M
+"ongrid" in: sky130A_mr.drc:728
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 523.00M
+"output" in: sky130A_mr.drc:728
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 523.00M
+"with_angle" in: sky130A_mr.drc:729
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 523.00M
+"output" in: sky130A_mr.drc:729
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 523.00M
+"ongrid" in: sky130A_mr.drc:730
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 523.00M
+"output" in: sky130A_mr.drc:730
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 523.00M
+"with_angle" in: sky130A_mr.drc:731
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 523.00M
+"output" in: sky130A_mr.drc:731
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 523.00M
+"ongrid" in: sky130A_mr.drc:732
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 523.00M
+"output" in: sky130A_mr.drc:732
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 523.00M
+"with_angle" in: sky130A_mr.drc:733
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 523.00M
+"output" in: sky130A_mr.drc:733
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 523.00M
+"ongrid" in: sky130A_mr.drc:734
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.020s  Memory: 524.00M
+"output" in: sky130A_mr.drc:734
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 524.00M
+"with_angle" in: sky130A_mr.drc:735
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 524.00M
+"output" in: sky130A_mr.drc:735
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 524.00M
+"ongrid" in: sky130A_mr.drc:736
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 524.00M
+"output" in: sky130A_mr.drc:736
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 524.00M
+"with_angle" in: sky130A_mr.drc:737
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 524.00M
+"output" in: sky130A_mr.drc:737
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 524.00M
+"ongrid" in: sky130A_mr.drc:738
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 524.00M
+"output" in: sky130A_mr.drc:738
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 524.00M
+"with_angle" in: sky130A_mr.drc:739
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 524.00M
+"output" in: sky130A_mr.drc:739
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 524.00M
+"ongrid" in: sky130A_mr.drc:740
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 524.00M
+"output" in: sky130A_mr.drc:740
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 524.00M
+"with_angle" in: sky130A_mr.drc:741
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 524.00M
+"output" in: sky130A_mr.drc:741
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 524.00M
+"ongrid" in: sky130A_mr.drc:742
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.130s  Memory: 530.00M
+"output" in: sky130A_mr.drc:742
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 530.00M
+"with_angle" in: sky130A_mr.drc:743
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.020s  Memory: 530.00M
+"output" in: sky130A_mr.drc:743
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 530.00M
+"ongrid" in: sky130A_mr.drc:744
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 530.00M
+"output" in: sky130A_mr.drc:744
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 530.00M
+"with_angle" in: sky130A_mr.drc:745
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 530.00M
+"output" in: sky130A_mr.drc:745
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 530.00M
+"ongrid" in: sky130A_mr.drc:746
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 530.00M
+"output" in: sky130A_mr.drc:746
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 530.00M
+"with_angle" in: sky130A_mr.drc:747
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 530.00M
+"output" in: sky130A_mr.drc:747
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 530.00M
+"ongrid" in: sky130A_mr.drc:748
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 530.00M
+"output" in: sky130A_mr.drc:748
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 530.00M
+"with_angle" in: sky130A_mr.drc:749
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 530.00M
+"output" in: sky130A_mr.drc:749
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 530.00M
+"ongrid" in: sky130A_mr.drc:750
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 530.00M
+"output" in: sky130A_mr.drc:750
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 530.00M
+"with_angle" in: sky130A_mr.drc:751
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 530.00M
+"output" in: sky130A_mr.drc:751
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 530.00M
+"ongrid" in: sky130A_mr.drc:752
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 530.00M
+"output" in: sky130A_mr.drc:752
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 530.00M
+"with_angle" in: sky130A_mr.drc:753
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 530.00M
+"output" in: sky130A_mr.drc:753
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 530.00M
+"ongrid" in: sky130A_mr.drc:754
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 530.00M
+"output" in: sky130A_mr.drc:754
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 530.00M
+"with_angle" in: sky130A_mr.drc:755
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 530.00M
+"output" in: sky130A_mr.drc:755
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 530.00M
+"ongrid" in: sky130A_mr.drc:756
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 530.00M
+"output" in: sky130A_mr.drc:756
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 530.00M
+"with_angle" in: sky130A_mr.drc:757
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 530.00M
+"output" in: sky130A_mr.drc:757
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 530.00M
+"ongrid" in: sky130A_mr.drc:758
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 530.00M
+"output" in: sky130A_mr.drc:758
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 530.00M
+"with_angle" in: sky130A_mr.drc:759
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 530.00M
+"output" in: sky130A_mr.drc:759
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 530.00M
+"ongrid" in: sky130A_mr.drc:760
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 530.00M
+"output" in: sky130A_mr.drc:760
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 530.00M
+"with_angle" in: sky130A_mr.drc:761
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 530.00M
+"output" in: sky130A_mr.drc:761
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 530.00M
+"ongrid" in: sky130A_mr.drc:762
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 530.00M
+"output" in: sky130A_mr.drc:762
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 530.00M
+"with_angle" in: sky130A_mr.drc:763
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 530.00M
+"output" in: sky130A_mr.drc:763
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 530.00M
+"ongrid" in: sky130A_mr.drc:764
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 530.00M
+"output" in: sky130A_mr.drc:764
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 530.00M
+"with_angle" in: sky130A_mr.drc:765
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 530.00M
+"output" in: sky130A_mr.drc:765
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 530.00M
+"ongrid" in: sky130A_mr.drc:766
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 530.00M
+"output" in: sky130A_mr.drc:766
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 530.00M
+Writing report database: /home/krishna/Comparator_MPW6/precheck_results/04_JUN_2022___10_00_20/outputs/reports/klayout_offgrid_check.xml ..
+Total elapsed: 1.460s  Memory: 530.00M
diff --git a/precheck_results/04_JUN_2022___10_00_20/logs/klayout_offgrid_check.total b/precheck_results/04_JUN_2022___10_00_20/logs/klayout_offgrid_check.total
new file mode 100644
index 0000000..c227083
--- /dev/null
+++ b/precheck_results/04_JUN_2022___10_00_20/logs/klayout_offgrid_check.total
@@ -0,0 +1 @@
+0
\ No newline at end of file
diff --git a/precheck_results/04_JUN_2022___10_00_20/logs/klayout_pin_label_purposes_overlapping_drawing_check.log b/precheck_results/04_JUN_2022___10_00_20/logs/klayout_pin_label_purposes_overlapping_drawing_check.log
new file mode 100644
index 0000000..e8621cf
--- /dev/null
+++ b/precheck_results/04_JUN_2022___10_00_20/logs/klayout_pin_label_purposes_overlapping_drawing_check.log
@@ -0,0 +1,29 @@
+Running pin_label_purposes_overlapping_drawing.rb.drc on file=/home/krishna/Comparator_MPW6/gds/user_analog_project_wrapper.gds, topcell=user_analog_project_wrapper, output to /home/krishna/Comparator_MPW6/precheck_results/04_JUN_2022___10_00_20/outputs/reports/klayout_pin_label_purposes_overlapping_drawing_check.xml
+  deep:true tiled:false threads:12
+--- #err|description, table for cell: user_analog_project_wrapper
+NO-Check ----        pwell:64/44/EMP   122/16/dat    64/59/EMP    44/16/EMP     44/5/EMP
+         ----        nwell:64/20/dat    64/16/dat     64/5/EMP
+         ----         diff:65/20/dat    65/16/EMP     65/6/EMP
+         ----          tap:65/44/dat    65/48/EMP     65/5/EMP
+         ----         poly:66/20/dat    66/16/EMP     66/5/EMP
+         ----       licon1:66/44/dat    66/58/EMP
+         ----          li1:67/20/dat    67/16/dat     67/5/EMP
+         ----         mcon:67/44/dat    67/48/EMP
+         ----         met1:68/20/dat    68/16/dat     68/5/EMP
+         ----          via:68/44/dat    68/58/EMP
+         ----         met2:69/20/dat    69/16/dat     69/5/EMP
+         ----         via2:69/44/dat    69/58/EMP
+         ----         met3:70/20/dat    70/16/dat     70/5/EMP
+         ----         via3:70/44/dat    70/48/EMP
+         ----         met4:71/20/dat    71/16/dat     71/5/EMP
+         ----         via4:71/44/dat    71/48/EMP
+         ----         met5:72/20/dat    72/16/dat     72/5/EMP
+         ----          pad:76/20/EMP     76/5/EMP    76/16/EMP
+         ----          pnp:82/44/EMP    82/59/EMP
+         ----          npn:82/20/EMP     82/5/EMP
+         ----          rdl:74/20/EMP    74/16/EMP     74/5/EMP
+         ----     inductor:82/24/EMP    82/25/EMP
+       0 total error(s) among 0 error type(s), 33 checks, cell: user_analog_project_wrapper
+Writing report...
+VmPeak:	 2305224 kB
+VmHWM:	  257400 kB
diff --git a/precheck_results/04_JUN_2022___10_00_20/logs/klayout_pin_label_purposes_overlapping_drawing_check.total b/precheck_results/04_JUN_2022___10_00_20/logs/klayout_pin_label_purposes_overlapping_drawing_check.total
new file mode 100644
index 0000000..c227083
--- /dev/null
+++ b/precheck_results/04_JUN_2022___10_00_20/logs/klayout_pin_label_purposes_overlapping_drawing_check.total
@@ -0,0 +1 @@
+0
\ No newline at end of file
diff --git a/precheck_results/04_JUN_2022___10_00_20/logs/klayout_zeroarea_check.log b/precheck_results/04_JUN_2022___10_00_20/logs/klayout_zeroarea_check.log
new file mode 100644
index 0000000..ef4bdf0
--- /dev/null
+++ b/precheck_results/04_JUN_2022___10_00_20/logs/klayout_zeroarea_check.log
@@ -0,0 +1,4 @@
+0 zero-area shapes
+writing to /home/krishna/Comparator_MPW6/precheck_results/04_JUN_2022___10_00_20/outputs/user_analog_project_wrapper_no_zero_areas.gds
+VmPeak:	  542076 kB
+VmHWM:	  260664 kB
diff --git a/precheck_results/04_JUN_2022___10_00_20/logs/klayout_zeroarea_check.total b/precheck_results/04_JUN_2022___10_00_20/logs/klayout_zeroarea_check.total
new file mode 100644
index 0000000..c227083
--- /dev/null
+++ b/precheck_results/04_JUN_2022___10_00_20/logs/klayout_zeroarea_check.total
@@ -0,0 +1 @@
+0
\ No newline at end of file
diff --git a/precheck_results/04_JUN_2022___10_00_20/logs/magic_drc_check.log b/precheck_results/04_JUN_2022___10_00_20/logs/magic_drc_check.log
new file mode 100644
index 0000000..44a065b
--- /dev/null
+++ b/precheck_results/04_JUN_2022___10_00_20/logs/magic_drc_check.log
@@ -0,0 +1,30 @@
+
+Magic 8.3 revision 274 - Compiled on Fri Mar  4 22:54:15 UTC 2022.
+Starting magic under Tcl interpreter
+Using the terminal as the console.
+Using NULL graphics device.
+Processing system .magicrc file
+Sourcing design .magicrc for technology sky130A ...
+2 Magic internal units = 1 Lambda
+Could not find file '/usr/local/share/pdk/sky130A/sky130A/libs.tech/magic/sky130A.tech' in any of these directories:
+         . $CAD_ROOT/magic/sys $CAD_ROOT/magic/sys/current
+Error parsing "/home/krishna/mpw_precheckmpw6/checks/tech-files/sky130A.magicrc": couldn't read file "/usr/local/share/pdk/sky130A/sky130A/libs.tech/magic/sky130A.tcl": no such file or directory
+Bad local startup file "/home/krishna/mpw_precheckmpw6/checks/tech-files/sky130A.magicrc", continuing without.
+Scaled tech values by 2 / 1 to match internal grid scaling
+Loading "/home/krishna/mpw_precheckmpw6/checks/drc_checks/magic/magic_drc_check.tcl" from command line.
+Don't know how to read GDS-II:
+Nothing in "cifinput" section of tech file.
+[INFO]: Loading user_analog_project_wrapper
+
+File user_analog_project_wrapper.mag couldn't be read
+No such file or directory
+Creating new cell
+"drc(full)" is not one of the DRC styles Magic knows.
+The current style is "default".
+The DRC styles are: default.
+No errors found.
+[INFO]: COUNT: 0
+[INFO]: Should be divided by 3 or 4
+[INFO]: DRC Checking DONE (/home/krishna/Comparator_MPW6/precheck_results/04_JUN_2022___10_00_20/outputs/reports/magic_drc_check.drc.report)
+[INFO]: Saving mag view with DRC errors(/home/krishna/Comparator_MPW6/precheck_results/04_JUN_2022___10_00_20/outputs/user_analog_project_wrapper.magic.drc.mag)
+[INFO]: Saved
diff --git a/precheck_results/04_JUN_2022___10_00_20/logs/magic_drc_check.total b/precheck_results/04_JUN_2022___10_00_20/logs/magic_drc_check.total
new file mode 100644
index 0000000..c227083
--- /dev/null
+++ b/precheck_results/04_JUN_2022___10_00_20/logs/magic_drc_check.total
@@ -0,0 +1 @@
+0
\ No newline at end of file
diff --git a/precheck_results/04_JUN_2022___10_00_20/logs/pdks.info b/precheck_results/04_JUN_2022___10_00_20/logs/pdks.info
new file mode 100644
index 0000000..3072015
--- /dev/null
+++ b/precheck_results/04_JUN_2022___10_00_20/logs/pdks.info
@@ -0,0 +1,2 @@
+Open PDKs a3d6ffea8022332b859daa454cef7ee7131c5181
+Skywater PDK f70d8ca46961ff92719d8870a18a076370b85f6c
\ No newline at end of file
diff --git a/precheck_results/04_JUN_2022___10_00_20/logs/precheck.log b/precheck_results/04_JUN_2022___10_00_20/logs/precheck.log
new file mode 100644
index 0000000..c5c2685
--- /dev/null
+++ b/precheck_results/04_JUN_2022___10_00_20/logs/precheck.log
@@ -0,0 +1,60 @@
+2022-06-04 10:00:20 - [INFO] - {{EXTRACTING FILES}} Extracting compressed files in: /home/krishna/Comparator_MPW6
+2022-06-04 10:00:20 - [INFO] - {{Project Type Info}} analog
+2022-06-04 10:00:20 - [INFO] - {{Project GDS Info}} user_analog_project_wrapper: 4eea5b17d61ad4e5046b72b704ca3367662b59b7
+2022-06-04 10:00:20 - [INFO] - {{Tools Info}} KLayout: v0.27.8 | Magic: v8.3.274
+2022-06-04 10:00:20 - [INFO] - {{PDKs Info}} Open PDKs: a3d6ffea8022332b859daa454cef7ee7131c5181 | Skywater PDK: f70d8ca46961ff92719d8870a18a076370b85f6c
+2022-06-04 10:00:20 - [INFO] - {{START}} Precheck Started, the full log 'precheck.log' will be located in '/home/krishna/Comparator_MPW6/precheck_results/04_JUN_2022___10_00_20/logs'
+2022-06-04 10:00:20 - [INFO] - {{PRECHECK SEQUENCE}} Precheck will run the following checks: [License, Makefile, Default, Documentation, Consistency, XOR, Magic DRC, Klayout FEOL, Klayout BEOL, Klayout Offgrid, Klayout Metal Minimum Clear Area Density, Klayout Pin Label Purposes Overlapping Drawing, Klayout ZeroArea]
+2022-06-04 10:00:20 - [INFO] - {{STEP UPDATE}} Executing Check 1 of 13: License
+2022-06-04 10:00:21 - [INFO] - An approved LICENSE (Apache-2.0) was found in /home/krishna/Comparator_MPW6.
+2022-06-04 10:00:21 - [INFO] - {{MAIN LICENSE CHECK PASSED}} An approved LICENSE was found in project root.
+2022-06-04 10:00:22 - [INFO] - An approved LICENSE (Apache-2.0) was found in /home/krishna/Comparator_MPW6.
+2022-06-04 10:00:22 - [INFO] - {{SUBMODULES LICENSE CHECK PASSED}} No prohibited LICENSE file(s) was found in project submodules
+2022-06-04 10:00:22 - [WARNING] - {{SPDX COMPLIANCE CHECK FAILED}} Found 98 non-compliant file(s) with the SPDX Standard.
+2022-06-04 10:00:22 - [INFO] - SPDX COMPLIANCE: NON-COMPLIANT FILE(S) PREVIEW: ['/home/krishna/Comparator_MPW6/Makefile', '/home/krishna/Comparator_MPW6/.spiceinit', '/home/krishna/Comparator_MPW6/verilog/dv/Makefile', '/home/krishna/Comparator_MPW6/verilog/dv/mprj_por/mprj_por.c', '/home/krishna/Comparator_MPW6/verilog/dv/mprj_por/mprj_por_tb.v', '/home/krishna/Comparator_MPW6/verilog/dv/mprj_por/Makefile', '/home/krishna/Comparator_MPW6/verilog/rtl/uprj_analog_netlists.v', '/home/krishna/Comparator_MPW6/verilog/rtl/example_por.v', '/home/krishna/Comparator_MPW6/verilog/rtl/user_analog_proj_example.v', '/home/krishna/Comparator_MPW6/verilog/rtl/user_analog_project_wrapper.v', '/home/krishna/Comparator_MPW6/verilog/rtl/comparator.v', '/home/krishna/Comparator_MPW6/precheck_results/04_JUN_2022___09_24_39/outputs/reports/magic_drc_check.drc.report', '/home/krishna/Comparator_MPW6/precheck_results/04_JUN_2022___09_24_39/logs/klayout_zeroarea_check.total', '/home/krishna/Comparator_MPW6/precheck_results/04_JUN_2022___09_24_39/logs/tools.info', '/home/krishna/Comparator_MPW6/precheck_results/04_JUN_2022___09_24_39/logs/klayout_beol_check.total']
+2022-06-04 10:00:22 - [INFO] - For the full SPDX compliance report check: /home/krishna/Comparator_MPW6/precheck_results/04_JUN_2022___10_00_20/logs/spdx_compliance_report.log
+2022-06-04 10:00:22 - [INFO] - {{STEP UPDATE}} Executing Check 2 of 13: Makefile
+2022-06-04 10:00:22 - [INFO] - {{MAKEFILE CHECK PASSED}} Makefile valid.
+2022-06-04 10:00:22 - [INFO] - {{STEP UPDATE}} Executing Check 3 of 13: Default
+2022-06-04 10:00:22 - [INFO] - {{README DEFAULT CHECK PASSED}} Project 'README.md' was modified and is not identical to the default 'README.md'
+2022-06-04 10:00:22 - [INFO] - {{CONTENT DEFAULT CHECK PASSED}} Project 'gds' was modified and is not identical to the default 'gds'
+2022-06-04 10:00:22 - [INFO] - {{STEP UPDATE}} Executing Check 4 of 13: Documentation
+2022-06-04 10:00:22 - [INFO] - {{DOCUMENTATION CHECK PASSED}} Project documentation is appropriate.
+2022-06-04 10:00:22 - [INFO] - {{STEP UPDATE}} Executing Check 5 of 13: Consistency
+2022-06-04 10:00:24 - [INFO] - HIERARCHY CHECK PASSED: Module user_analog_project_wrapper is instantiated in caravan. 
+2022-06-04 10:00:24 - [INFO] - COMPLEXITY CHECK PASSED: Netlist caravan contains at least 8 instances (68 instances). 
+2022-06-04 10:00:24 - [INFO] - MODELING CHECK PASSED: Netlist caravan is structural.
+2022-06-04 10:00:24 - [INFO] - SUBMODULE HOOKS CHECK PASSED: All module ports for user_analog_project_wrapper are correctly connected in the top level netlist caravan.
+2022-06-04 10:00:24 - [INFO] - {{NETLIST CONSISTENCY CHECK PASSED}} caravan netlist passed all consistency checks.
+2022-06-04 10:00:24 - [INFO] - PORTS CHECK PASSED: Netlist user_analog_project_wrapper ports match the golden wrapper ports
+2022-06-04 10:00:24 - [INFO] - COMPLEXITY CHECK PASSED: Netlist user_analog_project_wrapper contains at least 1 instances (19 instances). 
+2022-06-04 10:00:24 - [INFO] - MODELING CHECK PASSED: Netlist user_analog_project_wrapper is structural.
+2022-06-04 10:00:24 - [INFO] - LAYOUT CHECK PASSED: The GDS layout for user_analog_project_wrapper matches the provided structural netlist.
+2022-06-04 10:00:24 - [INFO] - {{NETLIST CONSISTENCY CHECK PASSED}} user_analog_project_wrapper netlist passed all consistency checks.
+2022-06-04 10:00:24 - [INFO] - {{CONSISTENCY CHECK PASSED}} The user netlist and the top netlist are valid.
+2022-06-04 10:00:24 - [INFO] - {{STEP UPDATE}} Executing Check 6 of 13: XOR
+2022-06-04 10:00:26 - [ERROR] - XOR CHECK FILE NOT FOUND in /home/krishna/Comparator_MPW6/precheck_results/04_JUN_2022___10_00_20/logs/xor_check.total
+2022-06-04 10:00:26 - [WARNING] - {{XOR CHECK FAILED}} The GDS file has non-conforming geometries.
+2022-06-04 10:00:26 - [INFO] - {{STEP UPDATE}} Executing Check 7 of 13: Magic DRC
+2022-06-04 10:00:26 - [INFO] - 0 DRC violations
+2022-06-04 10:00:26 - [INFO] - {{MAGIC DRC CHECK PASSED}} The GDS file, user_analog_project_wrapper.gds, has no DRC violations.
+2022-06-04 10:00:26 - [INFO] - {{STEP UPDATE}} Executing Check 8 of 13: Klayout FEOL
+2022-06-04 10:00:28 - [ERROR] - Total # of DRC violations is 2 Please check /home/krishna/Comparator_MPW6/precheck_results/04_JUN_2022___10_00_20/outputs/reports/klayout_feol_check.xml For more details
+2022-06-04 10:00:28 - [WARNING] - {{Klayout FEOL CHECK FAILED}} The GDS file, user_analog_project_wrapper.gds, has DRC violations.
+2022-06-04 10:00:28 - [INFO] - {{STEP UPDATE}} Executing Check 9 of 13: Klayout BEOL
+2022-06-04 10:00:32 - [INFO] - No DRC Violations found
+2022-06-04 10:00:32 - [INFO] - {{Klayout BEOL CHECK PASSED}} The GDS file, user_analog_project_wrapper.gds, has no DRC violations.
+2022-06-04 10:00:32 - [INFO] - {{STEP UPDATE}} Executing Check 10 of 13: Klayout Offgrid
+2022-06-04 10:00:34 - [INFO] - No DRC Violations found
+2022-06-04 10:00:34 - [INFO] - {{Klayout Offgrid CHECK PASSED}} The GDS file, user_analog_project_wrapper.gds, has no DRC violations.
+2022-06-04 10:00:34 - [INFO] - {{STEP UPDATE}} Executing Check 11 of 13: Klayout Metal Minimum Clear Area Density
+2022-06-04 10:00:35 - [INFO] - No DRC Violations found
+2022-06-04 10:00:35 - [INFO] - {{Klayout Metal Minimum Clear Area Density CHECK PASSED}} The GDS file, user_analog_project_wrapper.gds, has no DRC violations.
+2022-06-04 10:00:35 - [INFO] - {{STEP UPDATE}} Executing Check 12 of 13: Klayout Pin Label Purposes Overlapping Drawing
+2022-06-04 10:00:37 - [INFO] - No DRC Violations found
+2022-06-04 10:00:37 - [INFO] - {{Klayout Pin Label Purposes Overlapping Drawing CHECK PASSED}} The GDS file, user_analog_project_wrapper.gds, has no DRC violations.
+2022-06-04 10:00:37 - [INFO] - {{STEP UPDATE}} Executing Check 13 of 13: Klayout ZeroArea
+2022-06-04 10:00:37 - [INFO] - No DRC Violations found
+2022-06-04 10:00:37 - [INFO] - {{Klayout ZeroArea CHECK PASSED}} The GDS file, user_analog_project_wrapper.gds, has no DRC violations.
+2022-06-04 10:00:37 - [INFO] - {{FINISH}} Executing Finished, the full log 'precheck.log' can be found in '/home/krishna/Comparator_MPW6/precheck_results/04_JUN_2022___10_00_20/logs'
+2022-06-04 10:00:37 - [CRITICAL] - {{FAILURE}} 2 Check(s) Failed: ['XOR', 'Klayout FEOL'] !!!
diff --git a/precheck_results/04_JUN_2022___10_00_20/logs/spdx_compliance_report.log b/precheck_results/04_JUN_2022___10_00_20/logs/spdx_compliance_report.log
new file mode 100644
index 0000000..a188202
--- /dev/null
+++ b/precheck_results/04_JUN_2022___10_00_20/logs/spdx_compliance_report.log
@@ -0,0 +1,98 @@
+/home/krishna/Comparator_MPW6/Makefile
+/home/krishna/Comparator_MPW6/.spiceinit
+/home/krishna/Comparator_MPW6/verilog/dv/Makefile
+/home/krishna/Comparator_MPW6/verilog/dv/mprj_por/mprj_por.c
+/home/krishna/Comparator_MPW6/verilog/dv/mprj_por/mprj_por_tb.v
+/home/krishna/Comparator_MPW6/verilog/dv/mprj_por/Makefile
+/home/krishna/Comparator_MPW6/verilog/rtl/uprj_analog_netlists.v
+/home/krishna/Comparator_MPW6/verilog/rtl/example_por.v
+/home/krishna/Comparator_MPW6/verilog/rtl/user_analog_proj_example.v
+/home/krishna/Comparator_MPW6/verilog/rtl/user_analog_project_wrapper.v
+/home/krishna/Comparator_MPW6/verilog/rtl/comparator.v
+/home/krishna/Comparator_MPW6/precheck_results/04_JUN_2022___09_24_39/outputs/reports/magic_drc_check.drc.report
+/home/krishna/Comparator_MPW6/precheck_results/04_JUN_2022___09_24_39/logs/klayout_zeroarea_check.total
+/home/krishna/Comparator_MPW6/precheck_results/04_JUN_2022___09_24_39/logs/tools.info
+/home/krishna/Comparator_MPW6/precheck_results/04_JUN_2022___09_24_39/logs/klayout_beol_check.total
+/home/krishna/Comparator_MPW6/precheck_results/04_JUN_2022___09_24_39/logs/klayout_pin_label_purposes_overlapping_drawing_check.total
+/home/krishna/Comparator_MPW6/precheck_results/04_JUN_2022___09_24_39/logs/klayout_feol_check.total
+/home/krishna/Comparator_MPW6/precheck_results/04_JUN_2022___09_24_39/logs/gds.info
+/home/krishna/Comparator_MPW6/precheck_results/04_JUN_2022___09_24_39/logs/pdks.info
+/home/krishna/Comparator_MPW6/precheck_results/04_JUN_2022___09_24_39/logs/magic_drc_check.total
+/home/krishna/Comparator_MPW6/precheck_results/04_JUN_2022___09_24_39/logs/klayout_offgrid_check.total
+/home/krishna/Comparator_MPW6/precheck_results/04_JUN_2022___09_24_39/logs/klayout_met_min_ca_density_check.total
+/home/krishna/Comparator_MPW6/precheck_results/04_JUN_2022___09_00_52/outputs/reports/magic_drc_check.drc.report
+/home/krishna/Comparator_MPW6/precheck_results/04_JUN_2022___09_00_52/logs/klayout_zeroarea_check.total
+/home/krishna/Comparator_MPW6/precheck_results/04_JUN_2022___09_00_52/logs/tools.info
+/home/krishna/Comparator_MPW6/precheck_results/04_JUN_2022___09_00_52/logs/klayout_beol_check.total
+/home/krishna/Comparator_MPW6/precheck_results/04_JUN_2022___09_00_52/logs/klayout_pin_label_purposes_overlapping_drawing_check.total
+/home/krishna/Comparator_MPW6/precheck_results/04_JUN_2022___09_00_52/logs/klayout_feol_check.total
+/home/krishna/Comparator_MPW6/precheck_results/04_JUN_2022___09_00_52/logs/gds.info
+/home/krishna/Comparator_MPW6/precheck_results/04_JUN_2022___09_00_52/logs/pdks.info
+/home/krishna/Comparator_MPW6/precheck_results/04_JUN_2022___09_00_52/logs/magic_drc_check.total
+/home/krishna/Comparator_MPW6/precheck_results/04_JUN_2022___09_00_52/logs/klayout_offgrid_check.total
+/home/krishna/Comparator_MPW6/precheck_results/04_JUN_2022___09_00_52/logs/klayout_met_min_ca_density_check.total
+/home/krishna/Comparator_MPW6/precheck_results/04_JUN_2022___10_00_20/logs/tools.info
+/home/krishna/Comparator_MPW6/precheck_results/04_JUN_2022___10_00_20/logs/gds.info
+/home/krishna/Comparator_MPW6/precheck_results/04_JUN_2022___10_00_20/logs/pdks.info
+/home/krishna/Comparator_MPW6/mag/sky130_fd_pr__pfet_01v8_AC5Z8B#0.ext
+/home/krishna/Comparator_MPW6/mag/latch_3.ext
+/home/krishna/Comparator_MPW6/mag/inv_W2.ext
+/home/krishna/Comparator_MPW6/mag/sky130_fd_pr__diode_pw2nd_05v5_KLAK3C.ext
+/home/krishna/Comparator_MPW6/mag/sky130_fd_pr__diode_pw2nd_05v5_FT7GK8.ext
+/home/krishna/Comparator_MPW6/mag/sky130_fd_pr__diode_pw2nd_05v5_3P6M5Y.ext
+/home/krishna/Comparator_MPW6/mag/sky130_fd_pr__pfet_01v8_5SM9EE.ext
+/home/krishna/Comparator_MPW6/mag/latch_22.ext
+/home/krishna/Comparator_MPW6/mag/sky130_fd_pr__diode_pw2nd_05v5_GT7G3L.ext
+/home/krishna/Comparator_MPW6/mag/inv_W2#0.ext
+/home/krishna/Comparator_MPW6/mag/.magicrc
+/home/krishna/Comparator_MPW6/mag/sky130_fd_pr__diode_pd2nw_05v5_G4XDRY.ext
+/home/krishna/Comparator_MPW6/mag/sky130_fd_pr__nfet_01v8_XJTKXQ#1.ext
+/home/krishna/Comparator_MPW6/mag/sky130_fd_pr__nfet_01v8_XJTKXQ.ext
+/home/krishna/Comparator_MPW6/mag/sky130_fd_pr__pfet_01v8_AC5Z8B.ext
+/home/krishna/Comparator_MPW6/mag/buffer_2#1.ext
+/home/krishna/Comparator_MPW6/mag/comparator_v6.ext
+/home/krishna/Comparator_MPW6/mag/buffer_1#0.ext
+/home/krishna/Comparator_MPW6/mag/latch_2.ext
+/home/krishna/Comparator_MPW6/mag/user_analog_project_wrapper.ext
+/home/krishna/Comparator_MPW6/mag/buffer_2#0.ext
+/home/krishna/Comparator_MPW6/mag/sky130_fd_pr__diode_pd2nw_05v5_RT56W3.ext
+/home/krishna/Comparator_MPW6/mag/latch/SR_latch.ext
+/home/krishna/Comparator_MPW6/mag/latch/sky130_fd_pr__pfet_01v8_AC5Z8B#0.ext
+/home/krishna/Comparator_MPW6/mag/latch/sky130A.magicrc
+/home/krishna/Comparator_MPW6/mag/latch/latch_22.ext
+/home/krishna/Comparator_MPW6/mag/latch/sky130_fd_pr__pfet_01v8_AC5E9B.ext
+/home/krishna/Comparator_MPW6/mag/latch/.magicrc
+/home/krishna/Comparator_MPW6/mag/latch/sky130_fd_pr__nfet_01v8_XJTKXQ#1.ext
+/home/krishna/Comparator_MPW6/mag/latch/sky130_fd_pr__nfet_01v8_F5U58G.ext
+/home/krishna/Comparator_MPW6/mag/latch/sky130_fd_pr__pfet_01v8_GJYUB2.ext
+/home/krishna/Comparator_MPW6/mag/latch/latch_2.ext
+/home/krishna/Comparator_MPW6/mag/latch/sky130_fd_pr__pfet_01v8_5SVZDE.ext
+/home/krishna/Comparator_MPW6/mag/latch/latch.ext
+/home/krishna/Comparator_MPW6/mag/preamp/sky130_fd_pr__nfet_01v8_G6PLX8.ext
+/home/krishna/Comparator_MPW6/mag/preamp/preamp_part1.ext
+/home/krishna/Comparator_MPW6/mag/preamp/preamp_part2.ext
+/home/krishna/Comparator_MPW6/mag/preamp/sky130_fd_pr__nfet_01v8_XJTKXQ#0.ext
+/home/krishna/Comparator_MPW6/mag/preamp/sky130_fd_pr__nfet_01v8_F5U58G#1.ext
+/home/krishna/Comparator_MPW6/mag/preamp/.magicrc
+/home/krishna/Comparator_MPW6/mag/preamp/sky130_fd_pr__pfet_01v8_RFM3CD.ext
+/home/krishna/Comparator_MPW6/mag/preamp/sky130_fd_pr__nfet_01v8_8FHE5N.ext
+/home/krishna/Comparator_MPW6/mag/preamp/sky130_fd_pr__nfet_01v8_F5U58G#0.ext
+/home/krishna/Comparator_MPW6/xschem/xschemrc
+/home/krishna/Comparator_MPW6/xschem/buffer.sch
+/home/krishna/Comparator_MPW6/xschem/user_analog_project_wrapper.sym
+/home/krishna/Comparator_MPW6/xschem/SR_latch.sym
+/home/krishna/Comparator_MPW6/xschem/comparator.sch
+/home/krishna/Comparator_MPW6/xschem/comparator.sym
+/home/krishna/Comparator_MPW6/xschem/analog_wrapper_tb.sch
+/home/krishna/Comparator_MPW6/xschem/user_analog_project_wrapper.sch
+/home/krishna/Comparator_MPW6/xschem/.spiceinit
+/home/krishna/Comparator_MPW6/xschem/comparator_tb.sch
+/home/krishna/Comparator_MPW6/xschem/SR_latch.sch
+/home/krishna/Comparator_MPW6/xschem/buffer.sym
+/home/krishna/Comparator_MPW6/docs/Makefile
+/home/krishna/Comparator_MPW6/docs/environment.yml
+/home/krishna/Comparator_MPW6/docs/source/conf.py
+/home/krishna/Comparator_MPW6/docs/source/index.rst
+/home/krishna/Comparator_MPW6/netgen/run_lvs_wrapper_verilog.sh
+/home/krishna/Comparator_MPW6/netgen/run_lvs_por.sh
+/home/krishna/Comparator_MPW6/netgen/run_lvs_wrapper_xschem.sh
diff --git a/precheck_results/04_JUN_2022___10_00_20/logs/tools.info b/precheck_results/04_JUN_2022___10_00_20/logs/tools.info
new file mode 100644
index 0000000..9b2230a
--- /dev/null
+++ b/precheck_results/04_JUN_2022___10_00_20/logs/tools.info
@@ -0,0 +1,2 @@
+KLayout: 0.27.8
+Magic: 8.3.274
\ No newline at end of file
diff --git a/precheck_results/04_JUN_2022___10_00_20/logs/xor_check.log b/precheck_results/04_JUN_2022___10_00_20/logs/xor_check.log
new file mode 100644
index 0000000..a864adc
--- /dev/null
+++ b/precheck_results/04_JUN_2022___10_00_20/logs/xor_check.log
@@ -0,0 +1,179 @@
+Reading file /home/krishna/Comparator_MPW6/gds/user_analog_project_wrapper.gds for cell user_analog_project_wrapper
+dbu=0.001
+cell user_analog_project_wrapper dbu-bbox(ll;ur)=(-4000,-4000;2924000,3524000)
+cell user_analog_project_wrapper dbu-bbox(left,bottom,right,top)=(-4000,-4000,2924000,3524000)
+cell user_analog_project_wrapper dbu-size(width,height)=(2928000,3528000)
+cell user_analog_project_wrapper micron-bbox(left,bottom,right,top)=(-4.0,-4.0,2924.0,3524.0)
+cell user_analog_project_wrapper micron-size(width,height)=(2928.0,3528.0)
+Done.
+
+Magic 8.3 revision 274 - Compiled on Fri Mar  4 22:54:15 UTC 2022.
+Starting magic under Tcl interpreter
+Using the terminal as the console.
+Using NULL graphics device.
+Processing system .magicrc file
+Loading "/home/krishna/mpw_precheckmpw6/checks/xor_check/erase_box.tcl" from command line.
+"sky130(vendor)" is not one of the CIF input styles Magic knows.
+Error: No style is set
+The CIF input styles are: .
+Don't know how to read GDS-II:
+Nothing in "cifinput" section of tech file.
+File user_analog_project_wrapper.mag couldn't be read
+No such file or directory
+Creating new cell
+Root cell box:
+           width x height  (   llx,  lly  ), (   urx,  ury  )  area (units^2)
+
+microns:  43.000 x 3520.000  (-43.000,  0.000), ( 0.000,  3520.000)  151360.000
+lambda:       43 x 3520    (   -43,  0    ), (     0,  3520 )  151360    
+Root cell box:
+           width x height  (   llx,  lly  ), (   urx,  ury  )  area (units^2)
+
+microns:  43.000 x 3520.000  ( 2920.000,  0.000), ( 2963.000,  3520.000)  151360.000
+lambda:       43 x 3520    (  2920,  0    ), (  2963,  3520 )  151360    
+Root cell box:
+           width x height  (   llx,  lly  ), (   urx,  ury  )  area (units^2)
+
+microns:  3006.000 x 38.000  (-43.000, -38.000), ( 2963.000,  0.000)  114228.000
+lambda:     3006 x 38      (   -43, -38   ), (  2963,  0    )  114228    
+Root cell box:
+           width x height  (   llx,  lly  ), (   urx,  ury  )  area (units^2)
+
+microns:  3006.000 x 37.000  (-43.000,  3520.000), ( 2963.000,  3557.000)  111222.000
+lambda:     3006 x 37      (   -43,  3520 ), (  2963,  3557 )  111222    
+Unrecognized layer: metal5
+Layer names are:
+    mag or magnet
+    f or fence
+    r or rotate
+    $
+    *
+    errors
+    labels
+    subcell
+    connect
+Unrecognized layer: metal4
+Layer names are:
+    mag or magnet
+    f or fence
+    r or rotate
+    $
+    *
+    errors
+    labels
+    subcell
+    connect
+Unrecognized layer: metal5
+Layer names are:
+    mag or magnet
+    f or fence
+    r or rotate
+    $
+    *
+    errors
+    labels
+    subcell
+    connect
+Unrecognized layer: metal4
+Layer names are:
+    mag or magnet
+    f or fence
+    r or rotate
+    $
+    *
+    errors
+    labels
+    subcell
+    connect
+No CIF/GDS output style set!
+I/O error in writing file /home/krishna/Comparator_MPW6/precheck_results/04_JUN_2022___10_00_20/outputs/user_analog_project_wrapper_erased.gds.
+File may be incompletely written.
+
+Magic 8.3 revision 274 - Compiled on Fri Mar  4 22:54:15 UTC 2022.
+Starting magic under Tcl interpreter
+Using the terminal as the console.
+Using NULL graphics device.
+Processing system .magicrc file
+Loading "/home/krishna/mpw_precheckmpw6/checks/xor_check/erase_box.tcl" from command line.
+"sky130(vendor)" is not one of the CIF input styles Magic knows.
+Error: No style is set
+The CIF input styles are: .
+Don't know how to read GDS-II:
+Nothing in "cifinput" section of tech file.
+File user_analog_project_wrapper.mag couldn't be read
+No such file or directory
+Creating new cell
+Root cell box:
+           width x height  (   llx,  lly  ), (   urx,  ury  )  area (units^2)
+
+microns:  43.000 x 3520.000  (-43.000,  0.000), ( 0.000,  3520.000)  151360.000
+lambda:       43 x 3520    (   -43,  0    ), (     0,  3520 )  151360    
+Root cell box:
+           width x height  (   llx,  lly  ), (   urx,  ury  )  area (units^2)
+
+microns:  43.000 x 3520.000  ( 2920.000,  0.000), ( 2963.000,  3520.000)  151360.000
+lambda:       43 x 3520    (  2920,  0    ), (  2963,  3520 )  151360    
+Root cell box:
+           width x height  (   llx,  lly  ), (   urx,  ury  )  area (units^2)
+
+microns:  3006.000 x 38.000  (-43.000, -38.000), ( 2963.000,  0.000)  114228.000
+lambda:     3006 x 38      (   -43, -38   ), (  2963,  0    )  114228    
+Root cell box:
+           width x height  (   llx,  lly  ), (   urx,  ury  )  area (units^2)
+
+microns:  3006.000 x 37.000  (-43.000,  3520.000), ( 2963.000,  3557.000)  111222.000
+lambda:     3006 x 37      (   -43,  3520 ), (  2963,  3557 )  111222    
+Unrecognized layer: metal5
+Layer names are:
+    mag or magnet
+    f or fence
+    r or rotate
+    $
+    *
+    errors
+    labels
+    subcell
+    connect
+Unrecognized layer: metal4
+Layer names are:
+    mag or magnet
+    f or fence
+    r or rotate
+    $
+    *
+    errors
+    labels
+    subcell
+    connect
+Unrecognized layer: metal5
+Layer names are:
+    mag or magnet
+    f or fence
+    r or rotate
+    $
+    *
+    errors
+    labels
+    subcell
+    connect
+Unrecognized layer: metal4
+Layer names are:
+    mag or magnet
+    f or fence
+    r or rotate
+    $
+    *
+    errors
+    labels
+    subcell
+    connect
+No CIF/GDS output style set!
+I/O error in writing file /home/krishna/Comparator_MPW6/precheck_results/04_JUN_2022___10_00_20/outputs/user_analog_project_wrapper_empty_erased.gds.
+File may be incompletely written.
+Reading /home/krishna/Comparator_MPW6/precheck_results/04_JUN_2022___10_00_20/outputs/user_analog_project_wrapper_erased.gds ..
+ERROR: In /home/krishna/mpw_precheckmpw6/checks/xor_check/xor.rb.drc: 'source': Stream has unknown format: /home/krishna/Comparator_MPW6/precheck_results/04_JUN_2022___10_00_20/outputs/user_analog_project_wrapper_erased.gds in Layout::read
+Total elapsed: 0.000s  Memory: 521.00M
+ERROR: 'source': Stream has unknown format: /home/krishna/Comparator_MPW6/precheck_results/04_JUN_2022___10_00_20/outputs/user_analog_project_wrapper_erased.gds in Layout::read in Executable::execute
+  /home/krishna/mpw_precheckmpw6/checks/xor_check/xor.rb.drc:15:in `execute'
+  :/built-in-macros/drc_interpreters.lym:27:in `instance_eval'
+  :/built-in-macros/drc_interpreters.lym:27:in `execute'
diff --git a/precheck_results/04_JUN_2022___10_00_20/outputs/reports/klayout_beol_check.xml b/precheck_results/04_JUN_2022___10_00_20/outputs/reports/klayout_beol_check.xml
new file mode 100644
index 0000000..9ad4ffd
--- /dev/null
+++ b/precheck_results/04_JUN_2022___10_00_20/outputs/reports/klayout_beol_check.xml
@@ -0,0 +1,447 @@
+<?xml version="1.0" encoding="utf-8"?>
+<report-database>
+ <description>SKY130 DRC runset</description>
+ <original-file/>
+ <generator>drc: script='/home/krishna/mpw_precheckmpw6/checks/tech-files/sky130A_mr.drc'</generator>
+ <top-cell>user_analog_project_wrapper</top-cell>
+ <tags>
+ </tags>
+ <categories>
+  <category>
+   <name>li.1</name>
+   <description>li.1 : min. li width : 0.17um</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>li.3</name>
+   <description>li.3 : min. li spacing : 0.17um</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>li.5</name>
+   <description>li.5 : min. li enclosure of licon of 2 adjacent edges : 0.08um</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>li.6</name>
+   <description>li.6 : min. li area : 0.0561um²</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>ct.1</name>
+   <description>ct.1: non-ring mcon should be rectangular</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>ct.1_a</name>
+   <description>ct.1_a : minimum width of mcon : 0.17um</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>ct.1_b</name>
+   <description>ct.1_b : maximum length of mcon : 0.17um</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>ct.2</name>
+   <description>ct.2 : min. mcon spacing : 0.19um</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>ct.4</name>
+   <description>ct.4 : mcon should covered by li</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>m1.1</name>
+   <description>m1.1 : min. m1 width : 0.14um</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>m1.2</name>
+   <description>m1.2 : min. m1 spacing : 0.14um</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>m1.3ab</name>
+   <description>m1.3ab : min. 3um.m1 spacing m1 : 0.28um</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>791_m1.4</name>
+   <description>791_m1.4 : min. m1 enclosure of mcon : 0.03um</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>m1.4</name>
+   <description>m1.4 : mcon periphery must be enclosed by m1</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>m1.4a</name>
+   <description>m1.4a : min. m1 enclosure of mcon for specific cells : 0.005um</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>m1.4a_a</name>
+   <description>m1.4a_a : mcon periph must be enclosed by met1 for specific cells</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>m1.6</name>
+   <description>m1.6 : min. m1 area : 0.083um²</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>m1.7</name>
+   <description>m1.7 : min. m1 with holes area : 0.14um²</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>m1.5</name>
+   <description>m1.5 : min. m1 enclosure of mcon of 2 adjacent edges : 0.06um</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>via.1a</name>
+   <description>via.1a : via outside of moduleCut should be rectangular</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>via.1a_a</name>
+   <description>via.1a_a : min. width of via outside of moduleCut : 0.15um</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>via.1a_b</name>
+   <description>via.1a_b : maximum length of via : 0.15um</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>via.2</name>
+   <description>via.2 : min. via spacing : 0.17um</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>via.4a</name>
+   <description>via.4a : min. m1 enclosure of 0.15um via : 0.055um</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>via.4a_a</name>
+   <description>via.4a_a : 0.15um via must be enclosed by met1</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>via.5a</name>
+   <description>via.5a : min. m1 enclosure of 0.15um via of 2 adjacent edges : 0.085um</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>m2.1</name>
+   <description>m2.1 : min. m2 width : 0.14um</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>m2.2</name>
+   <description>m2.2 : min. m2 spacing : 0.14um</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>m2.3ab</name>
+   <description>m2.3ab : min. 3um.m2 spacing m2 : 0.28um</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>m2.6</name>
+   <description>m2.6 : min. m2 area : 0.0676um²</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>m2.7</name>
+   <description>m2.7 : min. m2 holes area : 0.14um²</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>m2.4</name>
+   <description>m2.4 : min. m2 enclosure of via : 0.055um</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>m2.4_a</name>
+   <description>m2.4_a : via in periphery must be enclosed by met2</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>m2.5</name>
+   <description>m2.5 : min. m2 enclosure of via of 2 adjacent edges : 0.085um</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>via2.1a</name>
+   <description>via2.1a : via2 outside of moduleCut should be rectangular</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>via2.1a_a</name>
+   <description>via2.1a_a : min. width of via2 outside of moduleCut : 0.2um</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>via2.1a_b</name>
+   <description>via2.1a_b : maximum length of via2 : 0.2um</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>via2.2</name>
+   <description>via2.2 : min. via2 spacing : 0.2um</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>via2.4</name>
+   <description>via2.4 : min. m2 enclosure of via2 : 0.04um</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>via2.4_a</name>
+   <description>via2.4_a : via must be enclosed by met2</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>via2.5</name>
+   <description>via2.5 : min. m3 enclosure of via2 of 2 adjacent edges : 0.085um</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>m3.1</name>
+   <description>m3.1 : min. m3 width : 0.3um</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>m3.2</name>
+   <description>m3.2 : min. m3 spacing : 0.3um</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>m3.3cd</name>
+   <description>m3.3cd : min. 3um.m3 spacing m3 : 0.4um</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>m3.4</name>
+   <description>m3.4 : min. m3 enclosure of via2 : 0.065um</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>m3.4_a</name>
+   <description>m3.4_a : via2 must be enclosed by met3</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>via3.1</name>
+   <description>via3.1 : via3 outside of moduleCut should be rectangular</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>via3.1_a</name>
+   <description>via3.1_a : min. width of via3 outside of moduleCut : 0.2um</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>via3.1_b</name>
+   <description>via3.1_b : maximum length of via3 : 0.2um</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>via3.2</name>
+   <description>via3.2 : min. via3 spacing : 0.2um</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>via3.4</name>
+   <description>via3.4 : min. m3 enclosure of via3 : 0.06um</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>via3.4_a</name>
+   <description>via3.4_a : non-ring via3 must be enclosed by met3</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>via3.5</name>
+   <description>via3.5 : min. m3 enclosure of via3 of 2 adjacent edges : 0.09um</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>m4.1</name>
+   <description>m4.1 : min. m4 width : 0.3um</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>m4.2</name>
+   <description>m4.2 : min. m4 spacing : 0.3um</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>m4.4a</name>
+   <description>m4.4a : min. m4 area : 0.240um²</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>m4.5ab</name>
+   <description>m4.5ab : min. 3um.m4 spacing m4 : 0.4um</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>m4.3</name>
+   <description>m4.3 : min. m4 enclosure of via3 : 0.065um</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>m4.3_a</name>
+   <description>m4.3_a : via3 must be enclosed by met4</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>via4.1</name>
+   <description>via4.1 : via4 outside of moduleCut should be rectangular</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>via4.1_a</name>
+   <description>via4.1_a : min. width of via4 outside of moduleCut : 0.8um</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>via4.1_b</name>
+   <description>via4.1_b : maximum length of via4 : 0.8um</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>via4.2</name>
+   <description>via4.2 : min. via4 spacing : 0.8um</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>via4.4</name>
+   <description>via4.4 : min. m4 enclosure of via4 : 0.19um</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>via4.4_a</name>
+   <description>via4.4_a : m4 must enclose all via4</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>m5.1</name>
+   <description>m5.1 : min. m5 width : 1.6um</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>m5.2</name>
+   <description>m5.2 : min. m5 spacing : 1.6um</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>m5.3</name>
+   <description>m5.3 : min. m5 enclosure of via4 : 0.31um</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>m5.3_a</name>
+   <description>m5.3_a : via must be enclosed by m5</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>m5.4</name>
+   <description>m5.4 : min. m5 area : 4.0um²</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>pad.2</name>
+   <description>pad.2 : min. pad spacing : 1.27um</description>
+   <categories>
+   </categories>
+  </category>
+ </categories>
+ <cells>
+  <cell>
+   <name>user_analog_project_wrapper</name>
+   <variant/>
+   <references>
+   </references>
+  </cell>
+ </cells>
+ <items>
+ </items>
+</report-database>
diff --git a/precheck_results/04_JUN_2022___10_00_20/outputs/reports/klayout_feol_check.xml b/precheck_results/04_JUN_2022___10_00_20/outputs/reports/klayout_feol_check.xml
new file mode 100644
index 0000000..1b32690
--- /dev/null
+++ b/precheck_results/04_JUN_2022___10_00_20/outputs/reports/klayout_feol_check.xml
@@ -0,0 +1,385 @@
+<?xml version="1.0" encoding="utf-8"?>
+<report-database>
+ <description>SKY130 DRC runset</description>
+ <original-file/>
+ <generator>drc: script='/home/krishna/mpw_precheckmpw6/checks/tech-files/sky130A_mr.drc'</generator>
+ <top-cell>user_analog_project_wrapper</top-cell>
+ <tags>
+ </tags>
+ <categories>
+  <category>
+   <name>dnwell.2</name>
+   <description>dnwell.2 : min. dnwell width : 3.0um</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>nwell.1</name>
+   <description>nwell.1 : min. nwell width : 0.84um</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>nwell.2a</name>
+   <description>nwell.2a : min. nwell spacing (merged if less) : 1.27um</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>nwell.6</name>
+   <description>nwell.6 : min enclosure of nwellHole by dnwell : 1.03um</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>hvtp.1</name>
+   <description>hvtp.1 : min. hvtp width : 0.38um</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>hvtp.2</name>
+   <description>hvtp.2 : min. hvtp spacing : 0.38um</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>hvtr.1</name>
+   <description>hvtr.1 : min. hvtr width : 0.38um</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>hvtr.2</name>
+   <description>hvtr.2 : min. hvtr spacing : 0.38um</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>hvtr.2_a</name>
+   <description>hvtr.2_a : hvtr must not overlap hvtp</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>lvtn.1a</name>
+   <description>lvtn.1a : min. lvtn width : 0.38um</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>lvtn.2</name>
+   <description>lvtn.2 : min. lvtn spacing : 0.38um</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>ncm.1</name>
+   <description>ncm.1 : min. ncm width : 0.38um</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>ncm.2a</name>
+   <description>ncm.2a : min. ncm spacing : 0.38um</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>difftap.1</name>
+   <description>difftap.1 : min. diff width across areaid:ce : 0.15um</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>difftap.1_a</name>
+   <description>difftap.1_a : min. diff width in periphery : 0.15um</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>difftap.1_b</name>
+   <description>difftap.1_b : min. tap width across areaid:ce : 0.15um</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>difftap.1_c</name>
+   <description>difftap.1_c : min. tap width in periphery : 0.15um</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>difftap.3</name>
+   <description>difftap.3 : min. difftap spacing : 0.27um</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>tunm.1</name>
+   <description>tunm.1 : min. tunm width : 0.41um</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>tunm.2</name>
+   <description>tunm.2 : min. tunm spacing : 0.5um</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>poly.1a</name>
+   <description>poly.1a : min. poly width : 0.15um</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>poly.2</name>
+   <description>poly.2 : min. poly spacing : 0.21um</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>rpm.1a</name>
+   <description>rpm.1a : min. rpm width : 1.27um</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>rpm.2</name>
+   <description>rpm.2 : min. rpm spacing : 0.84um</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>urpm.1a</name>
+   <description>urpm.1a : min. rpm width : 1.27um</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>urpm.2</name>
+   <description>urpm.2 : min. rpm spacing : 0.84um</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>npc.1</name>
+   <description>npc.1 : min. npc width : 0.27um</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>npc.2</name>
+   <description>npc.2 : min. npc spacing, should be manually merged if less than : 0.27um</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>nsd.1</name>
+   <description>nsd.1 : min. nsdm width : 0.38um</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>nsd.2</name>
+   <description>nsd.2 : min. nsdm spacing, should be manually merged if less than : 0.38um</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>psd.1</name>
+   <description>psd.1 : min. psdm width : 0.38um</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>psd.2</name>
+   <description>psd.2 : min. psdm spacing, should be manually merged if less than : 0.38um</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>licon.1</name>
+   <description>licon.1 : licon should be rectangle</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>licon.1_a/b</name>
+   <description>licon.1_a/b : minimum/maximum width of licon : 0.17um</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>licon.13</name>
+   <description>licon.13 : min. difftap licon spacing to npc : 0.09um</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>licon.13_a</name>
+   <description>licon.13_a : licon of diffTap in periphery must not overlap npc</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>licon.17</name>
+   <description>licon.17 : Licons may not overlap both poly and (diff or tap)</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>capm.1</name>
+   <description>capm.1 : min. capm width : 1.0um</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>capm.2a</name>
+   <description>capm.2a : min. capm spacing : 0.84um</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>capm.2b</name>
+   <description>capm.2b : min. capm spacing : 1.2um</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>capm.2b_a</name>
+   <description>capm.2b_a : min. spacing of m3_bot_plate : 1.2um</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>capm.3</name>
+   <description>capm.3 : min. capm and m3 enclosure of m3 : 0.14um</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>capm.3_a</name>
+   <description>capm.3_a : min. m3 enclosure of capm : 0.14um</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>capm.4</name>
+   <description>capm.4 : min. capm enclosure of via3 : 0.14um</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>capm.5</name>
+   <description>capm.5 : min. capm spacing to via3 : 0.14um</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>cap2m.1</name>
+   <description>cap2m.1 : min. cap2m width : 1.0um</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>cap2m.2a</name>
+   <description>cap2m.2a : min. cap2m spacing : 0.84um</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>cap2m.2b</name>
+   <description>cap2m.2b : min. cap2m spacing : 1.2um</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>cap2m.2b_a</name>
+   <description>cap2m.2b_a : min. spacing of m4_bot_plate : 1.2um</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>cap2m.3</name>
+   <description>cap2m.3 : min. m4 enclosure of cap2m : 0.14um</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>cap2m.3_a</name>
+   <description>cap2m.3_a : min. m4 enclosure of cap2m : 0.14um</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>cap2m.4</name>
+   <description>cap2m.4 : min. cap2m enclosure of via4 : 0.14um</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>cap2m.5</name>
+   <description>cap2m.5 : min. cap2m spacing to via4 : 0.14um</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>hvi.1</name>
+   <description>hvi.1 : min. hvi width : 0.6um</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>hvi.2a</name>
+   <description>hvi.2a : min. hvi spacing : 0.7um</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>hvntm.1</name>
+   <description>hvntm.1 : min. hvntm width : 0.7um</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>hvntm.2</name>
+   <description>hvntm.2 : min. hvntm spacing : 0.7um</description>
+   <categories>
+   </categories>
+  </category>
+ </categories>
+ <cells>
+  <cell>
+   <name>user_analog_project_wrapper</name>
+   <variant/>
+   <references>
+   </references>
+  </cell>
+ </cells>
+ <items>
+  <item>
+   <tags/>
+   <category>'psd.2'</category>
+   <cell>user_analog_project_wrapper</cell>
+   <visited>false</visited>
+   <multiplicity>1</multiplicity>
+   <image/>
+   <values>
+    <value>edge-pair: (2077.264,3443.44;2077.385,3443.44)|(2077.736,3443.585;2077.615,3443.585)</value>
+   </values>
+  </item>
+  <item>
+   <tags/>
+   <category>'psd.2'</category>
+   <cell>user_analog_project_wrapper</cell>
+   <visited>false</visited>
+   <multiplicity>1</multiplicity>
+   <image/>
+   <values>
+    <value>edge-pair: (2077.385,3443.44;2077.385,3443.283)|(2077.615,3443.585;2077.615,3443.742)</value>
+   </values>
+  </item>
+ </items>
+</report-database>
diff --git a/precheck_results/04_JUN_2022___10_00_20/outputs/reports/klayout_met_min_ca_density_check.xml b/precheck_results/04_JUN_2022___10_00_20/outputs/reports/klayout_met_min_ca_density_check.xml
new file mode 100644
index 0000000..dc650ad
--- /dev/null
+++ b/precheck_results/04_JUN_2022___10_00_20/outputs/reports/klayout_met_min_ca_density_check.xml
@@ -0,0 +1,21 @@
+<?xml version="1.0" encoding="utf-8"?>
+<report-database>
+ <description>Density Checks</description>
+ <original-file/>
+ <generator>drc: script='/home/krishna/mpw_precheckmpw6/checks/drc_checks/klayout/met_min_ca_density.lydrc'</generator>
+ <top-cell>user_analog_project_wrapper</top-cell>
+ <tags>
+ </tags>
+ <categories>
+ </categories>
+ <cells>
+  <cell>
+   <name>user_analog_project_wrapper</name>
+   <variant/>
+   <references>
+   </references>
+  </cell>
+ </cells>
+ <items>
+ </items>
+</report-database>
diff --git a/precheck_results/04_JUN_2022___10_00_20/outputs/reports/klayout_offgrid_check.xml b/precheck_results/04_JUN_2022___10_00_20/outputs/reports/klayout_offgrid_check.xml
new file mode 100644
index 0000000..80a7de2
--- /dev/null
+++ b/precheck_results/04_JUN_2022___10_00_20/outputs/reports/klayout_offgrid_check.xml
@@ -0,0 +1,483 @@
+<?xml version="1.0" encoding="utf-8"?>
+<report-database>
+ <description>SKY130 DRC runset</description>
+ <original-file/>
+ <generator>drc: script='/home/krishna/mpw_precheckmpw6/checks/tech-files/sky130A_mr.drc'</generator>
+ <top-cell>user_analog_project_wrapper</top-cell>
+ <tags>
+ </tags>
+ <categories>
+  <category>
+   <name>dnwell_OFFGRID</name>
+   <description>x.1b : OFFGRID vertex on dnwell</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>dnwell_angle</name>
+   <description>x.3a : non 45 degree angle dnwell</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>nwell_OFFGRID</name>
+   <description>x.1b : OFFGRID vertex on nwell</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>nwell_angle</name>
+   <description>x.3a : non 45 degree angle nwell</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>pwbm_OFFGRID</name>
+   <description>x.1b : OFFGRID vertex on pwbm</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>pwbm_angle</name>
+   <description>x.3a : non 45 degree angle pwbm</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>pwde_OFFGRID</name>
+   <description>x.1b : OFFGRID vertex on pwde</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>pwde_angle</name>
+   <description>x.3a : non 45 degree angle pwde</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>hvtp_OFFGRID</name>
+   <description>x.1b : OFFGRID vertex on hvtp</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>hvtp_angle</name>
+   <description>x.3a : non 45 degree angle hvtp</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>hvtr_OFFGRID</name>
+   <description>x.1b : OFFGRID vertex on hvtr</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>hvtr_angle</name>
+   <description>x.3a : non 45 degree angle hvtr</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>lvtn_OFFGRID</name>
+   <description>x.1b : OFFGRID vertex on lvtn</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>lvtn_angle</name>
+   <description>x.3a : non 45 degree angle lvtn</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>ncm_OFFGRID</name>
+   <description>x.1b : OFFGRID vertex on ncm</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>ncm_angle</name>
+   <description>x.3a : non 45 degree angle ncm</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>diff_OFFGRID</name>
+   <description>x.1b : OFFGRID vertex on diff</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>tap_OFFGRID</name>
+   <description>x.1b : OFFGRID vertex on tap</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>diff_angle</name>
+   <description>x.2 : non 90 degree angle diff</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>diff_angle</name>
+   <description>x.2c : non 45 degree angle diff</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>tap_angle</name>
+   <description>x.2 : non 90 degree angle tap</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>tap_angle</name>
+   <description>x.2c : non 45 degree angle tap</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>tunm_OFFGRID</name>
+   <description>x.1b : OFFGRID vertex on tunm</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>tunm_angle</name>
+   <description>x.3a : non 45 degree angle tunm</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>poly_OFFGRID</name>
+   <description>x.1b : OFFGRID vertex on poly</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>poly_angle</name>
+   <description>x.2 : non 90 degree angle poly</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>rpm_OFFGRID</name>
+   <description>x.1b : OFFGRID vertex on rpm</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>rpm_angle</name>
+   <description>x.3a : non 45 degree angle rpm</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>npc_OFFGRID</name>
+   <description>x.1b : OFFGRID vertex on npc</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>npc_angle</name>
+   <description>x.3a : non 45 degree angle npc</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>nsdm_OFFGRID</name>
+   <description>x.1b : OFFGRID vertex on nsdm</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>nsdm_angle</name>
+   <description>x.3a : non 45 degree angle nsdm</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>psdm_OFFGRID</name>
+   <description>x.1b : OFFGRID vertex on psdm</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>psdm_angle</name>
+   <description>x.3a : non 45 degree angle psdm</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>licon_OFFGRID</name>
+   <description>x.1b : OFFGRID vertex on licon</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>licon_angle</name>
+   <description>x.2 : non 90 degree angle licon</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>li_OFFGRID</name>
+   <description>x.1b : OFFGRID vertex on li</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>li_angle</name>
+   <description>x.3a : non 45 degree angle li</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>ct_OFFGRID</name>
+   <description>x.1b : OFFGRID vertex on mcon</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>ct_angle</name>
+   <description>x.2 : non 90 degree angle mcon</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>vpp_OFFGRID</name>
+   <description>x.1b : OFFGRID vertex on vpp</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>vpp_angle</name>
+   <description>x.3a : non 45 degree angle vpp</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>m1_OFFGRID</name>
+   <description>x.1b : OFFGRID vertex on m1</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>m1_angle</name>
+   <description>x.3a : non 45 degree angle m1</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>via_OFFGRID</name>
+   <description>x.1b : OFFGRID vertex on via</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>via_angle</name>
+   <description>x.2 : non 90 degree angle via</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>m2_OFFGRID</name>
+   <description>x.1b : OFFGRID vertex on m2</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>m2_angle</name>
+   <description>x.3a : non 45 degree angle m2</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>via2_OFFGRID</name>
+   <description>x.1b : OFFGRID vertex on via2</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>via2_angle</name>
+   <description>x.2 : non 90 degree angle via2</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>m3_OFFGRID</name>
+   <description>x.1b : OFFGRID vertex on m3</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>m3_angle</name>
+   <description>x.3a : non 45 degree angle m3</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>via3_OFFGRID</name>
+   <description>x.1b : OFFGRID vertex on via3</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>via3_angle</name>
+   <description>x.2 : non 90 degree angle via3</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>nsm_OFFGRID</name>
+   <description>x.1b : OFFGRID vertex on nsm</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>nsm_angle</name>
+   <description>x.3a : non 45 degree angle nsm</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>m4_OFFGRID</name>
+   <description>x.1b : OFFGRID vertex on m4</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>m4_angle</name>
+   <description>x.3a : non 45 degree angle m4</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>via4_OFFGRID</name>
+   <description>x.1b : OFFGRID vertex on via4</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>via4_angle</name>
+   <description>x.2 : non 90 degree angle via4</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>m5_OFFGRID</name>
+   <description>x.1b : OFFGRID vertex on m5</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>m5_angle</name>
+   <description>x.3a : non 45 degree angle m5</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>pad_OFFGRID</name>
+   <description>x.1b : OFFGRID vertex on pad</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>pad_angle</name>
+   <description>x.3a : non 45 degree angle pad</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>mf_OFFGRID</name>
+   <description>x.1b : OFFGRID vertex on mf</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>mf_angle</name>
+   <description>x.2 : non 90 degree angle mf</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>hvi_OFFGRID</name>
+   <description>x.1b : OFFGRID vertex on hvi</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>hvi_angle</name>
+   <description>x.3a : non 45 degree angle hvi</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>hvntm_OFFGRID</name>
+   <description>x.1b : OFFGRID vertex on hvntm</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>hvntm_angle</name>
+   <description>x.3a : non 45 degree angle hvntm</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>vhvi_OFFGRID</name>
+   <description>x.1b : OFFGRID vertex on vhvi</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>vhvi_angle</name>
+   <description>x.3a : non 45 degree angle vhvi</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>uhvi_OFFGRID</name>
+   <description>x.1b : OFFGRID vertex on uhvi</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>uhvi_angle</name>
+   <description>x.3a : non 45 degree angle uhvi</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>pwell_rs_OFFGRID</name>
+   <description>x.1b : OFFGRID vertex on pwell_rs</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>pwell_rs_angle</name>
+   <description>x.3a : non 45 degree angle pwell_rs</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>areaid_re_OFFGRID</name>
+   <description>x.1b : OFFGRID vertex on areaid.re</description>
+   <categories>
+   </categories>
+  </category>
+ </categories>
+ <cells>
+  <cell>
+   <name>user_analog_project_wrapper</name>
+   <variant/>
+   <references>
+   </references>
+  </cell>
+ </cells>
+ <items>
+ </items>
+</report-database>
diff --git a/precheck_results/04_JUN_2022___10_00_20/outputs/reports/klayout_pin_label_purposes_overlapping_drawing_check.xml b/precheck_results/04_JUN_2022___10_00_20/outputs/reports/klayout_pin_label_purposes_overlapping_drawing_check.xml
new file mode 100644
index 0000000..95d0489
--- /dev/null
+++ b/precheck_results/04_JUN_2022___10_00_20/outputs/reports/klayout_pin_label_purposes_overlapping_drawing_check.xml
@@ -0,0 +1,21 @@
+<?xml version="1.0" encoding="utf-8"?>
+<report-database>
+ <description>pin_label_purposes_overlapping_drawing.rb.drc, input=/home/krishna/Comparator_MPW6/gds/user_analog_project_wrapper.gds, topcell=user_analog_project_wrapper</description>
+ <original-file/>
+ <generator>drc: script='/home/krishna/mpw_precheckmpw6/checks/drc_checks/klayout/pin_label_purposes_overlapping_drawing.rb.drc'</generator>
+ <top-cell>user_analog_project_wrapper</top-cell>
+ <tags>
+ </tags>
+ <categories>
+ </categories>
+ <cells>
+  <cell>
+   <name>user_analog_project_wrapper</name>
+   <variant/>
+   <references>
+   </references>
+  </cell>
+ </cells>
+ <items>
+ </items>
+</report-database>
diff --git a/precheck_results/04_JUN_2022___10_00_20/outputs/reports/klayout_zeroarea_check.xml b/precheck_results/04_JUN_2022___10_00_20/outputs/reports/klayout_zeroarea_check.xml
new file mode 100644
index 0000000..c90408a
--- /dev/null
+++ b/precheck_results/04_JUN_2022___10_00_20/outputs/reports/klayout_zeroarea_check.xml
@@ -0,0 +1,21 @@
+<?xml version="1.0" encoding="utf-8"?>
+<report-database>
+ <description>zero area check</description>
+ <original-file/>
+ <generator>drc: script='/home/krishna/mpw_precheckmpw6/checks/drc_checks/klayout/zeroarea.rb.drc'</generator>
+ <top-cell>user_analog_project_wrapper</top-cell>
+ <tags>
+ </tags>
+ <categories>
+ </categories>
+ <cells>
+  <cell>
+   <name>user_analog_project_wrapper</name>
+   <variant/>
+   <references>
+   </references>
+  </cell>
+ </cells>
+ <items>
+ </items>
+</report-database>
diff --git a/precheck_results/04_JUN_2022___10_00_20/outputs/reports/magic_drc_check.drc.report b/precheck_results/04_JUN_2022___10_00_20/outputs/reports/magic_drc_check.drc.report
new file mode 100644
index 0000000..829b9d5
--- /dev/null
+++ b/precheck_results/04_JUN_2022___10_00_20/outputs/reports/magic_drc_check.drc.report
@@ -0,0 +1,5 @@
+user_analog_project_wrapper
+----------------------------------------
+[INFO]: COUNT: 0
+[INFO]: Should be divided by 3 or 4
+
diff --git a/precheck_results/04_JUN_2022___10_00_20/outputs/reports/magic_drc_check.rdb b/precheck_results/04_JUN_2022___10_00_20/outputs/reports/magic_drc_check.rdb
new file mode 100644
index 0000000..8a882f3
--- /dev/null
+++ b/precheck_results/04_JUN_2022___10_00_20/outputs/reports/magic_drc_check.rdb
@@ -0,0 +1,2 @@
+$user_analog_project_wrapper
+ 100
diff --git a/precheck_results/04_JUN_2022___10_00_20/outputs/reports/magic_drc_check.tcl b/precheck_results/04_JUN_2022___10_00_20/outputs/reports/magic_drc_check.tcl
new file mode 100644
index 0000000..e69de29
--- /dev/null
+++ b/precheck_results/04_JUN_2022___10_00_20/outputs/reports/magic_drc_check.tcl
diff --git a/precheck_results/04_JUN_2022___10_00_20/outputs/reports/magic_drc_check.tr b/precheck_results/04_JUN_2022___10_00_20/outputs/reports/magic_drc_check.tr
new file mode 100644
index 0000000..e69de29
--- /dev/null
+++ b/precheck_results/04_JUN_2022___10_00_20/outputs/reports/magic_drc_check.tr
diff --git a/precheck_results/04_JUN_2022___10_00_20/outputs/reports/magic_drc_check.xml b/precheck_results/04_JUN_2022___10_00_20/outputs/reports/magic_drc_check.xml
new file mode 100644
index 0000000..7161d7c
--- /dev/null
+++ b/precheck_results/04_JUN_2022___10_00_20/outputs/reports/magic_drc_check.xml
@@ -0,0 +1,10 @@
+<?xml version="1.0" ?>
+<report-database>
+    <categories/>
+    <cells>
+        <cell>
+            <name>user_analog_project_wrapper</name>
+        </cell>
+    </cells>
+    <items/>
+</report-database>
diff --git a/precheck_results/04_JUN_2022___10_00_20/outputs/user_analog_project_wrapper.magic.drc.mag b/precheck_results/04_JUN_2022___10_00_20/outputs/user_analog_project_wrapper.magic.drc.mag
new file mode 100644
index 0000000..bc7865b
--- /dev/null
+++ b/precheck_results/04_JUN_2022___10_00_20/outputs/user_analog_project_wrapper.magic.drc.mag
@@ -0,0 +1,7 @@
+magic
+tech minimum
+magscale 1 2
+timestamp 0
+<< checkpaint >>
+rect 0 0 1 1
+<< end >>
diff --git a/precheck_results/04_JUN_2022___10_00_20/outputs/user_analog_project_wrapper_empty_erased.gds b/precheck_results/04_JUN_2022___10_00_20/outputs/user_analog_project_wrapper_empty_erased.gds
new file mode 100644
index 0000000..e69de29
--- /dev/null
+++ b/precheck_results/04_JUN_2022___10_00_20/outputs/user_analog_project_wrapper_empty_erased.gds
diff --git a/precheck_results/04_JUN_2022___10_00_20/outputs/user_analog_project_wrapper_erased.gds b/precheck_results/04_JUN_2022___10_00_20/outputs/user_analog_project_wrapper_erased.gds
new file mode 100644
index 0000000..e69de29
--- /dev/null
+++ b/precheck_results/04_JUN_2022___10_00_20/outputs/user_analog_project_wrapper_erased.gds
diff --git a/precheck_results/04_JUN_2022___10_00_20/outputs/user_analog_project_wrapper_no_zero_areas.gds b/precheck_results/04_JUN_2022___10_00_20/outputs/user_analog_project_wrapper_no_zero_areas.gds
new file mode 100644
index 0000000..98cd691
--- /dev/null
+++ b/precheck_results/04_JUN_2022___10_00_20/outputs/user_analog_project_wrapper_no_zero_areas.gds
Binary files differ
diff --git a/precheck_results/04_JUN_2022___10_10_56/logs/gds.info b/precheck_results/04_JUN_2022___10_10_56/logs/gds.info
new file mode 100644
index 0000000..67a4c14
--- /dev/null
+++ b/precheck_results/04_JUN_2022___10_10_56/logs/gds.info
@@ -0,0 +1 @@
+user_analog_project_wrapper.gds: 3c423c2ee5fb58b40ce29ee7a3a23635e0a120a9
\ No newline at end of file
diff --git a/precheck_results/04_JUN_2022___10_10_56/logs/klayout_beol_check.log b/precheck_results/04_JUN_2022___10_10_56/logs/klayout_beol_check.log
new file mode 100644
index 0000000..936802c
--- /dev/null
+++ b/precheck_results/04_JUN_2022___10_10_56/logs/klayout_beol_check.log
@@ -0,0 +1,992 @@
+/home/krishna/mpw_precheckmpw6/checks/tech-files/sky130A_mr.drc:38: warning: already initialized constant DRC::DRCEngine::FEOL
+/home/krishna/mpw_precheckmpw6/checks/tech-files/sky130A_mr.drc:28: warning: previous definition of FEOL was here
+/home/krishna/mpw_precheckmpw6/checks/tech-files/sky130A_mr.drc:42: warning: already initialized constant DRC::DRCEngine::BEOL
+/home/krishna/mpw_precheckmpw6/checks/tech-files/sky130A_mr.drc:29: warning: previous definition of BEOL was here
+/home/krishna/mpw_precheckmpw6/checks/tech-files/sky130A_mr.drc:50: warning: already initialized constant DRC::DRCEngine::OFFGRID
+/home/krishna/mpw_precheckmpw6/checks/tech-files/sky130A_mr.drc:30: warning: previous definition of OFFGRID was here
+/home/krishna/mpw_precheckmpw6/checks/tech-files/sky130A_mr.drc:56: warning: already initialized constant DRC::DRCEngine::SEAL
+/home/krishna/mpw_precheckmpw6/checks/tech-files/sky130A_mr.drc:31: warning: previous definition of SEAL was here
+/home/krishna/mpw_precheckmpw6/checks/tech-files/sky130A_mr.drc:62: warning: already initialized constant DRC::DRCEngine::FLOATING_MET
+/home/krishna/mpw_precheckmpw6/checks/tech-files/sky130A_mr.drc:32: warning: previous definition of FLOATING_MET was here
+"input" in: sky130A_mr.drc:112
+    Polygons (raw): 62 (flat)  25 (hierarchical)
+    Elapsed: 0.000s  Memory: 522.00M
+"polygons" in: sky130A_mr.drc:113
+    Polygons (raw): 48 (flat)  40 (hierarchical)
+    Elapsed: 0.010s  Memory: 522.00M
+"polygons" in: sky130A_mr.drc:114
+    Polygons (raw): 89 (flat)  69 (hierarchical)
+    Elapsed: 0.000s  Memory: 522.00M
+"polygons" in: sky130A_mr.drc:115
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 522.00M
+"polygons" in: sky130A_mr.drc:116
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 522.00M
+"polygons" in: sky130A_mr.drc:117
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 522.00M
+"polygons" in: sky130A_mr.drc:118
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 522.00M
+"polygons" in: sky130A_mr.drc:119
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 522.00M
+"polygons" in: sky130A_mr.drc:120
+    Polygons (raw): 10 (flat)  2 (hierarchical)
+    Elapsed: 0.000s  Memory: 522.00M
+"polygons" in: sky130A_mr.drc:121
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 522.00M
+"polygons" in: sky130A_mr.drc:122
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 522.00M
+"polygons" in: sky130A_mr.drc:123
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 522.00M
+"polygons" in: sky130A_mr.drc:124
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 522.00M
+"polygons" in: sky130A_mr.drc:125
+    Polygons (raw): 202 (flat)  116 (hierarchical)
+    Elapsed: 0.010s  Memory: 522.00M
+"polygons" in: sky130A_mr.drc:126
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 522.00M
+"polygons" in: sky130A_mr.drc:127
+    Polygons (raw): 62 (flat)  43 (hierarchical)
+    Elapsed: 0.000s  Memory: 522.00M
+"polygons" in: sky130A_mr.drc:128
+    Polygons (raw): 74 (flat)  48 (hierarchical)
+    Elapsed: 0.010s  Memory: 522.00M
+"polygons" in: sky130A_mr.drc:129
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 522.00M
+"polygons" in: sky130A_mr.drc:130
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 522.00M
+"polygons" in: sky130A_mr.drc:131
+    Polygons (raw): 33 (flat)  24 (hierarchical)
+    Elapsed: 0.000s  Memory: 522.00M
+"polygons" in: sky130A_mr.drc:132
+    Polygons (raw): 919 (flat)  303 (hierarchical)
+    Elapsed: 0.010s  Memory: 522.00M
+"polygons" in: sky130A_mr.drc:134
+    Polygons (raw): 590 (flat)  418 (hierarchical)
+    Elapsed: 0.000s  Memory: 522.00M
+"polygons" in: sky130A_mr.drc:135
+    Polygons (raw): 1756 (flat)  1480 (hierarchical)
+    Elapsed: 0.010s  Memory: 522.00M
+"polygons" in: sky130A_mr.drc:137
+    Polygons (raw): 349 (flat)  299 (hierarchical)
+    Elapsed: 0.000s  Memory: 522.00M
+"polygons" in: sky130A_mr.drc:138
+    Polygons (raw): 2570 (flat)  2570 (hierarchical)
+    Elapsed: 0.010s  Memory: 522.00M
+"polygons" in: sky130A_mr.drc:140
+    Polygons (raw): 603 (flat)  603 (hierarchical)
+    Elapsed: 0.000s  Memory: 522.00M
+"polygons" in: sky130A_mr.drc:141
+    Polygons (raw): 1761 (flat)  1761 (hierarchical)
+    Elapsed: 0.010s  Memory: 522.00M
+"polygons" in: sky130A_mr.drc:143
+    Polygons (raw): 458 (flat)  458 (hierarchical)
+    Elapsed: 0.010s  Memory: 522.00M
+"polygons" in: sky130A_mr.drc:144
+    Polygons (raw): 25800 (flat)  25800 (hierarchical)
+    Elapsed: 0.010s  Memory: 523.00M
+"polygons" in: sky130A_mr.drc:146
+    Polygons (raw): 177 (flat)  177 (hierarchical)
+    Elapsed: 0.010s  Memory: 523.00M
+"polygons" in: sky130A_mr.drc:147
+    Polygons (raw): 552 (flat)  552 (hierarchical)
+    Elapsed: 0.000s  Memory: 523.00M
+"polygons" in: sky130A_mr.drc:149
+    Polygons (raw): 153 (flat)  153 (hierarchical)
+    Elapsed: 0.010s  Memory: 523.00M
+"polygons" in: sky130A_mr.drc:151
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 523.00M
+"polygons" in: sky130A_mr.drc:152
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 523.00M
+"polygons" in: sky130A_mr.drc:153
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 523.00M
+"polygons" in: sky130A_mr.drc:154
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 523.00M
+"polygons" in: sky130A_mr.drc:155
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 523.00M
+"polygons" in: sky130A_mr.drc:156
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 523.00M
+"polygons" in: sky130A_mr.drc:157
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 523.00M
+"polygons" in: sky130A_mr.drc:158
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 523.00M
+"polygons" in: sky130A_mr.drc:159
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 523.00M
+"polygons" in: sky130A_mr.drc:160
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 523.00M
+"polygons" in: sky130A_mr.drc:161
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 523.00M
+"polygons" in: sky130A_mr.drc:162
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 523.00M
+"polygons" in: sky130A_mr.drc:163
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 523.00M
+"polygons" in: sky130A_mr.drc:164
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 523.00M
+"polygons" in: sky130A_mr.drc:165
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 523.00M
+"polygons" in: sky130A_mr.drc:166
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 523.00M
+"polygons" in: sky130A_mr.drc:167
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 523.00M
+"polygons" in: sky130A_mr.drc:168
+    Polygons (raw): 10 (flat)  2 (hierarchical)
+    Elapsed: 0.010s  Memory: 523.00M
+"polygons" in: sky130A_mr.drc:169
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 523.00M
+"polygons" in: sky130A_mr.drc:170
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 523.00M
+"polygons" in: sky130A_mr.drc:171
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 523.00M
+"polygons" in: sky130A_mr.drc:172
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 523.00M
+"polygons" in: sky130A_mr.drc:173
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 523.00M
+"polygons" in: sky130A_mr.drc:174
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 523.00M
+"polygons" in: sky130A_mr.drc:175
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 523.00M
+"polygons" in: sky130A_mr.drc:176
+    Polygons (raw): 1 (flat)  1 (hierarchical)
+    Elapsed: 0.010s  Memory: 523.00M
+"polygons" in: sky130A_mr.drc:177
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 523.00M
+"polygons" in: sky130A_mr.drc:178
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 523.00M
+"polygons" in: sky130A_mr.drc:179
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 523.00M
+"polygons" in: sky130A_mr.drc:180
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 523.00M
+"polygons" in: sky130A_mr.drc:181
+    Polygons (raw): 4 (flat)  3 (hierarchical)
+    Elapsed: 0.000s  Memory: 523.00M
+"polygons" in: sky130A_mr.drc:182
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 523.00M
+"polygons" in: sky130A_mr.drc:183
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 523.00M
+"polygons" in: sky130A_mr.drc:184
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 523.00M
+"polygons" in: sky130A_mr.drc:185
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 523.00M
+"polygons" in: sky130A_mr.drc:186
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 523.00M
+"polygons" in: sky130A_mr.drc:187
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 523.00M
+"polygons" in: sky130A_mr.drc:188
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 523.00M
+"polygons" in: sky130A_mr.drc:189
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 523.00M
+"polygons" in: sky130A_mr.drc:190
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 523.00M
+"polygons" in: sky130A_mr.drc:191
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 523.00M
+"polygons" in: sky130A_mr.drc:192
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 523.00M
+"polygons" in: sky130A_mr.drc:193
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 523.00M
+"polygons" in: sky130A_mr.drc:194
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 523.00M
+"polygons" in: sky130A_mr.drc:195
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 523.00M
+"polygons" in: sky130A_mr.drc:196
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 523.00M
+"polygons" in: sky130A_mr.drc:197
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 523.00M
+"polygons" in: sky130A_mr.drc:198
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 523.00M
+"polygons" in: sky130A_mr.drc:199
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 523.00M
+"polygons" in: sky130A_mr.drc:200
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 523.00M
+"polygons" in: sky130A_mr.drc:201
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 523.00M
+"polygons" in: sky130A_mr.drc:202
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 523.00M
+"polygons" in: sky130A_mr.drc:203
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 523.00M
+DRC section
+BEOL section
+START: 67/20 (li)
+"not" in: sky130A_mr.drc:381
+    Polygons (raw): 590 (flat)  418 (hierarchical)
+    Elapsed: 0.000s  Memory: 523.00M
+"width" in: sky130A_mr.drc:382
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 523.00M
+"output" in: sky130A_mr.drc:382
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 523.00M
+"edges" in: sky130A_mr.drc:384
+    Edges: 2971 (flat)  1724 (hierarchical)
+    Elapsed: 0.020s  Memory: 1067.00M
+"space" in: sky130A_mr.drc:384
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.020s  Memory: 1067.00M
+"output" in: sky130A_mr.drc:384
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.020s  Memory: 1067.00M
+"not" in: sky130A_mr.drc:385
+    Polygons (raw): 919 (flat)  303 (hierarchical)
+    Elapsed: 0.000s  Memory: 1067.00M
+"enclosing" in: sky130A_mr.drc:386
+    Edge pairs: 1213 (flat)  993 (hierarchical)
+    Elapsed: 0.030s  Memory: 1067.00M
+"second_edges" in: sky130A_mr.drc:386
+    Edges: 1213 (flat)  993 (hierarchical)
+    Elapsed: 0.010s  Memory: 1067.00M
+"width" in: sky130A_mr.drc:387
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 1067.00M
+"polygons" in: sky130A_mr.drc:388
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 1067.00M
+"interacting" in: sky130A_mr.drc:388
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 1067.00M
+"output" in: sky130A_mr.drc:389
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 1067.00M
+"with_area" in: sky130A_mr.drc:390
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 1067.00M
+"output" in: sky130A_mr.drc:390
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 1067.00M
+END: 67/20 (li)
+START: 67/44 (mcon)
+"not" in: sky130A_mr.drc:395
+    Polygons (raw): 1756 (flat)  1480 (hierarchical)
+    Elapsed: 0.000s  Memory: 1067.00M
+"not" in: sky130A_mr.drc:402
+    Polygons (raw): 1756 (flat)  1480 (hierarchical)
+    Elapsed: 0.010s  Memory: 1067.00M
+"non_rectangles" in: sky130A_mr.drc:403
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 1068.00M
+"output" in: sky130A_mr.drc:403
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 1068.00M
+"drc" in: sky130A_mr.drc:405
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.020s  Memory: 1068.00M
+"output" in: sky130A_mr.drc:405
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 1068.00M
+"drc" in: sky130A_mr.drc:406
+    Edges: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 1068.00M
+"output" in: sky130A_mr.drc:406
+    Edges: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 1068.00M
+"space" in: sky130A_mr.drc:407
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 1068.00M
+"output" in: sky130A_mr.drc:407
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 1068.00M
+"not" in: sky130A_mr.drc:413
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 1068.00M
+"output" in: sky130A_mr.drc:413
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 1068.00M
+END: 67/44 (mcon)
+START: 68/20 (m1)
+"width" in: sky130A_mr.drc:418
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 1068.00M
+"output" in: sky130A_mr.drc:418
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 1068.00M
+"sized" in: sky130A_mr.drc:419
+    Polygons (raw): 17 (flat)  17 (hierarchical)
+    Elapsed: 0.010s  Memory: 1068.00M
+"sized" in: sky130A_mr.drc:419
+    Polygons (raw): 17 (flat)  17 (hierarchical)
+    Elapsed: 0.010s  Memory: 1068.00M
+"snap" in: sky130A_mr.drc:419
+    Polygons (raw): 17 (flat)  17 (hierarchical)
+    Elapsed: 0.010s  Memory: 1068.00M
+"&" in: sky130A_mr.drc:419
+    Polygons (raw): 17 (flat)  17 (hierarchical)
+    Elapsed: 0.000s  Memory: 1068.00M
+"edges" in: sky130A_mr.drc:420
+    Edges: 1233 (flat)  1093 (hierarchical)
+    Elapsed: 0.020s  Memory: 1068.00M
+"-" in: sky130A_mr.drc:420
+    Edges: 1093 (flat)  962 (hierarchical)
+    Elapsed: 0.010s  Memory: 1068.00M
+"edges" in: sky130A_mr.drc:421
+    Edges: 126 (flat)  126 (hierarchical)
+    Elapsed: 0.000s  Memory: 1068.00M
+"merged" in: sky130A_mr.drc:421
+    Polygons (raw): 123 (flat)  113 (hierarchical)
+    Elapsed: 0.010s  Memory: 1068.00M
+"outside_part" in: sky130A_mr.drc:421
+    Edges: 142 (flat)  142 (hierarchical)
+    Elapsed: 0.010s  Memory: 1068.00M
+"space" in: sky130A_mr.drc:423
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 1068.00M
+"output" in: sky130A_mr.drc:423
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 1068.00M
+"separation" in: sky130A_mr.drc:425
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 1068.00M
+"space" in: sky130A_mr.drc:425
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 1068.00M
+"+" in: sky130A_mr.drc:425
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 1068.00M
+"output" in: sky130A_mr.drc:425
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 1068.00M
+"input" in: sky130A_mr.drc:429
+    Polygons (raw): 349 (flat)  305 (hierarchical)
+    Elapsed: 0.010s  Memory: 1068.00M
+"enclosing" in: sky130A_mr.drc:431
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.020s  Memory: 1068.00M
+"output" in: sky130A_mr.drc:431
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 1068.00M
+"not" in: sky130A_mr.drc:432
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 1068.00M
+"output" in: sky130A_mr.drc:432
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 1068.00M
+"input" in: sky130A_mr.drc:434
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 1068.00M
+"enclosing" in: sky130A_mr.drc:435
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 1068.00M
+"output" in: sky130A_mr.drc:435
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 1068.00M
+"not" in: sky130A_mr.drc:437
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 1068.00M
+"output" in: sky130A_mr.drc:437
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 1068.00M
+"with_area" in: sky130A_mr.drc:439
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 1068.00M
+"output" in: sky130A_mr.drc:439
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 1068.00M
+"holes" in: sky130A_mr.drc:441
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 1068.00M
+"with_area" in: sky130A_mr.drc:441
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 1068.00M
+"output" in: sky130A_mr.drc:441
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 1068.00M
+"enclosing" in: sky130A_mr.drc:448
+    Edge pairs: 245 (flat)  205 (hierarchical)
+    Elapsed: 0.020s  Memory: 1068.00M
+"second_edges" in: sky130A_mr.drc:448
+    Edges: 245 (flat)  205 (hierarchical)
+    Elapsed: 0.010s  Memory: 1068.00M
+"width" in: sky130A_mr.drc:449
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 1068.00M
+"polygons" in: sky130A_mr.drc:450
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 1068.00M
+"interacting" in: sky130A_mr.drc:450
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.020s  Memory: 1068.00M
+"output" in: sky130A_mr.drc:451
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 1068.00M
+END: 68/20 (m1)
+START: 68/44 (via)
+"not" in: sky130A_mr.drc:465
+    Polygons (raw): 2570 (flat)  2570 (hierarchical)
+    Elapsed: 0.010s  Memory: 1068.00M
+"non_rectangles" in: sky130A_mr.drc:467
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.020s  Memory: 1068.00M
+"output" in: sky130A_mr.drc:467
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 1068.00M
+"width" in: sky130A_mr.drc:468
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 1068.00M
+"output" in: sky130A_mr.drc:468
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 1068.00M
+"drc" in: sky130A_mr.drc:470
+    Edges: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 1068.00M
+"output" in: sky130A_mr.drc:470
+    Edges: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 1068.00M
+"space" in: sky130A_mr.drc:472
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.020s  Memory: 1068.00M
+"output" in: sky130A_mr.drc:472
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 1068.00M
+"edges" in: sky130A_mr.drc:480
+    Edges: 1233 (flat)  1093 (hierarchical)
+    Elapsed: 0.010s  Memory: 1068.00M
+"drc" in: sky130A_mr.drc:480
+    Edges: 10280 (flat)  10280 (hierarchical)
+    Elapsed: 0.030s  Memory: 1068.00M
+"enclosing" in: sky130A_mr.drc:480
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 1068.00M
+"output" in: sky130A_mr.drc:480
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 1068.00M
+"squares" in: sky130A_mr.drc:481
+    Polygons (raw): 2570 (flat)  2570 (hierarchical)
+    Elapsed: 0.010s  Memory: 1068.00M
+"drc" in: sky130A_mr.drc:481
+    Edges: 10280 (flat)  10280 (hierarchical)
+    Elapsed: 0.030s  Memory: 1068.00M
+"not" in: sky130A_mr.drc:481
+    Edges: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 1068.00M
+"output" in: sky130A_mr.drc:481
+    Edges: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 1068.00M
+"edges" in: sky130A_mr.drc:483
+    Edges: 1233 (flat)  1093 (hierarchical)
+    Elapsed: 0.010s  Memory: 1068.00M
+"drc" in: sky130A_mr.drc:483
+    Edges: 10280 (flat)  10280 (hierarchical)
+    Elapsed: 0.040s  Memory: 1068.00M
+"enclosing" in: sky130A_mr.drc:483
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 1068.00M
+"second_edges" in: sky130A_mr.drc:483
+    Edges: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 1068.00M
+"width" in: sky130A_mr.drc:484
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 1068.00M
+"polygons" in: sky130A_mr.drc:485
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 1068.00M
+"interacting" in: sky130A_mr.drc:485
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 1068.00M
+"output" in: sky130A_mr.drc:486
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 1068.00M
+END: 68/44 (via)
+START: 69/20 (m2)
+"width" in: sky130A_mr.drc:493
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 1069.00M
+"output" in: sky130A_mr.drc:493
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 1069.00M
+"sized" in: sky130A_mr.drc:495
+    Polygons (raw): 13 (flat)  13 (hierarchical)
+    Elapsed: 0.000s  Memory: 1069.00M
+"sized" in: sky130A_mr.drc:495
+    Polygons (raw): 13 (flat)  13 (hierarchical)
+    Elapsed: 0.010s  Memory: 1069.00M
+"snap" in: sky130A_mr.drc:495
+    Polygons (raw): 13 (flat)  13 (hierarchical)
+    Elapsed: 0.000s  Memory: 1069.00M
+"&" in: sky130A_mr.drc:495
+    Polygons (raw): 13 (flat)  13 (hierarchical)
+    Elapsed: 0.010s  Memory: 1069.00M
+"edges" in: sky130A_mr.drc:496
+    Edges: 2367 (flat)  2367 (hierarchical)
+    Elapsed: 0.020s  Memory: 1069.00M
+"-" in: sky130A_mr.drc:496
+    Edges: 2296 (flat)  2296 (hierarchical)
+    Elapsed: 0.010s  Memory: 1069.00M
+"edges" in: sky130A_mr.drc:497
+    Edges: 64 (flat)  64 (hierarchical)
+    Elapsed: 0.010s  Memory: 1069.00M
+"merged" in: sky130A_mr.drc:497
+    Polygons (raw): 550 (flat)  550 (hierarchical)
+    Elapsed: 0.000s  Memory: 1069.00M
+"outside_part" in: sky130A_mr.drc:497
+    Edges: 65 (flat)  65 (hierarchical)
+    Elapsed: 0.010s  Memory: 1069.00M
+"not" in: sky130A_mr.drc:498
+    Polygons (raw): 2570 (flat)  2570 (hierarchical)
+    Elapsed: 0.000s  Memory: 1069.00M
+"space" in: sky130A_mr.drc:500
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.020s  Memory: 1077.00M
+"output" in: sky130A_mr.drc:500
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 1069.00M
+"separation" in: sky130A_mr.drc:502
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 1069.00M
+"space" in: sky130A_mr.drc:502
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 1069.00M
+"+" in: sky130A_mr.drc:502
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 1069.00M
+"output" in: sky130A_mr.drc:502
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 1069.00M
+"with_area" in: sky130A_mr.drc:504
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 1069.00M
+"output" in: sky130A_mr.drc:504
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 1069.00M
+"holes" in: sky130A_mr.drc:505
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 1069.00M
+"with_area" in: sky130A_mr.drc:505
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 1069.00M
+"output" in: sky130A_mr.drc:505
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 1069.00M
+"enclosing" in: sky130A_mr.drc:510
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.020s  Memory: 1069.00M
+"output" in: sky130A_mr.drc:510
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 1069.00M
+"not" in: sky130A_mr.drc:511
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 1069.00M
+"output" in: sky130A_mr.drc:511
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 1069.00M
+"enclosing" in: sky130A_mr.drc:512
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 1069.00M
+"second_edges" in: sky130A_mr.drc:512
+    Edges: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 1069.00M
+"width" in: sky130A_mr.drc:513
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 1069.00M
+"polygons" in: sky130A_mr.drc:514
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 1069.00M
+"interacting" in: sky130A_mr.drc:514
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 1069.00M
+"output" in: sky130A_mr.drc:515
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 1069.00M
+END: 69/20 (m2)
+START: 69/44 (via2)
+"not" in: sky130A_mr.drc:530
+    Polygons (raw): 1761 (flat)  1761 (hierarchical)
+    Elapsed: 0.010s  Memory: 1069.00M
+"non_rectangles" in: sky130A_mr.drc:531
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 1070.00M
+"output" in: sky130A_mr.drc:531
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 1070.00M
+"width" in: sky130A_mr.drc:532
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 1070.00M
+"output" in: sky130A_mr.drc:532
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 1070.00M
+"edges" in: sky130A_mr.drc:533
+    Edges: 7044 (flat)  7044 (hierarchical)
+    Elapsed: 0.010s  Memory: 1070.00M
+"without_length" in: sky130A_mr.drc:533
+    Edges: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.020s  Memory: 1071.00M
+"output" in: sky130A_mr.drc:533
+    Edges: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 1071.00M
+"space" in: sky130A_mr.drc:534
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.030s  Memory: 1071.00M
+"output" in: sky130A_mr.drc:534
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 1071.00M
+"enclosing" in: sky130A_mr.drc:542
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 1071.00M
+"output" in: sky130A_mr.drc:542
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 1071.00M
+"not" in: sky130A_mr.drc:543
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 1071.00M
+"output" in: sky130A_mr.drc:543
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 1071.00M
+"enclosing" in: sky130A_mr.drc:545
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.020s  Memory: 1071.00M
+"second_edges" in: sky130A_mr.drc:545
+    Edges: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 1071.00M
+"width" in: sky130A_mr.drc:546
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 1071.00M
+"polygons" in: sky130A_mr.drc:547
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 1071.00M
+"interacting" in: sky130A_mr.drc:547
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 1071.00M
+"output" in: sky130A_mr.drc:548
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 1071.00M
+END: 69/44 (via2)
+START: 70/20 (m3)
+"width" in: sky130A_mr.drc:554
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 1071.00M
+"output" in: sky130A_mr.drc:554
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 1071.00M
+"sized" in: sky130A_mr.drc:556
+    Polygons (raw): 53 (flat)  53 (hierarchical)
+    Elapsed: 0.010s  Memory: 1071.00M
+"sized" in: sky130A_mr.drc:556
+    Polygons (raw): 53 (flat)  53 (hierarchical)
+    Elapsed: 0.000s  Memory: 1071.00M
+"snap" in: sky130A_mr.drc:556
+    Polygons (raw): 53 (flat)  53 (hierarchical)
+    Elapsed: 0.010s  Memory: 1071.00M
+"&" in: sky130A_mr.drc:556
+    Polygons (raw): 98 (flat)  98 (hierarchical)
+    Elapsed: 0.010s  Memory: 1071.00M
+"edges" in: sky130A_mr.drc:557
+    Edges: 1658 (flat)  1658 (hierarchical)
+    Elapsed: 0.010s  Memory: 1071.00M
+"-" in: sky130A_mr.drc:557
+    Edges: 883 (flat)  883 (hierarchical)
+    Elapsed: 0.010s  Memory: 1071.00M
+"edges" in: sky130A_mr.drc:558
+    Edges: 671 (flat)  671 (hierarchical)
+    Elapsed: 0.010s  Memory: 1071.00M
+"merged" in: sky130A_mr.drc:558
+    Polygons (raw): 228 (flat)  228 (hierarchical)
+    Elapsed: 0.010s  Memory: 1071.00M
+"outside_part" in: sky130A_mr.drc:558
+    Edges: 676 (flat)  676 (hierarchical)
+    Elapsed: 0.010s  Memory: 1071.00M
+"space" in: sky130A_mr.drc:560
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 1071.00M
+"output" in: sky130A_mr.drc:560
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 1071.00M
+"separation" in: sky130A_mr.drc:562
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 1071.00M
+"space" in: sky130A_mr.drc:562
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 1071.00M
+"+" in: sky130A_mr.drc:562
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 1071.00M
+"output" in: sky130A_mr.drc:562
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 1071.00M
+"enclosing" in: sky130A_mr.drc:567
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.020s  Memory: 1071.00M
+"output" in: sky130A_mr.drc:567
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 1071.00M
+"not" in: sky130A_mr.drc:568
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 1071.00M
+"output" in: sky130A_mr.drc:568
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 1071.00M
+END: 70/20 (m3)
+START: 70/44 (via3)
+"not" in: sky130A_mr.drc:582
+    Polygons (raw): 25800 (flat)  25800 (hierarchical)
+    Elapsed: 0.000s  Memory: 1071.00M
+"non_rectangles" in: sky130A_mr.drc:583
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.120s  Memory: 1078.00M
+"output" in: sky130A_mr.drc:583
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 1078.00M
+"width" in: sky130A_mr.drc:584
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.020s  Memory: 1078.00M
+"output" in: sky130A_mr.drc:584
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 1078.00M
+"edges" in: sky130A_mr.drc:585
+    Edges: 103200 (flat)  103200 (hierarchical)
+    Elapsed: 0.100s  Memory: 1078.00M
+"without_length" in: sky130A_mr.drc:585
+    Edges: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.260s  Memory: 1105.00M
+"output" in: sky130A_mr.drc:585
+    Edges: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 1105.00M
+"space" in: sky130A_mr.drc:587
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.180s  Memory: 1105.00M
+"output" in: sky130A_mr.drc:587
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 1105.00M
+"enclosing" in: sky130A_mr.drc:588
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.230s  Memory: 1105.00M
+"output" in: sky130A_mr.drc:588
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 1105.00M
+"not" in: sky130A_mr.drc:589
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.050s  Memory: 1105.00M
+"output" in: sky130A_mr.drc:589
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 1105.00M
+"enclosing" in: sky130A_mr.drc:591
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.220s  Memory: 1105.00M
+"second_edges" in: sky130A_mr.drc:591
+    Edges: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 1105.00M
+"width" in: sky130A_mr.drc:592
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 1105.00M
+"polygons" in: sky130A_mr.drc:593
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 1105.00M
+"interacting" in: sky130A_mr.drc:593
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 1105.00M
+"output" in: sky130A_mr.drc:594
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 1105.00M
+END: 70/44 (via3)
+START: 71/20 (m4)
+"width" in: sky130A_mr.drc:600
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 1105.00M
+"output" in: sky130A_mr.drc:600
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 1105.00M
+"sized" in: sky130A_mr.drc:602
+    Polygons (raw): 20 (flat)  20 (hierarchical)
+    Elapsed: 0.010s  Memory: 1105.00M
+"sized" in: sky130A_mr.drc:602
+    Polygons (raw): 20 (flat)  20 (hierarchical)
+    Elapsed: 0.000s  Memory: 1105.00M
+"snap" in: sky130A_mr.drc:602
+    Polygons (raw): 20 (flat)  20 (hierarchical)
+    Elapsed: 0.000s  Memory: 1105.00M
+"&" in: sky130A_mr.drc:602
+    Polygons (raw): 46 (flat)  46 (hierarchical)
+    Elapsed: 0.010s  Memory: 1105.00M
+"edges" in: sky130A_mr.drc:603
+    Edges: 572 (flat)  572 (hierarchical)
+    Elapsed: 0.010s  Memory: 1105.00M
+"-" in: sky130A_mr.drc:603
+    Edges: 116 (flat)  116 (hierarchical)
+    Elapsed: 0.010s  Memory: 1105.00M
+"edges" in: sky130A_mr.drc:604
+    Edges: 366 (flat)  366 (hierarchical)
+    Elapsed: 0.010s  Memory: 1105.00M
+"merged" in: sky130A_mr.drc:604
+    Polygons (raw): 31 (flat)  31 (hierarchical)
+    Elapsed: 0.010s  Memory: 1105.00M
+"outside_part" in: sky130A_mr.drc:604
+    Edges: 371 (flat)  371 (hierarchical)
+    Elapsed: 0.000s  Memory: 1105.00M
+"space" in: sky130A_mr.drc:606
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 1105.00M
+"output" in: sky130A_mr.drc:606
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 1105.00M
+"with_area" in: sky130A_mr.drc:608
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 1105.00M
+"output" in: sky130A_mr.drc:608
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 1105.00M
+"separation" in: sky130A_mr.drc:610
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 1105.00M
+"space" in: sky130A_mr.drc:610
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 1105.00M
+"+" in: sky130A_mr.drc:610
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 1105.00M
+"output" in: sky130A_mr.drc:610
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 1105.00M
+"enclosing" in: sky130A_mr.drc:615
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.180s  Memory: 1105.00M
+"output" in: sky130A_mr.drc:615
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 1105.00M
+"not" in: sky130A_mr.drc:616
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.050s  Memory: 1105.00M
+"output" in: sky130A_mr.drc:616
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 1105.00M
+END: 71/20 (m4)
+START: 71/44 (via4)
+"not" in: sky130A_mr.drc:629
+    Polygons (raw): 552 (flat)  552 (hierarchical)
+    Elapsed: 0.000s  Memory: 1105.00M
+"non_rectangles" in: sky130A_mr.drc:630
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 1105.00M
+"output" in: sky130A_mr.drc:630
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 1105.00M
+"width" in: sky130A_mr.drc:631
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 1105.00M
+"output" in: sky130A_mr.drc:631
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 1105.00M
+"drc" in: sky130A_mr.drc:632
+    Edges: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 1105.00M
+"output" in: sky130A_mr.drc:632
+    Edges: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 1105.00M
+"space" in: sky130A_mr.drc:634
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 1105.00M
+"polygons" in: sky130A_mr.drc:634
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 1105.00M
+"output" in: sky130A_mr.drc:634
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 1105.00M
+"enclosing" in: sky130A_mr.drc:642
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.020s  Memory: 1105.00M
+"output" in: sky130A_mr.drc:642
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 1105.00M
+"not" in: sky130A_mr.drc:643
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.020s  Memory: 1105.00M
+"output" in: sky130A_mr.drc:643
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 1105.00M
+END: 71/44 (via4)
+START: 72/20 (m5)
+"width" in: sky130A_mr.drc:648
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 1105.00M
+"output" in: sky130A_mr.drc:648
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 1105.00M
+"space" in: sky130A_mr.drc:650
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 1105.00M
+"output" in: sky130A_mr.drc:650
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 1105.00M
+"enclosing" in: sky130A_mr.drc:652
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 1105.00M
+"output" in: sky130A_mr.drc:652
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 1105.00M
+"not" in: sky130A_mr.drc:653
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 1105.00M
+"output" in: sky130A_mr.drc:653
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 1105.00M
+"with_area" in: sky130A_mr.drc:657
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 1105.00M
+"output" in: sky130A_mr.drc:657
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 1105.00M
+END: 72/20 (m5)
+START: 76/20 (pad)
+"space" in: sky130A_mr.drc:662
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 1105.00M
+"output" in: sky130A_mr.drc:662
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 1105.00M
+END: 76/20 (pad)
+Writing report database: /home/krishna/Comparator_MPW6/precheck_results/04_JUN_2022___10_10_56/outputs/reports/klayout_beol_check.xml ..
+Total elapsed: 3.770s  Memory: 1105.00M
diff --git a/precheck_results/04_JUN_2022___10_10_56/logs/klayout_beol_check.total b/precheck_results/04_JUN_2022___10_10_56/logs/klayout_beol_check.total
new file mode 100644
index 0000000..c227083
--- /dev/null
+++ b/precheck_results/04_JUN_2022___10_10_56/logs/klayout_beol_check.total
@@ -0,0 +1 @@
+0
\ No newline at end of file
diff --git a/precheck_results/04_JUN_2022___10_10_56/logs/klayout_feol_check.log b/precheck_results/04_JUN_2022___10_10_56/logs/klayout_feol_check.log
new file mode 100644
index 0000000..c033cc9
--- /dev/null
+++ b/precheck_results/04_JUN_2022___10_10_56/logs/klayout_feol_check.log
@@ -0,0 +1,789 @@
+/home/krishna/mpw_precheckmpw6/checks/tech-files/sky130A_mr.drc:36: warning: already initialized constant DRC::DRCEngine::FEOL
+/home/krishna/mpw_precheckmpw6/checks/tech-files/sky130A_mr.drc:28: warning: previous definition of FEOL was here
+/home/krishna/mpw_precheckmpw6/checks/tech-files/sky130A_mr.drc:44: warning: already initialized constant DRC::DRCEngine::BEOL
+/home/krishna/mpw_precheckmpw6/checks/tech-files/sky130A_mr.drc:29: warning: previous definition of BEOL was here
+/home/krishna/mpw_precheckmpw6/checks/tech-files/sky130A_mr.drc:50: warning: already initialized constant DRC::DRCEngine::OFFGRID
+/home/krishna/mpw_precheckmpw6/checks/tech-files/sky130A_mr.drc:30: warning: previous definition of OFFGRID was here
+/home/krishna/mpw_precheckmpw6/checks/tech-files/sky130A_mr.drc:56: warning: already initialized constant DRC::DRCEngine::SEAL
+/home/krishna/mpw_precheckmpw6/checks/tech-files/sky130A_mr.drc:31: warning: previous definition of SEAL was here
+/home/krishna/mpw_precheckmpw6/checks/tech-files/sky130A_mr.drc:62: warning: already initialized constant DRC::DRCEngine::FLOATING_MET
+/home/krishna/mpw_precheckmpw6/checks/tech-files/sky130A_mr.drc:32: warning: previous definition of FLOATING_MET was here
+"input" in: sky130A_mr.drc:112
+    Polygons (raw): 62 (flat)  25 (hierarchical)
+    Elapsed: 0.010s  Memory: 522.00M
+"polygons" in: sky130A_mr.drc:113
+    Polygons (raw): 48 (flat)  40 (hierarchical)
+    Elapsed: 0.000s  Memory: 522.00M
+"polygons" in: sky130A_mr.drc:114
+    Polygons (raw): 89 (flat)  69 (hierarchical)
+    Elapsed: 0.010s  Memory: 522.00M
+"polygons" in: sky130A_mr.drc:115
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 522.00M
+"polygons" in: sky130A_mr.drc:116
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 522.00M
+"polygons" in: sky130A_mr.drc:117
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 522.00M
+"polygons" in: sky130A_mr.drc:118
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 522.00M
+"polygons" in: sky130A_mr.drc:119
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 522.00M
+"polygons" in: sky130A_mr.drc:120
+    Polygons (raw): 10 (flat)  2 (hierarchical)
+    Elapsed: 0.010s  Memory: 522.00M
+"polygons" in: sky130A_mr.drc:121
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 522.00M
+"polygons" in: sky130A_mr.drc:122
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 522.00M
+"polygons" in: sky130A_mr.drc:123
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 522.00M
+"polygons" in: sky130A_mr.drc:124
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 522.00M
+"polygons" in: sky130A_mr.drc:125
+    Polygons (raw): 202 (flat)  116 (hierarchical)
+    Elapsed: 0.000s  Memory: 522.00M
+"polygons" in: sky130A_mr.drc:126
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 522.00M
+"polygons" in: sky130A_mr.drc:127
+    Polygons (raw): 62 (flat)  43 (hierarchical)
+    Elapsed: 0.000s  Memory: 522.00M
+"polygons" in: sky130A_mr.drc:128
+    Polygons (raw): 74 (flat)  48 (hierarchical)
+    Elapsed: 0.010s  Memory: 522.00M
+"polygons" in: sky130A_mr.drc:129
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 522.00M
+"polygons" in: sky130A_mr.drc:130
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 522.00M
+"polygons" in: sky130A_mr.drc:131
+    Polygons (raw): 33 (flat)  24 (hierarchical)
+    Elapsed: 0.000s  Memory: 522.00M
+"polygons" in: sky130A_mr.drc:132
+    Polygons (raw): 919 (flat)  303 (hierarchical)
+    Elapsed: 0.000s  Memory: 522.00M
+"polygons" in: sky130A_mr.drc:134
+    Polygons (raw): 590 (flat)  418 (hierarchical)
+    Elapsed: 0.010s  Memory: 522.00M
+"polygons" in: sky130A_mr.drc:135
+    Polygons (raw): 1756 (flat)  1480 (hierarchical)
+    Elapsed: 0.000s  Memory: 522.00M
+"polygons" in: sky130A_mr.drc:137
+    Polygons (raw): 349 (flat)  299 (hierarchical)
+    Elapsed: 0.010s  Memory: 522.00M
+"polygons" in: sky130A_mr.drc:138
+    Polygons (raw): 2570 (flat)  2570 (hierarchical)
+    Elapsed: 0.000s  Memory: 522.00M
+"polygons" in: sky130A_mr.drc:140
+    Polygons (raw): 603 (flat)  603 (hierarchical)
+    Elapsed: 0.010s  Memory: 522.00M
+"polygons" in: sky130A_mr.drc:141
+    Polygons (raw): 1761 (flat)  1761 (hierarchical)
+    Elapsed: 0.000s  Memory: 522.00M
+"polygons" in: sky130A_mr.drc:143
+    Polygons (raw): 458 (flat)  458 (hierarchical)
+    Elapsed: 0.010s  Memory: 522.00M
+"polygons" in: sky130A_mr.drc:144
+    Polygons (raw): 25800 (flat)  25800 (hierarchical)
+    Elapsed: 0.010s  Memory: 523.00M
+"polygons" in: sky130A_mr.drc:146
+    Polygons (raw): 177 (flat)  177 (hierarchical)
+    Elapsed: 0.000s  Memory: 523.00M
+"polygons" in: sky130A_mr.drc:147
+    Polygons (raw): 552 (flat)  552 (hierarchical)
+    Elapsed: 0.010s  Memory: 523.00M
+"polygons" in: sky130A_mr.drc:149
+    Polygons (raw): 153 (flat)  153 (hierarchical)
+    Elapsed: 0.000s  Memory: 523.00M
+"polygons" in: sky130A_mr.drc:151
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 523.00M
+"polygons" in: sky130A_mr.drc:152
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 523.00M
+"polygons" in: sky130A_mr.drc:153
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 523.00M
+"polygons" in: sky130A_mr.drc:154
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 523.00M
+"polygons" in: sky130A_mr.drc:155
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 523.00M
+"polygons" in: sky130A_mr.drc:156
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 523.00M
+"polygons" in: sky130A_mr.drc:157
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 523.00M
+"polygons" in: sky130A_mr.drc:158
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 523.00M
+"polygons" in: sky130A_mr.drc:159
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 523.00M
+"polygons" in: sky130A_mr.drc:160
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 523.00M
+"polygons" in: sky130A_mr.drc:161
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 523.00M
+"polygons" in: sky130A_mr.drc:162
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 523.00M
+"polygons" in: sky130A_mr.drc:163
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 523.00M
+"polygons" in: sky130A_mr.drc:164
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 523.00M
+"polygons" in: sky130A_mr.drc:165
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 523.00M
+"polygons" in: sky130A_mr.drc:166
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 523.00M
+"polygons" in: sky130A_mr.drc:167
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 523.00M
+"polygons" in: sky130A_mr.drc:168
+    Polygons (raw): 10 (flat)  2 (hierarchical)
+    Elapsed: 0.010s  Memory: 523.00M
+"polygons" in: sky130A_mr.drc:169
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 523.00M
+"polygons" in: sky130A_mr.drc:170
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 523.00M
+"polygons" in: sky130A_mr.drc:171
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 523.00M
+"polygons" in: sky130A_mr.drc:172
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 523.00M
+"polygons" in: sky130A_mr.drc:173
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 523.00M
+"polygons" in: sky130A_mr.drc:174
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 523.00M
+"polygons" in: sky130A_mr.drc:175
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 523.00M
+"polygons" in: sky130A_mr.drc:176
+    Polygons (raw): 1 (flat)  1 (hierarchical)
+    Elapsed: 0.010s  Memory: 523.00M
+"polygons" in: sky130A_mr.drc:177
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 523.00M
+"polygons" in: sky130A_mr.drc:178
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 523.00M
+"polygons" in: sky130A_mr.drc:179
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 523.00M
+"polygons" in: sky130A_mr.drc:180
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 523.00M
+"polygons" in: sky130A_mr.drc:181
+    Polygons (raw): 4 (flat)  3 (hierarchical)
+    Elapsed: 0.000s  Memory: 523.00M
+"polygons" in: sky130A_mr.drc:182
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 523.00M
+"polygons" in: sky130A_mr.drc:183
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 523.00M
+"polygons" in: sky130A_mr.drc:184
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 523.00M
+"polygons" in: sky130A_mr.drc:185
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 523.00M
+"polygons" in: sky130A_mr.drc:186
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 523.00M
+"polygons" in: sky130A_mr.drc:187
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 523.00M
+"polygons" in: sky130A_mr.drc:188
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 523.00M
+"polygons" in: sky130A_mr.drc:189
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 523.00M
+"polygons" in: sky130A_mr.drc:190
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 523.00M
+"polygons" in: sky130A_mr.drc:191
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 523.00M
+"polygons" in: sky130A_mr.drc:192
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 523.00M
+"polygons" in: sky130A_mr.drc:193
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 523.00M
+"polygons" in: sky130A_mr.drc:194
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 523.00M
+"polygons" in: sky130A_mr.drc:195
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 523.00M
+"polygons" in: sky130A_mr.drc:196
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 523.00M
+"polygons" in: sky130A_mr.drc:197
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 523.00M
+"polygons" in: sky130A_mr.drc:198
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 523.00M
+"polygons" in: sky130A_mr.drc:199
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 523.00M
+"polygons" in: sky130A_mr.drc:200
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 523.00M
+"polygons" in: sky130A_mr.drc:201
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 523.00M
+"polygons" in: sky130A_mr.drc:202
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 523.00M
+"polygons" in: sky130A_mr.drc:203
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 523.00M
+DRC section
+FEOL section
+START: 64/18 (dnwell)
+"width" in: sky130A_mr.drc:229
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 523.00M
+"output" in: sky130A_mr.drc:229
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 523.00M
+END: 64/18 (dnwell)
+"input" in: sky130A_mr.drc:233
+    Polygons (raw): 62 (flat)  43 (hierarchical)
+    Elapsed: 0.000s  Memory: 523.00M
+"input" in: sky130A_mr.drc:234
+    Polygons (raw): 74 (flat)  48 (hierarchical)
+    Elapsed: 0.010s  Memory: 523.00M
+"input" in: sky130A_mr.drc:235
+    Polygons (raw): 89 (flat)  69 (hierarchical)
+    Elapsed: 0.000s  Memory: 523.00M
+START: 64/20 (nwell)
+"width" in: sky130A_mr.drc:239
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 523.00M
+"output" in: sky130A_mr.drc:239
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 523.00M
+"space" in: sky130A_mr.drc:240
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 1067.00M
+"output" in: sky130A_mr.drc:240
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 1067.00M
+"merge" in: sky130A_mr.drc:241
+    Polygons (raw): 15 (flat)  14 (hierarchical)
+    Elapsed: 0.010s  Memory: 1067.00M
+"holes" in: sky130A_mr.drc:242
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 1067.00M
+"enclosing" in: sky130A_mr.drc:242
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 1067.00M
+"output" in: sky130A_mr.drc:242
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 1067.00M
+END: 64/20 (nwell)
+START: 78/44 (hvtp)
+"width" in: sky130A_mr.drc:247
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 1067.00M
+"output" in: sky130A_mr.drc:247
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 1067.00M
+"space" in: sky130A_mr.drc:248
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 1067.00M
+"output" in: sky130A_mr.drc:248
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 1067.00M
+END: 78/44 (hvtp)
+START: 18/20 (htvr)
+"width" in: sky130A_mr.drc:253
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 1067.00M
+"output" in: sky130A_mr.drc:253
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 1067.00M
+"separation" in: sky130A_mr.drc:254
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 1067.00M
+"output" in: sky130A_mr.drc:254
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 1067.00M
+"and" in: sky130A_mr.drc:255
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 1067.00M
+"output" in: sky130A_mr.drc:255
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 1067.00M
+END: 18/20 (htvr)
+START: 25/44 (lvtn)
+"width" in: sky130A_mr.drc:260
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 1067.00M
+"output" in: sky130A_mr.drc:260
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 1067.00M
+"space" in: sky130A_mr.drc:261
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 1067.00M
+"output" in: sky130A_mr.drc:261
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 1067.00M
+END: 25/44 (lvtn)
+START: 92/44 (ncm)
+"width" in: sky130A_mr.drc:266
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 1067.00M
+"output" in: sky130A_mr.drc:266
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 1067.00M
+"space" in: sky130A_mr.drc:267
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 1067.00M
+"output" in: sky130A_mr.drc:267
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 1067.00M
+END: 92/44 (ncm)
+START: 65/20 (diff)
+"or" in: sky130A_mr.drc:272
+    Polygons (raw): 78 (flat)  45 (hierarchical)
+    Elapsed: 0.010s  Memory: 1067.00M
+"rectangles" in: sky130A_mr.drc:273
+    Polygons (raw): 31 (flat)  11 (hierarchical)
+    Elapsed: 0.010s  Memory: 1067.00M
+"width" in: sky130A_mr.drc:273
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 1067.00M
+"polygons" in: sky130A_mr.drc:273
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 1067.00M
+"edges" in: sky130A_mr.drc:274
+    Edges: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 1067.00M
+"outside_part" in: sky130A_mr.drc:274
+    Edges: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 1067.00M
+"outside" in: sky130A_mr.drc:274
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 1067.00M
+"edges" in: sky130A_mr.drc:274
+    Edges: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 1067.00M
+"not" in: sky130A_mr.drc:274
+    Edges: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 1067.00M
+"output" in: sky130A_mr.drc:275
+    Edges: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 1067.00M
+"outside" in: sky130A_mr.drc:276
+    Polygons (raw): 48 (flat)  17 (hierarchical)
+    Elapsed: 0.000s  Memory: 1067.00M
+"width" in: sky130A_mr.drc:276
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 1067.00M
+"output" in: sky130A_mr.drc:276
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 1067.00M
+END: 65/20 (diff)
+START: 65/44 (tap)
+"rectangles" in: sky130A_mr.drc:280
+    Polygons (raw): 24 (flat)  24 (hierarchical)
+    Elapsed: 0.000s  Memory: 1067.00M
+"width" in: sky130A_mr.drc:280
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 1067.00M
+"polygons" in: sky130A_mr.drc:280
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 1067.00M
+"edges" in: sky130A_mr.drc:281
+    Edges: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 1067.00M
+"outside_part" in: sky130A_mr.drc:281
+    Edges: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 1067.00M
+"outside" in: sky130A_mr.drc:281
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 1067.00M
+"edges" in: sky130A_mr.drc:281
+    Edges: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 1067.00M
+"not" in: sky130A_mr.drc:281
+    Edges: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 1067.00M
+"output" in: sky130A_mr.drc:282
+    Edges: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 1067.00M
+"not" in: sky130A_mr.drc:283
+    Polygons (raw): 48 (flat)  40 (hierarchical)
+    Elapsed: 0.010s  Memory: 1067.00M
+"width" in: sky130A_mr.drc:283
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 1067.00M
+"output" in: sky130A_mr.drc:283
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 1067.00M
+END: 65/44 (tap)
+"space" in: sky130A_mr.drc:286
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 1067.00M
+"output" in: sky130A_mr.drc:286
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 1067.00M
+START: 80/20 (tunm)
+"width" in: sky130A_mr.drc:290
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 1067.00M
+"output" in: sky130A_mr.drc:290
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 1067.00M
+"space" in: sky130A_mr.drc:291
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 1067.00M
+"output" in: sky130A_mr.drc:291
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 1067.00M
+END: 80/20 (tunm)
+START: 66/20 (poly)
+"width" in: sky130A_mr.drc:296
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 1067.00M
+"output" in: sky130A_mr.drc:296
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 1067.00M
+"not" in: sky130A_mr.drc:297
+    Polygons (raw): 202 (flat)  116 (hierarchical)
+    Elapsed: 0.000s  Memory: 1067.00M
+"space" in: sky130A_mr.drc:297
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 1067.00M
+"output" in: sky130A_mr.drc:297
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 1067.00M
+START: 86/20 (rpm)
+"width" in: sky130A_mr.drc:302
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 1067.00M
+"output" in: sky130A_mr.drc:302
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 1067.00M
+"space" in: sky130A_mr.drc:303
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 1067.00M
+"output" in: sky130A_mr.drc:303
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 1067.00M
+END: 86/20 (rpm)
+START: 79/20 (urpm)
+"width" in: sky130A_mr.drc:308
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 1067.00M
+"output" in: sky130A_mr.drc:308
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 1067.00M
+"space" in: sky130A_mr.drc:309
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 1067.00M
+"output" in: sky130A_mr.drc:309
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 1067.00M
+END: 79/20 (urpm)
+START: 95/20 (npc)
+"width" in: sky130A_mr.drc:314
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 1067.00M
+"output" in: sky130A_mr.drc:314
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.020s  Memory: 1067.00M
+"space" in: sky130A_mr.drc:315
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 1067.00M
+"output" in: sky130A_mr.drc:315
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 1067.00M
+END: 95/20 (npc)
+START: 93/44 (nsdm)
+"outside" in: sky130A_mr.drc:320
+    Polygons (raw): 34 (flat)  22 (hierarchical)
+    Elapsed: 0.010s  Memory: 1067.00M
+"width" in: sky130A_mr.drc:320
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 1067.00M
+"output" in: sky130A_mr.drc:320
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 1067.00M
+"not" in: sky130A_mr.drc:321
+    Polygons (raw): 62 (flat)  43 (hierarchical)
+    Elapsed: 0.010s  Memory: 1067.00M
+"space" in: sky130A_mr.drc:321
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 1067.00M
+"output" in: sky130A_mr.drc:321
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 1067.00M
+END: 93/44 (nsdm)
+START: 94/20 (psdm)
+"outside" in: sky130A_mr.drc:326
+    Polygons (raw): 34 (flat)  30 (hierarchical)
+    Elapsed: 0.010s  Memory: 1067.00M
+"width" in: sky130A_mr.drc:326
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 1067.00M
+"output" in: sky130A_mr.drc:326
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 1067.00M
+"not" in: sky130A_mr.drc:327
+    Polygons (raw): 74 (flat)  48 (hierarchical)
+    Elapsed: 0.000s  Memory: 1067.00M
+"space" in: sky130A_mr.drc:327
+    Edge pairs: 2 (flat)  2 (hierarchical)
+    Elapsed: 0.010s  Memory: 1067.00M
+"output" in: sky130A_mr.drc:327
+    Edge pairs: 2 (flat)  2 (hierarchical)
+    Elapsed: 0.010s  Memory: 1067.00M
+END: 94/20 (psdm)
+START: 66/44 (licon)
+"not" in: sky130A_mr.drc:338
+    Polygons (raw): 369 (flat)  116 (hierarchical)
+    Elapsed: 0.000s  Memory: 1067.00M
+"and" in: sky130A_mr.drc:339
+    Polygons (raw): 755 (flat)  248 (hierarchical)
+    Elapsed: 0.010s  Memory: 1067.00M
+"interacting" in: sky130A_mr.drc:339
+    Polygons (raw): 755 (flat)  248 (hierarchical)
+    Elapsed: 0.010s  Memory: 1067.00M
+"not" in: sky130A_mr.drc:340
+    Polygons (raw): 755 (flat)  248 (hierarchical)
+    Elapsed: 0.010s  Memory: 1067.00M
+"non_rectangles" in: sky130A_mr.drc:341
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 1067.00M
+"output" in: sky130A_mr.drc:341
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 1067.00M
+"or" in: sky130A_mr.drc:342
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 1067.00M
+"not" in: sky130A_mr.drc:342
+    Polygons (raw): 919 (flat)  303 (hierarchical)
+    Elapsed: 0.000s  Memory: 1067.00M
+"edges" in: sky130A_mr.drc:342
+    Edges: 3676 (flat)  1212 (hierarchical)
+    Elapsed: 0.010s  Memory: 1067.00M
+"without_length" in: sky130A_mr.drc:342
+    Edges: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 1067.00M
+"output" in: sky130A_mr.drc:342
+    Edges: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 1067.00M
+"separation" in: sky130A_mr.drc:343
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 1067.00M
+"output" in: sky130A_mr.drc:343
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 1067.00M
+"and" in: sky130A_mr.drc:344
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 1067.00M
+"output" in: sky130A_mr.drc:344
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 1067.00M
+"interacting" in: sky130A_mr.drc:345
+    Polygons (raw): 164 (flat)  55 (hierarchical)
+    Elapsed: 0.010s  Memory: 1067.00M
+"interacting" in: sky130A_mr.drc:345
+    Polygons (raw): 755 (flat)  248 (hierarchical)
+    Elapsed: 0.010s  Memory: 1067.00M
+"and" in: sky130A_mr.drc:345
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 1067.00M
+"output" in: sky130A_mr.drc:345
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 1067.00M
+END: 66/44 (licon)
+START: 89/44 (capm)
+"and" in: sky130A_mr.drc:350
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 1067.00M
+"sized" in: sky130A_mr.drc:350
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 1067.00M
+"width" in: sky130A_mr.drc:351
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 1067.00M
+"output" in: sky130A_mr.drc:351
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 1067.00M
+"space" in: sky130A_mr.drc:352
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 1067.00M
+"output" in: sky130A_mr.drc:352
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 1067.00M
+"interacting" in: sky130A_mr.drc:353
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 1067.00M
+"isolated" in: sky130A_mr.drc:353
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 1067.00M
+"output" in: sky130A_mr.drc:353
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 1067.00M
+"isolated" in: sky130A_mr.drc:354
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 1067.00M
+"output" in: sky130A_mr.drc:354
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 1067.00M
+"and" in: sky130A_mr.drc:355
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 1067.00M
+"enclosing" in: sky130A_mr.drc:355
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 1067.00M
+"output" in: sky130A_mr.drc:355
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 1067.00M
+"enclosing" in: sky130A_mr.drc:356
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 1067.00M
+"output" in: sky130A_mr.drc:356
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 1067.00M
+"enclosing" in: sky130A_mr.drc:357
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 1067.00M
+"output" in: sky130A_mr.drc:357
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 1067.00M
+"separation" in: sky130A_mr.drc:358
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 1067.00M
+"output" in: sky130A_mr.drc:358
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 1067.00M
+END: 89/44 (capm)
+START: 97/44 (cap2m)
+"and" in: sky130A_mr.drc:363
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 1067.00M
+"sized" in: sky130A_mr.drc:363
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 1067.00M
+"width" in: sky130A_mr.drc:364
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 1067.00M
+"output" in: sky130A_mr.drc:364
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 1067.00M
+"space" in: sky130A_mr.drc:365
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 1067.00M
+"output" in: sky130A_mr.drc:365
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 1067.00M
+"interacting" in: sky130A_mr.drc:366
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 1067.00M
+"isolated" in: sky130A_mr.drc:366
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 1067.00M
+"output" in: sky130A_mr.drc:366
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 1067.00M
+"isolated" in: sky130A_mr.drc:368
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 1067.00M
+"output" in: sky130A_mr.drc:368
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 1067.00M
+"and" in: sky130A_mr.drc:369
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 1067.00M
+"enclosing" in: sky130A_mr.drc:369
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 1067.00M
+"output" in: sky130A_mr.drc:369
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 1067.00M
+"enclosing" in: sky130A_mr.drc:370
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 1067.00M
+"output" in: sky130A_mr.drc:370
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 1067.00M
+"enclosing" in: sky130A_mr.drc:371
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 1067.00M
+"output" in: sky130A_mr.drc:371
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 1067.00M
+"separation" in: sky130A_mr.drc:372
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 1067.00M
+"output" in: sky130A_mr.drc:372
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 1067.00M
+END: 97/44 (cap2m)
+FEOL section
+START: 75/20 (hvi)
+"not" in: sky130A_mr.drc:672
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 1067.00M
+"width" in: sky130A_mr.drc:673
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 1067.00M
+"output" in: sky130A_mr.drc:673
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 1067.00M
+"space" in: sky130A_mr.drc:674
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 1067.00M
+"output" in: sky130A_mr.drc:674
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 1067.00M
+END: 75/20 (hvi)
+START: 125/20 (hvntm)
+"not" in: sky130A_mr.drc:679
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 1067.00M
+"width" in: sky130A_mr.drc:680
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 1067.00M
+"output" in: sky130A_mr.drc:680
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 1067.00M
+"space" in: sky130A_mr.drc:681
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 1067.00M
+"output" in: sky130A_mr.drc:681
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 1067.00M
+END: 125/20 (hvntm)
+Writing report database: /home/krishna/Comparator_MPW6/precheck_results/04_JUN_2022___10_10_56/outputs/reports/klayout_feol_check.xml ..
+Total elapsed: 1.420s  Memory: 1067.00M
diff --git a/precheck_results/04_JUN_2022___10_10_56/logs/klayout_feol_check.total b/precheck_results/04_JUN_2022___10_10_56/logs/klayout_feol_check.total
new file mode 100644
index 0000000..d8263ee
--- /dev/null
+++ b/precheck_results/04_JUN_2022___10_10_56/logs/klayout_feol_check.total
@@ -0,0 +1 @@
+2
\ No newline at end of file
diff --git a/precheck_results/04_JUN_2022___10_10_56/logs/klayout_met_min_ca_density_check.log b/precheck_results/04_JUN_2022___10_10_56/logs/klayout_met_min_ca_density_check.log
new file mode 100644
index 0000000..88da181
--- /dev/null
+++ b/precheck_results/04_JUN_2022___10_10_56/logs/klayout_met_min_ca_density_check.log
@@ -0,0 +1,79 @@
+"polygons" in: met_min_ca_density.lydrc:35
+    Polygons (raw): 640 (flat)  428 (hierarchical)
+    Elapsed: 0.010s  Memory: 522.00M
+"polygons" in: met_min_ca_density.lydrc:36
+    Polygons (raw): 1756 (flat)  1480 (hierarchical)
+    Elapsed: 0.000s  Memory: 522.00M
+"polygons" in: met_min_ca_density.lydrc:38
+    Polygons (raw): 369 (flat)  303 (hierarchical)
+    Elapsed: 0.010s  Memory: 522.00M
+"polygons" in: met_min_ca_density.lydrc:39
+    Polygons (raw): 2570 (flat)  2570 (hierarchical)
+    Elapsed: 0.000s  Memory: 522.00M
+"polygons" in: met_min_ca_density.lydrc:41
+    Polygons (raw): 1097 (flat)  1097 (hierarchical)
+    Elapsed: 0.010s  Memory: 522.00M
+"polygons" in: met_min_ca_density.lydrc:42
+    Polygons (raw): 1761 (flat)  1761 (hierarchical)
+    Elapsed: 0.010s  Memory: 522.00M
+"polygons" in: met_min_ca_density.lydrc:44
+    Polygons (raw): 642 (flat)  642 (hierarchical)
+    Elapsed: 0.000s  Memory: 522.00M
+"polygons" in: met_min_ca_density.lydrc:45
+    Polygons (raw): 25800 (flat)  25800 (hierarchical)
+    Elapsed: 0.010s  Memory: 523.00M
+"polygons" in: met_min_ca_density.lydrc:47
+    Polygons (raw): 181 (flat)  181 (hierarchical)
+    Elapsed: 0.000s  Memory: 523.00M
+"polygons" in: met_min_ca_density.lydrc:48
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 523.00M
+"polygons" in: met_min_ca_density.lydrc:49
+    Polygons (raw): 552 (flat)  552 (hierarchical)
+    Elapsed: 0.000s  Memory: 523.00M
+"polygons" in: met_min_ca_density.lydrc:51
+    Polygons (raw): 159 (flat)  159 (hierarchical)
+    Elapsed: 0.010s  Memory: 523.00M
+"input" in: met_min_ca_density.lydrc:53
+    Polygons (raw): 5 (flat)  4 (hierarchical)
+    Elapsed: 0.000s  Memory: 523.00M
+"area" in: met_min_ca_density.lydrc:55
+    Elapsed: 0.010s  Memory: 523.00M
+"polygons" in: met_min_ca_density.lydrc:59
+    Polygons (raw): 640 (flat)  428 (hierarchical)
+    Elapsed: 0.000s  Memory: 523.00M
+"area" in: met_min_ca_density.lydrc:59
+    Elapsed: 0.010s  Memory: 523.00M
+li1_ca_density is 0.9998141715928549
+"polygons" in: met_min_ca_density.lydrc:69
+    Polygons (raw): 369 (flat)  303 (hierarchical)
+    Elapsed: 0.010s  Memory: 523.00M
+"area" in: met_min_ca_density.lydrc:69
+    Elapsed: 0.000s  Memory: 523.00M
+m1_ca_density is 0.9997225643923179
+"polygons" in: met_min_ca_density.lydrc:79
+    Polygons (raw): 1097 (flat)  1097 (hierarchical)
+    Elapsed: 0.010s  Memory: 523.00M
+"area" in: met_min_ca_density.lydrc:79
+    Elapsed: 0.010s  Memory: 523.00M
+m2_ca_density is 0.999675358061566
+"polygons" in: met_min_ca_density.lydrc:89
+    Polygons (raw): 642 (flat)  642 (hierarchical)
+    Elapsed: 0.000s  Memory: 523.00M
+"area" in: met_min_ca_density.lydrc:89
+    Elapsed: 0.010s  Memory: 523.00M
+m3_ca_density is 0.9840555168265489
+"polygons" in: met_min_ca_density.lydrc:99
+    Polygons (raw): 181 (flat)  181 (hierarchical)
+    Elapsed: 0.010s  Memory: 523.00M
+"area" in: met_min_ca_density.lydrc:99
+    Elapsed: 0.000s  Memory: 523.00M
+m4_ca_density is 0.9791221846007161
+"polygons" in: met_min_ca_density.lydrc:109
+    Polygons (raw): 159 (flat)  159 (hierarchical)
+    Elapsed: 0.010s  Memory: 523.00M
+"area" in: met_min_ca_density.lydrc:109
+    Elapsed: 0.000s  Memory: 523.00M
+m5_ca_density is 0.9695933055825809
+Writing report database: /home/krishna/Comparator_MPW6/precheck_results/04_JUN_2022___10_10_56/outputs/reports/klayout_met_min_ca_density_check.xml ..
+Total elapsed: 0.170s  Memory: 522.00M
diff --git a/precheck_results/04_JUN_2022___10_10_56/logs/klayout_met_min_ca_density_check.total b/precheck_results/04_JUN_2022___10_10_56/logs/klayout_met_min_ca_density_check.total
new file mode 100644
index 0000000..c227083
--- /dev/null
+++ b/precheck_results/04_JUN_2022___10_10_56/logs/klayout_met_min_ca_density_check.total
@@ -0,0 +1 @@
+0
\ No newline at end of file
diff --git a/precheck_results/04_JUN_2022___10_10_56/logs/klayout_offgrid_check.log b/precheck_results/04_JUN_2022___10_10_56/logs/klayout_offgrid_check.log
new file mode 100644
index 0000000..cd2f8c5
--- /dev/null
+++ b/precheck_results/04_JUN_2022___10_10_56/logs/klayout_offgrid_check.log
@@ -0,0 +1,755 @@
+/home/krishna/mpw_precheckmpw6/checks/tech-files/sky130A_mr.drc:38: warning: already initialized constant DRC::DRCEngine::FEOL
+/home/krishna/mpw_precheckmpw6/checks/tech-files/sky130A_mr.drc:28: warning: previous definition of FEOL was here
+/home/krishna/mpw_precheckmpw6/checks/tech-files/sky130A_mr.drc:44: warning: already initialized constant DRC::DRCEngine::BEOL
+/home/krishna/mpw_precheckmpw6/checks/tech-files/sky130A_mr.drc:29: warning: previous definition of BEOL was here
+/home/krishna/mpw_precheckmpw6/checks/tech-files/sky130A_mr.drc:48: warning: already initialized constant DRC::DRCEngine::OFFGRID
+/home/krishna/mpw_precheckmpw6/checks/tech-files/sky130A_mr.drc:30: warning: previous definition of OFFGRID was here
+/home/krishna/mpw_precheckmpw6/checks/tech-files/sky130A_mr.drc:56: warning: already initialized constant DRC::DRCEngine::SEAL
+/home/krishna/mpw_precheckmpw6/checks/tech-files/sky130A_mr.drc:31: warning: previous definition of SEAL was here
+/home/krishna/mpw_precheckmpw6/checks/tech-files/sky130A_mr.drc:62: warning: already initialized constant DRC::DRCEngine::FLOATING_MET
+/home/krishna/mpw_precheckmpw6/checks/tech-files/sky130A_mr.drc:32: warning: previous definition of FLOATING_MET was here
+"input" in: sky130A_mr.drc:112
+    Polygons (raw): 62 (flat)  25 (hierarchical)
+    Elapsed: 0.000s  Memory: 522.00M
+"polygons" in: sky130A_mr.drc:113
+    Polygons (raw): 48 (flat)  40 (hierarchical)
+    Elapsed: 0.010s  Memory: 522.00M
+"polygons" in: sky130A_mr.drc:114
+    Polygons (raw): 89 (flat)  69 (hierarchical)
+    Elapsed: 0.000s  Memory: 522.00M
+"polygons" in: sky130A_mr.drc:115
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 522.00M
+"polygons" in: sky130A_mr.drc:116
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 522.00M
+"polygons" in: sky130A_mr.drc:117
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 522.00M
+"polygons" in: sky130A_mr.drc:118
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 522.00M
+"polygons" in: sky130A_mr.drc:119
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 522.00M
+"polygons" in: sky130A_mr.drc:120
+    Polygons (raw): 10 (flat)  2 (hierarchical)
+    Elapsed: 0.000s  Memory: 522.00M
+"polygons" in: sky130A_mr.drc:121
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 522.00M
+"polygons" in: sky130A_mr.drc:122
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 522.00M
+"polygons" in: sky130A_mr.drc:123
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 522.00M
+"polygons" in: sky130A_mr.drc:124
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 522.00M
+"polygons" in: sky130A_mr.drc:125
+    Polygons (raw): 202 (flat)  116 (hierarchical)
+    Elapsed: 0.010s  Memory: 522.00M
+"polygons" in: sky130A_mr.drc:126
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 522.00M
+"polygons" in: sky130A_mr.drc:127
+    Polygons (raw): 62 (flat)  43 (hierarchical)
+    Elapsed: 0.000s  Memory: 522.00M
+"polygons" in: sky130A_mr.drc:128
+    Polygons (raw): 74 (flat)  48 (hierarchical)
+    Elapsed: 0.010s  Memory: 522.00M
+"polygons" in: sky130A_mr.drc:129
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 522.00M
+"polygons" in: sky130A_mr.drc:130
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 522.00M
+"polygons" in: sky130A_mr.drc:131
+    Polygons (raw): 33 (flat)  24 (hierarchical)
+    Elapsed: 0.000s  Memory: 522.00M
+"polygons" in: sky130A_mr.drc:132
+    Polygons (raw): 919 (flat)  303 (hierarchical)
+    Elapsed: 0.000s  Memory: 522.00M
+"polygons" in: sky130A_mr.drc:134
+    Polygons (raw): 590 (flat)  418 (hierarchical)
+    Elapsed: 0.010s  Memory: 522.00M
+"polygons" in: sky130A_mr.drc:135
+    Polygons (raw): 1756 (flat)  1480 (hierarchical)
+    Elapsed: 0.000s  Memory: 522.00M
+"polygons" in: sky130A_mr.drc:137
+    Polygons (raw): 349 (flat)  299 (hierarchical)
+    Elapsed: 0.010s  Memory: 522.00M
+"polygons" in: sky130A_mr.drc:138
+    Polygons (raw): 2570 (flat)  2570 (hierarchical)
+    Elapsed: 0.010s  Memory: 522.00M
+"polygons" in: sky130A_mr.drc:140
+    Polygons (raw): 603 (flat)  603 (hierarchical)
+    Elapsed: 0.000s  Memory: 522.00M
+"polygons" in: sky130A_mr.drc:141
+    Polygons (raw): 1761 (flat)  1761 (hierarchical)
+    Elapsed: 0.010s  Memory: 522.00M
+"polygons" in: sky130A_mr.drc:143
+    Polygons (raw): 458 (flat)  458 (hierarchical)
+    Elapsed: 0.000s  Memory: 522.00M
+"polygons" in: sky130A_mr.drc:144
+    Polygons (raw): 25800 (flat)  25800 (hierarchical)
+    Elapsed: 0.020s  Memory: 523.00M
+"polygons" in: sky130A_mr.drc:146
+    Polygons (raw): 177 (flat)  177 (hierarchical)
+    Elapsed: 0.000s  Memory: 523.00M
+"polygons" in: sky130A_mr.drc:147
+    Polygons (raw): 552 (flat)  552 (hierarchical)
+    Elapsed: 0.010s  Memory: 523.00M
+"polygons" in: sky130A_mr.drc:149
+    Polygons (raw): 153 (flat)  153 (hierarchical)
+    Elapsed: 0.000s  Memory: 523.00M
+"polygons" in: sky130A_mr.drc:151
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 523.00M
+"polygons" in: sky130A_mr.drc:152
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 523.00M
+"polygons" in: sky130A_mr.drc:153
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 523.00M
+"polygons" in: sky130A_mr.drc:154
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 523.00M
+"polygons" in: sky130A_mr.drc:155
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 523.00M
+"polygons" in: sky130A_mr.drc:156
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 523.00M
+"polygons" in: sky130A_mr.drc:157
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 523.00M
+"polygons" in: sky130A_mr.drc:158
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 523.00M
+"polygons" in: sky130A_mr.drc:159
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 523.00M
+"polygons" in: sky130A_mr.drc:160
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 523.00M
+"polygons" in: sky130A_mr.drc:161
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 523.00M
+"polygons" in: sky130A_mr.drc:162
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 523.00M
+"polygons" in: sky130A_mr.drc:163
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 523.00M
+"polygons" in: sky130A_mr.drc:164
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 523.00M
+"polygons" in: sky130A_mr.drc:165
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 523.00M
+"polygons" in: sky130A_mr.drc:166
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 523.00M
+"polygons" in: sky130A_mr.drc:167
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 523.00M
+"polygons" in: sky130A_mr.drc:168
+    Polygons (raw): 10 (flat)  2 (hierarchical)
+    Elapsed: 0.000s  Memory: 523.00M
+"polygons" in: sky130A_mr.drc:169
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 523.00M
+"polygons" in: sky130A_mr.drc:170
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 523.00M
+"polygons" in: sky130A_mr.drc:171
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 523.00M
+"polygons" in: sky130A_mr.drc:172
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 523.00M
+"polygons" in: sky130A_mr.drc:173
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 523.00M
+"polygons" in: sky130A_mr.drc:174
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 523.00M
+"polygons" in: sky130A_mr.drc:175
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 523.00M
+"polygons" in: sky130A_mr.drc:176
+    Polygons (raw): 1 (flat)  1 (hierarchical)
+    Elapsed: 0.000s  Memory: 523.00M
+"polygons" in: sky130A_mr.drc:177
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 523.00M
+"polygons" in: sky130A_mr.drc:178
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 523.00M
+"polygons" in: sky130A_mr.drc:179
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 523.00M
+"polygons" in: sky130A_mr.drc:180
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 523.00M
+"polygons" in: sky130A_mr.drc:181
+    Polygons (raw): 4 (flat)  3 (hierarchical)
+    Elapsed: 0.010s  Memory: 523.00M
+"polygons" in: sky130A_mr.drc:182
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 523.00M
+"polygons" in: sky130A_mr.drc:183
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 523.00M
+"polygons" in: sky130A_mr.drc:184
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 523.00M
+"polygons" in: sky130A_mr.drc:185
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 523.00M
+"polygons" in: sky130A_mr.drc:186
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 523.00M
+"polygons" in: sky130A_mr.drc:187
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 523.00M
+"polygons" in: sky130A_mr.drc:188
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 523.00M
+"polygons" in: sky130A_mr.drc:189
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 523.00M
+"polygons" in: sky130A_mr.drc:190
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 523.00M
+"polygons" in: sky130A_mr.drc:191
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 523.00M
+"polygons" in: sky130A_mr.drc:192
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 523.00M
+"polygons" in: sky130A_mr.drc:193
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 523.00M
+"polygons" in: sky130A_mr.drc:194
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 523.00M
+"polygons" in: sky130A_mr.drc:195
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 523.00M
+"polygons" in: sky130A_mr.drc:196
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 523.00M
+"polygons" in: sky130A_mr.drc:197
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 523.00M
+"polygons" in: sky130A_mr.drc:198
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 523.00M
+"polygons" in: sky130A_mr.drc:199
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 523.00M
+"polygons" in: sky130A_mr.drc:200
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 523.00M
+"polygons" in: sky130A_mr.drc:201
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 523.00M
+"polygons" in: sky130A_mr.drc:202
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 523.00M
+"polygons" in: sky130A_mr.drc:203
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 523.00M
+DRC section
+OFFGRID-ANGLES section
+"ongrid" in: sky130A_mr.drc:690
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 523.00M
+"output" in: sky130A_mr.drc:690
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 523.00M
+"with_angle" in: sky130A_mr.drc:691
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 523.00M
+"output" in: sky130A_mr.drc:691
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 523.00M
+"ongrid" in: sky130A_mr.drc:692
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 523.00M
+"output" in: sky130A_mr.drc:692
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 523.00M
+"with_angle" in: sky130A_mr.drc:693
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 523.00M
+"output" in: sky130A_mr.drc:693
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 523.00M
+"ongrid" in: sky130A_mr.drc:694
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 523.00M
+"output" in: sky130A_mr.drc:694
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 523.00M
+"with_angle" in: sky130A_mr.drc:695
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 523.00M
+"output" in: sky130A_mr.drc:695
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 523.00M
+"ongrid" in: sky130A_mr.drc:696
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 523.00M
+"output" in: sky130A_mr.drc:696
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 523.00M
+"with_angle" in: sky130A_mr.drc:697
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 523.00M
+"output" in: sky130A_mr.drc:697
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 523.00M
+"ongrid" in: sky130A_mr.drc:698
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 523.00M
+"output" in: sky130A_mr.drc:698
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 523.00M
+"with_angle" in: sky130A_mr.drc:699
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 523.00M
+"output" in: sky130A_mr.drc:699
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 523.00M
+"ongrid" in: sky130A_mr.drc:700
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 523.00M
+"output" in: sky130A_mr.drc:700
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 523.00M
+"with_angle" in: sky130A_mr.drc:701
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 523.00M
+"output" in: sky130A_mr.drc:701
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 523.00M
+"ongrid" in: sky130A_mr.drc:702
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 523.00M
+"output" in: sky130A_mr.drc:702
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 523.00M
+"with_angle" in: sky130A_mr.drc:703
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 523.00M
+"output" in: sky130A_mr.drc:703
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 523.00M
+"ongrid" in: sky130A_mr.drc:704
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 523.00M
+"output" in: sky130A_mr.drc:704
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 523.00M
+"with_angle" in: sky130A_mr.drc:705
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 523.00M
+"output" in: sky130A_mr.drc:705
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 523.00M
+"ongrid" in: sky130A_mr.drc:706
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 523.00M
+"output" in: sky130A_mr.drc:706
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 523.00M
+"ongrid" in: sky130A_mr.drc:707
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 523.00M
+"output" in: sky130A_mr.drc:707
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 523.00M
+"and" in: sky130A_mr.drc:708
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 523.00M
+"not" in: sky130A_mr.drc:708
+    Polygons (raw): 62 (flat)  25 (hierarchical)
+    Elapsed: 0.000s  Memory: 523.00M
+"with_angle" in: sky130A_mr.drc:708
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 523.00M
+"output" in: sky130A_mr.drc:708
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 523.00M
+"and" in: sky130A_mr.drc:709
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 523.00M
+"and" in: sky130A_mr.drc:709
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 523.00M
+"with_angle" in: sky130A_mr.drc:709
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 523.00M
+"output" in: sky130A_mr.drc:709
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 523.00M
+"and" in: sky130A_mr.drc:710
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 523.00M
+"not" in: sky130A_mr.drc:710
+    Polygons (raw): 48 (flat)  40 (hierarchical)
+    Elapsed: 0.000s  Memory: 523.00M
+"with_angle" in: sky130A_mr.drc:710
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 523.00M
+"output" in: sky130A_mr.drc:710
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 523.00M
+"and" in: sky130A_mr.drc:711
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 523.00M
+"and" in: sky130A_mr.drc:711
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 523.00M
+"with_angle" in: sky130A_mr.drc:711
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 523.00M
+"output" in: sky130A_mr.drc:711
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 523.00M
+"ongrid" in: sky130A_mr.drc:712
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 523.00M
+"output" in: sky130A_mr.drc:712
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 523.00M
+"with_angle" in: sky130A_mr.drc:713
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 523.00M
+"output" in: sky130A_mr.drc:713
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 523.00M
+"ongrid" in: sky130A_mr.drc:714
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 523.00M
+"output" in: sky130A_mr.drc:714
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 523.00M
+"with_angle" in: sky130A_mr.drc:715
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 523.00M
+"output" in: sky130A_mr.drc:715
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 523.00M
+"ongrid" in: sky130A_mr.drc:716
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 523.00M
+"output" in: sky130A_mr.drc:716
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 523.00M
+"with_angle" in: sky130A_mr.drc:717
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 523.00M
+"output" in: sky130A_mr.drc:717
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 523.00M
+"ongrid" in: sky130A_mr.drc:718
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 523.00M
+"output" in: sky130A_mr.drc:718
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 523.00M
+"with_angle" in: sky130A_mr.drc:719
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 523.00M
+"output" in: sky130A_mr.drc:719
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 523.00M
+"ongrid" in: sky130A_mr.drc:720
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 523.00M
+"output" in: sky130A_mr.drc:720
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 523.00M
+"with_angle" in: sky130A_mr.drc:721
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 523.00M
+"output" in: sky130A_mr.drc:721
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 523.00M
+"ongrid" in: sky130A_mr.drc:722
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 523.00M
+"output" in: sky130A_mr.drc:722
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 523.00M
+"with_angle" in: sky130A_mr.drc:723
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 523.00M
+"output" in: sky130A_mr.drc:723
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 523.00M
+"ongrid" in: sky130A_mr.drc:724
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 523.00M
+"output" in: sky130A_mr.drc:724
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 523.00M
+"with_angle" in: sky130A_mr.drc:725
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 523.00M
+"output" in: sky130A_mr.drc:725
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 523.00M
+"ongrid" in: sky130A_mr.drc:726
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 523.00M
+"output" in: sky130A_mr.drc:726
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 523.00M
+"with_angle" in: sky130A_mr.drc:727
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 523.00M
+"output" in: sky130A_mr.drc:727
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 523.00M
+"ongrid" in: sky130A_mr.drc:728
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 523.00M
+"output" in: sky130A_mr.drc:728
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 523.00M
+"with_angle" in: sky130A_mr.drc:729
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 523.00M
+"output" in: sky130A_mr.drc:729
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 523.00M
+"ongrid" in: sky130A_mr.drc:730
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 523.00M
+"output" in: sky130A_mr.drc:730
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 523.00M
+"with_angle" in: sky130A_mr.drc:731
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 523.00M
+"output" in: sky130A_mr.drc:731
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 523.00M
+"ongrid" in: sky130A_mr.drc:732
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 523.00M
+"output" in: sky130A_mr.drc:732
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 523.00M
+"with_angle" in: sky130A_mr.drc:733
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 523.00M
+"output" in: sky130A_mr.drc:733
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 523.00M
+"ongrid" in: sky130A_mr.drc:734
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.020s  Memory: 524.00M
+"output" in: sky130A_mr.drc:734
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 524.00M
+"with_angle" in: sky130A_mr.drc:735
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 524.00M
+"output" in: sky130A_mr.drc:735
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 524.00M
+"ongrid" in: sky130A_mr.drc:736
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 524.00M
+"output" in: sky130A_mr.drc:736
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 524.00M
+"with_angle" in: sky130A_mr.drc:737
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 524.00M
+"output" in: sky130A_mr.drc:737
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 524.00M
+"ongrid" in: sky130A_mr.drc:738
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 524.00M
+"output" in: sky130A_mr.drc:738
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 524.00M
+"with_angle" in: sky130A_mr.drc:739
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 524.00M
+"output" in: sky130A_mr.drc:739
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 524.00M
+"ongrid" in: sky130A_mr.drc:740
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 524.00M
+"output" in: sky130A_mr.drc:740
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 524.00M
+"with_angle" in: sky130A_mr.drc:741
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 524.00M
+"output" in: sky130A_mr.drc:741
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 524.00M
+"ongrid" in: sky130A_mr.drc:742
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.130s  Memory: 530.00M
+"output" in: sky130A_mr.drc:742
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 530.00M
+"with_angle" in: sky130A_mr.drc:743
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.020s  Memory: 530.00M
+"output" in: sky130A_mr.drc:743
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 530.00M
+"ongrid" in: sky130A_mr.drc:744
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 530.00M
+"output" in: sky130A_mr.drc:744
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 530.00M
+"with_angle" in: sky130A_mr.drc:745
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 530.00M
+"output" in: sky130A_mr.drc:745
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 530.00M
+"ongrid" in: sky130A_mr.drc:746
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 530.00M
+"output" in: sky130A_mr.drc:746
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 530.00M
+"with_angle" in: sky130A_mr.drc:747
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 530.00M
+"output" in: sky130A_mr.drc:747
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 530.00M
+"ongrid" in: sky130A_mr.drc:748
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 530.00M
+"output" in: sky130A_mr.drc:748
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 530.00M
+"with_angle" in: sky130A_mr.drc:749
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 530.00M
+"output" in: sky130A_mr.drc:749
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 530.00M
+"ongrid" in: sky130A_mr.drc:750
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 530.00M
+"output" in: sky130A_mr.drc:750
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 530.00M
+"with_angle" in: sky130A_mr.drc:751
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 530.00M
+"output" in: sky130A_mr.drc:751
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 530.00M
+"ongrid" in: sky130A_mr.drc:752
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 530.00M
+"output" in: sky130A_mr.drc:752
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 530.00M
+"with_angle" in: sky130A_mr.drc:753
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 530.00M
+"output" in: sky130A_mr.drc:753
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 530.00M
+"ongrid" in: sky130A_mr.drc:754
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 530.00M
+"output" in: sky130A_mr.drc:754
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 530.00M
+"with_angle" in: sky130A_mr.drc:755
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 530.00M
+"output" in: sky130A_mr.drc:755
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 530.00M
+"ongrid" in: sky130A_mr.drc:756
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 530.00M
+"output" in: sky130A_mr.drc:756
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 530.00M
+"with_angle" in: sky130A_mr.drc:757
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 530.00M
+"output" in: sky130A_mr.drc:757
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 530.00M
+"ongrid" in: sky130A_mr.drc:758
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 530.00M
+"output" in: sky130A_mr.drc:758
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 530.00M
+"with_angle" in: sky130A_mr.drc:759
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 530.00M
+"output" in: sky130A_mr.drc:759
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 530.00M
+"ongrid" in: sky130A_mr.drc:760
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 530.00M
+"output" in: sky130A_mr.drc:760
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 530.00M
+"with_angle" in: sky130A_mr.drc:761
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 530.00M
+"output" in: sky130A_mr.drc:761
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 530.00M
+"ongrid" in: sky130A_mr.drc:762
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 530.00M
+"output" in: sky130A_mr.drc:762
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 530.00M
+"with_angle" in: sky130A_mr.drc:763
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 530.00M
+"output" in: sky130A_mr.drc:763
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 530.00M
+"ongrid" in: sky130A_mr.drc:764
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 530.00M
+"output" in: sky130A_mr.drc:764
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 530.00M
+"with_angle" in: sky130A_mr.drc:765
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 530.00M
+"output" in: sky130A_mr.drc:765
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 530.00M
+"ongrid" in: sky130A_mr.drc:766
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 530.00M
+"output" in: sky130A_mr.drc:766
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 530.00M
+Writing report database: /home/krishna/Comparator_MPW6/precheck_results/04_JUN_2022___10_10_56/outputs/reports/klayout_offgrid_check.xml ..
+Total elapsed: 1.470s  Memory: 530.00M
diff --git a/precheck_results/04_JUN_2022___10_10_56/logs/klayout_offgrid_check.total b/precheck_results/04_JUN_2022___10_10_56/logs/klayout_offgrid_check.total
new file mode 100644
index 0000000..c227083
--- /dev/null
+++ b/precheck_results/04_JUN_2022___10_10_56/logs/klayout_offgrid_check.total
@@ -0,0 +1 @@
+0
\ No newline at end of file
diff --git a/precheck_results/04_JUN_2022___10_10_56/logs/klayout_pin_label_purposes_overlapping_drawing_check.log b/precheck_results/04_JUN_2022___10_10_56/logs/klayout_pin_label_purposes_overlapping_drawing_check.log
new file mode 100644
index 0000000..ee71480
--- /dev/null
+++ b/precheck_results/04_JUN_2022___10_10_56/logs/klayout_pin_label_purposes_overlapping_drawing_check.log
@@ -0,0 +1,29 @@
+Running pin_label_purposes_overlapping_drawing.rb.drc on file=/home/krishna/Comparator_MPW6/gds/user_analog_project_wrapper.gds, topcell=user_analog_project_wrapper, output to /home/krishna/Comparator_MPW6/precheck_results/04_JUN_2022___10_10_56/outputs/reports/klayout_pin_label_purposes_overlapping_drawing_check.xml
+  deep:true tiled:false threads:12
+--- #err|description, table for cell: user_analog_project_wrapper
+NO-Check ----        pwell:64/44/EMP   122/16/dat    64/59/EMP    44/16/EMP     44/5/EMP
+         ----        nwell:64/20/dat    64/16/dat     64/5/EMP
+         ----         diff:65/20/dat    65/16/EMP     65/6/EMP
+         ----          tap:65/44/dat    65/48/EMP     65/5/EMP
+         ----         poly:66/20/dat    66/16/EMP     66/5/EMP
+         ----       licon1:66/44/dat    66/58/EMP
+         ----          li1:67/20/dat    67/16/dat     67/5/EMP
+         ----         mcon:67/44/dat    67/48/EMP
+         ----         met1:68/20/dat    68/16/dat     68/5/EMP
+         ----          via:68/44/dat    68/58/EMP
+         ----         met2:69/20/dat    69/16/dat     69/5/EMP
+         ----         via2:69/44/dat    69/58/EMP
+         ----         met3:70/20/dat    70/16/dat     70/5/EMP
+         ----         via3:70/44/dat    70/48/EMP
+         ----         met4:71/20/dat    71/16/dat     71/5/EMP
+         ----         via4:71/44/dat    71/48/EMP
+         ----         met5:72/20/dat    72/16/dat     72/5/EMP
+         ----          pad:76/20/EMP     76/5/EMP    76/16/EMP
+         ----          pnp:82/44/EMP    82/59/EMP
+         ----          npn:82/20/EMP     82/5/EMP
+         ----          rdl:74/20/EMP    74/16/EMP     74/5/EMP
+         ----     inductor:82/24/EMP    82/25/EMP
+       0 total error(s) among 0 error type(s), 33 checks, cell: user_analog_project_wrapper
+Writing report...
+VmPeak:	 2305224 kB
+VmHWM:	  257960 kB
diff --git a/precheck_results/04_JUN_2022___10_10_56/logs/klayout_pin_label_purposes_overlapping_drawing_check.total b/precheck_results/04_JUN_2022___10_10_56/logs/klayout_pin_label_purposes_overlapping_drawing_check.total
new file mode 100644
index 0000000..c227083
--- /dev/null
+++ b/precheck_results/04_JUN_2022___10_10_56/logs/klayout_pin_label_purposes_overlapping_drawing_check.total
@@ -0,0 +1 @@
+0
\ No newline at end of file
diff --git a/precheck_results/04_JUN_2022___10_10_56/logs/klayout_zeroarea_check.log b/precheck_results/04_JUN_2022___10_10_56/logs/klayout_zeroarea_check.log
new file mode 100644
index 0000000..ac911aa
--- /dev/null
+++ b/precheck_results/04_JUN_2022___10_10_56/logs/klayout_zeroarea_check.log
@@ -0,0 +1,4 @@
+0 zero-area shapes
+writing to /home/krishna/Comparator_MPW6/precheck_results/04_JUN_2022___10_10_56/outputs/user_analog_project_wrapper_no_zero_areas.gds
+VmPeak:	  542076 kB
+VmHWM:	  261272 kB
diff --git a/precheck_results/04_JUN_2022___10_10_56/logs/klayout_zeroarea_check.total b/precheck_results/04_JUN_2022___10_10_56/logs/klayout_zeroarea_check.total
new file mode 100644
index 0000000..c227083
--- /dev/null
+++ b/precheck_results/04_JUN_2022___10_10_56/logs/klayout_zeroarea_check.total
@@ -0,0 +1 @@
+0
\ No newline at end of file
diff --git a/precheck_results/04_JUN_2022___10_10_56/logs/magic_drc_check.log b/precheck_results/04_JUN_2022___10_10_56/logs/magic_drc_check.log
new file mode 100644
index 0000000..aafede2
--- /dev/null
+++ b/precheck_results/04_JUN_2022___10_10_56/logs/magic_drc_check.log
@@ -0,0 +1,30 @@
+
+Magic 8.3 revision 274 - Compiled on Fri Mar  4 22:54:15 UTC 2022.
+Starting magic under Tcl interpreter
+Using the terminal as the console.
+Using NULL graphics device.
+Processing system .magicrc file
+Sourcing design .magicrc for technology sky130A ...
+2 Magic internal units = 1 Lambda
+Could not find file '/usr/local/share/pdk/sky130A/sky130A/libs.tech/magic/sky130A.tech' in any of these directories:
+         . $CAD_ROOT/magic/sys $CAD_ROOT/magic/sys/current
+Error parsing "/home/krishna/mpw_precheckmpw6/checks/tech-files/sky130A.magicrc": couldn't read file "/usr/local/share/pdk/sky130A/sky130A/libs.tech/magic/sky130A.tcl": no such file or directory
+Bad local startup file "/home/krishna/mpw_precheckmpw6/checks/tech-files/sky130A.magicrc", continuing without.
+Scaled tech values by 2 / 1 to match internal grid scaling
+Loading "/home/krishna/mpw_precheckmpw6/checks/drc_checks/magic/magic_drc_check.tcl" from command line.
+Don't know how to read GDS-II:
+Nothing in "cifinput" section of tech file.
+[INFO]: Loading user_analog_project_wrapper
+
+File user_analog_project_wrapper.mag couldn't be read
+No such file or directory
+Creating new cell
+"drc(full)" is not one of the DRC styles Magic knows.
+The current style is "default".
+The DRC styles are: default.
+No errors found.
+[INFO]: COUNT: 0
+[INFO]: Should be divided by 3 or 4
+[INFO]: DRC Checking DONE (/home/krishna/Comparator_MPW6/precheck_results/04_JUN_2022___10_10_56/outputs/reports/magic_drc_check.drc.report)
+[INFO]: Saving mag view with DRC errors(/home/krishna/Comparator_MPW6/precheck_results/04_JUN_2022___10_10_56/outputs/user_analog_project_wrapper.magic.drc.mag)
+[INFO]: Saved
diff --git a/precheck_results/04_JUN_2022___10_10_56/logs/magic_drc_check.total b/precheck_results/04_JUN_2022___10_10_56/logs/magic_drc_check.total
new file mode 100644
index 0000000..c227083
--- /dev/null
+++ b/precheck_results/04_JUN_2022___10_10_56/logs/magic_drc_check.total
@@ -0,0 +1 @@
+0
\ No newline at end of file
diff --git a/precheck_results/04_JUN_2022___10_10_56/logs/pdks.info b/precheck_results/04_JUN_2022___10_10_56/logs/pdks.info
new file mode 100644
index 0000000..3072015
--- /dev/null
+++ b/precheck_results/04_JUN_2022___10_10_56/logs/pdks.info
@@ -0,0 +1,2 @@
+Open PDKs a3d6ffea8022332b859daa454cef7ee7131c5181
+Skywater PDK f70d8ca46961ff92719d8870a18a076370b85f6c
\ No newline at end of file
diff --git a/precheck_results/04_JUN_2022___10_10_56/logs/precheck.log b/precheck_results/04_JUN_2022___10_10_56/logs/precheck.log
new file mode 100644
index 0000000..7f159f1
--- /dev/null
+++ b/precheck_results/04_JUN_2022___10_10_56/logs/precheck.log
@@ -0,0 +1,60 @@
+2022-06-04 10:10:56 - [INFO] - {{EXTRACTING FILES}} Extracting compressed files in: /home/krishna/Comparator_MPW6
+2022-06-04 10:10:56 - [INFO] - {{Project Type Info}} analog
+2022-06-04 10:10:56 - [INFO] - {{Project GDS Info}} user_analog_project_wrapper: 3c423c2ee5fb58b40ce29ee7a3a23635e0a120a9
+2022-06-04 10:10:56 - [INFO] - {{Tools Info}} KLayout: v0.27.8 | Magic: v8.3.274
+2022-06-04 10:10:56 - [INFO] - {{PDKs Info}} Open PDKs: a3d6ffea8022332b859daa454cef7ee7131c5181 | Skywater PDK: f70d8ca46961ff92719d8870a18a076370b85f6c
+2022-06-04 10:10:56 - [INFO] - {{START}} Precheck Started, the full log 'precheck.log' will be located in '/home/krishna/Comparator_MPW6/precheck_results/04_JUN_2022___10_10_56/logs'
+2022-06-04 10:10:56 - [INFO] - {{PRECHECK SEQUENCE}} Precheck will run the following checks: [License, Makefile, Default, Documentation, Consistency, XOR, Magic DRC, Klayout FEOL, Klayout BEOL, Klayout Offgrid, Klayout Metal Minimum Clear Area Density, Klayout Pin Label Purposes Overlapping Drawing, Klayout ZeroArea]
+2022-06-04 10:10:56 - [INFO] - {{STEP UPDATE}} Executing Check 1 of 13: License
+2022-06-04 10:10:57 - [INFO] - An approved LICENSE (Apache-2.0) was found in /home/krishna/Comparator_MPW6.
+2022-06-04 10:10:57 - [INFO] - {{MAIN LICENSE CHECK PASSED}} An approved LICENSE was found in project root.
+2022-06-04 10:10:58 - [INFO] - An approved LICENSE (Apache-2.0) was found in /home/krishna/Comparator_MPW6.
+2022-06-04 10:10:58 - [INFO] - {{SUBMODULES LICENSE CHECK PASSED}} No prohibited LICENSE file(s) was found in project submodules
+2022-06-04 10:10:58 - [WARNING] - {{SPDX COMPLIANCE CHECK FAILED}} Found 109 non-compliant file(s) with the SPDX Standard.
+2022-06-04 10:10:58 - [INFO] - SPDX COMPLIANCE: NON-COMPLIANT FILE(S) PREVIEW: ['/home/krishna/Comparator_MPW6/Makefile', '/home/krishna/Comparator_MPW6/.spiceinit', '/home/krishna/Comparator_MPW6/verilog/dv/Makefile', '/home/krishna/Comparator_MPW6/verilog/dv/mprj_por/mprj_por.c', '/home/krishna/Comparator_MPW6/verilog/dv/mprj_por/mprj_por_tb.v', '/home/krishna/Comparator_MPW6/verilog/dv/mprj_por/Makefile', '/home/krishna/Comparator_MPW6/verilog/rtl/uprj_analog_netlists.v', '/home/krishna/Comparator_MPW6/verilog/rtl/example_por.v', '/home/krishna/Comparator_MPW6/verilog/rtl/user_analog_proj_example.v', '/home/krishna/Comparator_MPW6/verilog/rtl/user_analog_project_wrapper.v', '/home/krishna/Comparator_MPW6/verilog/rtl/comparator.v', '/home/krishna/Comparator_MPW6/precheck_results/04_JUN_2022___09_24_39/outputs/reports/magic_drc_check.drc.report', '/home/krishna/Comparator_MPW6/precheck_results/04_JUN_2022___09_24_39/logs/klayout_zeroarea_check.total', '/home/krishna/Comparator_MPW6/precheck_results/04_JUN_2022___09_24_39/logs/tools.info', '/home/krishna/Comparator_MPW6/precheck_results/04_JUN_2022___09_24_39/logs/klayout_beol_check.total']
+2022-06-04 10:10:58 - [INFO] - For the full SPDX compliance report check: /home/krishna/Comparator_MPW6/precheck_results/04_JUN_2022___10_10_56/logs/spdx_compliance_report.log
+2022-06-04 10:10:58 - [INFO] - {{STEP UPDATE}} Executing Check 2 of 13: Makefile
+2022-06-04 10:10:58 - [INFO] - {{MAKEFILE CHECK PASSED}} Makefile valid.
+2022-06-04 10:10:58 - [INFO] - {{STEP UPDATE}} Executing Check 3 of 13: Default
+2022-06-04 10:10:58 - [INFO] - {{README DEFAULT CHECK PASSED}} Project 'README.md' was modified and is not identical to the default 'README.md'
+2022-06-04 10:10:58 - [INFO] - {{CONTENT DEFAULT CHECK PASSED}} Project 'gds' was modified and is not identical to the default 'gds'
+2022-06-04 10:10:58 - [INFO] - {{STEP UPDATE}} Executing Check 4 of 13: Documentation
+2022-06-04 10:10:58 - [INFO] - {{DOCUMENTATION CHECK PASSED}} Project documentation is appropriate.
+2022-06-04 10:10:58 - [INFO] - {{STEP UPDATE}} Executing Check 5 of 13: Consistency
+2022-06-04 10:11:00 - [INFO] - HIERARCHY CHECK PASSED: Module user_analog_project_wrapper is instantiated in caravan. 
+2022-06-04 10:11:00 - [INFO] - COMPLEXITY CHECK PASSED: Netlist caravan contains at least 8 instances (68 instances). 
+2022-06-04 10:11:00 - [INFO] - MODELING CHECK PASSED: Netlist caravan is structural.
+2022-06-04 10:11:00 - [INFO] - SUBMODULE HOOKS CHECK PASSED: All module ports for user_analog_project_wrapper are correctly connected in the top level netlist caravan.
+2022-06-04 10:11:00 - [INFO] - {{NETLIST CONSISTENCY CHECK PASSED}} caravan netlist passed all consistency checks.
+2022-06-04 10:11:00 - [INFO] - PORTS CHECK PASSED: Netlist user_analog_project_wrapper ports match the golden wrapper ports
+2022-06-04 10:11:00 - [INFO] - COMPLEXITY CHECK PASSED: Netlist user_analog_project_wrapper contains at least 1 instances (19 instances). 
+2022-06-04 10:11:00 - [INFO] - MODELING CHECK PASSED: Netlist user_analog_project_wrapper is structural.
+2022-06-04 10:11:00 - [INFO] - LAYOUT CHECK PASSED: The GDS layout for user_analog_project_wrapper matches the provided structural netlist.
+2022-06-04 10:11:00 - [INFO] - {{NETLIST CONSISTENCY CHECK PASSED}} user_analog_project_wrapper netlist passed all consistency checks.
+2022-06-04 10:11:00 - [INFO] - {{CONSISTENCY CHECK PASSED}} The user netlist and the top netlist are valid.
+2022-06-04 10:11:00 - [INFO] - {{STEP UPDATE}} Executing Check 6 of 13: XOR
+2022-06-04 10:11:02 - [ERROR] - XOR CHECK FILE NOT FOUND in /home/krishna/Comparator_MPW6/precheck_results/04_JUN_2022___10_10_56/logs/xor_check.total
+2022-06-04 10:11:02 - [WARNING] - {{XOR CHECK FAILED}} The GDS file has non-conforming geometries.
+2022-06-04 10:11:02 - [INFO] - {{STEP UPDATE}} Executing Check 7 of 13: Magic DRC
+2022-06-04 10:11:02 - [INFO] - 0 DRC violations
+2022-06-04 10:11:02 - [INFO] - {{MAGIC DRC CHECK PASSED}} The GDS file, user_analog_project_wrapper.gds, has no DRC violations.
+2022-06-04 10:11:02 - [INFO] - {{STEP UPDATE}} Executing Check 8 of 13: Klayout FEOL
+2022-06-04 10:11:04 - [ERROR] - Total # of DRC violations is 2 Please check /home/krishna/Comparator_MPW6/precheck_results/04_JUN_2022___10_10_56/outputs/reports/klayout_feol_check.xml For more details
+2022-06-04 10:11:04 - [WARNING] - {{Klayout FEOL CHECK FAILED}} The GDS file, user_analog_project_wrapper.gds, has DRC violations.
+2022-06-04 10:11:04 - [INFO] - {{STEP UPDATE}} Executing Check 9 of 13: Klayout BEOL
+2022-06-04 10:11:08 - [INFO] - No DRC Violations found
+2022-06-04 10:11:08 - [INFO] - {{Klayout BEOL CHECK PASSED}} The GDS file, user_analog_project_wrapper.gds, has no DRC violations.
+2022-06-04 10:11:08 - [INFO] - {{STEP UPDATE}} Executing Check 10 of 13: Klayout Offgrid
+2022-06-04 10:11:10 - [INFO] - No DRC Violations found
+2022-06-04 10:11:10 - [INFO] - {{Klayout Offgrid CHECK PASSED}} The GDS file, user_analog_project_wrapper.gds, has no DRC violations.
+2022-06-04 10:11:10 - [INFO] - {{STEP UPDATE}} Executing Check 11 of 13: Klayout Metal Minimum Clear Area Density
+2022-06-04 10:11:11 - [INFO] - No DRC Violations found
+2022-06-04 10:11:11 - [INFO] - {{Klayout Metal Minimum Clear Area Density CHECK PASSED}} The GDS file, user_analog_project_wrapper.gds, has no DRC violations.
+2022-06-04 10:11:11 - [INFO] - {{STEP UPDATE}} Executing Check 12 of 13: Klayout Pin Label Purposes Overlapping Drawing
+2022-06-04 10:11:13 - [INFO] - No DRC Violations found
+2022-06-04 10:11:13 - [INFO] - {{Klayout Pin Label Purposes Overlapping Drawing CHECK PASSED}} The GDS file, user_analog_project_wrapper.gds, has no DRC violations.
+2022-06-04 10:11:13 - [INFO] - {{STEP UPDATE}} Executing Check 13 of 13: Klayout ZeroArea
+2022-06-04 10:11:13 - [INFO] - No DRC Violations found
+2022-06-04 10:11:13 - [INFO] - {{Klayout ZeroArea CHECK PASSED}} The GDS file, user_analog_project_wrapper.gds, has no DRC violations.
+2022-06-04 10:11:13 - [INFO] - {{FINISH}} Executing Finished, the full log 'precheck.log' can be found in '/home/krishna/Comparator_MPW6/precheck_results/04_JUN_2022___10_10_56/logs'
+2022-06-04 10:11:13 - [CRITICAL] - {{FAILURE}} 2 Check(s) Failed: ['XOR', 'Klayout FEOL'] !!!
diff --git a/precheck_results/04_JUN_2022___10_10_56/logs/spdx_compliance_report.log b/precheck_results/04_JUN_2022___10_10_56/logs/spdx_compliance_report.log
new file mode 100644
index 0000000..fdd93e5
--- /dev/null
+++ b/precheck_results/04_JUN_2022___10_10_56/logs/spdx_compliance_report.log
@@ -0,0 +1,109 @@
+/home/krishna/Comparator_MPW6/Makefile
+/home/krishna/Comparator_MPW6/.spiceinit
+/home/krishna/Comparator_MPW6/verilog/dv/Makefile
+/home/krishna/Comparator_MPW6/verilog/dv/mprj_por/mprj_por.c
+/home/krishna/Comparator_MPW6/verilog/dv/mprj_por/mprj_por_tb.v
+/home/krishna/Comparator_MPW6/verilog/dv/mprj_por/Makefile
+/home/krishna/Comparator_MPW6/verilog/rtl/uprj_analog_netlists.v
+/home/krishna/Comparator_MPW6/verilog/rtl/example_por.v
+/home/krishna/Comparator_MPW6/verilog/rtl/user_analog_proj_example.v
+/home/krishna/Comparator_MPW6/verilog/rtl/user_analog_project_wrapper.v
+/home/krishna/Comparator_MPW6/verilog/rtl/comparator.v
+/home/krishna/Comparator_MPW6/precheck_results/04_JUN_2022___09_24_39/outputs/reports/magic_drc_check.drc.report
+/home/krishna/Comparator_MPW6/precheck_results/04_JUN_2022___09_24_39/logs/klayout_zeroarea_check.total
+/home/krishna/Comparator_MPW6/precheck_results/04_JUN_2022___09_24_39/logs/tools.info
+/home/krishna/Comparator_MPW6/precheck_results/04_JUN_2022___09_24_39/logs/klayout_beol_check.total
+/home/krishna/Comparator_MPW6/precheck_results/04_JUN_2022___09_24_39/logs/klayout_pin_label_purposes_overlapping_drawing_check.total
+/home/krishna/Comparator_MPW6/precheck_results/04_JUN_2022___09_24_39/logs/klayout_feol_check.total
+/home/krishna/Comparator_MPW6/precheck_results/04_JUN_2022___09_24_39/logs/gds.info
+/home/krishna/Comparator_MPW6/precheck_results/04_JUN_2022___09_24_39/logs/pdks.info
+/home/krishna/Comparator_MPW6/precheck_results/04_JUN_2022___09_24_39/logs/magic_drc_check.total
+/home/krishna/Comparator_MPW6/precheck_results/04_JUN_2022___09_24_39/logs/klayout_offgrid_check.total
+/home/krishna/Comparator_MPW6/precheck_results/04_JUN_2022___09_24_39/logs/klayout_met_min_ca_density_check.total
+/home/krishna/Comparator_MPW6/precheck_results/04_JUN_2022___09_00_52/outputs/reports/magic_drc_check.drc.report
+/home/krishna/Comparator_MPW6/precheck_results/04_JUN_2022___09_00_52/logs/klayout_zeroarea_check.total
+/home/krishna/Comparator_MPW6/precheck_results/04_JUN_2022___09_00_52/logs/tools.info
+/home/krishna/Comparator_MPW6/precheck_results/04_JUN_2022___09_00_52/logs/klayout_beol_check.total
+/home/krishna/Comparator_MPW6/precheck_results/04_JUN_2022___09_00_52/logs/klayout_pin_label_purposes_overlapping_drawing_check.total
+/home/krishna/Comparator_MPW6/precheck_results/04_JUN_2022___09_00_52/logs/klayout_feol_check.total
+/home/krishna/Comparator_MPW6/precheck_results/04_JUN_2022___09_00_52/logs/gds.info
+/home/krishna/Comparator_MPW6/precheck_results/04_JUN_2022___09_00_52/logs/pdks.info
+/home/krishna/Comparator_MPW6/precheck_results/04_JUN_2022___09_00_52/logs/magic_drc_check.total
+/home/krishna/Comparator_MPW6/precheck_results/04_JUN_2022___09_00_52/logs/klayout_offgrid_check.total
+/home/krishna/Comparator_MPW6/precheck_results/04_JUN_2022___09_00_52/logs/klayout_met_min_ca_density_check.total
+/home/krishna/Comparator_MPW6/precheck_results/04_JUN_2022___10_10_56/logs/tools.info
+/home/krishna/Comparator_MPW6/precheck_results/04_JUN_2022___10_10_56/logs/gds.info
+/home/krishna/Comparator_MPW6/precheck_results/04_JUN_2022___10_10_56/logs/pdks.info
+/home/krishna/Comparator_MPW6/precheck_results/04_JUN_2022___10_00_20/outputs/reports/magic_drc_check.drc.report
+/home/krishna/Comparator_MPW6/precheck_results/04_JUN_2022___10_00_20/logs/klayout_zeroarea_check.total
+/home/krishna/Comparator_MPW6/precheck_results/04_JUN_2022___10_00_20/logs/tools.info
+/home/krishna/Comparator_MPW6/precheck_results/04_JUN_2022___10_00_20/logs/klayout_beol_check.total
+/home/krishna/Comparator_MPW6/precheck_results/04_JUN_2022___10_00_20/logs/klayout_pin_label_purposes_overlapping_drawing_check.total
+/home/krishna/Comparator_MPW6/precheck_results/04_JUN_2022___10_00_20/logs/klayout_feol_check.total
+/home/krishna/Comparator_MPW6/precheck_results/04_JUN_2022___10_00_20/logs/gds.info
+/home/krishna/Comparator_MPW6/precheck_results/04_JUN_2022___10_00_20/logs/pdks.info
+/home/krishna/Comparator_MPW6/precheck_results/04_JUN_2022___10_00_20/logs/magic_drc_check.total
+/home/krishna/Comparator_MPW6/precheck_results/04_JUN_2022___10_00_20/logs/klayout_offgrid_check.total
+/home/krishna/Comparator_MPW6/precheck_results/04_JUN_2022___10_00_20/logs/klayout_met_min_ca_density_check.total
+/home/krishna/Comparator_MPW6/mag/sky130_fd_pr__pfet_01v8_AC5Z8B#0.ext
+/home/krishna/Comparator_MPW6/mag/latch_3.ext
+/home/krishna/Comparator_MPW6/mag/inv_W2.ext
+/home/krishna/Comparator_MPW6/mag/sky130_fd_pr__diode_pw2nd_05v5_KLAK3C.ext
+/home/krishna/Comparator_MPW6/mag/sky130_fd_pr__diode_pw2nd_05v5_FT7GK8.ext
+/home/krishna/Comparator_MPW6/mag/sky130_fd_pr__diode_pw2nd_05v5_3P6M5Y.ext
+/home/krishna/Comparator_MPW6/mag/sky130_fd_pr__pfet_01v8_5SM9EE.ext
+/home/krishna/Comparator_MPW6/mag/latch_22.ext
+/home/krishna/Comparator_MPW6/mag/sky130_fd_pr__diode_pw2nd_05v5_GT7G3L.ext
+/home/krishna/Comparator_MPW6/mag/inv_W2#0.ext
+/home/krishna/Comparator_MPW6/mag/.magicrc
+/home/krishna/Comparator_MPW6/mag/sky130_fd_pr__diode_pd2nw_05v5_G4XDRY.ext
+/home/krishna/Comparator_MPW6/mag/sky130_fd_pr__nfet_01v8_XJTKXQ#1.ext
+/home/krishna/Comparator_MPW6/mag/sky130_fd_pr__nfet_01v8_XJTKXQ.ext
+/home/krishna/Comparator_MPW6/mag/sky130_fd_pr__pfet_01v8_AC5Z8B.ext
+/home/krishna/Comparator_MPW6/mag/buffer_2#1.ext
+/home/krishna/Comparator_MPW6/mag/comparator_v6.ext
+/home/krishna/Comparator_MPW6/mag/buffer_1#0.ext
+/home/krishna/Comparator_MPW6/mag/latch_2.ext
+/home/krishna/Comparator_MPW6/mag/user_analog_project_wrapper.ext
+/home/krishna/Comparator_MPW6/mag/buffer_2#0.ext
+/home/krishna/Comparator_MPW6/mag/sky130_fd_pr__diode_pd2nw_05v5_RT56W3.ext
+/home/krishna/Comparator_MPW6/mag/latch/SR_latch.ext
+/home/krishna/Comparator_MPW6/mag/latch/sky130_fd_pr__pfet_01v8_AC5Z8B#0.ext
+/home/krishna/Comparator_MPW6/mag/latch/sky130A.magicrc
+/home/krishna/Comparator_MPW6/mag/latch/latch_22.ext
+/home/krishna/Comparator_MPW6/mag/latch/sky130_fd_pr__pfet_01v8_AC5E9B.ext
+/home/krishna/Comparator_MPW6/mag/latch/.magicrc
+/home/krishna/Comparator_MPW6/mag/latch/sky130_fd_pr__nfet_01v8_XJTKXQ#1.ext
+/home/krishna/Comparator_MPW6/mag/latch/sky130_fd_pr__nfet_01v8_F5U58G.ext
+/home/krishna/Comparator_MPW6/mag/latch/sky130_fd_pr__pfet_01v8_GJYUB2.ext
+/home/krishna/Comparator_MPW6/mag/latch/latch_2.ext
+/home/krishna/Comparator_MPW6/mag/latch/sky130_fd_pr__pfet_01v8_5SVZDE.ext
+/home/krishna/Comparator_MPW6/mag/latch/latch.ext
+/home/krishna/Comparator_MPW6/mag/preamp/sky130_fd_pr__nfet_01v8_G6PLX8.ext
+/home/krishna/Comparator_MPW6/mag/preamp/preamp_part1.ext
+/home/krishna/Comparator_MPW6/mag/preamp/preamp_part2.ext
+/home/krishna/Comparator_MPW6/mag/preamp/sky130_fd_pr__nfet_01v8_XJTKXQ#0.ext
+/home/krishna/Comparator_MPW6/mag/preamp/sky130_fd_pr__nfet_01v8_F5U58G#1.ext
+/home/krishna/Comparator_MPW6/mag/preamp/.magicrc
+/home/krishna/Comparator_MPW6/mag/preamp/sky130_fd_pr__pfet_01v8_RFM3CD.ext
+/home/krishna/Comparator_MPW6/mag/preamp/sky130_fd_pr__nfet_01v8_8FHE5N.ext
+/home/krishna/Comparator_MPW6/mag/preamp/sky130_fd_pr__nfet_01v8_F5U58G#0.ext
+/home/krishna/Comparator_MPW6/xschem/xschemrc
+/home/krishna/Comparator_MPW6/xschem/buffer.sch
+/home/krishna/Comparator_MPW6/xschem/user_analog_project_wrapper.sym
+/home/krishna/Comparator_MPW6/xschem/SR_latch.sym
+/home/krishna/Comparator_MPW6/xschem/comparator.sch
+/home/krishna/Comparator_MPW6/xschem/comparator.sym
+/home/krishna/Comparator_MPW6/xschem/analog_wrapper_tb.sch
+/home/krishna/Comparator_MPW6/xschem/user_analog_project_wrapper.sch
+/home/krishna/Comparator_MPW6/xschem/.spiceinit
+/home/krishna/Comparator_MPW6/xschem/comparator_tb.sch
+/home/krishna/Comparator_MPW6/xschem/SR_latch.sch
+/home/krishna/Comparator_MPW6/xschem/buffer.sym
+/home/krishna/Comparator_MPW6/docs/Makefile
+/home/krishna/Comparator_MPW6/docs/environment.yml
+/home/krishna/Comparator_MPW6/docs/source/conf.py
+/home/krishna/Comparator_MPW6/docs/source/index.rst
+/home/krishna/Comparator_MPW6/netgen/run_lvs_wrapper_verilog.sh
+/home/krishna/Comparator_MPW6/netgen/run_lvs_por.sh
+/home/krishna/Comparator_MPW6/netgen/run_lvs_wrapper_xschem.sh
diff --git a/precheck_results/04_JUN_2022___10_10_56/logs/tools.info b/precheck_results/04_JUN_2022___10_10_56/logs/tools.info
new file mode 100644
index 0000000..9b2230a
--- /dev/null
+++ b/precheck_results/04_JUN_2022___10_10_56/logs/tools.info
@@ -0,0 +1,2 @@
+KLayout: 0.27.8
+Magic: 8.3.274
\ No newline at end of file
diff --git a/precheck_results/04_JUN_2022___10_10_56/logs/xor_check.log b/precheck_results/04_JUN_2022___10_10_56/logs/xor_check.log
new file mode 100644
index 0000000..0f84b6f
--- /dev/null
+++ b/precheck_results/04_JUN_2022___10_10_56/logs/xor_check.log
@@ -0,0 +1,179 @@
+Reading file /home/krishna/Comparator_MPW6/gds/user_analog_project_wrapper.gds for cell user_analog_project_wrapper
+dbu=0.001
+cell user_analog_project_wrapper dbu-bbox(ll;ur)=(-4000,-4000;2924000,3524000)
+cell user_analog_project_wrapper dbu-bbox(left,bottom,right,top)=(-4000,-4000,2924000,3524000)
+cell user_analog_project_wrapper dbu-size(width,height)=(2928000,3528000)
+cell user_analog_project_wrapper micron-bbox(left,bottom,right,top)=(-4.0,-4.0,2924.0,3524.0)
+cell user_analog_project_wrapper micron-size(width,height)=(2928.0,3528.0)
+Done.
+
+Magic 8.3 revision 274 - Compiled on Fri Mar  4 22:54:15 UTC 2022.
+Starting magic under Tcl interpreter
+Using the terminal as the console.
+Using NULL graphics device.
+Processing system .magicrc file
+Loading "/home/krishna/mpw_precheckmpw6/checks/xor_check/erase_box.tcl" from command line.
+"sky130(vendor)" is not one of the CIF input styles Magic knows.
+Error: No style is set
+The CIF input styles are: .
+Don't know how to read GDS-II:
+Nothing in "cifinput" section of tech file.
+File user_analog_project_wrapper.mag couldn't be read
+No such file or directory
+Creating new cell
+Root cell box:
+           width x height  (   llx,  lly  ), (   urx,  ury  )  area (units^2)
+
+microns:  43.000 x 3520.000  (-43.000,  0.000), ( 0.000,  3520.000)  151360.000
+lambda:       43 x 3520    (   -43,  0    ), (     0,  3520 )  151360    
+Root cell box:
+           width x height  (   llx,  lly  ), (   urx,  ury  )  area (units^2)
+
+microns:  43.000 x 3520.000  ( 2920.000,  0.000), ( 2963.000,  3520.000)  151360.000
+lambda:       43 x 3520    (  2920,  0    ), (  2963,  3520 )  151360    
+Root cell box:
+           width x height  (   llx,  lly  ), (   urx,  ury  )  area (units^2)
+
+microns:  3006.000 x 38.000  (-43.000, -38.000), ( 2963.000,  0.000)  114228.000
+lambda:     3006 x 38      (   -43, -38   ), (  2963,  0    )  114228    
+Root cell box:
+           width x height  (   llx,  lly  ), (   urx,  ury  )  area (units^2)
+
+microns:  3006.000 x 37.000  (-43.000,  3520.000), ( 2963.000,  3557.000)  111222.000
+lambda:     3006 x 37      (   -43,  3520 ), (  2963,  3557 )  111222    
+Unrecognized layer: metal5
+Layer names are:
+    mag or magnet
+    f or fence
+    r or rotate
+    $
+    *
+    errors
+    labels
+    subcell
+    connect
+Unrecognized layer: metal4
+Layer names are:
+    mag or magnet
+    f or fence
+    r or rotate
+    $
+    *
+    errors
+    labels
+    subcell
+    connect
+Unrecognized layer: metal5
+Layer names are:
+    mag or magnet
+    f or fence
+    r or rotate
+    $
+    *
+    errors
+    labels
+    subcell
+    connect
+Unrecognized layer: metal4
+Layer names are:
+    mag or magnet
+    f or fence
+    r or rotate
+    $
+    *
+    errors
+    labels
+    subcell
+    connect
+No CIF/GDS output style set!
+I/O error in writing file /home/krishna/Comparator_MPW6/precheck_results/04_JUN_2022___10_10_56/outputs/user_analog_project_wrapper_erased.gds.
+File may be incompletely written.
+
+Magic 8.3 revision 274 - Compiled on Fri Mar  4 22:54:15 UTC 2022.
+Starting magic under Tcl interpreter
+Using the terminal as the console.
+Using NULL graphics device.
+Processing system .magicrc file
+Loading "/home/krishna/mpw_precheckmpw6/checks/xor_check/erase_box.tcl" from command line.
+"sky130(vendor)" is not one of the CIF input styles Magic knows.
+Error: No style is set
+The CIF input styles are: .
+Don't know how to read GDS-II:
+Nothing in "cifinput" section of tech file.
+File user_analog_project_wrapper.mag couldn't be read
+No such file or directory
+Creating new cell
+Root cell box:
+           width x height  (   llx,  lly  ), (   urx,  ury  )  area (units^2)
+
+microns:  43.000 x 3520.000  (-43.000,  0.000), ( 0.000,  3520.000)  151360.000
+lambda:       43 x 3520    (   -43,  0    ), (     0,  3520 )  151360    
+Root cell box:
+           width x height  (   llx,  lly  ), (   urx,  ury  )  area (units^2)
+
+microns:  43.000 x 3520.000  ( 2920.000,  0.000), ( 2963.000,  3520.000)  151360.000
+lambda:       43 x 3520    (  2920,  0    ), (  2963,  3520 )  151360    
+Root cell box:
+           width x height  (   llx,  lly  ), (   urx,  ury  )  area (units^2)
+
+microns:  3006.000 x 38.000  (-43.000, -38.000), ( 2963.000,  0.000)  114228.000
+lambda:     3006 x 38      (   -43, -38   ), (  2963,  0    )  114228    
+Root cell box:
+           width x height  (   llx,  lly  ), (   urx,  ury  )  area (units^2)
+
+microns:  3006.000 x 37.000  (-43.000,  3520.000), ( 2963.000,  3557.000)  111222.000
+lambda:     3006 x 37      (   -43,  3520 ), (  2963,  3557 )  111222    
+Unrecognized layer: metal5
+Layer names are:
+    mag or magnet
+    f or fence
+    r or rotate
+    $
+    *
+    errors
+    labels
+    subcell
+    connect
+Unrecognized layer: metal4
+Layer names are:
+    mag or magnet
+    f or fence
+    r or rotate
+    $
+    *
+    errors
+    labels
+    subcell
+    connect
+Unrecognized layer: metal5
+Layer names are:
+    mag or magnet
+    f or fence
+    r or rotate
+    $
+    *
+    errors
+    labels
+    subcell
+    connect
+Unrecognized layer: metal4
+Layer names are:
+    mag or magnet
+    f or fence
+    r or rotate
+    $
+    *
+    errors
+    labels
+    subcell
+    connect
+No CIF/GDS output style set!
+I/O error in writing file /home/krishna/Comparator_MPW6/precheck_results/04_JUN_2022___10_10_56/outputs/user_analog_project_wrapper_empty_erased.gds.
+File may be incompletely written.
+Reading /home/krishna/Comparator_MPW6/precheck_results/04_JUN_2022___10_10_56/outputs/user_analog_project_wrapper_erased.gds ..
+ERROR: In /home/krishna/mpw_precheckmpw6/checks/xor_check/xor.rb.drc: 'source': Stream has unknown format: /home/krishna/Comparator_MPW6/precheck_results/04_JUN_2022___10_10_56/outputs/user_analog_project_wrapper_erased.gds in Layout::read
+Total elapsed: 0.000s  Memory: 521.00M
+ERROR: 'source': Stream has unknown format: /home/krishna/Comparator_MPW6/precheck_results/04_JUN_2022___10_10_56/outputs/user_analog_project_wrapper_erased.gds in Layout::read in Executable::execute
+  /home/krishna/mpw_precheckmpw6/checks/xor_check/xor.rb.drc:15:in `execute'
+  :/built-in-macros/drc_interpreters.lym:27:in `instance_eval'
+  :/built-in-macros/drc_interpreters.lym:27:in `execute'
diff --git a/precheck_results/04_JUN_2022___10_10_56/outputs/reports/klayout_beol_check.xml b/precheck_results/04_JUN_2022___10_10_56/outputs/reports/klayout_beol_check.xml
new file mode 100644
index 0000000..9ad4ffd
--- /dev/null
+++ b/precheck_results/04_JUN_2022___10_10_56/outputs/reports/klayout_beol_check.xml
@@ -0,0 +1,447 @@
+<?xml version="1.0" encoding="utf-8"?>
+<report-database>
+ <description>SKY130 DRC runset</description>
+ <original-file/>
+ <generator>drc: script='/home/krishna/mpw_precheckmpw6/checks/tech-files/sky130A_mr.drc'</generator>
+ <top-cell>user_analog_project_wrapper</top-cell>
+ <tags>
+ </tags>
+ <categories>
+  <category>
+   <name>li.1</name>
+   <description>li.1 : min. li width : 0.17um</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>li.3</name>
+   <description>li.3 : min. li spacing : 0.17um</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>li.5</name>
+   <description>li.5 : min. li enclosure of licon of 2 adjacent edges : 0.08um</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>li.6</name>
+   <description>li.6 : min. li area : 0.0561um²</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>ct.1</name>
+   <description>ct.1: non-ring mcon should be rectangular</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>ct.1_a</name>
+   <description>ct.1_a : minimum width of mcon : 0.17um</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>ct.1_b</name>
+   <description>ct.1_b : maximum length of mcon : 0.17um</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>ct.2</name>
+   <description>ct.2 : min. mcon spacing : 0.19um</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>ct.4</name>
+   <description>ct.4 : mcon should covered by li</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>m1.1</name>
+   <description>m1.1 : min. m1 width : 0.14um</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>m1.2</name>
+   <description>m1.2 : min. m1 spacing : 0.14um</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>m1.3ab</name>
+   <description>m1.3ab : min. 3um.m1 spacing m1 : 0.28um</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>791_m1.4</name>
+   <description>791_m1.4 : min. m1 enclosure of mcon : 0.03um</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>m1.4</name>
+   <description>m1.4 : mcon periphery must be enclosed by m1</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>m1.4a</name>
+   <description>m1.4a : min. m1 enclosure of mcon for specific cells : 0.005um</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>m1.4a_a</name>
+   <description>m1.4a_a : mcon periph must be enclosed by met1 for specific cells</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>m1.6</name>
+   <description>m1.6 : min. m1 area : 0.083um²</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>m1.7</name>
+   <description>m1.7 : min. m1 with holes area : 0.14um²</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>m1.5</name>
+   <description>m1.5 : min. m1 enclosure of mcon of 2 adjacent edges : 0.06um</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>via.1a</name>
+   <description>via.1a : via outside of moduleCut should be rectangular</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>via.1a_a</name>
+   <description>via.1a_a : min. width of via outside of moduleCut : 0.15um</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>via.1a_b</name>
+   <description>via.1a_b : maximum length of via : 0.15um</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>via.2</name>
+   <description>via.2 : min. via spacing : 0.17um</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>via.4a</name>
+   <description>via.4a : min. m1 enclosure of 0.15um via : 0.055um</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>via.4a_a</name>
+   <description>via.4a_a : 0.15um via must be enclosed by met1</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>via.5a</name>
+   <description>via.5a : min. m1 enclosure of 0.15um via of 2 adjacent edges : 0.085um</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>m2.1</name>
+   <description>m2.1 : min. m2 width : 0.14um</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>m2.2</name>
+   <description>m2.2 : min. m2 spacing : 0.14um</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>m2.3ab</name>
+   <description>m2.3ab : min. 3um.m2 spacing m2 : 0.28um</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>m2.6</name>
+   <description>m2.6 : min. m2 area : 0.0676um²</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>m2.7</name>
+   <description>m2.7 : min. m2 holes area : 0.14um²</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>m2.4</name>
+   <description>m2.4 : min. m2 enclosure of via : 0.055um</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>m2.4_a</name>
+   <description>m2.4_a : via in periphery must be enclosed by met2</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>m2.5</name>
+   <description>m2.5 : min. m2 enclosure of via of 2 adjacent edges : 0.085um</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>via2.1a</name>
+   <description>via2.1a : via2 outside of moduleCut should be rectangular</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>via2.1a_a</name>
+   <description>via2.1a_a : min. width of via2 outside of moduleCut : 0.2um</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>via2.1a_b</name>
+   <description>via2.1a_b : maximum length of via2 : 0.2um</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>via2.2</name>
+   <description>via2.2 : min. via2 spacing : 0.2um</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>via2.4</name>
+   <description>via2.4 : min. m2 enclosure of via2 : 0.04um</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>via2.4_a</name>
+   <description>via2.4_a : via must be enclosed by met2</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>via2.5</name>
+   <description>via2.5 : min. m3 enclosure of via2 of 2 adjacent edges : 0.085um</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>m3.1</name>
+   <description>m3.1 : min. m3 width : 0.3um</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>m3.2</name>
+   <description>m3.2 : min. m3 spacing : 0.3um</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>m3.3cd</name>
+   <description>m3.3cd : min. 3um.m3 spacing m3 : 0.4um</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>m3.4</name>
+   <description>m3.4 : min. m3 enclosure of via2 : 0.065um</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>m3.4_a</name>
+   <description>m3.4_a : via2 must be enclosed by met3</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>via3.1</name>
+   <description>via3.1 : via3 outside of moduleCut should be rectangular</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>via3.1_a</name>
+   <description>via3.1_a : min. width of via3 outside of moduleCut : 0.2um</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>via3.1_b</name>
+   <description>via3.1_b : maximum length of via3 : 0.2um</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>via3.2</name>
+   <description>via3.2 : min. via3 spacing : 0.2um</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>via3.4</name>
+   <description>via3.4 : min. m3 enclosure of via3 : 0.06um</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>via3.4_a</name>
+   <description>via3.4_a : non-ring via3 must be enclosed by met3</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>via3.5</name>
+   <description>via3.5 : min. m3 enclosure of via3 of 2 adjacent edges : 0.09um</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>m4.1</name>
+   <description>m4.1 : min. m4 width : 0.3um</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>m4.2</name>
+   <description>m4.2 : min. m4 spacing : 0.3um</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>m4.4a</name>
+   <description>m4.4a : min. m4 area : 0.240um²</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>m4.5ab</name>
+   <description>m4.5ab : min. 3um.m4 spacing m4 : 0.4um</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>m4.3</name>
+   <description>m4.3 : min. m4 enclosure of via3 : 0.065um</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>m4.3_a</name>
+   <description>m4.3_a : via3 must be enclosed by met4</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>via4.1</name>
+   <description>via4.1 : via4 outside of moduleCut should be rectangular</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>via4.1_a</name>
+   <description>via4.1_a : min. width of via4 outside of moduleCut : 0.8um</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>via4.1_b</name>
+   <description>via4.1_b : maximum length of via4 : 0.8um</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>via4.2</name>
+   <description>via4.2 : min. via4 spacing : 0.8um</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>via4.4</name>
+   <description>via4.4 : min. m4 enclosure of via4 : 0.19um</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>via4.4_a</name>
+   <description>via4.4_a : m4 must enclose all via4</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>m5.1</name>
+   <description>m5.1 : min. m5 width : 1.6um</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>m5.2</name>
+   <description>m5.2 : min. m5 spacing : 1.6um</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>m5.3</name>
+   <description>m5.3 : min. m5 enclosure of via4 : 0.31um</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>m5.3_a</name>
+   <description>m5.3_a : via must be enclosed by m5</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>m5.4</name>
+   <description>m5.4 : min. m5 area : 4.0um²</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>pad.2</name>
+   <description>pad.2 : min. pad spacing : 1.27um</description>
+   <categories>
+   </categories>
+  </category>
+ </categories>
+ <cells>
+  <cell>
+   <name>user_analog_project_wrapper</name>
+   <variant/>
+   <references>
+   </references>
+  </cell>
+ </cells>
+ <items>
+ </items>
+</report-database>
diff --git a/precheck_results/04_JUN_2022___10_10_56/outputs/reports/klayout_feol_check.xml b/precheck_results/04_JUN_2022___10_10_56/outputs/reports/klayout_feol_check.xml
new file mode 100644
index 0000000..1b32690
--- /dev/null
+++ b/precheck_results/04_JUN_2022___10_10_56/outputs/reports/klayout_feol_check.xml
@@ -0,0 +1,385 @@
+<?xml version="1.0" encoding="utf-8"?>
+<report-database>
+ <description>SKY130 DRC runset</description>
+ <original-file/>
+ <generator>drc: script='/home/krishna/mpw_precheckmpw6/checks/tech-files/sky130A_mr.drc'</generator>
+ <top-cell>user_analog_project_wrapper</top-cell>
+ <tags>
+ </tags>
+ <categories>
+  <category>
+   <name>dnwell.2</name>
+   <description>dnwell.2 : min. dnwell width : 3.0um</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>nwell.1</name>
+   <description>nwell.1 : min. nwell width : 0.84um</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>nwell.2a</name>
+   <description>nwell.2a : min. nwell spacing (merged if less) : 1.27um</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>nwell.6</name>
+   <description>nwell.6 : min enclosure of nwellHole by dnwell : 1.03um</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>hvtp.1</name>
+   <description>hvtp.1 : min. hvtp width : 0.38um</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>hvtp.2</name>
+   <description>hvtp.2 : min. hvtp spacing : 0.38um</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>hvtr.1</name>
+   <description>hvtr.1 : min. hvtr width : 0.38um</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>hvtr.2</name>
+   <description>hvtr.2 : min. hvtr spacing : 0.38um</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>hvtr.2_a</name>
+   <description>hvtr.2_a : hvtr must not overlap hvtp</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>lvtn.1a</name>
+   <description>lvtn.1a : min. lvtn width : 0.38um</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>lvtn.2</name>
+   <description>lvtn.2 : min. lvtn spacing : 0.38um</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>ncm.1</name>
+   <description>ncm.1 : min. ncm width : 0.38um</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>ncm.2a</name>
+   <description>ncm.2a : min. ncm spacing : 0.38um</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>difftap.1</name>
+   <description>difftap.1 : min. diff width across areaid:ce : 0.15um</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>difftap.1_a</name>
+   <description>difftap.1_a : min. diff width in periphery : 0.15um</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>difftap.1_b</name>
+   <description>difftap.1_b : min. tap width across areaid:ce : 0.15um</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>difftap.1_c</name>
+   <description>difftap.1_c : min. tap width in periphery : 0.15um</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>difftap.3</name>
+   <description>difftap.3 : min. difftap spacing : 0.27um</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>tunm.1</name>
+   <description>tunm.1 : min. tunm width : 0.41um</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>tunm.2</name>
+   <description>tunm.2 : min. tunm spacing : 0.5um</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>poly.1a</name>
+   <description>poly.1a : min. poly width : 0.15um</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>poly.2</name>
+   <description>poly.2 : min. poly spacing : 0.21um</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>rpm.1a</name>
+   <description>rpm.1a : min. rpm width : 1.27um</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>rpm.2</name>
+   <description>rpm.2 : min. rpm spacing : 0.84um</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>urpm.1a</name>
+   <description>urpm.1a : min. rpm width : 1.27um</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>urpm.2</name>
+   <description>urpm.2 : min. rpm spacing : 0.84um</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>npc.1</name>
+   <description>npc.1 : min. npc width : 0.27um</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>npc.2</name>
+   <description>npc.2 : min. npc spacing, should be manually merged if less than : 0.27um</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>nsd.1</name>
+   <description>nsd.1 : min. nsdm width : 0.38um</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>nsd.2</name>
+   <description>nsd.2 : min. nsdm spacing, should be manually merged if less than : 0.38um</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>psd.1</name>
+   <description>psd.1 : min. psdm width : 0.38um</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>psd.2</name>
+   <description>psd.2 : min. psdm spacing, should be manually merged if less than : 0.38um</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>licon.1</name>
+   <description>licon.1 : licon should be rectangle</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>licon.1_a/b</name>
+   <description>licon.1_a/b : minimum/maximum width of licon : 0.17um</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>licon.13</name>
+   <description>licon.13 : min. difftap licon spacing to npc : 0.09um</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>licon.13_a</name>
+   <description>licon.13_a : licon of diffTap in periphery must not overlap npc</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>licon.17</name>
+   <description>licon.17 : Licons may not overlap both poly and (diff or tap)</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>capm.1</name>
+   <description>capm.1 : min. capm width : 1.0um</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>capm.2a</name>
+   <description>capm.2a : min. capm spacing : 0.84um</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>capm.2b</name>
+   <description>capm.2b : min. capm spacing : 1.2um</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>capm.2b_a</name>
+   <description>capm.2b_a : min. spacing of m3_bot_plate : 1.2um</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>capm.3</name>
+   <description>capm.3 : min. capm and m3 enclosure of m3 : 0.14um</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>capm.3_a</name>
+   <description>capm.3_a : min. m3 enclosure of capm : 0.14um</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>capm.4</name>
+   <description>capm.4 : min. capm enclosure of via3 : 0.14um</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>capm.5</name>
+   <description>capm.5 : min. capm spacing to via3 : 0.14um</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>cap2m.1</name>
+   <description>cap2m.1 : min. cap2m width : 1.0um</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>cap2m.2a</name>
+   <description>cap2m.2a : min. cap2m spacing : 0.84um</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>cap2m.2b</name>
+   <description>cap2m.2b : min. cap2m spacing : 1.2um</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>cap2m.2b_a</name>
+   <description>cap2m.2b_a : min. spacing of m4_bot_plate : 1.2um</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>cap2m.3</name>
+   <description>cap2m.3 : min. m4 enclosure of cap2m : 0.14um</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>cap2m.3_a</name>
+   <description>cap2m.3_a : min. m4 enclosure of cap2m : 0.14um</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>cap2m.4</name>
+   <description>cap2m.4 : min. cap2m enclosure of via4 : 0.14um</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>cap2m.5</name>
+   <description>cap2m.5 : min. cap2m spacing to via4 : 0.14um</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>hvi.1</name>
+   <description>hvi.1 : min. hvi width : 0.6um</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>hvi.2a</name>
+   <description>hvi.2a : min. hvi spacing : 0.7um</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>hvntm.1</name>
+   <description>hvntm.1 : min. hvntm width : 0.7um</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>hvntm.2</name>
+   <description>hvntm.2 : min. hvntm spacing : 0.7um</description>
+   <categories>
+   </categories>
+  </category>
+ </categories>
+ <cells>
+  <cell>
+   <name>user_analog_project_wrapper</name>
+   <variant/>
+   <references>
+   </references>
+  </cell>
+ </cells>
+ <items>
+  <item>
+   <tags/>
+   <category>'psd.2'</category>
+   <cell>user_analog_project_wrapper</cell>
+   <visited>false</visited>
+   <multiplicity>1</multiplicity>
+   <image/>
+   <values>
+    <value>edge-pair: (2077.264,3443.44;2077.385,3443.44)|(2077.736,3443.585;2077.615,3443.585)</value>
+   </values>
+  </item>
+  <item>
+   <tags/>
+   <category>'psd.2'</category>
+   <cell>user_analog_project_wrapper</cell>
+   <visited>false</visited>
+   <multiplicity>1</multiplicity>
+   <image/>
+   <values>
+    <value>edge-pair: (2077.385,3443.44;2077.385,3443.283)|(2077.615,3443.585;2077.615,3443.742)</value>
+   </values>
+  </item>
+ </items>
+</report-database>
diff --git a/precheck_results/04_JUN_2022___10_10_56/outputs/reports/klayout_met_min_ca_density_check.xml b/precheck_results/04_JUN_2022___10_10_56/outputs/reports/klayout_met_min_ca_density_check.xml
new file mode 100644
index 0000000..dc650ad
--- /dev/null
+++ b/precheck_results/04_JUN_2022___10_10_56/outputs/reports/klayout_met_min_ca_density_check.xml
@@ -0,0 +1,21 @@
+<?xml version="1.0" encoding="utf-8"?>
+<report-database>
+ <description>Density Checks</description>
+ <original-file/>
+ <generator>drc: script='/home/krishna/mpw_precheckmpw6/checks/drc_checks/klayout/met_min_ca_density.lydrc'</generator>
+ <top-cell>user_analog_project_wrapper</top-cell>
+ <tags>
+ </tags>
+ <categories>
+ </categories>
+ <cells>
+  <cell>
+   <name>user_analog_project_wrapper</name>
+   <variant/>
+   <references>
+   </references>
+  </cell>
+ </cells>
+ <items>
+ </items>
+</report-database>
diff --git a/precheck_results/04_JUN_2022___10_10_56/outputs/reports/klayout_offgrid_check.xml b/precheck_results/04_JUN_2022___10_10_56/outputs/reports/klayout_offgrid_check.xml
new file mode 100644
index 0000000..80a7de2
--- /dev/null
+++ b/precheck_results/04_JUN_2022___10_10_56/outputs/reports/klayout_offgrid_check.xml
@@ -0,0 +1,483 @@
+<?xml version="1.0" encoding="utf-8"?>
+<report-database>
+ <description>SKY130 DRC runset</description>
+ <original-file/>
+ <generator>drc: script='/home/krishna/mpw_precheckmpw6/checks/tech-files/sky130A_mr.drc'</generator>
+ <top-cell>user_analog_project_wrapper</top-cell>
+ <tags>
+ </tags>
+ <categories>
+  <category>
+   <name>dnwell_OFFGRID</name>
+   <description>x.1b : OFFGRID vertex on dnwell</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>dnwell_angle</name>
+   <description>x.3a : non 45 degree angle dnwell</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>nwell_OFFGRID</name>
+   <description>x.1b : OFFGRID vertex on nwell</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>nwell_angle</name>
+   <description>x.3a : non 45 degree angle nwell</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>pwbm_OFFGRID</name>
+   <description>x.1b : OFFGRID vertex on pwbm</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>pwbm_angle</name>
+   <description>x.3a : non 45 degree angle pwbm</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>pwde_OFFGRID</name>
+   <description>x.1b : OFFGRID vertex on pwde</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>pwde_angle</name>
+   <description>x.3a : non 45 degree angle pwde</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>hvtp_OFFGRID</name>
+   <description>x.1b : OFFGRID vertex on hvtp</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>hvtp_angle</name>
+   <description>x.3a : non 45 degree angle hvtp</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>hvtr_OFFGRID</name>
+   <description>x.1b : OFFGRID vertex on hvtr</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>hvtr_angle</name>
+   <description>x.3a : non 45 degree angle hvtr</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>lvtn_OFFGRID</name>
+   <description>x.1b : OFFGRID vertex on lvtn</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>lvtn_angle</name>
+   <description>x.3a : non 45 degree angle lvtn</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>ncm_OFFGRID</name>
+   <description>x.1b : OFFGRID vertex on ncm</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>ncm_angle</name>
+   <description>x.3a : non 45 degree angle ncm</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>diff_OFFGRID</name>
+   <description>x.1b : OFFGRID vertex on diff</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>tap_OFFGRID</name>
+   <description>x.1b : OFFGRID vertex on tap</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>diff_angle</name>
+   <description>x.2 : non 90 degree angle diff</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>diff_angle</name>
+   <description>x.2c : non 45 degree angle diff</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>tap_angle</name>
+   <description>x.2 : non 90 degree angle tap</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>tap_angle</name>
+   <description>x.2c : non 45 degree angle tap</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>tunm_OFFGRID</name>
+   <description>x.1b : OFFGRID vertex on tunm</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>tunm_angle</name>
+   <description>x.3a : non 45 degree angle tunm</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>poly_OFFGRID</name>
+   <description>x.1b : OFFGRID vertex on poly</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>poly_angle</name>
+   <description>x.2 : non 90 degree angle poly</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>rpm_OFFGRID</name>
+   <description>x.1b : OFFGRID vertex on rpm</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>rpm_angle</name>
+   <description>x.3a : non 45 degree angle rpm</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>npc_OFFGRID</name>
+   <description>x.1b : OFFGRID vertex on npc</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>npc_angle</name>
+   <description>x.3a : non 45 degree angle npc</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>nsdm_OFFGRID</name>
+   <description>x.1b : OFFGRID vertex on nsdm</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>nsdm_angle</name>
+   <description>x.3a : non 45 degree angle nsdm</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>psdm_OFFGRID</name>
+   <description>x.1b : OFFGRID vertex on psdm</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>psdm_angle</name>
+   <description>x.3a : non 45 degree angle psdm</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>licon_OFFGRID</name>
+   <description>x.1b : OFFGRID vertex on licon</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>licon_angle</name>
+   <description>x.2 : non 90 degree angle licon</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>li_OFFGRID</name>
+   <description>x.1b : OFFGRID vertex on li</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>li_angle</name>
+   <description>x.3a : non 45 degree angle li</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>ct_OFFGRID</name>
+   <description>x.1b : OFFGRID vertex on mcon</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>ct_angle</name>
+   <description>x.2 : non 90 degree angle mcon</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>vpp_OFFGRID</name>
+   <description>x.1b : OFFGRID vertex on vpp</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>vpp_angle</name>
+   <description>x.3a : non 45 degree angle vpp</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>m1_OFFGRID</name>
+   <description>x.1b : OFFGRID vertex on m1</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>m1_angle</name>
+   <description>x.3a : non 45 degree angle m1</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>via_OFFGRID</name>
+   <description>x.1b : OFFGRID vertex on via</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>via_angle</name>
+   <description>x.2 : non 90 degree angle via</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>m2_OFFGRID</name>
+   <description>x.1b : OFFGRID vertex on m2</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>m2_angle</name>
+   <description>x.3a : non 45 degree angle m2</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>via2_OFFGRID</name>
+   <description>x.1b : OFFGRID vertex on via2</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>via2_angle</name>
+   <description>x.2 : non 90 degree angle via2</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>m3_OFFGRID</name>
+   <description>x.1b : OFFGRID vertex on m3</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>m3_angle</name>
+   <description>x.3a : non 45 degree angle m3</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>via3_OFFGRID</name>
+   <description>x.1b : OFFGRID vertex on via3</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>via3_angle</name>
+   <description>x.2 : non 90 degree angle via3</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>nsm_OFFGRID</name>
+   <description>x.1b : OFFGRID vertex on nsm</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>nsm_angle</name>
+   <description>x.3a : non 45 degree angle nsm</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>m4_OFFGRID</name>
+   <description>x.1b : OFFGRID vertex on m4</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>m4_angle</name>
+   <description>x.3a : non 45 degree angle m4</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>via4_OFFGRID</name>
+   <description>x.1b : OFFGRID vertex on via4</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>via4_angle</name>
+   <description>x.2 : non 90 degree angle via4</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>m5_OFFGRID</name>
+   <description>x.1b : OFFGRID vertex on m5</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>m5_angle</name>
+   <description>x.3a : non 45 degree angle m5</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>pad_OFFGRID</name>
+   <description>x.1b : OFFGRID vertex on pad</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>pad_angle</name>
+   <description>x.3a : non 45 degree angle pad</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>mf_OFFGRID</name>
+   <description>x.1b : OFFGRID vertex on mf</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>mf_angle</name>
+   <description>x.2 : non 90 degree angle mf</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>hvi_OFFGRID</name>
+   <description>x.1b : OFFGRID vertex on hvi</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>hvi_angle</name>
+   <description>x.3a : non 45 degree angle hvi</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>hvntm_OFFGRID</name>
+   <description>x.1b : OFFGRID vertex on hvntm</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>hvntm_angle</name>
+   <description>x.3a : non 45 degree angle hvntm</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>vhvi_OFFGRID</name>
+   <description>x.1b : OFFGRID vertex on vhvi</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>vhvi_angle</name>
+   <description>x.3a : non 45 degree angle vhvi</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>uhvi_OFFGRID</name>
+   <description>x.1b : OFFGRID vertex on uhvi</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>uhvi_angle</name>
+   <description>x.3a : non 45 degree angle uhvi</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>pwell_rs_OFFGRID</name>
+   <description>x.1b : OFFGRID vertex on pwell_rs</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>pwell_rs_angle</name>
+   <description>x.3a : non 45 degree angle pwell_rs</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>areaid_re_OFFGRID</name>
+   <description>x.1b : OFFGRID vertex on areaid.re</description>
+   <categories>
+   </categories>
+  </category>
+ </categories>
+ <cells>
+  <cell>
+   <name>user_analog_project_wrapper</name>
+   <variant/>
+   <references>
+   </references>
+  </cell>
+ </cells>
+ <items>
+ </items>
+</report-database>
diff --git a/precheck_results/04_JUN_2022___10_10_56/outputs/reports/klayout_pin_label_purposes_overlapping_drawing_check.xml b/precheck_results/04_JUN_2022___10_10_56/outputs/reports/klayout_pin_label_purposes_overlapping_drawing_check.xml
new file mode 100644
index 0000000..95d0489
--- /dev/null
+++ b/precheck_results/04_JUN_2022___10_10_56/outputs/reports/klayout_pin_label_purposes_overlapping_drawing_check.xml
@@ -0,0 +1,21 @@
+<?xml version="1.0" encoding="utf-8"?>
+<report-database>
+ <description>pin_label_purposes_overlapping_drawing.rb.drc, input=/home/krishna/Comparator_MPW6/gds/user_analog_project_wrapper.gds, topcell=user_analog_project_wrapper</description>
+ <original-file/>
+ <generator>drc: script='/home/krishna/mpw_precheckmpw6/checks/drc_checks/klayout/pin_label_purposes_overlapping_drawing.rb.drc'</generator>
+ <top-cell>user_analog_project_wrapper</top-cell>
+ <tags>
+ </tags>
+ <categories>
+ </categories>
+ <cells>
+  <cell>
+   <name>user_analog_project_wrapper</name>
+   <variant/>
+   <references>
+   </references>
+  </cell>
+ </cells>
+ <items>
+ </items>
+</report-database>
diff --git a/precheck_results/04_JUN_2022___10_10_56/outputs/reports/klayout_zeroarea_check.xml b/precheck_results/04_JUN_2022___10_10_56/outputs/reports/klayout_zeroarea_check.xml
new file mode 100644
index 0000000..c90408a
--- /dev/null
+++ b/precheck_results/04_JUN_2022___10_10_56/outputs/reports/klayout_zeroarea_check.xml
@@ -0,0 +1,21 @@
+<?xml version="1.0" encoding="utf-8"?>
+<report-database>
+ <description>zero area check</description>
+ <original-file/>
+ <generator>drc: script='/home/krishna/mpw_precheckmpw6/checks/drc_checks/klayout/zeroarea.rb.drc'</generator>
+ <top-cell>user_analog_project_wrapper</top-cell>
+ <tags>
+ </tags>
+ <categories>
+ </categories>
+ <cells>
+  <cell>
+   <name>user_analog_project_wrapper</name>
+   <variant/>
+   <references>
+   </references>
+  </cell>
+ </cells>
+ <items>
+ </items>
+</report-database>
diff --git a/precheck_results/04_JUN_2022___10_10_56/outputs/reports/magic_drc_check.drc.report b/precheck_results/04_JUN_2022___10_10_56/outputs/reports/magic_drc_check.drc.report
new file mode 100644
index 0000000..829b9d5
--- /dev/null
+++ b/precheck_results/04_JUN_2022___10_10_56/outputs/reports/magic_drc_check.drc.report
@@ -0,0 +1,5 @@
+user_analog_project_wrapper
+----------------------------------------
+[INFO]: COUNT: 0
+[INFO]: Should be divided by 3 or 4
+
diff --git a/precheck_results/04_JUN_2022___10_10_56/outputs/reports/magic_drc_check.rdb b/precheck_results/04_JUN_2022___10_10_56/outputs/reports/magic_drc_check.rdb
new file mode 100644
index 0000000..8a882f3
--- /dev/null
+++ b/precheck_results/04_JUN_2022___10_10_56/outputs/reports/magic_drc_check.rdb
@@ -0,0 +1,2 @@
+$user_analog_project_wrapper
+ 100
diff --git a/precheck_results/04_JUN_2022___10_10_56/outputs/reports/magic_drc_check.tcl b/precheck_results/04_JUN_2022___10_10_56/outputs/reports/magic_drc_check.tcl
new file mode 100644
index 0000000..e69de29
--- /dev/null
+++ b/precheck_results/04_JUN_2022___10_10_56/outputs/reports/magic_drc_check.tcl
diff --git a/precheck_results/04_JUN_2022___10_10_56/outputs/reports/magic_drc_check.tr b/precheck_results/04_JUN_2022___10_10_56/outputs/reports/magic_drc_check.tr
new file mode 100644
index 0000000..e69de29
--- /dev/null
+++ b/precheck_results/04_JUN_2022___10_10_56/outputs/reports/magic_drc_check.tr
diff --git a/precheck_results/04_JUN_2022___10_10_56/outputs/reports/magic_drc_check.xml b/precheck_results/04_JUN_2022___10_10_56/outputs/reports/magic_drc_check.xml
new file mode 100644
index 0000000..7161d7c
--- /dev/null
+++ b/precheck_results/04_JUN_2022___10_10_56/outputs/reports/magic_drc_check.xml
@@ -0,0 +1,10 @@
+<?xml version="1.0" ?>
+<report-database>
+    <categories/>
+    <cells>
+        <cell>
+            <name>user_analog_project_wrapper</name>
+        </cell>
+    </cells>
+    <items/>
+</report-database>
diff --git a/precheck_results/04_JUN_2022___10_10_56/outputs/user_analog_project_wrapper.magic.drc.mag b/precheck_results/04_JUN_2022___10_10_56/outputs/user_analog_project_wrapper.magic.drc.mag
new file mode 100644
index 0000000..bc7865b
--- /dev/null
+++ b/precheck_results/04_JUN_2022___10_10_56/outputs/user_analog_project_wrapper.magic.drc.mag
@@ -0,0 +1,7 @@
+magic
+tech minimum
+magscale 1 2
+timestamp 0
+<< checkpaint >>
+rect 0 0 1 1
+<< end >>
diff --git a/precheck_results/04_JUN_2022___10_10_56/outputs/user_analog_project_wrapper_empty_erased.gds b/precheck_results/04_JUN_2022___10_10_56/outputs/user_analog_project_wrapper_empty_erased.gds
new file mode 100644
index 0000000..e69de29
--- /dev/null
+++ b/precheck_results/04_JUN_2022___10_10_56/outputs/user_analog_project_wrapper_empty_erased.gds
diff --git a/precheck_results/04_JUN_2022___10_10_56/outputs/user_analog_project_wrapper_erased.gds b/precheck_results/04_JUN_2022___10_10_56/outputs/user_analog_project_wrapper_erased.gds
new file mode 100644
index 0000000..e69de29
--- /dev/null
+++ b/precheck_results/04_JUN_2022___10_10_56/outputs/user_analog_project_wrapper_erased.gds
diff --git a/precheck_results/04_JUN_2022___10_10_56/outputs/user_analog_project_wrapper_no_zero_areas.gds b/precheck_results/04_JUN_2022___10_10_56/outputs/user_analog_project_wrapper_no_zero_areas.gds
new file mode 100644
index 0000000..c2bd735
--- /dev/null
+++ b/precheck_results/04_JUN_2022___10_10_56/outputs/user_analog_project_wrapper_no_zero_areas.gds
Binary files differ
diff --git a/precheck_results/04_JUN_2022___10_33_37/logs/gds.info b/precheck_results/04_JUN_2022___10_33_37/logs/gds.info
new file mode 100644
index 0000000..0ec2c1a
--- /dev/null
+++ b/precheck_results/04_JUN_2022___10_33_37/logs/gds.info
@@ -0,0 +1 @@
+user_analog_project_wrapper.gds: f38aca6537d7ec16ddd707a41e9f0590185d6356
\ No newline at end of file
diff --git a/precheck_results/04_JUN_2022___10_33_37/logs/klayout_beol_check.log b/precheck_results/04_JUN_2022___10_33_37/logs/klayout_beol_check.log
new file mode 100644
index 0000000..3bcd9a9
--- /dev/null
+++ b/precheck_results/04_JUN_2022___10_33_37/logs/klayout_beol_check.log
@@ -0,0 +1,992 @@
+/home/krishna/mpw_precheckmpw6/checks/tech-files/sky130A_mr.drc:38: warning: already initialized constant DRC::DRCEngine::FEOL
+/home/krishna/mpw_precheckmpw6/checks/tech-files/sky130A_mr.drc:28: warning: previous definition of FEOL was here
+/home/krishna/mpw_precheckmpw6/checks/tech-files/sky130A_mr.drc:42: warning: already initialized constant DRC::DRCEngine::BEOL
+/home/krishna/mpw_precheckmpw6/checks/tech-files/sky130A_mr.drc:29: warning: previous definition of BEOL was here
+/home/krishna/mpw_precheckmpw6/checks/tech-files/sky130A_mr.drc:50: warning: already initialized constant DRC::DRCEngine::OFFGRID
+/home/krishna/mpw_precheckmpw6/checks/tech-files/sky130A_mr.drc:30: warning: previous definition of OFFGRID was here
+/home/krishna/mpw_precheckmpw6/checks/tech-files/sky130A_mr.drc:56: warning: already initialized constant DRC::DRCEngine::SEAL
+/home/krishna/mpw_precheckmpw6/checks/tech-files/sky130A_mr.drc:31: warning: previous definition of SEAL was here
+/home/krishna/mpw_precheckmpw6/checks/tech-files/sky130A_mr.drc:62: warning: already initialized constant DRC::DRCEngine::FLOATING_MET
+/home/krishna/mpw_precheckmpw6/checks/tech-files/sky130A_mr.drc:32: warning: previous definition of FLOATING_MET was here
+"input" in: sky130A_mr.drc:112
+    Polygons (raw): 62 (flat)  25 (hierarchical)
+    Elapsed: 0.010s  Memory: 522.00M
+"polygons" in: sky130A_mr.drc:113
+    Polygons (raw): 48 (flat)  40 (hierarchical)
+    Elapsed: 0.000s  Memory: 522.00M
+"polygons" in: sky130A_mr.drc:114
+    Polygons (raw): 89 (flat)  69 (hierarchical)
+    Elapsed: 0.010s  Memory: 522.00M
+"polygons" in: sky130A_mr.drc:115
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 522.00M
+"polygons" in: sky130A_mr.drc:116
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 522.00M
+"polygons" in: sky130A_mr.drc:117
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 522.00M
+"polygons" in: sky130A_mr.drc:118
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 522.00M
+"polygons" in: sky130A_mr.drc:119
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 522.00M
+"polygons" in: sky130A_mr.drc:120
+    Polygons (raw): 10 (flat)  2 (hierarchical)
+    Elapsed: 0.010s  Memory: 522.00M
+"polygons" in: sky130A_mr.drc:121
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 522.00M
+"polygons" in: sky130A_mr.drc:122
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 522.00M
+"polygons" in: sky130A_mr.drc:123
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 522.00M
+"polygons" in: sky130A_mr.drc:124
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 522.00M
+"polygons" in: sky130A_mr.drc:125
+    Polygons (raw): 202 (flat)  116 (hierarchical)
+    Elapsed: 0.000s  Memory: 522.00M
+"polygons" in: sky130A_mr.drc:126
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 522.00M
+"polygons" in: sky130A_mr.drc:127
+    Polygons (raw): 62 (flat)  43 (hierarchical)
+    Elapsed: 0.000s  Memory: 522.00M
+"polygons" in: sky130A_mr.drc:128
+    Polygons (raw): 74 (flat)  48 (hierarchical)
+    Elapsed: 0.010s  Memory: 522.00M
+"polygons" in: sky130A_mr.drc:129
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 522.00M
+"polygons" in: sky130A_mr.drc:130
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 522.00M
+"polygons" in: sky130A_mr.drc:131
+    Polygons (raw): 33 (flat)  24 (hierarchical)
+    Elapsed: 0.000s  Memory: 522.00M
+"polygons" in: sky130A_mr.drc:132
+    Polygons (raw): 919 (flat)  303 (hierarchical)
+    Elapsed: 0.010s  Memory: 522.00M
+"polygons" in: sky130A_mr.drc:134
+    Polygons (raw): 590 (flat)  418 (hierarchical)
+    Elapsed: 0.000s  Memory: 522.00M
+"polygons" in: sky130A_mr.drc:135
+    Polygons (raw): 1756 (flat)  1480 (hierarchical)
+    Elapsed: 0.000s  Memory: 522.00M
+"polygons" in: sky130A_mr.drc:137
+    Polygons (raw): 349 (flat)  299 (hierarchical)
+    Elapsed: 0.010s  Memory: 522.00M
+"polygons" in: sky130A_mr.drc:138
+    Polygons (raw): 2570 (flat)  2570 (hierarchical)
+    Elapsed: 0.000s  Memory: 522.00M
+"polygons" in: sky130A_mr.drc:140
+    Polygons (raw): 603 (flat)  603 (hierarchical)
+    Elapsed: 0.010s  Memory: 522.00M
+"polygons" in: sky130A_mr.drc:141
+    Polygons (raw): 1761 (flat)  1761 (hierarchical)
+    Elapsed: 0.000s  Memory: 522.00M
+"polygons" in: sky130A_mr.drc:143
+    Polygons (raw): 458 (flat)  458 (hierarchical)
+    Elapsed: 0.010s  Memory: 522.00M
+"polygons" in: sky130A_mr.drc:144
+    Polygons (raw): 25800 (flat)  25800 (hierarchical)
+    Elapsed: 0.010s  Memory: 523.00M
+"polygons" in: sky130A_mr.drc:146
+    Polygons (raw): 177 (flat)  177 (hierarchical)
+    Elapsed: 0.000s  Memory: 523.00M
+"polygons" in: sky130A_mr.drc:147
+    Polygons (raw): 552 (flat)  552 (hierarchical)
+    Elapsed: 0.010s  Memory: 523.00M
+"polygons" in: sky130A_mr.drc:149
+    Polygons (raw): 153 (flat)  153 (hierarchical)
+    Elapsed: 0.000s  Memory: 523.00M
+"polygons" in: sky130A_mr.drc:151
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 523.00M
+"polygons" in: sky130A_mr.drc:152
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 523.00M
+"polygons" in: sky130A_mr.drc:153
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 523.00M
+"polygons" in: sky130A_mr.drc:154
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 523.00M
+"polygons" in: sky130A_mr.drc:155
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 523.00M
+"polygons" in: sky130A_mr.drc:156
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 523.00M
+"polygons" in: sky130A_mr.drc:157
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 523.00M
+"polygons" in: sky130A_mr.drc:158
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 523.00M
+"polygons" in: sky130A_mr.drc:159
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 523.00M
+"polygons" in: sky130A_mr.drc:160
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 523.00M
+"polygons" in: sky130A_mr.drc:161
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 523.00M
+"polygons" in: sky130A_mr.drc:162
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 523.00M
+"polygons" in: sky130A_mr.drc:163
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 523.00M
+"polygons" in: sky130A_mr.drc:164
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 523.00M
+"polygons" in: sky130A_mr.drc:165
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 523.00M
+"polygons" in: sky130A_mr.drc:166
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 523.00M
+"polygons" in: sky130A_mr.drc:167
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 523.00M
+"polygons" in: sky130A_mr.drc:168
+    Polygons (raw): 10 (flat)  2 (hierarchical)
+    Elapsed: 0.000s  Memory: 523.00M
+"polygons" in: sky130A_mr.drc:169
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 523.00M
+"polygons" in: sky130A_mr.drc:170
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 523.00M
+"polygons" in: sky130A_mr.drc:171
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 523.00M
+"polygons" in: sky130A_mr.drc:172
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 523.00M
+"polygons" in: sky130A_mr.drc:173
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 523.00M
+"polygons" in: sky130A_mr.drc:174
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 523.00M
+"polygons" in: sky130A_mr.drc:175
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 523.00M
+"polygons" in: sky130A_mr.drc:176
+    Polygons (raw): 1 (flat)  1 (hierarchical)
+    Elapsed: 0.010s  Memory: 523.00M
+"polygons" in: sky130A_mr.drc:177
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 523.00M
+"polygons" in: sky130A_mr.drc:178
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 523.00M
+"polygons" in: sky130A_mr.drc:179
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 523.00M
+"polygons" in: sky130A_mr.drc:180
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 523.00M
+"polygons" in: sky130A_mr.drc:181
+    Polygons (raw): 4 (flat)  3 (hierarchical)
+    Elapsed: 0.010s  Memory: 523.00M
+"polygons" in: sky130A_mr.drc:182
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 523.00M
+"polygons" in: sky130A_mr.drc:183
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 523.00M
+"polygons" in: sky130A_mr.drc:184
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 523.00M
+"polygons" in: sky130A_mr.drc:185
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 523.00M
+"polygons" in: sky130A_mr.drc:186
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 523.00M
+"polygons" in: sky130A_mr.drc:187
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 523.00M
+"polygons" in: sky130A_mr.drc:188
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 523.00M
+"polygons" in: sky130A_mr.drc:189
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 523.00M
+"polygons" in: sky130A_mr.drc:190
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 523.00M
+"polygons" in: sky130A_mr.drc:191
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 523.00M
+"polygons" in: sky130A_mr.drc:192
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 523.00M
+"polygons" in: sky130A_mr.drc:193
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 523.00M
+"polygons" in: sky130A_mr.drc:194
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 523.00M
+"polygons" in: sky130A_mr.drc:195
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 523.00M
+"polygons" in: sky130A_mr.drc:196
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 523.00M
+"polygons" in: sky130A_mr.drc:197
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 523.00M
+"polygons" in: sky130A_mr.drc:198
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 523.00M
+"polygons" in: sky130A_mr.drc:199
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 523.00M
+"polygons" in: sky130A_mr.drc:200
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 523.00M
+"polygons" in: sky130A_mr.drc:201
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 523.00M
+"polygons" in: sky130A_mr.drc:202
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 523.00M
+"polygons" in: sky130A_mr.drc:203
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 523.00M
+DRC section
+BEOL section
+START: 67/20 (li)
+"not" in: sky130A_mr.drc:381
+    Polygons (raw): 590 (flat)  418 (hierarchical)
+    Elapsed: 0.000s  Memory: 523.00M
+"width" in: sky130A_mr.drc:382
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 523.00M
+"output" in: sky130A_mr.drc:382
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 523.00M
+"edges" in: sky130A_mr.drc:384
+    Edges: 2971 (flat)  1724 (hierarchical)
+    Elapsed: 0.030s  Memory: 1067.00M
+"space" in: sky130A_mr.drc:384
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.020s  Memory: 1067.00M
+"output" in: sky130A_mr.drc:384
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 1067.00M
+"not" in: sky130A_mr.drc:385
+    Polygons (raw): 919 (flat)  303 (hierarchical)
+    Elapsed: 0.000s  Memory: 1067.00M
+"enclosing" in: sky130A_mr.drc:386
+    Edge pairs: 1213 (flat)  993 (hierarchical)
+    Elapsed: 0.030s  Memory: 1067.00M
+"second_edges" in: sky130A_mr.drc:386
+    Edges: 1213 (flat)  993 (hierarchical)
+    Elapsed: 0.010s  Memory: 1067.00M
+"width" in: sky130A_mr.drc:387
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 1067.00M
+"polygons" in: sky130A_mr.drc:388
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 1067.00M
+"interacting" in: sky130A_mr.drc:388
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 1067.00M
+"output" in: sky130A_mr.drc:389
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 1067.00M
+"with_area" in: sky130A_mr.drc:390
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 1067.00M
+"output" in: sky130A_mr.drc:390
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 1067.00M
+END: 67/20 (li)
+START: 67/44 (mcon)
+"not" in: sky130A_mr.drc:395
+    Polygons (raw): 1756 (flat)  1480 (hierarchical)
+    Elapsed: 0.000s  Memory: 1067.00M
+"not" in: sky130A_mr.drc:402
+    Polygons (raw): 1756 (flat)  1480 (hierarchical)
+    Elapsed: 0.010s  Memory: 1067.00M
+"non_rectangles" in: sky130A_mr.drc:403
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 1068.00M
+"output" in: sky130A_mr.drc:403
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 1068.00M
+"drc" in: sky130A_mr.drc:405
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.020s  Memory: 1068.00M
+"output" in: sky130A_mr.drc:405
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 1068.00M
+"drc" in: sky130A_mr.drc:406
+    Edges: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.020s  Memory: 1068.00M
+"output" in: sky130A_mr.drc:406
+    Edges: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 1068.00M
+"space" in: sky130A_mr.drc:407
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 1068.00M
+"output" in: sky130A_mr.drc:407
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 1068.00M
+"not" in: sky130A_mr.drc:413
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 1068.00M
+"output" in: sky130A_mr.drc:413
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 1068.00M
+END: 67/44 (mcon)
+START: 68/20 (m1)
+"width" in: sky130A_mr.drc:418
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 1068.00M
+"output" in: sky130A_mr.drc:418
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 1068.00M
+"sized" in: sky130A_mr.drc:419
+    Polygons (raw): 17 (flat)  17 (hierarchical)
+    Elapsed: 0.010s  Memory: 1068.00M
+"sized" in: sky130A_mr.drc:419
+    Polygons (raw): 17 (flat)  17 (hierarchical)
+    Elapsed: 0.010s  Memory: 1068.00M
+"snap" in: sky130A_mr.drc:419
+    Polygons (raw): 17 (flat)  17 (hierarchical)
+    Elapsed: 0.000s  Memory: 1068.00M
+"&" in: sky130A_mr.drc:419
+    Polygons (raw): 17 (flat)  17 (hierarchical)
+    Elapsed: 0.010s  Memory: 1068.00M
+"edges" in: sky130A_mr.drc:420
+    Edges: 1233 (flat)  1093 (hierarchical)
+    Elapsed: 0.010s  Memory: 1068.00M
+"-" in: sky130A_mr.drc:420
+    Edges: 1093 (flat)  962 (hierarchical)
+    Elapsed: 0.010s  Memory: 1068.00M
+"edges" in: sky130A_mr.drc:421
+    Edges: 126 (flat)  126 (hierarchical)
+    Elapsed: 0.020s  Memory: 1068.00M
+"merged" in: sky130A_mr.drc:421
+    Polygons (raw): 123 (flat)  113 (hierarchical)
+    Elapsed: 0.000s  Memory: 1068.00M
+"outside_part" in: sky130A_mr.drc:421
+    Edges: 142 (flat)  142 (hierarchical)
+    Elapsed: 0.010s  Memory: 1068.00M
+"space" in: sky130A_mr.drc:423
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 1068.00M
+"output" in: sky130A_mr.drc:423
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 1068.00M
+"separation" in: sky130A_mr.drc:425
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 1068.00M
+"space" in: sky130A_mr.drc:425
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 1068.00M
+"+" in: sky130A_mr.drc:425
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 1068.00M
+"output" in: sky130A_mr.drc:425
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 1068.00M
+"input" in: sky130A_mr.drc:429
+    Polygons (raw): 349 (flat)  305 (hierarchical)
+    Elapsed: 0.000s  Memory: 1068.00M
+"enclosing" in: sky130A_mr.drc:431
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.020s  Memory: 1068.00M
+"output" in: sky130A_mr.drc:431
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 1068.00M
+"not" in: sky130A_mr.drc:432
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.030s  Memory: 1068.00M
+"output" in: sky130A_mr.drc:432
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 1068.00M
+"input" in: sky130A_mr.drc:434
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 1068.00M
+"enclosing" in: sky130A_mr.drc:435
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 1068.00M
+"output" in: sky130A_mr.drc:435
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 1068.00M
+"not" in: sky130A_mr.drc:437
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 1068.00M
+"output" in: sky130A_mr.drc:437
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 1068.00M
+"with_area" in: sky130A_mr.drc:439
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 1068.00M
+"output" in: sky130A_mr.drc:439
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 1068.00M
+"holes" in: sky130A_mr.drc:441
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 1068.00M
+"with_area" in: sky130A_mr.drc:441
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 1068.00M
+"output" in: sky130A_mr.drc:441
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 1068.00M
+"enclosing" in: sky130A_mr.drc:448
+    Edge pairs: 245 (flat)  205 (hierarchical)
+    Elapsed: 0.020s  Memory: 1068.00M
+"second_edges" in: sky130A_mr.drc:448
+    Edges: 245 (flat)  205 (hierarchical)
+    Elapsed: 0.000s  Memory: 1068.00M
+"width" in: sky130A_mr.drc:449
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 1068.00M
+"polygons" in: sky130A_mr.drc:450
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 1068.00M
+"interacting" in: sky130A_mr.drc:450
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.020s  Memory: 1068.00M
+"output" in: sky130A_mr.drc:451
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 1068.00M
+END: 68/20 (m1)
+START: 68/44 (via)
+"not" in: sky130A_mr.drc:465
+    Polygons (raw): 2570 (flat)  2570 (hierarchical)
+    Elapsed: 0.010s  Memory: 1068.00M
+"non_rectangles" in: sky130A_mr.drc:467
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.020s  Memory: 1068.00M
+"output" in: sky130A_mr.drc:467
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 1068.00M
+"width" in: sky130A_mr.drc:468
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 1068.00M
+"output" in: sky130A_mr.drc:468
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 1068.00M
+"drc" in: sky130A_mr.drc:470
+    Edges: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 1068.00M
+"output" in: sky130A_mr.drc:470
+    Edges: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 1068.00M
+"space" in: sky130A_mr.drc:472
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.030s  Memory: 1068.00M
+"output" in: sky130A_mr.drc:472
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 1068.00M
+"edges" in: sky130A_mr.drc:480
+    Edges: 1233 (flat)  1093 (hierarchical)
+    Elapsed: 0.010s  Memory: 1068.00M
+"drc" in: sky130A_mr.drc:480
+    Edges: 10280 (flat)  10280 (hierarchical)
+    Elapsed: 0.040s  Memory: 1068.00M
+"enclosing" in: sky130A_mr.drc:480
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 1068.00M
+"output" in: sky130A_mr.drc:480
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 1068.00M
+"squares" in: sky130A_mr.drc:481
+    Polygons (raw): 2570 (flat)  2570 (hierarchical)
+    Elapsed: 0.000s  Memory: 1068.00M
+"drc" in: sky130A_mr.drc:481
+    Edges: 10280 (flat)  10280 (hierarchical)
+    Elapsed: 0.040s  Memory: 1068.00M
+"not" in: sky130A_mr.drc:481
+    Edges: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.020s  Memory: 1068.00M
+"output" in: sky130A_mr.drc:481
+    Edges: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 1068.00M
+"edges" in: sky130A_mr.drc:483
+    Edges: 1233 (flat)  1093 (hierarchical)
+    Elapsed: 0.010s  Memory: 1068.00M
+"drc" in: sky130A_mr.drc:483
+    Edges: 10280 (flat)  10280 (hierarchical)
+    Elapsed: 0.040s  Memory: 1068.00M
+"enclosing" in: sky130A_mr.drc:483
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 1068.00M
+"second_edges" in: sky130A_mr.drc:483
+    Edges: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 1068.00M
+"width" in: sky130A_mr.drc:484
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 1068.00M
+"polygons" in: sky130A_mr.drc:485
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 1068.00M
+"interacting" in: sky130A_mr.drc:485
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 1068.00M
+"output" in: sky130A_mr.drc:486
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 1068.00M
+END: 68/44 (via)
+START: 69/20 (m2)
+"width" in: sky130A_mr.drc:493
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 1069.00M
+"output" in: sky130A_mr.drc:493
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 1069.00M
+"sized" in: sky130A_mr.drc:495
+    Polygons (raw): 13 (flat)  13 (hierarchical)
+    Elapsed: 0.010s  Memory: 1069.00M
+"sized" in: sky130A_mr.drc:495
+    Polygons (raw): 13 (flat)  13 (hierarchical)
+    Elapsed: 0.010s  Memory: 1069.00M
+"snap" in: sky130A_mr.drc:495
+    Polygons (raw): 13 (flat)  13 (hierarchical)
+    Elapsed: 0.000s  Memory: 1069.00M
+"&" in: sky130A_mr.drc:495
+    Polygons (raw): 13 (flat)  13 (hierarchical)
+    Elapsed: 0.010s  Memory: 1069.00M
+"edges" in: sky130A_mr.drc:496
+    Edges: 2367 (flat)  2367 (hierarchical)
+    Elapsed: 0.010s  Memory: 1069.00M
+"-" in: sky130A_mr.drc:496
+    Edges: 2296 (flat)  2296 (hierarchical)
+    Elapsed: 0.010s  Memory: 1069.00M
+"edges" in: sky130A_mr.drc:497
+    Edges: 64 (flat)  64 (hierarchical)
+    Elapsed: 0.010s  Memory: 1069.00M
+"merged" in: sky130A_mr.drc:497
+    Polygons (raw): 550 (flat)  550 (hierarchical)
+    Elapsed: 0.000s  Memory: 1069.00M
+"outside_part" in: sky130A_mr.drc:497
+    Edges: 65 (flat)  65 (hierarchical)
+    Elapsed: 0.010s  Memory: 1069.00M
+"not" in: sky130A_mr.drc:498
+    Polygons (raw): 2570 (flat)  2570 (hierarchical)
+    Elapsed: 0.010s  Memory: 1069.00M
+"space" in: sky130A_mr.drc:500
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 1069.00M
+"output" in: sky130A_mr.drc:500
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 1069.00M
+"separation" in: sky130A_mr.drc:502
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 1069.00M
+"space" in: sky130A_mr.drc:502
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 1069.00M
+"+" in: sky130A_mr.drc:502
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 1069.00M
+"output" in: sky130A_mr.drc:502
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 1069.00M
+"with_area" in: sky130A_mr.drc:504
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 1069.00M
+"output" in: sky130A_mr.drc:504
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 1069.00M
+"holes" in: sky130A_mr.drc:505
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 1069.00M
+"with_area" in: sky130A_mr.drc:505
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 1069.00M
+"output" in: sky130A_mr.drc:505
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 1069.00M
+"enclosing" in: sky130A_mr.drc:510
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.020s  Memory: 1069.00M
+"output" in: sky130A_mr.drc:510
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 1069.00M
+"not" in: sky130A_mr.drc:511
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.020s  Memory: 1069.00M
+"output" in: sky130A_mr.drc:511
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 1069.00M
+"enclosing" in: sky130A_mr.drc:512
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.020s  Memory: 1069.00M
+"second_edges" in: sky130A_mr.drc:512
+    Edges: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 1069.00M
+"width" in: sky130A_mr.drc:513
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 1069.00M
+"polygons" in: sky130A_mr.drc:514
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 1069.00M
+"interacting" in: sky130A_mr.drc:514
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 1069.00M
+"output" in: sky130A_mr.drc:515
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 1069.00M
+END: 69/20 (m2)
+START: 69/44 (via2)
+"not" in: sky130A_mr.drc:530
+    Polygons (raw): 1761 (flat)  1761 (hierarchical)
+    Elapsed: 0.010s  Memory: 1069.00M
+"non_rectangles" in: sky130A_mr.drc:531
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 1070.00M
+"output" in: sky130A_mr.drc:531
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 1070.00M
+"width" in: sky130A_mr.drc:532
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 1070.00M
+"output" in: sky130A_mr.drc:532
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 1070.00M
+"edges" in: sky130A_mr.drc:533
+    Edges: 7044 (flat)  7044 (hierarchical)
+    Elapsed: 0.010s  Memory: 1070.00M
+"without_length" in: sky130A_mr.drc:533
+    Edges: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.020s  Memory: 1071.00M
+"output" in: sky130A_mr.drc:533
+    Edges: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 1071.00M
+"space" in: sky130A_mr.drc:534
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 1071.00M
+"output" in: sky130A_mr.drc:534
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 1071.00M
+"enclosing" in: sky130A_mr.drc:542
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 1071.00M
+"output" in: sky130A_mr.drc:542
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 1071.00M
+"not" in: sky130A_mr.drc:543
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 1071.00M
+"output" in: sky130A_mr.drc:543
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 1071.00M
+"enclosing" in: sky130A_mr.drc:545
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.020s  Memory: 1071.00M
+"second_edges" in: sky130A_mr.drc:545
+    Edges: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 1071.00M
+"width" in: sky130A_mr.drc:546
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 1071.00M
+"polygons" in: sky130A_mr.drc:547
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 1071.00M
+"interacting" in: sky130A_mr.drc:547
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 1071.00M
+"output" in: sky130A_mr.drc:548
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 1071.00M
+END: 69/44 (via2)
+START: 70/20 (m3)
+"width" in: sky130A_mr.drc:554
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 1071.00M
+"output" in: sky130A_mr.drc:554
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 1071.00M
+"sized" in: sky130A_mr.drc:556
+    Polygons (raw): 53 (flat)  53 (hierarchical)
+    Elapsed: 0.010s  Memory: 1071.00M
+"sized" in: sky130A_mr.drc:556
+    Polygons (raw): 53 (flat)  53 (hierarchical)
+    Elapsed: 0.000s  Memory: 1071.00M
+"snap" in: sky130A_mr.drc:556
+    Polygons (raw): 53 (flat)  53 (hierarchical)
+    Elapsed: 0.010s  Memory: 1071.00M
+"&" in: sky130A_mr.drc:556
+    Polygons (raw): 98 (flat)  98 (hierarchical)
+    Elapsed: 0.010s  Memory: 1071.00M
+"edges" in: sky130A_mr.drc:557
+    Edges: 1658 (flat)  1658 (hierarchical)
+    Elapsed: 0.000s  Memory: 1071.00M
+"-" in: sky130A_mr.drc:557
+    Edges: 883 (flat)  883 (hierarchical)
+    Elapsed: 0.010s  Memory: 1071.00M
+"edges" in: sky130A_mr.drc:558
+    Edges: 671 (flat)  671 (hierarchical)
+    Elapsed: 0.010s  Memory: 1071.00M
+"merged" in: sky130A_mr.drc:558
+    Polygons (raw): 228 (flat)  228 (hierarchical)
+    Elapsed: 0.010s  Memory: 1071.00M
+"outside_part" in: sky130A_mr.drc:558
+    Edges: 676 (flat)  676 (hierarchical)
+    Elapsed: 0.010s  Memory: 1071.00M
+"space" in: sky130A_mr.drc:560
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 1071.00M
+"output" in: sky130A_mr.drc:560
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 1071.00M
+"separation" in: sky130A_mr.drc:562
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 1071.00M
+"space" in: sky130A_mr.drc:562
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 1071.00M
+"+" in: sky130A_mr.drc:562
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 1071.00M
+"output" in: sky130A_mr.drc:562
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 1071.00M
+"enclosing" in: sky130A_mr.drc:567
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.020s  Memory: 1071.00M
+"output" in: sky130A_mr.drc:567
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 1071.00M
+"not" in: sky130A_mr.drc:568
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 1071.00M
+"output" in: sky130A_mr.drc:568
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 1071.00M
+END: 70/20 (m3)
+START: 70/44 (via3)
+"not" in: sky130A_mr.drc:582
+    Polygons (raw): 25800 (flat)  25800 (hierarchical)
+    Elapsed: 0.010s  Memory: 1071.00M
+"non_rectangles" in: sky130A_mr.drc:583
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.140s  Memory: 1078.00M
+"output" in: sky130A_mr.drc:583
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 1078.00M
+"width" in: sky130A_mr.drc:584
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.020s  Memory: 1078.00M
+"output" in: sky130A_mr.drc:584
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 1078.00M
+"edges" in: sky130A_mr.drc:585
+    Edges: 103200 (flat)  103200 (hierarchical)
+    Elapsed: 0.100s  Memory: 1078.00M
+"without_length" in: sky130A_mr.drc:585
+    Edges: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.240s  Memory: 1105.00M
+"output" in: sky130A_mr.drc:585
+    Edges: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 1105.00M
+"space" in: sky130A_mr.drc:587
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.180s  Memory: 1105.00M
+"output" in: sky130A_mr.drc:587
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 1105.00M
+"enclosing" in: sky130A_mr.drc:588
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.220s  Memory: 1105.00M
+"output" in: sky130A_mr.drc:588
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 1105.00M
+"not" in: sky130A_mr.drc:589
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.050s  Memory: 1105.00M
+"output" in: sky130A_mr.drc:589
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 1105.00M
+"enclosing" in: sky130A_mr.drc:591
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.230s  Memory: 1105.00M
+"second_edges" in: sky130A_mr.drc:591
+    Edges: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 1105.00M
+"width" in: sky130A_mr.drc:592
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 1105.00M
+"polygons" in: sky130A_mr.drc:593
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 1105.00M
+"interacting" in: sky130A_mr.drc:593
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 1105.00M
+"output" in: sky130A_mr.drc:594
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 1105.00M
+END: 70/44 (via3)
+START: 71/20 (m4)
+"width" in: sky130A_mr.drc:600
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 1105.00M
+"output" in: sky130A_mr.drc:600
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 1105.00M
+"sized" in: sky130A_mr.drc:602
+    Polygons (raw): 20 (flat)  20 (hierarchical)
+    Elapsed: 0.000s  Memory: 1105.00M
+"sized" in: sky130A_mr.drc:602
+    Polygons (raw): 20 (flat)  20 (hierarchical)
+    Elapsed: 0.010s  Memory: 1105.00M
+"snap" in: sky130A_mr.drc:602
+    Polygons (raw): 20 (flat)  20 (hierarchical)
+    Elapsed: 0.000s  Memory: 1105.00M
+"&" in: sky130A_mr.drc:602
+    Polygons (raw): 46 (flat)  46 (hierarchical)
+    Elapsed: 0.010s  Memory: 1105.00M
+"edges" in: sky130A_mr.drc:603
+    Edges: 572 (flat)  572 (hierarchical)
+    Elapsed: 0.010s  Memory: 1105.00M
+"-" in: sky130A_mr.drc:603
+    Edges: 116 (flat)  116 (hierarchical)
+    Elapsed: 0.010s  Memory: 1105.00M
+"edges" in: sky130A_mr.drc:604
+    Edges: 366 (flat)  366 (hierarchical)
+    Elapsed: 0.010s  Memory: 1105.00M
+"merged" in: sky130A_mr.drc:604
+    Polygons (raw): 31 (flat)  31 (hierarchical)
+    Elapsed: 0.010s  Memory: 1105.00M
+"outside_part" in: sky130A_mr.drc:604
+    Edges: 371 (flat)  371 (hierarchical)
+    Elapsed: 0.010s  Memory: 1105.00M
+"space" in: sky130A_mr.drc:606
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 1105.00M
+"output" in: sky130A_mr.drc:606
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 1105.00M
+"with_area" in: sky130A_mr.drc:608
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 1105.00M
+"output" in: sky130A_mr.drc:608
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 1105.00M
+"separation" in: sky130A_mr.drc:610
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 1105.00M
+"space" in: sky130A_mr.drc:610
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 1105.00M
+"+" in: sky130A_mr.drc:610
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 1105.00M
+"output" in: sky130A_mr.drc:610
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 1105.00M
+"enclosing" in: sky130A_mr.drc:615
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.190s  Memory: 1105.00M
+"output" in: sky130A_mr.drc:615
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 1105.00M
+"not" in: sky130A_mr.drc:616
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.050s  Memory: 1105.00M
+"output" in: sky130A_mr.drc:616
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 1105.00M
+END: 71/20 (m4)
+START: 71/44 (via4)
+"not" in: sky130A_mr.drc:629
+    Polygons (raw): 552 (flat)  552 (hierarchical)
+    Elapsed: 0.000s  Memory: 1105.00M
+"non_rectangles" in: sky130A_mr.drc:630
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 1105.00M
+"output" in: sky130A_mr.drc:630
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 1105.00M
+"width" in: sky130A_mr.drc:631
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 1105.00M
+"output" in: sky130A_mr.drc:631
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 1105.00M
+"drc" in: sky130A_mr.drc:632
+    Edges: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 1105.00M
+"output" in: sky130A_mr.drc:632
+    Edges: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 1105.00M
+"space" in: sky130A_mr.drc:634
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 1105.00M
+"polygons" in: sky130A_mr.drc:634
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 1105.00M
+"output" in: sky130A_mr.drc:634
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 1105.00M
+"enclosing" in: sky130A_mr.drc:642
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 1105.00M
+"output" in: sky130A_mr.drc:642
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 1105.00M
+"not" in: sky130A_mr.drc:643
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 1105.00M
+"output" in: sky130A_mr.drc:643
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 1105.00M
+END: 71/44 (via4)
+START: 72/20 (m5)
+"width" in: sky130A_mr.drc:648
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 1105.00M
+"output" in: sky130A_mr.drc:648
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 1105.00M
+"space" in: sky130A_mr.drc:650
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 1105.00M
+"output" in: sky130A_mr.drc:650
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 1105.00M
+"enclosing" in: sky130A_mr.drc:652
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 1105.00M
+"output" in: sky130A_mr.drc:652
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 1105.00M
+"not" in: sky130A_mr.drc:653
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 1105.00M
+"output" in: sky130A_mr.drc:653
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 1105.00M
+"with_area" in: sky130A_mr.drc:657
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 1105.00M
+"output" in: sky130A_mr.drc:657
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 1105.00M
+END: 72/20 (m5)
+START: 76/20 (pad)
+"space" in: sky130A_mr.drc:662
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 1105.00M
+"output" in: sky130A_mr.drc:662
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 1105.00M
+END: 76/20 (pad)
+Writing report database: /home/krishna/Comparator_MPW6/precheck_results/04_JUN_2022___10_33_37/outputs/reports/klayout_beol_check.xml ..
+Total elapsed: 3.830s  Memory: 1105.00M
diff --git a/precheck_results/04_JUN_2022___10_33_37/logs/klayout_beol_check.total b/precheck_results/04_JUN_2022___10_33_37/logs/klayout_beol_check.total
new file mode 100644
index 0000000..c227083
--- /dev/null
+++ b/precheck_results/04_JUN_2022___10_33_37/logs/klayout_beol_check.total
@@ -0,0 +1 @@
+0
\ No newline at end of file
diff --git a/precheck_results/04_JUN_2022___10_33_37/logs/klayout_feol_check.log b/precheck_results/04_JUN_2022___10_33_37/logs/klayout_feol_check.log
new file mode 100644
index 0000000..bc6d583
--- /dev/null
+++ b/precheck_results/04_JUN_2022___10_33_37/logs/klayout_feol_check.log
@@ -0,0 +1,789 @@
+/home/krishna/mpw_precheckmpw6/checks/tech-files/sky130A_mr.drc:36: warning: already initialized constant DRC::DRCEngine::FEOL
+/home/krishna/mpw_precheckmpw6/checks/tech-files/sky130A_mr.drc:28: warning: previous definition of FEOL was here
+/home/krishna/mpw_precheckmpw6/checks/tech-files/sky130A_mr.drc:44: warning: already initialized constant DRC::DRCEngine::BEOL
+/home/krishna/mpw_precheckmpw6/checks/tech-files/sky130A_mr.drc:29: warning: previous definition of BEOL was here
+/home/krishna/mpw_precheckmpw6/checks/tech-files/sky130A_mr.drc:50: warning: already initialized constant DRC::DRCEngine::OFFGRID
+/home/krishna/mpw_precheckmpw6/checks/tech-files/sky130A_mr.drc:30: warning: previous definition of OFFGRID was here
+/home/krishna/mpw_precheckmpw6/checks/tech-files/sky130A_mr.drc:56: warning: already initialized constant DRC::DRCEngine::SEAL
+/home/krishna/mpw_precheckmpw6/checks/tech-files/sky130A_mr.drc:31: warning: previous definition of SEAL was here
+/home/krishna/mpw_precheckmpw6/checks/tech-files/sky130A_mr.drc:62: warning: already initialized constant DRC::DRCEngine::FLOATING_MET
+/home/krishna/mpw_precheckmpw6/checks/tech-files/sky130A_mr.drc:32: warning: previous definition of FLOATING_MET was here
+"input" in: sky130A_mr.drc:112
+    Polygons (raw): 62 (flat)  25 (hierarchical)
+    Elapsed: 0.010s  Memory: 522.00M
+"polygons" in: sky130A_mr.drc:113
+    Polygons (raw): 48 (flat)  40 (hierarchical)
+    Elapsed: 0.010s  Memory: 522.00M
+"polygons" in: sky130A_mr.drc:114
+    Polygons (raw): 89 (flat)  69 (hierarchical)
+    Elapsed: 0.000s  Memory: 522.00M
+"polygons" in: sky130A_mr.drc:115
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 522.00M
+"polygons" in: sky130A_mr.drc:116
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 522.00M
+"polygons" in: sky130A_mr.drc:117
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 522.00M
+"polygons" in: sky130A_mr.drc:118
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 522.00M
+"polygons" in: sky130A_mr.drc:119
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 522.00M
+"polygons" in: sky130A_mr.drc:120
+    Polygons (raw): 10 (flat)  2 (hierarchical)
+    Elapsed: 0.000s  Memory: 522.00M
+"polygons" in: sky130A_mr.drc:121
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 522.00M
+"polygons" in: sky130A_mr.drc:122
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 522.00M
+"polygons" in: sky130A_mr.drc:123
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 522.00M
+"polygons" in: sky130A_mr.drc:124
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 522.00M
+"polygons" in: sky130A_mr.drc:125
+    Polygons (raw): 202 (flat)  116 (hierarchical)
+    Elapsed: 0.010s  Memory: 522.00M
+"polygons" in: sky130A_mr.drc:126
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 522.00M
+"polygons" in: sky130A_mr.drc:127
+    Polygons (raw): 62 (flat)  43 (hierarchical)
+    Elapsed: 0.010s  Memory: 522.00M
+"polygons" in: sky130A_mr.drc:128
+    Polygons (raw): 74 (flat)  48 (hierarchical)
+    Elapsed: 0.000s  Memory: 522.00M
+"polygons" in: sky130A_mr.drc:129
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 522.00M
+"polygons" in: sky130A_mr.drc:130
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 522.00M
+"polygons" in: sky130A_mr.drc:131
+    Polygons (raw): 33 (flat)  24 (hierarchical)
+    Elapsed: 0.010s  Memory: 522.00M
+"polygons" in: sky130A_mr.drc:132
+    Polygons (raw): 919 (flat)  303 (hierarchical)
+    Elapsed: 0.000s  Memory: 522.00M
+"polygons" in: sky130A_mr.drc:134
+    Polygons (raw): 590 (flat)  418 (hierarchical)
+    Elapsed: 0.010s  Memory: 522.00M
+"polygons" in: sky130A_mr.drc:135
+    Polygons (raw): 1756 (flat)  1480 (hierarchical)
+    Elapsed: 0.000s  Memory: 522.00M
+"polygons" in: sky130A_mr.drc:137
+    Polygons (raw): 349 (flat)  299 (hierarchical)
+    Elapsed: 0.010s  Memory: 522.00M
+"polygons" in: sky130A_mr.drc:138
+    Polygons (raw): 2570 (flat)  2570 (hierarchical)
+    Elapsed: 0.000s  Memory: 522.00M
+"polygons" in: sky130A_mr.drc:140
+    Polygons (raw): 603 (flat)  603 (hierarchical)
+    Elapsed: 0.010s  Memory: 522.00M
+"polygons" in: sky130A_mr.drc:141
+    Polygons (raw): 1761 (flat)  1761 (hierarchical)
+    Elapsed: 0.000s  Memory: 522.00M
+"polygons" in: sky130A_mr.drc:143
+    Polygons (raw): 458 (flat)  458 (hierarchical)
+    Elapsed: 0.010s  Memory: 522.00M
+"polygons" in: sky130A_mr.drc:144
+    Polygons (raw): 25800 (flat)  25800 (hierarchical)
+    Elapsed: 0.010s  Memory: 523.00M
+"polygons" in: sky130A_mr.drc:146
+    Polygons (raw): 177 (flat)  177 (hierarchical)
+    Elapsed: 0.000s  Memory: 523.00M
+"polygons" in: sky130A_mr.drc:147
+    Polygons (raw): 552 (flat)  552 (hierarchical)
+    Elapsed: 0.010s  Memory: 523.00M
+"polygons" in: sky130A_mr.drc:149
+    Polygons (raw): 153 (flat)  153 (hierarchical)
+    Elapsed: 0.000s  Memory: 523.00M
+"polygons" in: sky130A_mr.drc:151
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 523.00M
+"polygons" in: sky130A_mr.drc:152
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 523.00M
+"polygons" in: sky130A_mr.drc:153
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 523.00M
+"polygons" in: sky130A_mr.drc:154
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 523.00M
+"polygons" in: sky130A_mr.drc:155
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 523.00M
+"polygons" in: sky130A_mr.drc:156
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 523.00M
+"polygons" in: sky130A_mr.drc:157
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 523.00M
+"polygons" in: sky130A_mr.drc:158
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 523.00M
+"polygons" in: sky130A_mr.drc:159
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 523.00M
+"polygons" in: sky130A_mr.drc:160
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 523.00M
+"polygons" in: sky130A_mr.drc:161
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 523.00M
+"polygons" in: sky130A_mr.drc:162
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 523.00M
+"polygons" in: sky130A_mr.drc:163
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 523.00M
+"polygons" in: sky130A_mr.drc:164
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 523.00M
+"polygons" in: sky130A_mr.drc:165
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 523.00M
+"polygons" in: sky130A_mr.drc:166
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 523.00M
+"polygons" in: sky130A_mr.drc:167
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 523.00M
+"polygons" in: sky130A_mr.drc:168
+    Polygons (raw): 10 (flat)  2 (hierarchical)
+    Elapsed: 0.000s  Memory: 523.00M
+"polygons" in: sky130A_mr.drc:169
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 523.00M
+"polygons" in: sky130A_mr.drc:170
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 523.00M
+"polygons" in: sky130A_mr.drc:171
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 523.00M
+"polygons" in: sky130A_mr.drc:172
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 523.00M
+"polygons" in: sky130A_mr.drc:173
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 523.00M
+"polygons" in: sky130A_mr.drc:174
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 523.00M
+"polygons" in: sky130A_mr.drc:175
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 523.00M
+"polygons" in: sky130A_mr.drc:176
+    Polygons (raw): 1 (flat)  1 (hierarchical)
+    Elapsed: 0.000s  Memory: 523.00M
+"polygons" in: sky130A_mr.drc:177
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 523.00M
+"polygons" in: sky130A_mr.drc:178
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 523.00M
+"polygons" in: sky130A_mr.drc:179
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 523.00M
+"polygons" in: sky130A_mr.drc:180
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 523.00M
+"polygons" in: sky130A_mr.drc:181
+    Polygons (raw): 4 (flat)  3 (hierarchical)
+    Elapsed: 0.010s  Memory: 523.00M
+"polygons" in: sky130A_mr.drc:182
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 523.00M
+"polygons" in: sky130A_mr.drc:183
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 523.00M
+"polygons" in: sky130A_mr.drc:184
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 523.00M
+"polygons" in: sky130A_mr.drc:185
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 523.00M
+"polygons" in: sky130A_mr.drc:186
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 523.00M
+"polygons" in: sky130A_mr.drc:187
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 523.00M
+"polygons" in: sky130A_mr.drc:188
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 523.00M
+"polygons" in: sky130A_mr.drc:189
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 523.00M
+"polygons" in: sky130A_mr.drc:190
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 523.00M
+"polygons" in: sky130A_mr.drc:191
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 523.00M
+"polygons" in: sky130A_mr.drc:192
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 523.00M
+"polygons" in: sky130A_mr.drc:193
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 523.00M
+"polygons" in: sky130A_mr.drc:194
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 523.00M
+"polygons" in: sky130A_mr.drc:195
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 523.00M
+"polygons" in: sky130A_mr.drc:196
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 523.00M
+"polygons" in: sky130A_mr.drc:197
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 523.00M
+"polygons" in: sky130A_mr.drc:198
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 523.00M
+"polygons" in: sky130A_mr.drc:199
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 523.00M
+"polygons" in: sky130A_mr.drc:200
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 523.00M
+"polygons" in: sky130A_mr.drc:201
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 523.00M
+"polygons" in: sky130A_mr.drc:202
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 523.00M
+"polygons" in: sky130A_mr.drc:203
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 523.00M
+DRC section
+FEOL section
+START: 64/18 (dnwell)
+"width" in: sky130A_mr.drc:229
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 523.00M
+"output" in: sky130A_mr.drc:229
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 523.00M
+END: 64/18 (dnwell)
+"input" in: sky130A_mr.drc:233
+    Polygons (raw): 62 (flat)  43 (hierarchical)
+    Elapsed: 0.010s  Memory: 523.00M
+"input" in: sky130A_mr.drc:234
+    Polygons (raw): 74 (flat)  48 (hierarchical)
+    Elapsed: 0.000s  Memory: 523.00M
+"input" in: sky130A_mr.drc:235
+    Polygons (raw): 89 (flat)  69 (hierarchical)
+    Elapsed: 0.010s  Memory: 523.00M
+START: 64/20 (nwell)
+"width" in: sky130A_mr.drc:239
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 523.00M
+"output" in: sky130A_mr.drc:239
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 523.00M
+"space" in: sky130A_mr.drc:240
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 1067.00M
+"output" in: sky130A_mr.drc:240
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 1067.00M
+"merge" in: sky130A_mr.drc:241
+    Polygons (raw): 15 (flat)  14 (hierarchical)
+    Elapsed: 0.010s  Memory: 1067.00M
+"holes" in: sky130A_mr.drc:242
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 1067.00M
+"enclosing" in: sky130A_mr.drc:242
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 1067.00M
+"output" in: sky130A_mr.drc:242
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 1067.00M
+END: 64/20 (nwell)
+START: 78/44 (hvtp)
+"width" in: sky130A_mr.drc:247
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 1067.00M
+"output" in: sky130A_mr.drc:247
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 1067.00M
+"space" in: sky130A_mr.drc:248
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 1067.00M
+"output" in: sky130A_mr.drc:248
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 1067.00M
+END: 78/44 (hvtp)
+START: 18/20 (htvr)
+"width" in: sky130A_mr.drc:253
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 1067.00M
+"output" in: sky130A_mr.drc:253
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 1067.00M
+"separation" in: sky130A_mr.drc:254
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 1067.00M
+"output" in: sky130A_mr.drc:254
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 1067.00M
+"and" in: sky130A_mr.drc:255
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 1067.00M
+"output" in: sky130A_mr.drc:255
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 1067.00M
+END: 18/20 (htvr)
+START: 25/44 (lvtn)
+"width" in: sky130A_mr.drc:260
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 1067.00M
+"output" in: sky130A_mr.drc:260
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 1067.00M
+"space" in: sky130A_mr.drc:261
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 1067.00M
+"output" in: sky130A_mr.drc:261
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 1067.00M
+END: 25/44 (lvtn)
+START: 92/44 (ncm)
+"width" in: sky130A_mr.drc:266
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 1067.00M
+"output" in: sky130A_mr.drc:266
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 1067.00M
+"space" in: sky130A_mr.drc:267
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 1067.00M
+"output" in: sky130A_mr.drc:267
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 1067.00M
+END: 92/44 (ncm)
+START: 65/20 (diff)
+"or" in: sky130A_mr.drc:272
+    Polygons (raw): 78 (flat)  45 (hierarchical)
+    Elapsed: 0.010s  Memory: 1067.00M
+"rectangles" in: sky130A_mr.drc:273
+    Polygons (raw): 31 (flat)  11 (hierarchical)
+    Elapsed: 0.000s  Memory: 1067.00M
+"width" in: sky130A_mr.drc:273
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 1067.00M
+"polygons" in: sky130A_mr.drc:273
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 1067.00M
+"edges" in: sky130A_mr.drc:274
+    Edges: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 1067.00M
+"outside_part" in: sky130A_mr.drc:274
+    Edges: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 1067.00M
+"outside" in: sky130A_mr.drc:274
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 1067.00M
+"edges" in: sky130A_mr.drc:274
+    Edges: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 1067.00M
+"not" in: sky130A_mr.drc:274
+    Edges: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 1067.00M
+"output" in: sky130A_mr.drc:275
+    Edges: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 1067.00M
+"outside" in: sky130A_mr.drc:276
+    Polygons (raw): 48 (flat)  17 (hierarchical)
+    Elapsed: 0.000s  Memory: 1067.00M
+"width" in: sky130A_mr.drc:276
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 1067.00M
+"output" in: sky130A_mr.drc:276
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 1067.00M
+END: 65/20 (diff)
+START: 65/44 (tap)
+"rectangles" in: sky130A_mr.drc:280
+    Polygons (raw): 24 (flat)  24 (hierarchical)
+    Elapsed: 0.010s  Memory: 1067.00M
+"width" in: sky130A_mr.drc:280
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 1067.00M
+"polygons" in: sky130A_mr.drc:280
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 1067.00M
+"edges" in: sky130A_mr.drc:281
+    Edges: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 1067.00M
+"outside_part" in: sky130A_mr.drc:281
+    Edges: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 1067.00M
+"outside" in: sky130A_mr.drc:281
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 1067.00M
+"edges" in: sky130A_mr.drc:281
+    Edges: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 1067.00M
+"not" in: sky130A_mr.drc:281
+    Edges: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 1067.00M
+"output" in: sky130A_mr.drc:282
+    Edges: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 1067.00M
+"not" in: sky130A_mr.drc:283
+    Polygons (raw): 48 (flat)  40 (hierarchical)
+    Elapsed: 0.000s  Memory: 1067.00M
+"width" in: sky130A_mr.drc:283
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 1067.00M
+"output" in: sky130A_mr.drc:283
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 1067.00M
+END: 65/44 (tap)
+"space" in: sky130A_mr.drc:286
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 1067.00M
+"output" in: sky130A_mr.drc:286
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 1067.00M
+START: 80/20 (tunm)
+"width" in: sky130A_mr.drc:290
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 1067.00M
+"output" in: sky130A_mr.drc:290
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 1067.00M
+"space" in: sky130A_mr.drc:291
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 1067.00M
+"output" in: sky130A_mr.drc:291
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 1067.00M
+END: 80/20 (tunm)
+START: 66/20 (poly)
+"width" in: sky130A_mr.drc:296
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 1067.00M
+"output" in: sky130A_mr.drc:296
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 1067.00M
+"not" in: sky130A_mr.drc:297
+    Polygons (raw): 202 (flat)  116 (hierarchical)
+    Elapsed: 0.010s  Memory: 1067.00M
+"space" in: sky130A_mr.drc:297
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 1067.00M
+"output" in: sky130A_mr.drc:297
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 1067.00M
+START: 86/20 (rpm)
+"width" in: sky130A_mr.drc:302
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 1067.00M
+"output" in: sky130A_mr.drc:302
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 1067.00M
+"space" in: sky130A_mr.drc:303
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 1067.00M
+"output" in: sky130A_mr.drc:303
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 1067.00M
+END: 86/20 (rpm)
+START: 79/20 (urpm)
+"width" in: sky130A_mr.drc:308
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 1067.00M
+"output" in: sky130A_mr.drc:308
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 1067.00M
+"space" in: sky130A_mr.drc:309
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 1067.00M
+"output" in: sky130A_mr.drc:309
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 1067.00M
+END: 79/20 (urpm)
+START: 95/20 (npc)
+"width" in: sky130A_mr.drc:314
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 1067.00M
+"output" in: sky130A_mr.drc:314
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 1067.00M
+"space" in: sky130A_mr.drc:315
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 1083.00M
+"output" in: sky130A_mr.drc:315
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 1067.00M
+END: 95/20 (npc)
+START: 93/44 (nsdm)
+"outside" in: sky130A_mr.drc:320
+    Polygons (raw): 34 (flat)  22 (hierarchical)
+    Elapsed: 0.010s  Memory: 1067.00M
+"width" in: sky130A_mr.drc:320
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 1067.00M
+"output" in: sky130A_mr.drc:320
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 1067.00M
+"not" in: sky130A_mr.drc:321
+    Polygons (raw): 62 (flat)  43 (hierarchical)
+    Elapsed: 0.010s  Memory: 1067.00M
+"space" in: sky130A_mr.drc:321
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 1067.00M
+"output" in: sky130A_mr.drc:321
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 1067.00M
+END: 93/44 (nsdm)
+START: 94/20 (psdm)
+"outside" in: sky130A_mr.drc:326
+    Polygons (raw): 34 (flat)  30 (hierarchical)
+    Elapsed: 0.010s  Memory: 1067.00M
+"width" in: sky130A_mr.drc:326
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 1067.00M
+"output" in: sky130A_mr.drc:326
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 1067.00M
+"not" in: sky130A_mr.drc:327
+    Polygons (raw): 74 (flat)  48 (hierarchical)
+    Elapsed: 0.010s  Memory: 1067.00M
+"space" in: sky130A_mr.drc:327
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 1067.00M
+"output" in: sky130A_mr.drc:327
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.020s  Memory: 1067.00M
+END: 94/20 (psdm)
+START: 66/44 (licon)
+"not" in: sky130A_mr.drc:338
+    Polygons (raw): 369 (flat)  116 (hierarchical)
+    Elapsed: 0.000s  Memory: 1067.00M
+"and" in: sky130A_mr.drc:339
+    Polygons (raw): 755 (flat)  248 (hierarchical)
+    Elapsed: 0.010s  Memory: 1067.00M
+"interacting" in: sky130A_mr.drc:339
+    Polygons (raw): 755 (flat)  248 (hierarchical)
+    Elapsed: 0.010s  Memory: 1067.00M
+"not" in: sky130A_mr.drc:340
+    Polygons (raw): 755 (flat)  248 (hierarchical)
+    Elapsed: 0.010s  Memory: 1067.00M
+"non_rectangles" in: sky130A_mr.drc:341
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 1067.00M
+"output" in: sky130A_mr.drc:341
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 1067.00M
+"or" in: sky130A_mr.drc:342
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 1067.00M
+"not" in: sky130A_mr.drc:342
+    Polygons (raw): 919 (flat)  303 (hierarchical)
+    Elapsed: 0.000s  Memory: 1067.00M
+"edges" in: sky130A_mr.drc:342
+    Edges: 3676 (flat)  1212 (hierarchical)
+    Elapsed: 0.010s  Memory: 1067.00M
+"without_length" in: sky130A_mr.drc:342
+    Edges: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 1067.00M
+"output" in: sky130A_mr.drc:342
+    Edges: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 1067.00M
+"separation" in: sky130A_mr.drc:343
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 1067.00M
+"output" in: sky130A_mr.drc:343
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 1067.00M
+"and" in: sky130A_mr.drc:344
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 1067.00M
+"output" in: sky130A_mr.drc:344
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 1067.00M
+"interacting" in: sky130A_mr.drc:345
+    Polygons (raw): 164 (flat)  55 (hierarchical)
+    Elapsed: 0.010s  Memory: 1067.00M
+"interacting" in: sky130A_mr.drc:345
+    Polygons (raw): 755 (flat)  248 (hierarchical)
+    Elapsed: 0.000s  Memory: 1067.00M
+"and" in: sky130A_mr.drc:345
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 1067.00M
+"output" in: sky130A_mr.drc:345
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 1067.00M
+END: 66/44 (licon)
+START: 89/44 (capm)
+"and" in: sky130A_mr.drc:350
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 1067.00M
+"sized" in: sky130A_mr.drc:350
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 1067.00M
+"width" in: sky130A_mr.drc:351
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 1067.00M
+"output" in: sky130A_mr.drc:351
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 1067.00M
+"space" in: sky130A_mr.drc:352
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 1067.00M
+"output" in: sky130A_mr.drc:352
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 1067.00M
+"interacting" in: sky130A_mr.drc:353
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 1067.00M
+"isolated" in: sky130A_mr.drc:353
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 1067.00M
+"output" in: sky130A_mr.drc:353
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 1067.00M
+"isolated" in: sky130A_mr.drc:354
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 1067.00M
+"output" in: sky130A_mr.drc:354
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 1067.00M
+"and" in: sky130A_mr.drc:355
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 1067.00M
+"enclosing" in: sky130A_mr.drc:355
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 1067.00M
+"output" in: sky130A_mr.drc:355
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 1067.00M
+"enclosing" in: sky130A_mr.drc:356
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 1067.00M
+"output" in: sky130A_mr.drc:356
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 1067.00M
+"enclosing" in: sky130A_mr.drc:357
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 1067.00M
+"output" in: sky130A_mr.drc:357
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 1067.00M
+"separation" in: sky130A_mr.drc:358
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 1067.00M
+"output" in: sky130A_mr.drc:358
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 1067.00M
+END: 89/44 (capm)
+START: 97/44 (cap2m)
+"and" in: sky130A_mr.drc:363
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 1067.00M
+"sized" in: sky130A_mr.drc:363
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 1067.00M
+"width" in: sky130A_mr.drc:364
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 1067.00M
+"output" in: sky130A_mr.drc:364
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 1067.00M
+"space" in: sky130A_mr.drc:365
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 1067.00M
+"output" in: sky130A_mr.drc:365
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 1067.00M
+"interacting" in: sky130A_mr.drc:366
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 1067.00M
+"isolated" in: sky130A_mr.drc:366
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 1067.00M
+"output" in: sky130A_mr.drc:366
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 1067.00M
+"isolated" in: sky130A_mr.drc:368
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 1067.00M
+"output" in: sky130A_mr.drc:368
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 1067.00M
+"and" in: sky130A_mr.drc:369
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 1067.00M
+"enclosing" in: sky130A_mr.drc:369
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 1067.00M
+"output" in: sky130A_mr.drc:369
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 1067.00M
+"enclosing" in: sky130A_mr.drc:370
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 1067.00M
+"output" in: sky130A_mr.drc:370
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 1067.00M
+"enclosing" in: sky130A_mr.drc:371
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 1067.00M
+"output" in: sky130A_mr.drc:371
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 1067.00M
+"separation" in: sky130A_mr.drc:372
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 1067.00M
+"output" in: sky130A_mr.drc:372
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 1067.00M
+END: 97/44 (cap2m)
+FEOL section
+START: 75/20 (hvi)
+"not" in: sky130A_mr.drc:672
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 1067.00M
+"width" in: sky130A_mr.drc:673
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 1067.00M
+"output" in: sky130A_mr.drc:673
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 1067.00M
+"space" in: sky130A_mr.drc:674
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 1067.00M
+"output" in: sky130A_mr.drc:674
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 1067.00M
+END: 75/20 (hvi)
+START: 125/20 (hvntm)
+"not" in: sky130A_mr.drc:679
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 1067.00M
+"width" in: sky130A_mr.drc:680
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 1067.00M
+"output" in: sky130A_mr.drc:680
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 1067.00M
+"space" in: sky130A_mr.drc:681
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 1067.00M
+"output" in: sky130A_mr.drc:681
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 1067.00M
+END: 125/20 (hvntm)
+Writing report database: /home/krishna/Comparator_MPW6/precheck_results/04_JUN_2022___10_33_37/outputs/reports/klayout_feol_check.xml ..
+Total elapsed: 1.440s  Memory: 1067.00M
diff --git a/precheck_results/04_JUN_2022___10_33_37/logs/klayout_feol_check.total b/precheck_results/04_JUN_2022___10_33_37/logs/klayout_feol_check.total
new file mode 100644
index 0000000..c227083
--- /dev/null
+++ b/precheck_results/04_JUN_2022___10_33_37/logs/klayout_feol_check.total
@@ -0,0 +1 @@
+0
\ No newline at end of file
diff --git a/precheck_results/04_JUN_2022___10_33_37/logs/klayout_met_min_ca_density_check.log b/precheck_results/04_JUN_2022___10_33_37/logs/klayout_met_min_ca_density_check.log
new file mode 100644
index 0000000..3ce1716
--- /dev/null
+++ b/precheck_results/04_JUN_2022___10_33_37/logs/klayout_met_min_ca_density_check.log
@@ -0,0 +1,79 @@
+"polygons" in: met_min_ca_density.lydrc:35
+    Polygons (raw): 640 (flat)  428 (hierarchical)
+    Elapsed: 0.010s  Memory: 522.00M
+"polygons" in: met_min_ca_density.lydrc:36
+    Polygons (raw): 1756 (flat)  1480 (hierarchical)
+    Elapsed: 0.010s  Memory: 522.00M
+"polygons" in: met_min_ca_density.lydrc:38
+    Polygons (raw): 369 (flat)  303 (hierarchical)
+    Elapsed: 0.000s  Memory: 522.00M
+"polygons" in: met_min_ca_density.lydrc:39
+    Polygons (raw): 2570 (flat)  2570 (hierarchical)
+    Elapsed: 0.010s  Memory: 522.00M
+"polygons" in: met_min_ca_density.lydrc:41
+    Polygons (raw): 1097 (flat)  1097 (hierarchical)
+    Elapsed: 0.000s  Memory: 522.00M
+"polygons" in: met_min_ca_density.lydrc:42
+    Polygons (raw): 1761 (flat)  1761 (hierarchical)
+    Elapsed: 0.010s  Memory: 522.00M
+"polygons" in: met_min_ca_density.lydrc:44
+    Polygons (raw): 642 (flat)  642 (hierarchical)
+    Elapsed: 0.010s  Memory: 522.00M
+"polygons" in: met_min_ca_density.lydrc:45
+    Polygons (raw): 25800 (flat)  25800 (hierarchical)
+    Elapsed: 0.010s  Memory: 523.00M
+"polygons" in: met_min_ca_density.lydrc:47
+    Polygons (raw): 181 (flat)  181 (hierarchical)
+    Elapsed: 0.000s  Memory: 523.00M
+"polygons" in: met_min_ca_density.lydrc:48
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 523.00M
+"polygons" in: met_min_ca_density.lydrc:49
+    Polygons (raw): 552 (flat)  552 (hierarchical)
+    Elapsed: 0.000s  Memory: 523.00M
+"polygons" in: met_min_ca_density.lydrc:51
+    Polygons (raw): 159 (flat)  159 (hierarchical)
+    Elapsed: 0.010s  Memory: 523.00M
+"input" in: met_min_ca_density.lydrc:53
+    Polygons (raw): 5 (flat)  4 (hierarchical)
+    Elapsed: 0.000s  Memory: 523.00M
+"area" in: met_min_ca_density.lydrc:55
+    Elapsed: 0.010s  Memory: 523.00M
+"polygons" in: met_min_ca_density.lydrc:59
+    Polygons (raw): 640 (flat)  428 (hierarchical)
+    Elapsed: 0.000s  Memory: 523.00M
+"area" in: met_min_ca_density.lydrc:59
+    Elapsed: 0.010s  Memory: 523.00M
+li1_ca_density is 0.9998141792788761
+"polygons" in: met_min_ca_density.lydrc:69
+    Polygons (raw): 369 (flat)  303 (hierarchical)
+    Elapsed: 0.010s  Memory: 523.00M
+"area" in: met_min_ca_density.lydrc:69
+    Elapsed: 0.010s  Memory: 523.00M
+m1_ca_density is 0.9997225643923179
+"polygons" in: met_min_ca_density.lydrc:79
+    Polygons (raw): 1097 (flat)  1097 (hierarchical)
+    Elapsed: 0.000s  Memory: 523.00M
+"area" in: met_min_ca_density.lydrc:79
+    Elapsed: 0.010s  Memory: 523.00M
+m2_ca_density is 0.999675358061566
+"polygons" in: met_min_ca_density.lydrc:89
+    Polygons (raw): 642 (flat)  642 (hierarchical)
+    Elapsed: 0.000s  Memory: 523.00M
+"area" in: met_min_ca_density.lydrc:89
+    Elapsed: 0.000s  Memory: 523.00M
+m3_ca_density is 0.9840555168265489
+"polygons" in: met_min_ca_density.lydrc:99
+    Polygons (raw): 181 (flat)  181 (hierarchical)
+    Elapsed: 0.010s  Memory: 523.00M
+"area" in: met_min_ca_density.lydrc:99
+    Elapsed: 0.000s  Memory: 523.00M
+m4_ca_density is 0.9791221846007161
+"polygons" in: met_min_ca_density.lydrc:109
+    Polygons (raw): 159 (flat)  159 (hierarchical)
+    Elapsed: 0.010s  Memory: 523.00M
+"area" in: met_min_ca_density.lydrc:109
+    Elapsed: 0.010s  Memory: 523.00M
+m5_ca_density is 0.9695933055825809
+Writing report database: /home/krishna/Comparator_MPW6/precheck_results/04_JUN_2022___10_33_37/outputs/reports/klayout_met_min_ca_density_check.xml ..
+Total elapsed: 0.170s  Memory: 522.00M
diff --git a/precheck_results/04_JUN_2022___10_33_37/logs/klayout_met_min_ca_density_check.total b/precheck_results/04_JUN_2022___10_33_37/logs/klayout_met_min_ca_density_check.total
new file mode 100644
index 0000000..c227083
--- /dev/null
+++ b/precheck_results/04_JUN_2022___10_33_37/logs/klayout_met_min_ca_density_check.total
@@ -0,0 +1 @@
+0
\ No newline at end of file
diff --git a/precheck_results/04_JUN_2022___10_33_37/logs/klayout_offgrid_check.log b/precheck_results/04_JUN_2022___10_33_37/logs/klayout_offgrid_check.log
new file mode 100644
index 0000000..0b2a19f
--- /dev/null
+++ b/precheck_results/04_JUN_2022___10_33_37/logs/klayout_offgrid_check.log
@@ -0,0 +1,755 @@
+/home/krishna/mpw_precheckmpw6/checks/tech-files/sky130A_mr.drc:38: warning: already initialized constant DRC::DRCEngine::FEOL
+/home/krishna/mpw_precheckmpw6/checks/tech-files/sky130A_mr.drc:28: warning: previous definition of FEOL was here
+/home/krishna/mpw_precheckmpw6/checks/tech-files/sky130A_mr.drc:44: warning: already initialized constant DRC::DRCEngine::BEOL
+/home/krishna/mpw_precheckmpw6/checks/tech-files/sky130A_mr.drc:29: warning: previous definition of BEOL was here
+/home/krishna/mpw_precheckmpw6/checks/tech-files/sky130A_mr.drc:48: warning: already initialized constant DRC::DRCEngine::OFFGRID
+/home/krishna/mpw_precheckmpw6/checks/tech-files/sky130A_mr.drc:30: warning: previous definition of OFFGRID was here
+/home/krishna/mpw_precheckmpw6/checks/tech-files/sky130A_mr.drc:56: warning: already initialized constant DRC::DRCEngine::SEAL
+/home/krishna/mpw_precheckmpw6/checks/tech-files/sky130A_mr.drc:31: warning: previous definition of SEAL was here
+/home/krishna/mpw_precheckmpw6/checks/tech-files/sky130A_mr.drc:62: warning: already initialized constant DRC::DRCEngine::FLOATING_MET
+/home/krishna/mpw_precheckmpw6/checks/tech-files/sky130A_mr.drc:32: warning: previous definition of FLOATING_MET was here
+"input" in: sky130A_mr.drc:112
+    Polygons (raw): 62 (flat)  25 (hierarchical)
+    Elapsed: 0.000s  Memory: 522.00M
+"polygons" in: sky130A_mr.drc:113
+    Polygons (raw): 48 (flat)  40 (hierarchical)
+    Elapsed: 0.010s  Memory: 522.00M
+"polygons" in: sky130A_mr.drc:114
+    Polygons (raw): 89 (flat)  69 (hierarchical)
+    Elapsed: 0.010s  Memory: 522.00M
+"polygons" in: sky130A_mr.drc:115
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 522.00M
+"polygons" in: sky130A_mr.drc:116
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 522.00M
+"polygons" in: sky130A_mr.drc:117
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 522.00M
+"polygons" in: sky130A_mr.drc:118
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 522.00M
+"polygons" in: sky130A_mr.drc:119
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 522.00M
+"polygons" in: sky130A_mr.drc:120
+    Polygons (raw): 10 (flat)  2 (hierarchical)
+    Elapsed: 0.010s  Memory: 522.00M
+"polygons" in: sky130A_mr.drc:121
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 522.00M
+"polygons" in: sky130A_mr.drc:122
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 522.00M
+"polygons" in: sky130A_mr.drc:123
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 522.00M
+"polygons" in: sky130A_mr.drc:124
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 522.00M
+"polygons" in: sky130A_mr.drc:125
+    Polygons (raw): 202 (flat)  116 (hierarchical)
+    Elapsed: 0.000s  Memory: 522.00M
+"polygons" in: sky130A_mr.drc:126
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 522.00M
+"polygons" in: sky130A_mr.drc:127
+    Polygons (raw): 62 (flat)  43 (hierarchical)
+    Elapsed: 0.000s  Memory: 522.00M
+"polygons" in: sky130A_mr.drc:128
+    Polygons (raw): 74 (flat)  48 (hierarchical)
+    Elapsed: 0.010s  Memory: 522.00M
+"polygons" in: sky130A_mr.drc:129
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 522.00M
+"polygons" in: sky130A_mr.drc:130
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 522.00M
+"polygons" in: sky130A_mr.drc:131
+    Polygons (raw): 33 (flat)  24 (hierarchical)
+    Elapsed: 0.000s  Memory: 522.00M
+"polygons" in: sky130A_mr.drc:132
+    Polygons (raw): 919 (flat)  303 (hierarchical)
+    Elapsed: 0.010s  Memory: 522.00M
+"polygons" in: sky130A_mr.drc:134
+    Polygons (raw): 590 (flat)  418 (hierarchical)
+    Elapsed: 0.000s  Memory: 522.00M
+"polygons" in: sky130A_mr.drc:135
+    Polygons (raw): 1756 (flat)  1480 (hierarchical)
+    Elapsed: 0.010s  Memory: 522.00M
+"polygons" in: sky130A_mr.drc:137
+    Polygons (raw): 349 (flat)  299 (hierarchical)
+    Elapsed: 0.000s  Memory: 522.00M
+"polygons" in: sky130A_mr.drc:138
+    Polygons (raw): 2570 (flat)  2570 (hierarchical)
+    Elapsed: 0.010s  Memory: 522.00M
+"polygons" in: sky130A_mr.drc:140
+    Polygons (raw): 603 (flat)  603 (hierarchical)
+    Elapsed: 0.010s  Memory: 522.00M
+"polygons" in: sky130A_mr.drc:141
+    Polygons (raw): 1761 (flat)  1761 (hierarchical)
+    Elapsed: 0.000s  Memory: 522.00M
+"polygons" in: sky130A_mr.drc:143
+    Polygons (raw): 458 (flat)  458 (hierarchical)
+    Elapsed: 0.010s  Memory: 522.00M
+"polygons" in: sky130A_mr.drc:144
+    Polygons (raw): 25800 (flat)  25800 (hierarchical)
+    Elapsed: 0.010s  Memory: 523.00M
+"polygons" in: sky130A_mr.drc:146
+    Polygons (raw): 177 (flat)  177 (hierarchical)
+    Elapsed: 0.010s  Memory: 523.00M
+"polygons" in: sky130A_mr.drc:147
+    Polygons (raw): 552 (flat)  552 (hierarchical)
+    Elapsed: 0.000s  Memory: 523.00M
+"polygons" in: sky130A_mr.drc:149
+    Polygons (raw): 153 (flat)  153 (hierarchical)
+    Elapsed: 0.010s  Memory: 523.00M
+"polygons" in: sky130A_mr.drc:151
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 523.00M
+"polygons" in: sky130A_mr.drc:152
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 523.00M
+"polygons" in: sky130A_mr.drc:153
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 523.00M
+"polygons" in: sky130A_mr.drc:154
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 523.00M
+"polygons" in: sky130A_mr.drc:155
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 523.00M
+"polygons" in: sky130A_mr.drc:156
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 523.00M
+"polygons" in: sky130A_mr.drc:157
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 523.00M
+"polygons" in: sky130A_mr.drc:158
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 523.00M
+"polygons" in: sky130A_mr.drc:159
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 523.00M
+"polygons" in: sky130A_mr.drc:160
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 523.00M
+"polygons" in: sky130A_mr.drc:161
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 523.00M
+"polygons" in: sky130A_mr.drc:162
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 523.00M
+"polygons" in: sky130A_mr.drc:163
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 523.00M
+"polygons" in: sky130A_mr.drc:164
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 523.00M
+"polygons" in: sky130A_mr.drc:165
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 523.00M
+"polygons" in: sky130A_mr.drc:166
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 523.00M
+"polygons" in: sky130A_mr.drc:167
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 523.00M
+"polygons" in: sky130A_mr.drc:168
+    Polygons (raw): 10 (flat)  2 (hierarchical)
+    Elapsed: 0.010s  Memory: 523.00M
+"polygons" in: sky130A_mr.drc:169
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 523.00M
+"polygons" in: sky130A_mr.drc:170
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 523.00M
+"polygons" in: sky130A_mr.drc:171
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 523.00M
+"polygons" in: sky130A_mr.drc:172
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 523.00M
+"polygons" in: sky130A_mr.drc:173
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 523.00M
+"polygons" in: sky130A_mr.drc:174
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 523.00M
+"polygons" in: sky130A_mr.drc:175
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 523.00M
+"polygons" in: sky130A_mr.drc:176
+    Polygons (raw): 1 (flat)  1 (hierarchical)
+    Elapsed: 0.010s  Memory: 523.00M
+"polygons" in: sky130A_mr.drc:177
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 523.00M
+"polygons" in: sky130A_mr.drc:178
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 523.00M
+"polygons" in: sky130A_mr.drc:179
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 523.00M
+"polygons" in: sky130A_mr.drc:180
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 523.00M
+"polygons" in: sky130A_mr.drc:181
+    Polygons (raw): 4 (flat)  3 (hierarchical)
+    Elapsed: 0.000s  Memory: 523.00M
+"polygons" in: sky130A_mr.drc:182
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 523.00M
+"polygons" in: sky130A_mr.drc:183
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 523.00M
+"polygons" in: sky130A_mr.drc:184
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 523.00M
+"polygons" in: sky130A_mr.drc:185
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 523.00M
+"polygons" in: sky130A_mr.drc:186
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 523.00M
+"polygons" in: sky130A_mr.drc:187
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 523.00M
+"polygons" in: sky130A_mr.drc:188
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 523.00M
+"polygons" in: sky130A_mr.drc:189
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 523.00M
+"polygons" in: sky130A_mr.drc:190
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 523.00M
+"polygons" in: sky130A_mr.drc:191
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 523.00M
+"polygons" in: sky130A_mr.drc:192
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 523.00M
+"polygons" in: sky130A_mr.drc:193
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 523.00M
+"polygons" in: sky130A_mr.drc:194
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 523.00M
+"polygons" in: sky130A_mr.drc:195
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 523.00M
+"polygons" in: sky130A_mr.drc:196
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 523.00M
+"polygons" in: sky130A_mr.drc:197
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 523.00M
+"polygons" in: sky130A_mr.drc:198
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 523.00M
+"polygons" in: sky130A_mr.drc:199
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 523.00M
+"polygons" in: sky130A_mr.drc:200
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 523.00M
+"polygons" in: sky130A_mr.drc:201
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 523.00M
+"polygons" in: sky130A_mr.drc:202
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 523.00M
+"polygons" in: sky130A_mr.drc:203
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 523.00M
+DRC section
+OFFGRID-ANGLES section
+"ongrid" in: sky130A_mr.drc:690
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 523.00M
+"output" in: sky130A_mr.drc:690
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 523.00M
+"with_angle" in: sky130A_mr.drc:691
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 523.00M
+"output" in: sky130A_mr.drc:691
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 523.00M
+"ongrid" in: sky130A_mr.drc:692
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 523.00M
+"output" in: sky130A_mr.drc:692
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 523.00M
+"with_angle" in: sky130A_mr.drc:693
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 523.00M
+"output" in: sky130A_mr.drc:693
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 523.00M
+"ongrid" in: sky130A_mr.drc:694
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 523.00M
+"output" in: sky130A_mr.drc:694
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 523.00M
+"with_angle" in: sky130A_mr.drc:695
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 523.00M
+"output" in: sky130A_mr.drc:695
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 523.00M
+"ongrid" in: sky130A_mr.drc:696
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 523.00M
+"output" in: sky130A_mr.drc:696
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 523.00M
+"with_angle" in: sky130A_mr.drc:697
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 523.00M
+"output" in: sky130A_mr.drc:697
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 523.00M
+"ongrid" in: sky130A_mr.drc:698
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 523.00M
+"output" in: sky130A_mr.drc:698
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 523.00M
+"with_angle" in: sky130A_mr.drc:699
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 523.00M
+"output" in: sky130A_mr.drc:699
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 523.00M
+"ongrid" in: sky130A_mr.drc:700
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 523.00M
+"output" in: sky130A_mr.drc:700
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 523.00M
+"with_angle" in: sky130A_mr.drc:701
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 523.00M
+"output" in: sky130A_mr.drc:701
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 523.00M
+"ongrid" in: sky130A_mr.drc:702
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 523.00M
+"output" in: sky130A_mr.drc:702
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 523.00M
+"with_angle" in: sky130A_mr.drc:703
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 523.00M
+"output" in: sky130A_mr.drc:703
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 523.00M
+"ongrid" in: sky130A_mr.drc:704
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 523.00M
+"output" in: sky130A_mr.drc:704
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 523.00M
+"with_angle" in: sky130A_mr.drc:705
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 523.00M
+"output" in: sky130A_mr.drc:705
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 523.00M
+"ongrid" in: sky130A_mr.drc:706
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 523.00M
+"output" in: sky130A_mr.drc:706
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 523.00M
+"ongrid" in: sky130A_mr.drc:707
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 523.00M
+"output" in: sky130A_mr.drc:707
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 523.00M
+"and" in: sky130A_mr.drc:708
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 523.00M
+"not" in: sky130A_mr.drc:708
+    Polygons (raw): 62 (flat)  25 (hierarchical)
+    Elapsed: 0.000s  Memory: 523.00M
+"with_angle" in: sky130A_mr.drc:708
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 523.00M
+"output" in: sky130A_mr.drc:708
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 523.00M
+"and" in: sky130A_mr.drc:709
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 523.00M
+"and" in: sky130A_mr.drc:709
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 523.00M
+"with_angle" in: sky130A_mr.drc:709
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 523.00M
+"output" in: sky130A_mr.drc:709
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 523.00M
+"and" in: sky130A_mr.drc:710
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 523.00M
+"not" in: sky130A_mr.drc:710
+    Polygons (raw): 48 (flat)  40 (hierarchical)
+    Elapsed: 0.000s  Memory: 523.00M
+"with_angle" in: sky130A_mr.drc:710
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 523.00M
+"output" in: sky130A_mr.drc:710
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 523.00M
+"and" in: sky130A_mr.drc:711
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 523.00M
+"and" in: sky130A_mr.drc:711
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 523.00M
+"with_angle" in: sky130A_mr.drc:711
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 523.00M
+"output" in: sky130A_mr.drc:711
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 523.00M
+"ongrid" in: sky130A_mr.drc:712
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 523.00M
+"output" in: sky130A_mr.drc:712
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 523.00M
+"with_angle" in: sky130A_mr.drc:713
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 523.00M
+"output" in: sky130A_mr.drc:713
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 523.00M
+"ongrid" in: sky130A_mr.drc:714
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 523.00M
+"output" in: sky130A_mr.drc:714
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 523.00M
+"with_angle" in: sky130A_mr.drc:715
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 523.00M
+"output" in: sky130A_mr.drc:715
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 523.00M
+"ongrid" in: sky130A_mr.drc:716
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 523.00M
+"output" in: sky130A_mr.drc:716
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 523.00M
+"with_angle" in: sky130A_mr.drc:717
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 523.00M
+"output" in: sky130A_mr.drc:717
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 523.00M
+"ongrid" in: sky130A_mr.drc:718
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 523.00M
+"output" in: sky130A_mr.drc:718
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 523.00M
+"with_angle" in: sky130A_mr.drc:719
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 523.00M
+"output" in: sky130A_mr.drc:719
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 523.00M
+"ongrid" in: sky130A_mr.drc:720
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 523.00M
+"output" in: sky130A_mr.drc:720
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 523.00M
+"with_angle" in: sky130A_mr.drc:721
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 523.00M
+"output" in: sky130A_mr.drc:721
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 523.00M
+"ongrid" in: sky130A_mr.drc:722
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 523.00M
+"output" in: sky130A_mr.drc:722
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 523.00M
+"with_angle" in: sky130A_mr.drc:723
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 523.00M
+"output" in: sky130A_mr.drc:723
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 523.00M
+"ongrid" in: sky130A_mr.drc:724
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 523.00M
+"output" in: sky130A_mr.drc:724
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 523.00M
+"with_angle" in: sky130A_mr.drc:725
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 523.00M
+"output" in: sky130A_mr.drc:725
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 523.00M
+"ongrid" in: sky130A_mr.drc:726
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 523.00M
+"output" in: sky130A_mr.drc:726
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 523.00M
+"with_angle" in: sky130A_mr.drc:727
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 523.00M
+"output" in: sky130A_mr.drc:727
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 523.00M
+"ongrid" in: sky130A_mr.drc:728
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 523.00M
+"output" in: sky130A_mr.drc:728
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 523.00M
+"with_angle" in: sky130A_mr.drc:729
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 523.00M
+"output" in: sky130A_mr.drc:729
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 523.00M
+"ongrid" in: sky130A_mr.drc:730
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 523.00M
+"output" in: sky130A_mr.drc:730
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 523.00M
+"with_angle" in: sky130A_mr.drc:731
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 523.00M
+"output" in: sky130A_mr.drc:731
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 523.00M
+"ongrid" in: sky130A_mr.drc:732
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 523.00M
+"output" in: sky130A_mr.drc:732
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 523.00M
+"with_angle" in: sky130A_mr.drc:733
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 523.00M
+"output" in: sky130A_mr.drc:733
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 523.00M
+"ongrid" in: sky130A_mr.drc:734
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.020s  Memory: 524.00M
+"output" in: sky130A_mr.drc:734
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 524.00M
+"with_angle" in: sky130A_mr.drc:735
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 524.00M
+"output" in: sky130A_mr.drc:735
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 524.00M
+"ongrid" in: sky130A_mr.drc:736
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 524.00M
+"output" in: sky130A_mr.drc:736
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 524.00M
+"with_angle" in: sky130A_mr.drc:737
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 524.00M
+"output" in: sky130A_mr.drc:737
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 524.00M
+"ongrid" in: sky130A_mr.drc:738
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 524.00M
+"output" in: sky130A_mr.drc:738
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 524.00M
+"with_angle" in: sky130A_mr.drc:739
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 524.00M
+"output" in: sky130A_mr.drc:739
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 524.00M
+"ongrid" in: sky130A_mr.drc:740
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 524.00M
+"output" in: sky130A_mr.drc:740
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 524.00M
+"with_angle" in: sky130A_mr.drc:741
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 524.00M
+"output" in: sky130A_mr.drc:741
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 524.00M
+"ongrid" in: sky130A_mr.drc:742
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.140s  Memory: 530.00M
+"output" in: sky130A_mr.drc:742
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 530.00M
+"with_angle" in: sky130A_mr.drc:743
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.020s  Memory: 530.00M
+"output" in: sky130A_mr.drc:743
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 530.00M
+"ongrid" in: sky130A_mr.drc:744
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 530.00M
+"output" in: sky130A_mr.drc:744
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 530.00M
+"with_angle" in: sky130A_mr.drc:745
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 530.00M
+"output" in: sky130A_mr.drc:745
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 530.00M
+"ongrid" in: sky130A_mr.drc:746
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 530.00M
+"output" in: sky130A_mr.drc:746
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 530.00M
+"with_angle" in: sky130A_mr.drc:747
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 530.00M
+"output" in: sky130A_mr.drc:747
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 530.00M
+"ongrid" in: sky130A_mr.drc:748
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 530.00M
+"output" in: sky130A_mr.drc:748
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 530.00M
+"with_angle" in: sky130A_mr.drc:749
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 530.00M
+"output" in: sky130A_mr.drc:749
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 530.00M
+"ongrid" in: sky130A_mr.drc:750
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 530.00M
+"output" in: sky130A_mr.drc:750
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 530.00M
+"with_angle" in: sky130A_mr.drc:751
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 530.00M
+"output" in: sky130A_mr.drc:751
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 530.00M
+"ongrid" in: sky130A_mr.drc:752
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 530.00M
+"output" in: sky130A_mr.drc:752
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 530.00M
+"with_angle" in: sky130A_mr.drc:753
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 530.00M
+"output" in: sky130A_mr.drc:753
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 530.00M
+"ongrid" in: sky130A_mr.drc:754
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 530.00M
+"output" in: sky130A_mr.drc:754
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 530.00M
+"with_angle" in: sky130A_mr.drc:755
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 530.00M
+"output" in: sky130A_mr.drc:755
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 530.00M
+"ongrid" in: sky130A_mr.drc:756
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 530.00M
+"output" in: sky130A_mr.drc:756
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 530.00M
+"with_angle" in: sky130A_mr.drc:757
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 530.00M
+"output" in: sky130A_mr.drc:757
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 530.00M
+"ongrid" in: sky130A_mr.drc:758
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 530.00M
+"output" in: sky130A_mr.drc:758
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 530.00M
+"with_angle" in: sky130A_mr.drc:759
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 530.00M
+"output" in: sky130A_mr.drc:759
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 530.00M
+"ongrid" in: sky130A_mr.drc:760
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 530.00M
+"output" in: sky130A_mr.drc:760
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 530.00M
+"with_angle" in: sky130A_mr.drc:761
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 530.00M
+"output" in: sky130A_mr.drc:761
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 530.00M
+"ongrid" in: sky130A_mr.drc:762
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 530.00M
+"output" in: sky130A_mr.drc:762
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 530.00M
+"with_angle" in: sky130A_mr.drc:763
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 530.00M
+"output" in: sky130A_mr.drc:763
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 530.00M
+"ongrid" in: sky130A_mr.drc:764
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 530.00M
+"output" in: sky130A_mr.drc:764
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 530.00M
+"with_angle" in: sky130A_mr.drc:765
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 530.00M
+"output" in: sky130A_mr.drc:765
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 530.00M
+"ongrid" in: sky130A_mr.drc:766
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 530.00M
+"output" in: sky130A_mr.drc:766
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 530.00M
+Writing report database: /home/krishna/Comparator_MPW6/precheck_results/04_JUN_2022___10_33_37/outputs/reports/klayout_offgrid_check.xml ..
+Total elapsed: 1.480s  Memory: 530.00M
diff --git a/precheck_results/04_JUN_2022___10_33_37/logs/klayout_offgrid_check.total b/precheck_results/04_JUN_2022___10_33_37/logs/klayout_offgrid_check.total
new file mode 100644
index 0000000..c227083
--- /dev/null
+++ b/precheck_results/04_JUN_2022___10_33_37/logs/klayout_offgrid_check.total
@@ -0,0 +1 @@
+0
\ No newline at end of file
diff --git a/precheck_results/04_JUN_2022___10_33_37/logs/klayout_pin_label_purposes_overlapping_drawing_check.log b/precheck_results/04_JUN_2022___10_33_37/logs/klayout_pin_label_purposes_overlapping_drawing_check.log
new file mode 100644
index 0000000..a2b9e88
--- /dev/null
+++ b/precheck_results/04_JUN_2022___10_33_37/logs/klayout_pin_label_purposes_overlapping_drawing_check.log
@@ -0,0 +1,29 @@
+Running pin_label_purposes_overlapping_drawing.rb.drc on file=/home/krishna/Comparator_MPW6/gds/user_analog_project_wrapper.gds, topcell=user_analog_project_wrapper, output to /home/krishna/Comparator_MPW6/precheck_results/04_JUN_2022___10_33_37/outputs/reports/klayout_pin_label_purposes_overlapping_drawing_check.xml
+  deep:true tiled:false threads:12
+--- #err|description, table for cell: user_analog_project_wrapper
+NO-Check ----        pwell:64/44/EMP   122/16/dat    64/59/EMP    44/16/EMP     44/5/EMP
+         ----        nwell:64/20/dat    64/16/dat     64/5/EMP
+         ----         diff:65/20/dat    65/16/EMP     65/6/EMP
+         ----          tap:65/44/dat    65/48/EMP     65/5/EMP
+         ----         poly:66/20/dat    66/16/EMP     66/5/EMP
+         ----       licon1:66/44/dat    66/58/EMP
+         ----          li1:67/20/dat    67/16/dat     67/5/EMP
+         ----         mcon:67/44/dat    67/48/EMP
+         ----         met1:68/20/dat    68/16/dat     68/5/EMP
+         ----          via:68/44/dat    68/58/EMP
+         ----         met2:69/20/dat    69/16/dat     69/5/EMP
+         ----         via2:69/44/dat    69/58/EMP
+         ----         met3:70/20/dat    70/16/dat     70/5/EMP
+         ----         via3:70/44/dat    70/48/EMP
+         ----         met4:71/20/dat    71/16/dat     71/5/EMP
+         ----         via4:71/44/dat    71/48/EMP
+         ----         met5:72/20/dat    72/16/dat     72/5/EMP
+         ----          pad:76/20/EMP     76/5/EMP    76/16/EMP
+         ----          pnp:82/44/EMP    82/59/EMP
+         ----          npn:82/20/EMP     82/5/EMP
+         ----          rdl:74/20/EMP    74/16/EMP     74/5/EMP
+         ----     inductor:82/24/EMP    82/25/EMP
+       0 total error(s) among 0 error type(s), 33 checks, cell: user_analog_project_wrapper
+Writing report...
+VmPeak:	 2305224 kB
+VmHWM:	  258144 kB
diff --git a/precheck_results/04_JUN_2022___10_33_37/logs/klayout_pin_label_purposes_overlapping_drawing_check.total b/precheck_results/04_JUN_2022___10_33_37/logs/klayout_pin_label_purposes_overlapping_drawing_check.total
new file mode 100644
index 0000000..c227083
--- /dev/null
+++ b/precheck_results/04_JUN_2022___10_33_37/logs/klayout_pin_label_purposes_overlapping_drawing_check.total
@@ -0,0 +1 @@
+0
\ No newline at end of file
diff --git a/precheck_results/04_JUN_2022___10_33_37/logs/klayout_zeroarea_check.log b/precheck_results/04_JUN_2022___10_33_37/logs/klayout_zeroarea_check.log
new file mode 100644
index 0000000..664874a
--- /dev/null
+++ b/precheck_results/04_JUN_2022___10_33_37/logs/klayout_zeroarea_check.log
@@ -0,0 +1,4 @@
+0 zero-area shapes
+writing to /home/krishna/Comparator_MPW6/precheck_results/04_JUN_2022___10_33_37/outputs/user_analog_project_wrapper_no_zero_areas.gds
+VmPeak:	  542076 kB
+VmHWM:	  260328 kB
diff --git a/precheck_results/04_JUN_2022___10_33_37/logs/klayout_zeroarea_check.total b/precheck_results/04_JUN_2022___10_33_37/logs/klayout_zeroarea_check.total
new file mode 100644
index 0000000..c227083
--- /dev/null
+++ b/precheck_results/04_JUN_2022___10_33_37/logs/klayout_zeroarea_check.total
@@ -0,0 +1 @@
+0
\ No newline at end of file
diff --git a/precheck_results/04_JUN_2022___10_33_37/logs/magic_drc_check.log b/precheck_results/04_JUN_2022___10_33_37/logs/magic_drc_check.log
new file mode 100644
index 0000000..718c0e7
--- /dev/null
+++ b/precheck_results/04_JUN_2022___10_33_37/logs/magic_drc_check.log
@@ -0,0 +1,30 @@
+
+Magic 8.3 revision 274 - Compiled on Fri Mar  4 22:54:15 UTC 2022.
+Starting magic under Tcl interpreter
+Using the terminal as the console.
+Using NULL graphics device.
+Processing system .magicrc file
+Sourcing design .magicrc for technology sky130A ...
+2 Magic internal units = 1 Lambda
+Could not find file '/usr/local/share/pdk/sky130A/sky130A/libs.tech/magic/sky130A.tech' in any of these directories:
+         . $CAD_ROOT/magic/sys $CAD_ROOT/magic/sys/current
+Error parsing "/home/krishna/mpw_precheckmpw6/checks/tech-files/sky130A.magicrc": couldn't read file "/usr/local/share/pdk/sky130A/sky130A/libs.tech/magic/sky130A.tcl": no such file or directory
+Bad local startup file "/home/krishna/mpw_precheckmpw6/checks/tech-files/sky130A.magicrc", continuing without.
+Scaled tech values by 2 / 1 to match internal grid scaling
+Loading "/home/krishna/mpw_precheckmpw6/checks/drc_checks/magic/magic_drc_check.tcl" from command line.
+Don't know how to read GDS-II:
+Nothing in "cifinput" section of tech file.
+[INFO]: Loading user_analog_project_wrapper
+
+File user_analog_project_wrapper.mag couldn't be read
+No such file or directory
+Creating new cell
+"drc(full)" is not one of the DRC styles Magic knows.
+The current style is "default".
+The DRC styles are: default.
+No errors found.
+[INFO]: COUNT: 0
+[INFO]: Should be divided by 3 or 4
+[INFO]: DRC Checking DONE (/home/krishna/Comparator_MPW6/precheck_results/04_JUN_2022___10_33_37/outputs/reports/magic_drc_check.drc.report)
+[INFO]: Saving mag view with DRC errors(/home/krishna/Comparator_MPW6/precheck_results/04_JUN_2022___10_33_37/outputs/user_analog_project_wrapper.magic.drc.mag)
+[INFO]: Saved
diff --git a/precheck_results/04_JUN_2022___10_33_37/logs/magic_drc_check.total b/precheck_results/04_JUN_2022___10_33_37/logs/magic_drc_check.total
new file mode 100644
index 0000000..c227083
--- /dev/null
+++ b/precheck_results/04_JUN_2022___10_33_37/logs/magic_drc_check.total
@@ -0,0 +1 @@
+0
\ No newline at end of file
diff --git a/precheck_results/04_JUN_2022___10_33_37/logs/pdks.info b/precheck_results/04_JUN_2022___10_33_37/logs/pdks.info
new file mode 100644
index 0000000..3072015
--- /dev/null
+++ b/precheck_results/04_JUN_2022___10_33_37/logs/pdks.info
@@ -0,0 +1,2 @@
+Open PDKs a3d6ffea8022332b859daa454cef7ee7131c5181
+Skywater PDK f70d8ca46961ff92719d8870a18a076370b85f6c
\ No newline at end of file
diff --git a/precheck_results/04_JUN_2022___10_33_37/logs/precheck.log b/precheck_results/04_JUN_2022___10_33_37/logs/precheck.log
new file mode 100644
index 0000000..03b0ed4
--- /dev/null
+++ b/precheck_results/04_JUN_2022___10_33_37/logs/precheck.log
@@ -0,0 +1,60 @@
+2022-06-04 10:33:37 - [INFO] - {{EXTRACTING FILES}} Extracting compressed files in: /home/krishna/Comparator_MPW6
+2022-06-04 10:33:37 - [INFO] - {{Project Type Info}} analog
+2022-06-04 10:33:37 - [INFO] - {{Project GDS Info}} user_analog_project_wrapper: f38aca6537d7ec16ddd707a41e9f0590185d6356
+2022-06-04 10:33:37 - [INFO] - {{Tools Info}} KLayout: v0.27.8 | Magic: v8.3.274
+2022-06-04 10:33:37 - [INFO] - {{PDKs Info}} Open PDKs: a3d6ffea8022332b859daa454cef7ee7131c5181 | Skywater PDK: f70d8ca46961ff92719d8870a18a076370b85f6c
+2022-06-04 10:33:37 - [INFO] - {{START}} Precheck Started, the full log 'precheck.log' will be located in '/home/krishna/Comparator_MPW6/precheck_results/04_JUN_2022___10_33_37/logs'
+2022-06-04 10:33:37 - [INFO] - {{PRECHECK SEQUENCE}} Precheck will run the following checks: [License, Makefile, Default, Documentation, Consistency, XOR, Magic DRC, Klayout FEOL, Klayout BEOL, Klayout Offgrid, Klayout Metal Minimum Clear Area Density, Klayout Pin Label Purposes Overlapping Drawing, Klayout ZeroArea]
+2022-06-04 10:33:37 - [INFO] - {{STEP UPDATE}} Executing Check 1 of 13: License
+2022-06-04 10:33:38 - [INFO] - An approved LICENSE (Apache-2.0) was found in /home/krishna/Comparator_MPW6.
+2022-06-04 10:33:38 - [INFO] - {{MAIN LICENSE CHECK PASSED}} An approved LICENSE was found in project root.
+2022-06-04 10:33:39 - [INFO] - An approved LICENSE (Apache-2.0) was found in /home/krishna/Comparator_MPW6.
+2022-06-04 10:33:39 - [INFO] - {{SUBMODULES LICENSE CHECK PASSED}} No prohibited LICENSE file(s) was found in project submodules
+2022-06-04 10:33:39 - [WARNING] - {{SPDX COMPLIANCE CHECK FAILED}} Found 120 non-compliant file(s) with the SPDX Standard.
+2022-06-04 10:33:39 - [INFO] - SPDX COMPLIANCE: NON-COMPLIANT FILE(S) PREVIEW: ['/home/krishna/Comparator_MPW6/Makefile', '/home/krishna/Comparator_MPW6/.spiceinit', '/home/krishna/Comparator_MPW6/verilog/dv/Makefile', '/home/krishna/Comparator_MPW6/verilog/dv/mprj_por/mprj_por.c', '/home/krishna/Comparator_MPW6/verilog/dv/mprj_por/mprj_por_tb.v', '/home/krishna/Comparator_MPW6/verilog/dv/mprj_por/Makefile', '/home/krishna/Comparator_MPW6/verilog/rtl/uprj_analog_netlists.v', '/home/krishna/Comparator_MPW6/verilog/rtl/example_por.v', '/home/krishna/Comparator_MPW6/verilog/rtl/user_analog_proj_example.v', '/home/krishna/Comparator_MPW6/verilog/rtl/user_analog_project_wrapper.v', '/home/krishna/Comparator_MPW6/verilog/rtl/comparator.v', '/home/krishna/Comparator_MPW6/precheck_results/04_JUN_2022___09_24_39/outputs/reports/magic_drc_check.drc.report', '/home/krishna/Comparator_MPW6/precheck_results/04_JUN_2022___09_24_39/logs/klayout_zeroarea_check.total', '/home/krishna/Comparator_MPW6/precheck_results/04_JUN_2022___09_24_39/logs/tools.info', '/home/krishna/Comparator_MPW6/precheck_results/04_JUN_2022___09_24_39/logs/klayout_beol_check.total']
+2022-06-04 10:33:39 - [INFO] - For the full SPDX compliance report check: /home/krishna/Comparator_MPW6/precheck_results/04_JUN_2022___10_33_37/logs/spdx_compliance_report.log
+2022-06-04 10:33:39 - [INFO] - {{STEP UPDATE}} Executing Check 2 of 13: Makefile
+2022-06-04 10:33:39 - [INFO] - {{MAKEFILE CHECK PASSED}} Makefile valid.
+2022-06-04 10:33:39 - [INFO] - {{STEP UPDATE}} Executing Check 3 of 13: Default
+2022-06-04 10:33:39 - [INFO] - {{README DEFAULT CHECK PASSED}} Project 'README.md' was modified and is not identical to the default 'README.md'
+2022-06-04 10:33:39 - [INFO] - {{CONTENT DEFAULT CHECK PASSED}} Project 'gds' was modified and is not identical to the default 'gds'
+2022-06-04 10:33:39 - [INFO] - {{STEP UPDATE}} Executing Check 4 of 13: Documentation
+2022-06-04 10:33:39 - [INFO] - {{DOCUMENTATION CHECK PASSED}} Project documentation is appropriate.
+2022-06-04 10:33:39 - [INFO] - {{STEP UPDATE}} Executing Check 5 of 13: Consistency
+2022-06-04 10:33:41 - [INFO] - HIERARCHY CHECK PASSED: Module user_analog_project_wrapper is instantiated in caravan. 
+2022-06-04 10:33:41 - [INFO] - COMPLEXITY CHECK PASSED: Netlist caravan contains at least 8 instances (68 instances). 
+2022-06-04 10:33:41 - [INFO] - MODELING CHECK PASSED: Netlist caravan is structural.
+2022-06-04 10:33:41 - [INFO] - SUBMODULE HOOKS CHECK PASSED: All module ports for user_analog_project_wrapper are correctly connected in the top level netlist caravan.
+2022-06-04 10:33:41 - [INFO] - {{NETLIST CONSISTENCY CHECK PASSED}} caravan netlist passed all consistency checks.
+2022-06-04 10:33:41 - [INFO] - PORTS CHECK PASSED: Netlist user_analog_project_wrapper ports match the golden wrapper ports
+2022-06-04 10:33:41 - [INFO] - COMPLEXITY CHECK PASSED: Netlist user_analog_project_wrapper contains at least 1 instances (19 instances). 
+2022-06-04 10:33:41 - [INFO] - MODELING CHECK PASSED: Netlist user_analog_project_wrapper is structural.
+2022-06-04 10:33:41 - [INFO] - LAYOUT CHECK PASSED: The GDS layout for user_analog_project_wrapper matches the provided structural netlist.
+2022-06-04 10:33:41 - [INFO] - {{NETLIST CONSISTENCY CHECK PASSED}} user_analog_project_wrapper netlist passed all consistency checks.
+2022-06-04 10:33:41 - [INFO] - {{CONSISTENCY CHECK PASSED}} The user netlist and the top netlist are valid.
+2022-06-04 10:33:41 - [INFO] - {{STEP UPDATE}} Executing Check 6 of 13: XOR
+2022-06-04 10:33:42 - [ERROR] - XOR CHECK FILE NOT FOUND in /home/krishna/Comparator_MPW6/precheck_results/04_JUN_2022___10_33_37/logs/xor_check.total
+2022-06-04 10:33:42 - [WARNING] - {{XOR CHECK FAILED}} The GDS file has non-conforming geometries.
+2022-06-04 10:33:42 - [INFO] - {{STEP UPDATE}} Executing Check 7 of 13: Magic DRC
+2022-06-04 10:33:43 - [INFO] - 0 DRC violations
+2022-06-04 10:33:43 - [INFO] - {{MAGIC DRC CHECK PASSED}} The GDS file, user_analog_project_wrapper.gds, has no DRC violations.
+2022-06-04 10:33:43 - [INFO] - {{STEP UPDATE}} Executing Check 8 of 13: Klayout FEOL
+2022-06-04 10:33:45 - [INFO] - No DRC Violations found
+2022-06-04 10:33:45 - [INFO] - {{Klayout FEOL CHECK PASSED}} The GDS file, user_analog_project_wrapper.gds, has no DRC violations.
+2022-06-04 10:33:45 - [INFO] - {{STEP UPDATE}} Executing Check 9 of 13: Klayout BEOL
+2022-06-04 10:33:49 - [INFO] - No DRC Violations found
+2022-06-04 10:33:49 - [INFO] - {{Klayout BEOL CHECK PASSED}} The GDS file, user_analog_project_wrapper.gds, has no DRC violations.
+2022-06-04 10:33:49 - [INFO] - {{STEP UPDATE}} Executing Check 10 of 13: Klayout Offgrid
+2022-06-04 10:33:51 - [INFO] - No DRC Violations found
+2022-06-04 10:33:51 - [INFO] - {{Klayout Offgrid CHECK PASSED}} The GDS file, user_analog_project_wrapper.gds, has no DRC violations.
+2022-06-04 10:33:51 - [INFO] - {{STEP UPDATE}} Executing Check 11 of 13: Klayout Metal Minimum Clear Area Density
+2022-06-04 10:33:52 - [INFO] - No DRC Violations found
+2022-06-04 10:33:52 - [INFO] - {{Klayout Metal Minimum Clear Area Density CHECK PASSED}} The GDS file, user_analog_project_wrapper.gds, has no DRC violations.
+2022-06-04 10:33:52 - [INFO] - {{STEP UPDATE}} Executing Check 12 of 13: Klayout Pin Label Purposes Overlapping Drawing
+2022-06-04 10:33:54 - [INFO] - No DRC Violations found
+2022-06-04 10:33:54 - [INFO] - {{Klayout Pin Label Purposes Overlapping Drawing CHECK PASSED}} The GDS file, user_analog_project_wrapper.gds, has no DRC violations.
+2022-06-04 10:33:54 - [INFO] - {{STEP UPDATE}} Executing Check 13 of 13: Klayout ZeroArea
+2022-06-04 10:33:54 - [INFO] - No DRC Violations found
+2022-06-04 10:33:54 - [INFO] - {{Klayout ZeroArea CHECK PASSED}} The GDS file, user_analog_project_wrapper.gds, has no DRC violations.
+2022-06-04 10:33:54 - [INFO] - {{FINISH}} Executing Finished, the full log 'precheck.log' can be found in '/home/krishna/Comparator_MPW6/precheck_results/04_JUN_2022___10_33_37/logs'
+2022-06-04 10:33:54 - [CRITICAL] - {{FAILURE}} 1 Check(s) Failed: ['XOR'] !!!
diff --git a/precheck_results/04_JUN_2022___10_33_37/logs/spdx_compliance_report.log b/precheck_results/04_JUN_2022___10_33_37/logs/spdx_compliance_report.log
new file mode 100644
index 0000000..a65f5f5
--- /dev/null
+++ b/precheck_results/04_JUN_2022___10_33_37/logs/spdx_compliance_report.log
@@ -0,0 +1,120 @@
+/home/krishna/Comparator_MPW6/Makefile
+/home/krishna/Comparator_MPW6/.spiceinit
+/home/krishna/Comparator_MPW6/verilog/dv/Makefile
+/home/krishna/Comparator_MPW6/verilog/dv/mprj_por/mprj_por.c
+/home/krishna/Comparator_MPW6/verilog/dv/mprj_por/mprj_por_tb.v
+/home/krishna/Comparator_MPW6/verilog/dv/mprj_por/Makefile
+/home/krishna/Comparator_MPW6/verilog/rtl/uprj_analog_netlists.v
+/home/krishna/Comparator_MPW6/verilog/rtl/example_por.v
+/home/krishna/Comparator_MPW6/verilog/rtl/user_analog_proj_example.v
+/home/krishna/Comparator_MPW6/verilog/rtl/user_analog_project_wrapper.v
+/home/krishna/Comparator_MPW6/verilog/rtl/comparator.v
+/home/krishna/Comparator_MPW6/precheck_results/04_JUN_2022___09_24_39/outputs/reports/magic_drc_check.drc.report
+/home/krishna/Comparator_MPW6/precheck_results/04_JUN_2022___09_24_39/logs/klayout_zeroarea_check.total
+/home/krishna/Comparator_MPW6/precheck_results/04_JUN_2022___09_24_39/logs/tools.info
+/home/krishna/Comparator_MPW6/precheck_results/04_JUN_2022___09_24_39/logs/klayout_beol_check.total
+/home/krishna/Comparator_MPW6/precheck_results/04_JUN_2022___09_24_39/logs/klayout_pin_label_purposes_overlapping_drawing_check.total
+/home/krishna/Comparator_MPW6/precheck_results/04_JUN_2022___09_24_39/logs/klayout_feol_check.total
+/home/krishna/Comparator_MPW6/precheck_results/04_JUN_2022___09_24_39/logs/gds.info
+/home/krishna/Comparator_MPW6/precheck_results/04_JUN_2022___09_24_39/logs/pdks.info
+/home/krishna/Comparator_MPW6/precheck_results/04_JUN_2022___09_24_39/logs/magic_drc_check.total
+/home/krishna/Comparator_MPW6/precheck_results/04_JUN_2022___09_24_39/logs/klayout_offgrid_check.total
+/home/krishna/Comparator_MPW6/precheck_results/04_JUN_2022___09_24_39/logs/klayout_met_min_ca_density_check.total
+/home/krishna/Comparator_MPW6/precheck_results/04_JUN_2022___09_00_52/outputs/reports/magic_drc_check.drc.report
+/home/krishna/Comparator_MPW6/precheck_results/04_JUN_2022___09_00_52/logs/klayout_zeroarea_check.total
+/home/krishna/Comparator_MPW6/precheck_results/04_JUN_2022___09_00_52/logs/tools.info
+/home/krishna/Comparator_MPW6/precheck_results/04_JUN_2022___09_00_52/logs/klayout_beol_check.total
+/home/krishna/Comparator_MPW6/precheck_results/04_JUN_2022___09_00_52/logs/klayout_pin_label_purposes_overlapping_drawing_check.total
+/home/krishna/Comparator_MPW6/precheck_results/04_JUN_2022___09_00_52/logs/klayout_feol_check.total
+/home/krishna/Comparator_MPW6/precheck_results/04_JUN_2022___09_00_52/logs/gds.info
+/home/krishna/Comparator_MPW6/precheck_results/04_JUN_2022___09_00_52/logs/pdks.info
+/home/krishna/Comparator_MPW6/precheck_results/04_JUN_2022___09_00_52/logs/magic_drc_check.total
+/home/krishna/Comparator_MPW6/precheck_results/04_JUN_2022___09_00_52/logs/klayout_offgrid_check.total
+/home/krishna/Comparator_MPW6/precheck_results/04_JUN_2022___09_00_52/logs/klayout_met_min_ca_density_check.total
+/home/krishna/Comparator_MPW6/precheck_results/04_JUN_2022___10_33_37/logs/tools.info
+/home/krishna/Comparator_MPW6/precheck_results/04_JUN_2022___10_33_37/logs/gds.info
+/home/krishna/Comparator_MPW6/precheck_results/04_JUN_2022___10_33_37/logs/pdks.info
+/home/krishna/Comparator_MPW6/precheck_results/04_JUN_2022___10_10_56/outputs/reports/magic_drc_check.drc.report
+/home/krishna/Comparator_MPW6/precheck_results/04_JUN_2022___10_10_56/logs/klayout_zeroarea_check.total
+/home/krishna/Comparator_MPW6/precheck_results/04_JUN_2022___10_10_56/logs/tools.info
+/home/krishna/Comparator_MPW6/precheck_results/04_JUN_2022___10_10_56/logs/klayout_beol_check.total
+/home/krishna/Comparator_MPW6/precheck_results/04_JUN_2022___10_10_56/logs/klayout_pin_label_purposes_overlapping_drawing_check.total
+/home/krishna/Comparator_MPW6/precheck_results/04_JUN_2022___10_10_56/logs/klayout_feol_check.total
+/home/krishna/Comparator_MPW6/precheck_results/04_JUN_2022___10_10_56/logs/gds.info
+/home/krishna/Comparator_MPW6/precheck_results/04_JUN_2022___10_10_56/logs/pdks.info
+/home/krishna/Comparator_MPW6/precheck_results/04_JUN_2022___10_10_56/logs/magic_drc_check.total
+/home/krishna/Comparator_MPW6/precheck_results/04_JUN_2022___10_10_56/logs/klayout_offgrid_check.total
+/home/krishna/Comparator_MPW6/precheck_results/04_JUN_2022___10_10_56/logs/klayout_met_min_ca_density_check.total
+/home/krishna/Comparator_MPW6/precheck_results/04_JUN_2022___10_00_20/outputs/reports/magic_drc_check.drc.report
+/home/krishna/Comparator_MPW6/precheck_results/04_JUN_2022___10_00_20/logs/klayout_zeroarea_check.total
+/home/krishna/Comparator_MPW6/precheck_results/04_JUN_2022___10_00_20/logs/tools.info
+/home/krishna/Comparator_MPW6/precheck_results/04_JUN_2022___10_00_20/logs/klayout_beol_check.total
+/home/krishna/Comparator_MPW6/precheck_results/04_JUN_2022___10_00_20/logs/klayout_pin_label_purposes_overlapping_drawing_check.total
+/home/krishna/Comparator_MPW6/precheck_results/04_JUN_2022___10_00_20/logs/klayout_feol_check.total
+/home/krishna/Comparator_MPW6/precheck_results/04_JUN_2022___10_00_20/logs/gds.info
+/home/krishna/Comparator_MPW6/precheck_results/04_JUN_2022___10_00_20/logs/pdks.info
+/home/krishna/Comparator_MPW6/precheck_results/04_JUN_2022___10_00_20/logs/magic_drc_check.total
+/home/krishna/Comparator_MPW6/precheck_results/04_JUN_2022___10_00_20/logs/klayout_offgrid_check.total
+/home/krishna/Comparator_MPW6/precheck_results/04_JUN_2022___10_00_20/logs/klayout_met_min_ca_density_check.total
+/home/krishna/Comparator_MPW6/mag/sky130_fd_pr__pfet_01v8_AC5Z8B#0.ext
+/home/krishna/Comparator_MPW6/mag/latch_3.ext
+/home/krishna/Comparator_MPW6/mag/inv_W2.ext
+/home/krishna/Comparator_MPW6/mag/sky130_fd_pr__diode_pw2nd_05v5_KLAK3C.ext
+/home/krishna/Comparator_MPW6/mag/sky130_fd_pr__diode_pw2nd_05v5_FT7GK8.ext
+/home/krishna/Comparator_MPW6/mag/sky130_fd_pr__diode_pw2nd_05v5_3P6M5Y.ext
+/home/krishna/Comparator_MPW6/mag/sky130_fd_pr__pfet_01v8_5SM9EE.ext
+/home/krishna/Comparator_MPW6/mag/latch_22.ext
+/home/krishna/Comparator_MPW6/mag/sky130_fd_pr__diode_pw2nd_05v5_GT7G3L.ext
+/home/krishna/Comparator_MPW6/mag/inv_W2#0.ext
+/home/krishna/Comparator_MPW6/mag/.magicrc
+/home/krishna/Comparator_MPW6/mag/sky130_fd_pr__diode_pd2nw_05v5_G4XDRY.ext
+/home/krishna/Comparator_MPW6/mag/sky130_fd_pr__nfet_01v8_XJTKXQ#1.ext
+/home/krishna/Comparator_MPW6/mag/sky130_fd_pr__nfet_01v8_XJTKXQ.ext
+/home/krishna/Comparator_MPW6/mag/sky130_fd_pr__pfet_01v8_AC5Z8B.ext
+/home/krishna/Comparator_MPW6/mag/buffer_2#1.ext
+/home/krishna/Comparator_MPW6/mag/comparator_v6.ext
+/home/krishna/Comparator_MPW6/mag/buffer_1#0.ext
+/home/krishna/Comparator_MPW6/mag/latch_2.ext
+/home/krishna/Comparator_MPW6/mag/user_analog_project_wrapper.ext
+/home/krishna/Comparator_MPW6/mag/buffer_2#0.ext
+/home/krishna/Comparator_MPW6/mag/sky130_fd_pr__diode_pd2nw_05v5_RT56W3.ext
+/home/krishna/Comparator_MPW6/mag/latch/SR_latch.ext
+/home/krishna/Comparator_MPW6/mag/latch/sky130_fd_pr__pfet_01v8_AC5Z8B#0.ext
+/home/krishna/Comparator_MPW6/mag/latch/sky130A.magicrc
+/home/krishna/Comparator_MPW6/mag/latch/latch_22.ext
+/home/krishna/Comparator_MPW6/mag/latch/sky130_fd_pr__pfet_01v8_AC5E9B.ext
+/home/krishna/Comparator_MPW6/mag/latch/.magicrc
+/home/krishna/Comparator_MPW6/mag/latch/sky130_fd_pr__nfet_01v8_XJTKXQ#1.ext
+/home/krishna/Comparator_MPW6/mag/latch/sky130_fd_pr__nfet_01v8_F5U58G.ext
+/home/krishna/Comparator_MPW6/mag/latch/sky130_fd_pr__pfet_01v8_GJYUB2.ext
+/home/krishna/Comparator_MPW6/mag/latch/latch_2.ext
+/home/krishna/Comparator_MPW6/mag/latch/sky130_fd_pr__pfet_01v8_5SVZDE.ext
+/home/krishna/Comparator_MPW6/mag/latch/latch.ext
+/home/krishna/Comparator_MPW6/mag/preamp/sky130_fd_pr__nfet_01v8_G6PLX8.ext
+/home/krishna/Comparator_MPW6/mag/preamp/preamp_part1.ext
+/home/krishna/Comparator_MPW6/mag/preamp/preamp_part2.ext
+/home/krishna/Comparator_MPW6/mag/preamp/sky130_fd_pr__nfet_01v8_XJTKXQ#0.ext
+/home/krishna/Comparator_MPW6/mag/preamp/sky130_fd_pr__nfet_01v8_F5U58G#1.ext
+/home/krishna/Comparator_MPW6/mag/preamp/.magicrc
+/home/krishna/Comparator_MPW6/mag/preamp/sky130_fd_pr__pfet_01v8_RFM3CD.ext
+/home/krishna/Comparator_MPW6/mag/preamp/sky130_fd_pr__nfet_01v8_8FHE5N.ext
+/home/krishna/Comparator_MPW6/mag/preamp/sky130_fd_pr__nfet_01v8_F5U58G#0.ext
+/home/krishna/Comparator_MPW6/xschem/xschemrc
+/home/krishna/Comparator_MPW6/xschem/buffer.sch
+/home/krishna/Comparator_MPW6/xschem/user_analog_project_wrapper.sym
+/home/krishna/Comparator_MPW6/xschem/SR_latch.sym
+/home/krishna/Comparator_MPW6/xschem/comparator.sch
+/home/krishna/Comparator_MPW6/xschem/comparator.sym
+/home/krishna/Comparator_MPW6/xschem/analog_wrapper_tb.sch
+/home/krishna/Comparator_MPW6/xschem/user_analog_project_wrapper.sch
+/home/krishna/Comparator_MPW6/xschem/.spiceinit
+/home/krishna/Comparator_MPW6/xschem/comparator_tb.sch
+/home/krishna/Comparator_MPW6/xschem/SR_latch.sch
+/home/krishna/Comparator_MPW6/xschem/buffer.sym
+/home/krishna/Comparator_MPW6/docs/Makefile
+/home/krishna/Comparator_MPW6/docs/environment.yml
+/home/krishna/Comparator_MPW6/docs/source/conf.py
+/home/krishna/Comparator_MPW6/docs/source/index.rst
+/home/krishna/Comparator_MPW6/netgen/run_lvs_wrapper_verilog.sh
+/home/krishna/Comparator_MPW6/netgen/run_lvs_por.sh
+/home/krishna/Comparator_MPW6/netgen/run_lvs_wrapper_xschem.sh
diff --git a/precheck_results/04_JUN_2022___10_33_37/logs/tools.info b/precheck_results/04_JUN_2022___10_33_37/logs/tools.info
new file mode 100644
index 0000000..9b2230a
--- /dev/null
+++ b/precheck_results/04_JUN_2022___10_33_37/logs/tools.info
@@ -0,0 +1,2 @@
+KLayout: 0.27.8
+Magic: 8.3.274
\ No newline at end of file
diff --git a/precheck_results/04_JUN_2022___10_33_37/logs/xor_check.log b/precheck_results/04_JUN_2022___10_33_37/logs/xor_check.log
new file mode 100644
index 0000000..b8756f7
--- /dev/null
+++ b/precheck_results/04_JUN_2022___10_33_37/logs/xor_check.log
@@ -0,0 +1,179 @@
+Reading file /home/krishna/Comparator_MPW6/gds/user_analog_project_wrapper.gds for cell user_analog_project_wrapper
+dbu=0.001
+cell user_analog_project_wrapper dbu-bbox(ll;ur)=(-4000,-4000;2924000,3524000)
+cell user_analog_project_wrapper dbu-bbox(left,bottom,right,top)=(-4000,-4000,2924000,3524000)
+cell user_analog_project_wrapper dbu-size(width,height)=(2928000,3528000)
+cell user_analog_project_wrapper micron-bbox(left,bottom,right,top)=(-4.0,-4.0,2924.0,3524.0)
+cell user_analog_project_wrapper micron-size(width,height)=(2928.0,3528.0)
+Done.
+
+Magic 8.3 revision 274 - Compiled on Fri Mar  4 22:54:15 UTC 2022.
+Starting magic under Tcl interpreter
+Using the terminal as the console.
+Using NULL graphics device.
+Processing system .magicrc file
+Loading "/home/krishna/mpw_precheckmpw6/checks/xor_check/erase_box.tcl" from command line.
+"sky130(vendor)" is not one of the CIF input styles Magic knows.
+Error: No style is set
+The CIF input styles are: .
+Don't know how to read GDS-II:
+Nothing in "cifinput" section of tech file.
+File user_analog_project_wrapper.mag couldn't be read
+No such file or directory
+Creating new cell
+Root cell box:
+           width x height  (   llx,  lly  ), (   urx,  ury  )  area (units^2)
+
+microns:  43.000 x 3520.000  (-43.000,  0.000), ( 0.000,  3520.000)  151360.000
+lambda:       43 x 3520    (   -43,  0    ), (     0,  3520 )  151360    
+Root cell box:
+           width x height  (   llx,  lly  ), (   urx,  ury  )  area (units^2)
+
+microns:  43.000 x 3520.000  ( 2920.000,  0.000), ( 2963.000,  3520.000)  151360.000
+lambda:       43 x 3520    (  2920,  0    ), (  2963,  3520 )  151360    
+Root cell box:
+           width x height  (   llx,  lly  ), (   urx,  ury  )  area (units^2)
+
+microns:  3006.000 x 38.000  (-43.000, -38.000), ( 2963.000,  0.000)  114228.000
+lambda:     3006 x 38      (   -43, -38   ), (  2963,  0    )  114228    
+Root cell box:
+           width x height  (   llx,  lly  ), (   urx,  ury  )  area (units^2)
+
+microns:  3006.000 x 37.000  (-43.000,  3520.000), ( 2963.000,  3557.000)  111222.000
+lambda:     3006 x 37      (   -43,  3520 ), (  2963,  3557 )  111222    
+Unrecognized layer: metal5
+Layer names are:
+    mag or magnet
+    f or fence
+    r or rotate
+    $
+    *
+    errors
+    labels
+    subcell
+    connect
+Unrecognized layer: metal4
+Layer names are:
+    mag or magnet
+    f or fence
+    r or rotate
+    $
+    *
+    errors
+    labels
+    subcell
+    connect
+Unrecognized layer: metal5
+Layer names are:
+    mag or magnet
+    f or fence
+    r or rotate
+    $
+    *
+    errors
+    labels
+    subcell
+    connect
+Unrecognized layer: metal4
+Layer names are:
+    mag or magnet
+    f or fence
+    r or rotate
+    $
+    *
+    errors
+    labels
+    subcell
+    connect
+No CIF/GDS output style set!
+I/O error in writing file /home/krishna/Comparator_MPW6/precheck_results/04_JUN_2022___10_33_37/outputs/user_analog_project_wrapper_erased.gds.
+File may be incompletely written.
+
+Magic 8.3 revision 274 - Compiled on Fri Mar  4 22:54:15 UTC 2022.
+Starting magic under Tcl interpreter
+Using the terminal as the console.
+Using NULL graphics device.
+Processing system .magicrc file
+Loading "/home/krishna/mpw_precheckmpw6/checks/xor_check/erase_box.tcl" from command line.
+"sky130(vendor)" is not one of the CIF input styles Magic knows.
+Error: No style is set
+The CIF input styles are: .
+Don't know how to read GDS-II:
+Nothing in "cifinput" section of tech file.
+File user_analog_project_wrapper.mag couldn't be read
+No such file or directory
+Creating new cell
+Root cell box:
+           width x height  (   llx,  lly  ), (   urx,  ury  )  area (units^2)
+
+microns:  43.000 x 3520.000  (-43.000,  0.000), ( 0.000,  3520.000)  151360.000
+lambda:       43 x 3520    (   -43,  0    ), (     0,  3520 )  151360    
+Root cell box:
+           width x height  (   llx,  lly  ), (   urx,  ury  )  area (units^2)
+
+microns:  43.000 x 3520.000  ( 2920.000,  0.000), ( 2963.000,  3520.000)  151360.000
+lambda:       43 x 3520    (  2920,  0    ), (  2963,  3520 )  151360    
+Root cell box:
+           width x height  (   llx,  lly  ), (   urx,  ury  )  area (units^2)
+
+microns:  3006.000 x 38.000  (-43.000, -38.000), ( 2963.000,  0.000)  114228.000
+lambda:     3006 x 38      (   -43, -38   ), (  2963,  0    )  114228    
+Root cell box:
+           width x height  (   llx,  lly  ), (   urx,  ury  )  area (units^2)
+
+microns:  3006.000 x 37.000  (-43.000,  3520.000), ( 2963.000,  3557.000)  111222.000
+lambda:     3006 x 37      (   -43,  3520 ), (  2963,  3557 )  111222    
+Unrecognized layer: metal5
+Layer names are:
+    mag or magnet
+    f or fence
+    r or rotate
+    $
+    *
+    errors
+    labels
+    subcell
+    connect
+Unrecognized layer: metal4
+Layer names are:
+    mag or magnet
+    f or fence
+    r or rotate
+    $
+    *
+    errors
+    labels
+    subcell
+    connect
+Unrecognized layer: metal5
+Layer names are:
+    mag or magnet
+    f or fence
+    r or rotate
+    $
+    *
+    errors
+    labels
+    subcell
+    connect
+Unrecognized layer: metal4
+Layer names are:
+    mag or magnet
+    f or fence
+    r or rotate
+    $
+    *
+    errors
+    labels
+    subcell
+    connect
+No CIF/GDS output style set!
+I/O error in writing file /home/krishna/Comparator_MPW6/precheck_results/04_JUN_2022___10_33_37/outputs/user_analog_project_wrapper_empty_erased.gds.
+File may be incompletely written.
+Reading /home/krishna/Comparator_MPW6/precheck_results/04_JUN_2022___10_33_37/outputs/user_analog_project_wrapper_erased.gds ..
+ERROR: In /home/krishna/mpw_precheckmpw6/checks/xor_check/xor.rb.drc: 'source': Stream has unknown format: /home/krishna/Comparator_MPW6/precheck_results/04_JUN_2022___10_33_37/outputs/user_analog_project_wrapper_erased.gds in Layout::read
+Total elapsed: 0.000s  Memory: 521.00M
+ERROR: 'source': Stream has unknown format: /home/krishna/Comparator_MPW6/precheck_results/04_JUN_2022___10_33_37/outputs/user_analog_project_wrapper_erased.gds in Layout::read in Executable::execute
+  /home/krishna/mpw_precheckmpw6/checks/xor_check/xor.rb.drc:15:in `execute'
+  :/built-in-macros/drc_interpreters.lym:27:in `instance_eval'
+  :/built-in-macros/drc_interpreters.lym:27:in `execute'
diff --git a/precheck_results/04_JUN_2022___10_33_37/outputs/reports/klayout_beol_check.xml b/precheck_results/04_JUN_2022___10_33_37/outputs/reports/klayout_beol_check.xml
new file mode 100644
index 0000000..9ad4ffd
--- /dev/null
+++ b/precheck_results/04_JUN_2022___10_33_37/outputs/reports/klayout_beol_check.xml
@@ -0,0 +1,447 @@
+<?xml version="1.0" encoding="utf-8"?>
+<report-database>
+ <description>SKY130 DRC runset</description>
+ <original-file/>
+ <generator>drc: script='/home/krishna/mpw_precheckmpw6/checks/tech-files/sky130A_mr.drc'</generator>
+ <top-cell>user_analog_project_wrapper</top-cell>
+ <tags>
+ </tags>
+ <categories>
+  <category>
+   <name>li.1</name>
+   <description>li.1 : min. li width : 0.17um</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>li.3</name>
+   <description>li.3 : min. li spacing : 0.17um</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>li.5</name>
+   <description>li.5 : min. li enclosure of licon of 2 adjacent edges : 0.08um</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>li.6</name>
+   <description>li.6 : min. li area : 0.0561um²</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>ct.1</name>
+   <description>ct.1: non-ring mcon should be rectangular</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>ct.1_a</name>
+   <description>ct.1_a : minimum width of mcon : 0.17um</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>ct.1_b</name>
+   <description>ct.1_b : maximum length of mcon : 0.17um</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>ct.2</name>
+   <description>ct.2 : min. mcon spacing : 0.19um</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>ct.4</name>
+   <description>ct.4 : mcon should covered by li</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>m1.1</name>
+   <description>m1.1 : min. m1 width : 0.14um</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>m1.2</name>
+   <description>m1.2 : min. m1 spacing : 0.14um</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>m1.3ab</name>
+   <description>m1.3ab : min. 3um.m1 spacing m1 : 0.28um</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>791_m1.4</name>
+   <description>791_m1.4 : min. m1 enclosure of mcon : 0.03um</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>m1.4</name>
+   <description>m1.4 : mcon periphery must be enclosed by m1</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>m1.4a</name>
+   <description>m1.4a : min. m1 enclosure of mcon for specific cells : 0.005um</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>m1.4a_a</name>
+   <description>m1.4a_a : mcon periph must be enclosed by met1 for specific cells</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>m1.6</name>
+   <description>m1.6 : min. m1 area : 0.083um²</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>m1.7</name>
+   <description>m1.7 : min. m1 with holes area : 0.14um²</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>m1.5</name>
+   <description>m1.5 : min. m1 enclosure of mcon of 2 adjacent edges : 0.06um</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>via.1a</name>
+   <description>via.1a : via outside of moduleCut should be rectangular</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>via.1a_a</name>
+   <description>via.1a_a : min. width of via outside of moduleCut : 0.15um</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>via.1a_b</name>
+   <description>via.1a_b : maximum length of via : 0.15um</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>via.2</name>
+   <description>via.2 : min. via spacing : 0.17um</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>via.4a</name>
+   <description>via.4a : min. m1 enclosure of 0.15um via : 0.055um</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>via.4a_a</name>
+   <description>via.4a_a : 0.15um via must be enclosed by met1</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>via.5a</name>
+   <description>via.5a : min. m1 enclosure of 0.15um via of 2 adjacent edges : 0.085um</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>m2.1</name>
+   <description>m2.1 : min. m2 width : 0.14um</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>m2.2</name>
+   <description>m2.2 : min. m2 spacing : 0.14um</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>m2.3ab</name>
+   <description>m2.3ab : min. 3um.m2 spacing m2 : 0.28um</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>m2.6</name>
+   <description>m2.6 : min. m2 area : 0.0676um²</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>m2.7</name>
+   <description>m2.7 : min. m2 holes area : 0.14um²</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>m2.4</name>
+   <description>m2.4 : min. m2 enclosure of via : 0.055um</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>m2.4_a</name>
+   <description>m2.4_a : via in periphery must be enclosed by met2</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>m2.5</name>
+   <description>m2.5 : min. m2 enclosure of via of 2 adjacent edges : 0.085um</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>via2.1a</name>
+   <description>via2.1a : via2 outside of moduleCut should be rectangular</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>via2.1a_a</name>
+   <description>via2.1a_a : min. width of via2 outside of moduleCut : 0.2um</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>via2.1a_b</name>
+   <description>via2.1a_b : maximum length of via2 : 0.2um</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>via2.2</name>
+   <description>via2.2 : min. via2 spacing : 0.2um</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>via2.4</name>
+   <description>via2.4 : min. m2 enclosure of via2 : 0.04um</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>via2.4_a</name>
+   <description>via2.4_a : via must be enclosed by met2</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>via2.5</name>
+   <description>via2.5 : min. m3 enclosure of via2 of 2 adjacent edges : 0.085um</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>m3.1</name>
+   <description>m3.1 : min. m3 width : 0.3um</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>m3.2</name>
+   <description>m3.2 : min. m3 spacing : 0.3um</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>m3.3cd</name>
+   <description>m3.3cd : min. 3um.m3 spacing m3 : 0.4um</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>m3.4</name>
+   <description>m3.4 : min. m3 enclosure of via2 : 0.065um</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>m3.4_a</name>
+   <description>m3.4_a : via2 must be enclosed by met3</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>via3.1</name>
+   <description>via3.1 : via3 outside of moduleCut should be rectangular</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>via3.1_a</name>
+   <description>via3.1_a : min. width of via3 outside of moduleCut : 0.2um</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>via3.1_b</name>
+   <description>via3.1_b : maximum length of via3 : 0.2um</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>via3.2</name>
+   <description>via3.2 : min. via3 spacing : 0.2um</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>via3.4</name>
+   <description>via3.4 : min. m3 enclosure of via3 : 0.06um</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>via3.4_a</name>
+   <description>via3.4_a : non-ring via3 must be enclosed by met3</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>via3.5</name>
+   <description>via3.5 : min. m3 enclosure of via3 of 2 adjacent edges : 0.09um</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>m4.1</name>
+   <description>m4.1 : min. m4 width : 0.3um</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>m4.2</name>
+   <description>m4.2 : min. m4 spacing : 0.3um</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>m4.4a</name>
+   <description>m4.4a : min. m4 area : 0.240um²</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>m4.5ab</name>
+   <description>m4.5ab : min. 3um.m4 spacing m4 : 0.4um</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>m4.3</name>
+   <description>m4.3 : min. m4 enclosure of via3 : 0.065um</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>m4.3_a</name>
+   <description>m4.3_a : via3 must be enclosed by met4</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>via4.1</name>
+   <description>via4.1 : via4 outside of moduleCut should be rectangular</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>via4.1_a</name>
+   <description>via4.1_a : min. width of via4 outside of moduleCut : 0.8um</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>via4.1_b</name>
+   <description>via4.1_b : maximum length of via4 : 0.8um</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>via4.2</name>
+   <description>via4.2 : min. via4 spacing : 0.8um</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>via4.4</name>
+   <description>via4.4 : min. m4 enclosure of via4 : 0.19um</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>via4.4_a</name>
+   <description>via4.4_a : m4 must enclose all via4</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>m5.1</name>
+   <description>m5.1 : min. m5 width : 1.6um</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>m5.2</name>
+   <description>m5.2 : min. m5 spacing : 1.6um</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>m5.3</name>
+   <description>m5.3 : min. m5 enclosure of via4 : 0.31um</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>m5.3_a</name>
+   <description>m5.3_a : via must be enclosed by m5</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>m5.4</name>
+   <description>m5.4 : min. m5 area : 4.0um²</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>pad.2</name>
+   <description>pad.2 : min. pad spacing : 1.27um</description>
+   <categories>
+   </categories>
+  </category>
+ </categories>
+ <cells>
+  <cell>
+   <name>user_analog_project_wrapper</name>
+   <variant/>
+   <references>
+   </references>
+  </cell>
+ </cells>
+ <items>
+ </items>
+</report-database>
diff --git a/precheck_results/04_JUN_2022___10_33_37/outputs/reports/klayout_feol_check.xml b/precheck_results/04_JUN_2022___10_33_37/outputs/reports/klayout_feol_check.xml
new file mode 100644
index 0000000..5c0c69c
--- /dev/null
+++ b/precheck_results/04_JUN_2022___10_33_37/outputs/reports/klayout_feol_check.xml
@@ -0,0 +1,363 @@
+<?xml version="1.0" encoding="utf-8"?>
+<report-database>
+ <description>SKY130 DRC runset</description>
+ <original-file/>
+ <generator>drc: script='/home/krishna/mpw_precheckmpw6/checks/tech-files/sky130A_mr.drc'</generator>
+ <top-cell>user_analog_project_wrapper</top-cell>
+ <tags>
+ </tags>
+ <categories>
+  <category>
+   <name>dnwell.2</name>
+   <description>dnwell.2 : min. dnwell width : 3.0um</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>nwell.1</name>
+   <description>nwell.1 : min. nwell width : 0.84um</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>nwell.2a</name>
+   <description>nwell.2a : min. nwell spacing (merged if less) : 1.27um</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>nwell.6</name>
+   <description>nwell.6 : min enclosure of nwellHole by dnwell : 1.03um</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>hvtp.1</name>
+   <description>hvtp.1 : min. hvtp width : 0.38um</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>hvtp.2</name>
+   <description>hvtp.2 : min. hvtp spacing : 0.38um</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>hvtr.1</name>
+   <description>hvtr.1 : min. hvtr width : 0.38um</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>hvtr.2</name>
+   <description>hvtr.2 : min. hvtr spacing : 0.38um</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>hvtr.2_a</name>
+   <description>hvtr.2_a : hvtr must not overlap hvtp</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>lvtn.1a</name>
+   <description>lvtn.1a : min. lvtn width : 0.38um</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>lvtn.2</name>
+   <description>lvtn.2 : min. lvtn spacing : 0.38um</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>ncm.1</name>
+   <description>ncm.1 : min. ncm width : 0.38um</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>ncm.2a</name>
+   <description>ncm.2a : min. ncm spacing : 0.38um</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>difftap.1</name>
+   <description>difftap.1 : min. diff width across areaid:ce : 0.15um</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>difftap.1_a</name>
+   <description>difftap.1_a : min. diff width in periphery : 0.15um</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>difftap.1_b</name>
+   <description>difftap.1_b : min. tap width across areaid:ce : 0.15um</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>difftap.1_c</name>
+   <description>difftap.1_c : min. tap width in periphery : 0.15um</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>difftap.3</name>
+   <description>difftap.3 : min. difftap spacing : 0.27um</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>tunm.1</name>
+   <description>tunm.1 : min. tunm width : 0.41um</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>tunm.2</name>
+   <description>tunm.2 : min. tunm spacing : 0.5um</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>poly.1a</name>
+   <description>poly.1a : min. poly width : 0.15um</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>poly.2</name>
+   <description>poly.2 : min. poly spacing : 0.21um</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>rpm.1a</name>
+   <description>rpm.1a : min. rpm width : 1.27um</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>rpm.2</name>
+   <description>rpm.2 : min. rpm spacing : 0.84um</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>urpm.1a</name>
+   <description>urpm.1a : min. rpm width : 1.27um</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>urpm.2</name>
+   <description>urpm.2 : min. rpm spacing : 0.84um</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>npc.1</name>
+   <description>npc.1 : min. npc width : 0.27um</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>npc.2</name>
+   <description>npc.2 : min. npc spacing, should be manually merged if less than : 0.27um</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>nsd.1</name>
+   <description>nsd.1 : min. nsdm width : 0.38um</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>nsd.2</name>
+   <description>nsd.2 : min. nsdm spacing, should be manually merged if less than : 0.38um</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>psd.1</name>
+   <description>psd.1 : min. psdm width : 0.38um</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>psd.2</name>
+   <description>psd.2 : min. psdm spacing, should be manually merged if less than : 0.38um</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>licon.1</name>
+   <description>licon.1 : licon should be rectangle</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>licon.1_a/b</name>
+   <description>licon.1_a/b : minimum/maximum width of licon : 0.17um</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>licon.13</name>
+   <description>licon.13 : min. difftap licon spacing to npc : 0.09um</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>licon.13_a</name>
+   <description>licon.13_a : licon of diffTap in periphery must not overlap npc</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>licon.17</name>
+   <description>licon.17 : Licons may not overlap both poly and (diff or tap)</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>capm.1</name>
+   <description>capm.1 : min. capm width : 1.0um</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>capm.2a</name>
+   <description>capm.2a : min. capm spacing : 0.84um</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>capm.2b</name>
+   <description>capm.2b : min. capm spacing : 1.2um</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>capm.2b_a</name>
+   <description>capm.2b_a : min. spacing of m3_bot_plate : 1.2um</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>capm.3</name>
+   <description>capm.3 : min. capm and m3 enclosure of m3 : 0.14um</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>capm.3_a</name>
+   <description>capm.3_a : min. m3 enclosure of capm : 0.14um</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>capm.4</name>
+   <description>capm.4 : min. capm enclosure of via3 : 0.14um</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>capm.5</name>
+   <description>capm.5 : min. capm spacing to via3 : 0.14um</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>cap2m.1</name>
+   <description>cap2m.1 : min. cap2m width : 1.0um</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>cap2m.2a</name>
+   <description>cap2m.2a : min. cap2m spacing : 0.84um</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>cap2m.2b</name>
+   <description>cap2m.2b : min. cap2m spacing : 1.2um</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>cap2m.2b_a</name>
+   <description>cap2m.2b_a : min. spacing of m4_bot_plate : 1.2um</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>cap2m.3</name>
+   <description>cap2m.3 : min. m4 enclosure of cap2m : 0.14um</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>cap2m.3_a</name>
+   <description>cap2m.3_a : min. m4 enclosure of cap2m : 0.14um</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>cap2m.4</name>
+   <description>cap2m.4 : min. cap2m enclosure of via4 : 0.14um</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>cap2m.5</name>
+   <description>cap2m.5 : min. cap2m spacing to via4 : 0.14um</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>hvi.1</name>
+   <description>hvi.1 : min. hvi width : 0.6um</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>hvi.2a</name>
+   <description>hvi.2a : min. hvi spacing : 0.7um</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>hvntm.1</name>
+   <description>hvntm.1 : min. hvntm width : 0.7um</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>hvntm.2</name>
+   <description>hvntm.2 : min. hvntm spacing : 0.7um</description>
+   <categories>
+   </categories>
+  </category>
+ </categories>
+ <cells>
+  <cell>
+   <name>user_analog_project_wrapper</name>
+   <variant/>
+   <references>
+   </references>
+  </cell>
+ </cells>
+ <items>
+ </items>
+</report-database>
diff --git a/precheck_results/04_JUN_2022___10_33_37/outputs/reports/klayout_met_min_ca_density_check.xml b/precheck_results/04_JUN_2022___10_33_37/outputs/reports/klayout_met_min_ca_density_check.xml
new file mode 100644
index 0000000..dc650ad
--- /dev/null
+++ b/precheck_results/04_JUN_2022___10_33_37/outputs/reports/klayout_met_min_ca_density_check.xml
@@ -0,0 +1,21 @@
+<?xml version="1.0" encoding="utf-8"?>
+<report-database>
+ <description>Density Checks</description>
+ <original-file/>
+ <generator>drc: script='/home/krishna/mpw_precheckmpw6/checks/drc_checks/klayout/met_min_ca_density.lydrc'</generator>
+ <top-cell>user_analog_project_wrapper</top-cell>
+ <tags>
+ </tags>
+ <categories>
+ </categories>
+ <cells>
+  <cell>
+   <name>user_analog_project_wrapper</name>
+   <variant/>
+   <references>
+   </references>
+  </cell>
+ </cells>
+ <items>
+ </items>
+</report-database>
diff --git a/precheck_results/04_JUN_2022___10_33_37/outputs/reports/klayout_offgrid_check.xml b/precheck_results/04_JUN_2022___10_33_37/outputs/reports/klayout_offgrid_check.xml
new file mode 100644
index 0000000..80a7de2
--- /dev/null
+++ b/precheck_results/04_JUN_2022___10_33_37/outputs/reports/klayout_offgrid_check.xml
@@ -0,0 +1,483 @@
+<?xml version="1.0" encoding="utf-8"?>
+<report-database>
+ <description>SKY130 DRC runset</description>
+ <original-file/>
+ <generator>drc: script='/home/krishna/mpw_precheckmpw6/checks/tech-files/sky130A_mr.drc'</generator>
+ <top-cell>user_analog_project_wrapper</top-cell>
+ <tags>
+ </tags>
+ <categories>
+  <category>
+   <name>dnwell_OFFGRID</name>
+   <description>x.1b : OFFGRID vertex on dnwell</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>dnwell_angle</name>
+   <description>x.3a : non 45 degree angle dnwell</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>nwell_OFFGRID</name>
+   <description>x.1b : OFFGRID vertex on nwell</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>nwell_angle</name>
+   <description>x.3a : non 45 degree angle nwell</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>pwbm_OFFGRID</name>
+   <description>x.1b : OFFGRID vertex on pwbm</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>pwbm_angle</name>
+   <description>x.3a : non 45 degree angle pwbm</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>pwde_OFFGRID</name>
+   <description>x.1b : OFFGRID vertex on pwde</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>pwde_angle</name>
+   <description>x.3a : non 45 degree angle pwde</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>hvtp_OFFGRID</name>
+   <description>x.1b : OFFGRID vertex on hvtp</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>hvtp_angle</name>
+   <description>x.3a : non 45 degree angle hvtp</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>hvtr_OFFGRID</name>
+   <description>x.1b : OFFGRID vertex on hvtr</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>hvtr_angle</name>
+   <description>x.3a : non 45 degree angle hvtr</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>lvtn_OFFGRID</name>
+   <description>x.1b : OFFGRID vertex on lvtn</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>lvtn_angle</name>
+   <description>x.3a : non 45 degree angle lvtn</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>ncm_OFFGRID</name>
+   <description>x.1b : OFFGRID vertex on ncm</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>ncm_angle</name>
+   <description>x.3a : non 45 degree angle ncm</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>diff_OFFGRID</name>
+   <description>x.1b : OFFGRID vertex on diff</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>tap_OFFGRID</name>
+   <description>x.1b : OFFGRID vertex on tap</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>diff_angle</name>
+   <description>x.2 : non 90 degree angle diff</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>diff_angle</name>
+   <description>x.2c : non 45 degree angle diff</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>tap_angle</name>
+   <description>x.2 : non 90 degree angle tap</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>tap_angle</name>
+   <description>x.2c : non 45 degree angle tap</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>tunm_OFFGRID</name>
+   <description>x.1b : OFFGRID vertex on tunm</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>tunm_angle</name>
+   <description>x.3a : non 45 degree angle tunm</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>poly_OFFGRID</name>
+   <description>x.1b : OFFGRID vertex on poly</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>poly_angle</name>
+   <description>x.2 : non 90 degree angle poly</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>rpm_OFFGRID</name>
+   <description>x.1b : OFFGRID vertex on rpm</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>rpm_angle</name>
+   <description>x.3a : non 45 degree angle rpm</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>npc_OFFGRID</name>
+   <description>x.1b : OFFGRID vertex on npc</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>npc_angle</name>
+   <description>x.3a : non 45 degree angle npc</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>nsdm_OFFGRID</name>
+   <description>x.1b : OFFGRID vertex on nsdm</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>nsdm_angle</name>
+   <description>x.3a : non 45 degree angle nsdm</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>psdm_OFFGRID</name>
+   <description>x.1b : OFFGRID vertex on psdm</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>psdm_angle</name>
+   <description>x.3a : non 45 degree angle psdm</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>licon_OFFGRID</name>
+   <description>x.1b : OFFGRID vertex on licon</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>licon_angle</name>
+   <description>x.2 : non 90 degree angle licon</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>li_OFFGRID</name>
+   <description>x.1b : OFFGRID vertex on li</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>li_angle</name>
+   <description>x.3a : non 45 degree angle li</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>ct_OFFGRID</name>
+   <description>x.1b : OFFGRID vertex on mcon</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>ct_angle</name>
+   <description>x.2 : non 90 degree angle mcon</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>vpp_OFFGRID</name>
+   <description>x.1b : OFFGRID vertex on vpp</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>vpp_angle</name>
+   <description>x.3a : non 45 degree angle vpp</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>m1_OFFGRID</name>
+   <description>x.1b : OFFGRID vertex on m1</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>m1_angle</name>
+   <description>x.3a : non 45 degree angle m1</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>via_OFFGRID</name>
+   <description>x.1b : OFFGRID vertex on via</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>via_angle</name>
+   <description>x.2 : non 90 degree angle via</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>m2_OFFGRID</name>
+   <description>x.1b : OFFGRID vertex on m2</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>m2_angle</name>
+   <description>x.3a : non 45 degree angle m2</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>via2_OFFGRID</name>
+   <description>x.1b : OFFGRID vertex on via2</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>via2_angle</name>
+   <description>x.2 : non 90 degree angle via2</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>m3_OFFGRID</name>
+   <description>x.1b : OFFGRID vertex on m3</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>m3_angle</name>
+   <description>x.3a : non 45 degree angle m3</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>via3_OFFGRID</name>
+   <description>x.1b : OFFGRID vertex on via3</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>via3_angle</name>
+   <description>x.2 : non 90 degree angle via3</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>nsm_OFFGRID</name>
+   <description>x.1b : OFFGRID vertex on nsm</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>nsm_angle</name>
+   <description>x.3a : non 45 degree angle nsm</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>m4_OFFGRID</name>
+   <description>x.1b : OFFGRID vertex on m4</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>m4_angle</name>
+   <description>x.3a : non 45 degree angle m4</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>via4_OFFGRID</name>
+   <description>x.1b : OFFGRID vertex on via4</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>via4_angle</name>
+   <description>x.2 : non 90 degree angle via4</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>m5_OFFGRID</name>
+   <description>x.1b : OFFGRID vertex on m5</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>m5_angle</name>
+   <description>x.3a : non 45 degree angle m5</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>pad_OFFGRID</name>
+   <description>x.1b : OFFGRID vertex on pad</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>pad_angle</name>
+   <description>x.3a : non 45 degree angle pad</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>mf_OFFGRID</name>
+   <description>x.1b : OFFGRID vertex on mf</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>mf_angle</name>
+   <description>x.2 : non 90 degree angle mf</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>hvi_OFFGRID</name>
+   <description>x.1b : OFFGRID vertex on hvi</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>hvi_angle</name>
+   <description>x.3a : non 45 degree angle hvi</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>hvntm_OFFGRID</name>
+   <description>x.1b : OFFGRID vertex on hvntm</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>hvntm_angle</name>
+   <description>x.3a : non 45 degree angle hvntm</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>vhvi_OFFGRID</name>
+   <description>x.1b : OFFGRID vertex on vhvi</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>vhvi_angle</name>
+   <description>x.3a : non 45 degree angle vhvi</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>uhvi_OFFGRID</name>
+   <description>x.1b : OFFGRID vertex on uhvi</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>uhvi_angle</name>
+   <description>x.3a : non 45 degree angle uhvi</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>pwell_rs_OFFGRID</name>
+   <description>x.1b : OFFGRID vertex on pwell_rs</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>pwell_rs_angle</name>
+   <description>x.3a : non 45 degree angle pwell_rs</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>areaid_re_OFFGRID</name>
+   <description>x.1b : OFFGRID vertex on areaid.re</description>
+   <categories>
+   </categories>
+  </category>
+ </categories>
+ <cells>
+  <cell>
+   <name>user_analog_project_wrapper</name>
+   <variant/>
+   <references>
+   </references>
+  </cell>
+ </cells>
+ <items>
+ </items>
+</report-database>
diff --git a/precheck_results/04_JUN_2022___10_33_37/outputs/reports/klayout_pin_label_purposes_overlapping_drawing_check.xml b/precheck_results/04_JUN_2022___10_33_37/outputs/reports/klayout_pin_label_purposes_overlapping_drawing_check.xml
new file mode 100644
index 0000000..95d0489
--- /dev/null
+++ b/precheck_results/04_JUN_2022___10_33_37/outputs/reports/klayout_pin_label_purposes_overlapping_drawing_check.xml
@@ -0,0 +1,21 @@
+<?xml version="1.0" encoding="utf-8"?>
+<report-database>
+ <description>pin_label_purposes_overlapping_drawing.rb.drc, input=/home/krishna/Comparator_MPW6/gds/user_analog_project_wrapper.gds, topcell=user_analog_project_wrapper</description>
+ <original-file/>
+ <generator>drc: script='/home/krishna/mpw_precheckmpw6/checks/drc_checks/klayout/pin_label_purposes_overlapping_drawing.rb.drc'</generator>
+ <top-cell>user_analog_project_wrapper</top-cell>
+ <tags>
+ </tags>
+ <categories>
+ </categories>
+ <cells>
+  <cell>
+   <name>user_analog_project_wrapper</name>
+   <variant/>
+   <references>
+   </references>
+  </cell>
+ </cells>
+ <items>
+ </items>
+</report-database>
diff --git a/precheck_results/04_JUN_2022___10_33_37/outputs/reports/klayout_zeroarea_check.xml b/precheck_results/04_JUN_2022___10_33_37/outputs/reports/klayout_zeroarea_check.xml
new file mode 100644
index 0000000..c90408a
--- /dev/null
+++ b/precheck_results/04_JUN_2022___10_33_37/outputs/reports/klayout_zeroarea_check.xml
@@ -0,0 +1,21 @@
+<?xml version="1.0" encoding="utf-8"?>
+<report-database>
+ <description>zero area check</description>
+ <original-file/>
+ <generator>drc: script='/home/krishna/mpw_precheckmpw6/checks/drc_checks/klayout/zeroarea.rb.drc'</generator>
+ <top-cell>user_analog_project_wrapper</top-cell>
+ <tags>
+ </tags>
+ <categories>
+ </categories>
+ <cells>
+  <cell>
+   <name>user_analog_project_wrapper</name>
+   <variant/>
+   <references>
+   </references>
+  </cell>
+ </cells>
+ <items>
+ </items>
+</report-database>
diff --git a/precheck_results/04_JUN_2022___10_33_37/outputs/reports/magic_drc_check.drc.report b/precheck_results/04_JUN_2022___10_33_37/outputs/reports/magic_drc_check.drc.report
new file mode 100644
index 0000000..829b9d5
--- /dev/null
+++ b/precheck_results/04_JUN_2022___10_33_37/outputs/reports/magic_drc_check.drc.report
@@ -0,0 +1,5 @@
+user_analog_project_wrapper
+----------------------------------------
+[INFO]: COUNT: 0
+[INFO]: Should be divided by 3 or 4
+
diff --git a/precheck_results/04_JUN_2022___10_33_37/outputs/reports/magic_drc_check.rdb b/precheck_results/04_JUN_2022___10_33_37/outputs/reports/magic_drc_check.rdb
new file mode 100644
index 0000000..8a882f3
--- /dev/null
+++ b/precheck_results/04_JUN_2022___10_33_37/outputs/reports/magic_drc_check.rdb
@@ -0,0 +1,2 @@
+$user_analog_project_wrapper
+ 100
diff --git a/precheck_results/04_JUN_2022___10_33_37/outputs/reports/magic_drc_check.tcl b/precheck_results/04_JUN_2022___10_33_37/outputs/reports/magic_drc_check.tcl
new file mode 100644
index 0000000..e69de29
--- /dev/null
+++ b/precheck_results/04_JUN_2022___10_33_37/outputs/reports/magic_drc_check.tcl
diff --git a/precheck_results/04_JUN_2022___10_33_37/outputs/reports/magic_drc_check.tr b/precheck_results/04_JUN_2022___10_33_37/outputs/reports/magic_drc_check.tr
new file mode 100644
index 0000000..e69de29
--- /dev/null
+++ b/precheck_results/04_JUN_2022___10_33_37/outputs/reports/magic_drc_check.tr
diff --git a/precheck_results/04_JUN_2022___10_33_37/outputs/reports/magic_drc_check.xml b/precheck_results/04_JUN_2022___10_33_37/outputs/reports/magic_drc_check.xml
new file mode 100644
index 0000000..7161d7c
--- /dev/null
+++ b/precheck_results/04_JUN_2022___10_33_37/outputs/reports/magic_drc_check.xml
@@ -0,0 +1,10 @@
+<?xml version="1.0" ?>
+<report-database>
+    <categories/>
+    <cells>
+        <cell>
+            <name>user_analog_project_wrapper</name>
+        </cell>
+    </cells>
+    <items/>
+</report-database>
diff --git a/precheck_results/04_JUN_2022___10_33_37/outputs/user_analog_project_wrapper.magic.drc.mag b/precheck_results/04_JUN_2022___10_33_37/outputs/user_analog_project_wrapper.magic.drc.mag
new file mode 100644
index 0000000..bc7865b
--- /dev/null
+++ b/precheck_results/04_JUN_2022___10_33_37/outputs/user_analog_project_wrapper.magic.drc.mag
@@ -0,0 +1,7 @@
+magic
+tech minimum
+magscale 1 2
+timestamp 0
+<< checkpaint >>
+rect 0 0 1 1
+<< end >>
diff --git a/precheck_results/04_JUN_2022___10_33_37/outputs/user_analog_project_wrapper_empty_erased.gds b/precheck_results/04_JUN_2022___10_33_37/outputs/user_analog_project_wrapper_empty_erased.gds
new file mode 100644
index 0000000..e69de29
--- /dev/null
+++ b/precheck_results/04_JUN_2022___10_33_37/outputs/user_analog_project_wrapper_empty_erased.gds
diff --git a/precheck_results/04_JUN_2022___10_33_37/outputs/user_analog_project_wrapper_erased.gds b/precheck_results/04_JUN_2022___10_33_37/outputs/user_analog_project_wrapper_erased.gds
new file mode 100644
index 0000000..e69de29
--- /dev/null
+++ b/precheck_results/04_JUN_2022___10_33_37/outputs/user_analog_project_wrapper_erased.gds
diff --git a/precheck_results/04_JUN_2022___10_33_37/outputs/user_analog_project_wrapper_no_zero_areas.gds b/precheck_results/04_JUN_2022___10_33_37/outputs/user_analog_project_wrapper_no_zero_areas.gds
new file mode 100644
index 0000000..241b05b
--- /dev/null
+++ b/precheck_results/04_JUN_2022___10_33_37/outputs/user_analog_project_wrapper_no_zero_areas.gds
Binary files differ
diff --git a/precheck_results/04_JUN_2022___11_00_41/logs/gds.info b/precheck_results/04_JUN_2022___11_00_41/logs/gds.info
new file mode 100644
index 0000000..5423fbc
--- /dev/null
+++ b/precheck_results/04_JUN_2022___11_00_41/logs/gds.info
@@ -0,0 +1 @@
+user_analog_project_wrapper.gds: 0ef4810dbf736256e18ec922ce15f85dd8a63540
\ No newline at end of file
diff --git a/precheck_results/04_JUN_2022___11_00_41/logs/klayout_beol_check.log b/precheck_results/04_JUN_2022___11_00_41/logs/klayout_beol_check.log
new file mode 100644
index 0000000..f35afb7
--- /dev/null
+++ b/precheck_results/04_JUN_2022___11_00_41/logs/klayout_beol_check.log
@@ -0,0 +1,992 @@
+/home/krishna/mpw_precheckmpw6/checks/tech-files/sky130A_mr.drc:38: warning: already initialized constant DRC::DRCEngine::FEOL
+/home/krishna/mpw_precheckmpw6/checks/tech-files/sky130A_mr.drc:28: warning: previous definition of FEOL was here
+/home/krishna/mpw_precheckmpw6/checks/tech-files/sky130A_mr.drc:42: warning: already initialized constant DRC::DRCEngine::BEOL
+/home/krishna/mpw_precheckmpw6/checks/tech-files/sky130A_mr.drc:29: warning: previous definition of BEOL was here
+/home/krishna/mpw_precheckmpw6/checks/tech-files/sky130A_mr.drc:50: warning: already initialized constant DRC::DRCEngine::OFFGRID
+/home/krishna/mpw_precheckmpw6/checks/tech-files/sky130A_mr.drc:30: warning: previous definition of OFFGRID was here
+/home/krishna/mpw_precheckmpw6/checks/tech-files/sky130A_mr.drc:56: warning: already initialized constant DRC::DRCEngine::SEAL
+/home/krishna/mpw_precheckmpw6/checks/tech-files/sky130A_mr.drc:31: warning: previous definition of SEAL was here
+/home/krishna/mpw_precheckmpw6/checks/tech-files/sky130A_mr.drc:62: warning: already initialized constant DRC::DRCEngine::FLOATING_MET
+/home/krishna/mpw_precheckmpw6/checks/tech-files/sky130A_mr.drc:32: warning: previous definition of FLOATING_MET was here
+"input" in: sky130A_mr.drc:112
+    Polygons (raw): 62 (flat)  25 (hierarchical)
+    Elapsed: 0.010s  Memory: 522.00M
+"polygons" in: sky130A_mr.drc:113
+    Polygons (raw): 50 (flat)  42 (hierarchical)
+    Elapsed: 0.000s  Memory: 522.00M
+"polygons" in: sky130A_mr.drc:114
+    Polygons (raw): 89 (flat)  69 (hierarchical)
+    Elapsed: 0.010s  Memory: 522.00M
+"polygons" in: sky130A_mr.drc:115
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 522.00M
+"polygons" in: sky130A_mr.drc:116
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 522.00M
+"polygons" in: sky130A_mr.drc:117
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 522.00M
+"polygons" in: sky130A_mr.drc:118
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 522.00M
+"polygons" in: sky130A_mr.drc:119
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 522.00M
+"polygons" in: sky130A_mr.drc:120
+    Polygons (raw): 10 (flat)  2 (hierarchical)
+    Elapsed: 0.010s  Memory: 522.00M
+"polygons" in: sky130A_mr.drc:121
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 522.00M
+"polygons" in: sky130A_mr.drc:122
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 522.00M
+"polygons" in: sky130A_mr.drc:123
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 522.00M
+"polygons" in: sky130A_mr.drc:124
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 522.00M
+"polygons" in: sky130A_mr.drc:125
+    Polygons (raw): 202 (flat)  116 (hierarchical)
+    Elapsed: 0.000s  Memory: 522.00M
+"polygons" in: sky130A_mr.drc:126
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 522.00M
+"polygons" in: sky130A_mr.drc:127
+    Polygons (raw): 62 (flat)  43 (hierarchical)
+    Elapsed: 0.000s  Memory: 522.00M
+"polygons" in: sky130A_mr.drc:128
+    Polygons (raw): 76 (flat)  50 (hierarchical)
+    Elapsed: 0.010s  Memory: 522.00M
+"polygons" in: sky130A_mr.drc:129
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 522.00M
+"polygons" in: sky130A_mr.drc:130
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 522.00M
+"polygons" in: sky130A_mr.drc:131
+    Polygons (raw): 33 (flat)  24 (hierarchical)
+    Elapsed: 0.000s  Memory: 522.00M
+"polygons" in: sky130A_mr.drc:132
+    Polygons (raw): 928 (flat)  312 (hierarchical)
+    Elapsed: 0.010s  Memory: 522.00M
+"polygons" in: sky130A_mr.drc:134
+    Polygons (raw): 598 (flat)  426 (hierarchical)
+    Elapsed: 0.010s  Memory: 522.00M
+"polygons" in: sky130A_mr.drc:135
+    Polygons (raw): 1771 (flat)  1495 (hierarchical)
+    Elapsed: 0.010s  Memory: 522.00M
+"polygons" in: sky130A_mr.drc:137
+    Polygons (raw): 349 (flat)  299 (hierarchical)
+    Elapsed: 0.000s  Memory: 522.00M
+"polygons" in: sky130A_mr.drc:138
+    Polygons (raw): 2570 (flat)  2570 (hierarchical)
+    Elapsed: 0.010s  Memory: 522.00M
+"polygons" in: sky130A_mr.drc:140
+    Polygons (raw): 603 (flat)  603 (hierarchical)
+    Elapsed: 0.000s  Memory: 522.00M
+"polygons" in: sky130A_mr.drc:141
+    Polygons (raw): 1761 (flat)  1761 (hierarchical)
+    Elapsed: 0.010s  Memory: 522.00M
+"polygons" in: sky130A_mr.drc:143
+    Polygons (raw): 458 (flat)  458 (hierarchical)
+    Elapsed: 0.000s  Memory: 522.00M
+"polygons" in: sky130A_mr.drc:144
+    Polygons (raw): 25800 (flat)  25800 (hierarchical)
+    Elapsed: 0.020s  Memory: 523.00M
+"polygons" in: sky130A_mr.drc:146
+    Polygons (raw): 177 (flat)  177 (hierarchical)
+    Elapsed: 0.010s  Memory: 523.00M
+"polygons" in: sky130A_mr.drc:147
+    Polygons (raw): 552 (flat)  552 (hierarchical)
+    Elapsed: 0.000s  Memory: 523.00M
+"polygons" in: sky130A_mr.drc:149
+    Polygons (raw): 153 (flat)  153 (hierarchical)
+    Elapsed: 0.010s  Memory: 523.00M
+"polygons" in: sky130A_mr.drc:151
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 523.00M
+"polygons" in: sky130A_mr.drc:152
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 523.00M
+"polygons" in: sky130A_mr.drc:153
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 523.00M
+"polygons" in: sky130A_mr.drc:154
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 523.00M
+"polygons" in: sky130A_mr.drc:155
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 523.00M
+"polygons" in: sky130A_mr.drc:156
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 523.00M
+"polygons" in: sky130A_mr.drc:157
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 523.00M
+"polygons" in: sky130A_mr.drc:158
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 523.00M
+"polygons" in: sky130A_mr.drc:159
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 523.00M
+"polygons" in: sky130A_mr.drc:160
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 523.00M
+"polygons" in: sky130A_mr.drc:161
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 523.00M
+"polygons" in: sky130A_mr.drc:162
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 523.00M
+"polygons" in: sky130A_mr.drc:163
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 523.00M
+"polygons" in: sky130A_mr.drc:164
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 523.00M
+"polygons" in: sky130A_mr.drc:165
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 523.00M
+"polygons" in: sky130A_mr.drc:166
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 523.00M
+"polygons" in: sky130A_mr.drc:167
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 523.00M
+"polygons" in: sky130A_mr.drc:168
+    Polygons (raw): 10 (flat)  2 (hierarchical)
+    Elapsed: 0.010s  Memory: 523.00M
+"polygons" in: sky130A_mr.drc:169
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 523.00M
+"polygons" in: sky130A_mr.drc:170
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 523.00M
+"polygons" in: sky130A_mr.drc:171
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 523.00M
+"polygons" in: sky130A_mr.drc:172
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 523.00M
+"polygons" in: sky130A_mr.drc:173
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 523.00M
+"polygons" in: sky130A_mr.drc:174
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 523.00M
+"polygons" in: sky130A_mr.drc:175
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 523.00M
+"polygons" in: sky130A_mr.drc:176
+    Polygons (raw): 1 (flat)  1 (hierarchical)
+    Elapsed: 0.010s  Memory: 523.00M
+"polygons" in: sky130A_mr.drc:177
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 523.00M
+"polygons" in: sky130A_mr.drc:178
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 523.00M
+"polygons" in: sky130A_mr.drc:179
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 523.00M
+"polygons" in: sky130A_mr.drc:180
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 523.00M
+"polygons" in: sky130A_mr.drc:181
+    Polygons (raw): 4 (flat)  3 (hierarchical)
+    Elapsed: 0.000s  Memory: 523.00M
+"polygons" in: sky130A_mr.drc:182
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 523.00M
+"polygons" in: sky130A_mr.drc:183
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 523.00M
+"polygons" in: sky130A_mr.drc:184
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 523.00M
+"polygons" in: sky130A_mr.drc:185
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 523.00M
+"polygons" in: sky130A_mr.drc:186
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 523.00M
+"polygons" in: sky130A_mr.drc:187
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 523.00M
+"polygons" in: sky130A_mr.drc:188
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 523.00M
+"polygons" in: sky130A_mr.drc:189
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 523.00M
+"polygons" in: sky130A_mr.drc:190
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 523.00M
+"polygons" in: sky130A_mr.drc:191
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 523.00M
+"polygons" in: sky130A_mr.drc:192
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 523.00M
+"polygons" in: sky130A_mr.drc:193
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 523.00M
+"polygons" in: sky130A_mr.drc:194
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 523.00M
+"polygons" in: sky130A_mr.drc:195
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 523.00M
+"polygons" in: sky130A_mr.drc:196
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 523.00M
+"polygons" in: sky130A_mr.drc:197
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 523.00M
+"polygons" in: sky130A_mr.drc:198
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 523.00M
+"polygons" in: sky130A_mr.drc:199
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 523.00M
+"polygons" in: sky130A_mr.drc:200
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 523.00M
+"polygons" in: sky130A_mr.drc:201
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 523.00M
+"polygons" in: sky130A_mr.drc:202
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 523.00M
+"polygons" in: sky130A_mr.drc:203
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 523.00M
+DRC section
+BEOL section
+START: 67/20 (li)
+"not" in: sky130A_mr.drc:381
+    Polygons (raw): 598 (flat)  426 (hierarchical)
+    Elapsed: 0.010s  Memory: 523.00M
+"width" in: sky130A_mr.drc:382
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 523.00M
+"output" in: sky130A_mr.drc:382
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 523.00M
+"edges" in: sky130A_mr.drc:384
+    Edges: 2997 (flat)  1750 (hierarchical)
+    Elapsed: 0.030s  Memory: 1067.00M
+"space" in: sky130A_mr.drc:384
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.020s  Memory: 1067.00M
+"output" in: sky130A_mr.drc:384
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 1067.00M
+"not" in: sky130A_mr.drc:385
+    Polygons (raw): 928 (flat)  312 (hierarchical)
+    Elapsed: 0.010s  Memory: 1067.00M
+"enclosing" in: sky130A_mr.drc:386
+    Edge pairs: 1219 (flat)  999 (hierarchical)
+    Elapsed: 0.040s  Memory: 1067.00M
+"second_edges" in: sky130A_mr.drc:386
+    Edges: 1219 (flat)  999 (hierarchical)
+    Elapsed: 0.000s  Memory: 1067.00M
+"width" in: sky130A_mr.drc:387
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 1067.00M
+"polygons" in: sky130A_mr.drc:388
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 1067.00M
+"interacting" in: sky130A_mr.drc:388
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 1067.00M
+"output" in: sky130A_mr.drc:389
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 1067.00M
+"with_area" in: sky130A_mr.drc:390
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 1067.00M
+"output" in: sky130A_mr.drc:390
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 1067.00M
+END: 67/20 (li)
+START: 67/44 (mcon)
+"not" in: sky130A_mr.drc:395
+    Polygons (raw): 1771 (flat)  1495 (hierarchical)
+    Elapsed: 0.000s  Memory: 1067.00M
+"not" in: sky130A_mr.drc:402
+    Polygons (raw): 1771 (flat)  1495 (hierarchical)
+    Elapsed: 0.010s  Memory: 1067.00M
+"non_rectangles" in: sky130A_mr.drc:403
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 1068.00M
+"output" in: sky130A_mr.drc:403
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 1068.00M
+"drc" in: sky130A_mr.drc:405
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.020s  Memory: 1068.00M
+"output" in: sky130A_mr.drc:405
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 1068.00M
+"drc" in: sky130A_mr.drc:406
+    Edges: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 1068.00M
+"output" in: sky130A_mr.drc:406
+    Edges: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 1068.00M
+"space" in: sky130A_mr.drc:407
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 1068.00M
+"output" in: sky130A_mr.drc:407
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 1068.00M
+"not" in: sky130A_mr.drc:413
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 1068.00M
+"output" in: sky130A_mr.drc:413
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 1068.00M
+END: 67/44 (mcon)
+START: 68/20 (m1)
+"width" in: sky130A_mr.drc:418
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 1068.00M
+"output" in: sky130A_mr.drc:418
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 1068.00M
+"sized" in: sky130A_mr.drc:419
+    Polygons (raw): 17 (flat)  17 (hierarchical)
+    Elapsed: 0.000s  Memory: 1068.00M
+"sized" in: sky130A_mr.drc:419
+    Polygons (raw): 17 (flat)  17 (hierarchical)
+    Elapsed: 0.010s  Memory: 1068.00M
+"snap" in: sky130A_mr.drc:419
+    Polygons (raw): 17 (flat)  17 (hierarchical)
+    Elapsed: 0.000s  Memory: 1068.00M
+"&" in: sky130A_mr.drc:419
+    Polygons (raw): 17 (flat)  17 (hierarchical)
+    Elapsed: 0.000s  Memory: 1068.00M
+"edges" in: sky130A_mr.drc:420
+    Edges: 1233 (flat)  1093 (hierarchical)
+    Elapsed: 0.010s  Memory: 1068.00M
+"-" in: sky130A_mr.drc:420
+    Edges: 1093 (flat)  962 (hierarchical)
+    Elapsed: 0.000s  Memory: 1068.00M
+"edges" in: sky130A_mr.drc:421
+    Edges: 126 (flat)  126 (hierarchical)
+    Elapsed: 0.010s  Memory: 1068.00M
+"merged" in: sky130A_mr.drc:421
+    Polygons (raw): 123 (flat)  113 (hierarchical)
+    Elapsed: 0.000s  Memory: 1068.00M
+"outside_part" in: sky130A_mr.drc:421
+    Edges: 142 (flat)  142 (hierarchical)
+    Elapsed: 0.010s  Memory: 1068.00M
+"space" in: sky130A_mr.drc:423
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 1068.00M
+"output" in: sky130A_mr.drc:423
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 1068.00M
+"separation" in: sky130A_mr.drc:425
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 1068.00M
+"space" in: sky130A_mr.drc:425
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 1068.00M
+"+" in: sky130A_mr.drc:425
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 1068.00M
+"output" in: sky130A_mr.drc:425
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 1068.00M
+"input" in: sky130A_mr.drc:429
+    Polygons (raw): 349 (flat)  305 (hierarchical)
+    Elapsed: 0.000s  Memory: 1068.00M
+"enclosing" in: sky130A_mr.drc:431
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.020s  Memory: 1068.00M
+"output" in: sky130A_mr.drc:431
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 1068.00M
+"not" in: sky130A_mr.drc:432
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 1068.00M
+"output" in: sky130A_mr.drc:432
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 1068.00M
+"input" in: sky130A_mr.drc:434
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 1068.00M
+"enclosing" in: sky130A_mr.drc:435
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 1068.00M
+"output" in: sky130A_mr.drc:435
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 1068.00M
+"not" in: sky130A_mr.drc:437
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 1068.00M
+"output" in: sky130A_mr.drc:437
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 1068.00M
+"with_area" in: sky130A_mr.drc:439
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 1068.00M
+"output" in: sky130A_mr.drc:439
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 1068.00M
+"holes" in: sky130A_mr.drc:441
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 1068.00M
+"with_area" in: sky130A_mr.drc:441
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 1068.00M
+"output" in: sky130A_mr.drc:441
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 1068.00M
+"enclosing" in: sky130A_mr.drc:448
+    Edge pairs: 245 (flat)  205 (hierarchical)
+    Elapsed: 0.020s  Memory: 1068.00M
+"second_edges" in: sky130A_mr.drc:448
+    Edges: 245 (flat)  205 (hierarchical)
+    Elapsed: 0.000s  Memory: 1068.00M
+"width" in: sky130A_mr.drc:449
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 1068.00M
+"polygons" in: sky130A_mr.drc:450
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 1068.00M
+"interacting" in: sky130A_mr.drc:450
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.020s  Memory: 1068.00M
+"output" in: sky130A_mr.drc:451
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 1068.00M
+END: 68/20 (m1)
+START: 68/44 (via)
+"not" in: sky130A_mr.drc:465
+    Polygons (raw): 2570 (flat)  2570 (hierarchical)
+    Elapsed: 0.010s  Memory: 1068.00M
+"non_rectangles" in: sky130A_mr.drc:467
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.020s  Memory: 1068.00M
+"output" in: sky130A_mr.drc:467
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 1068.00M
+"width" in: sky130A_mr.drc:468
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 1068.00M
+"output" in: sky130A_mr.drc:468
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 1068.00M
+"drc" in: sky130A_mr.drc:470
+    Edges: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.020s  Memory: 1068.00M
+"output" in: sky130A_mr.drc:470
+    Edges: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 1068.00M
+"space" in: sky130A_mr.drc:472
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.030s  Memory: 1068.00M
+"output" in: sky130A_mr.drc:472
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 1068.00M
+"edges" in: sky130A_mr.drc:480
+    Edges: 1233 (flat)  1093 (hierarchical)
+    Elapsed: 0.000s  Memory: 1068.00M
+"drc" in: sky130A_mr.drc:480
+    Edges: 10280 (flat)  10280 (hierarchical)
+    Elapsed: 0.030s  Memory: 1068.00M
+"enclosing" in: sky130A_mr.drc:480
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.020s  Memory: 1068.00M
+"output" in: sky130A_mr.drc:480
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 1068.00M
+"squares" in: sky130A_mr.drc:481
+    Polygons (raw): 2570 (flat)  2570 (hierarchical)
+    Elapsed: 0.010s  Memory: 1068.00M
+"drc" in: sky130A_mr.drc:481
+    Edges: 10280 (flat)  10280 (hierarchical)
+    Elapsed: 0.030s  Memory: 1068.00M
+"not" in: sky130A_mr.drc:481
+    Edges: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.020s  Memory: 1068.00M
+"output" in: sky130A_mr.drc:481
+    Edges: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 1068.00M
+"edges" in: sky130A_mr.drc:483
+    Edges: 1233 (flat)  1093 (hierarchical)
+    Elapsed: 0.010s  Memory: 1068.00M
+"drc" in: sky130A_mr.drc:483
+    Edges: 10280 (flat)  10280 (hierarchical)
+    Elapsed: 0.040s  Memory: 1068.00M
+"enclosing" in: sky130A_mr.drc:483
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.020s  Memory: 1068.00M
+"second_edges" in: sky130A_mr.drc:483
+    Edges: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 1068.00M
+"width" in: sky130A_mr.drc:484
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 1068.00M
+"polygons" in: sky130A_mr.drc:485
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 1068.00M
+"interacting" in: sky130A_mr.drc:485
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 1068.00M
+"output" in: sky130A_mr.drc:486
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 1068.00M
+END: 68/44 (via)
+START: 69/20 (m2)
+"width" in: sky130A_mr.drc:493
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 1069.00M
+"output" in: sky130A_mr.drc:493
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 1069.00M
+"sized" in: sky130A_mr.drc:495
+    Polygons (raw): 13 (flat)  13 (hierarchical)
+    Elapsed: 0.010s  Memory: 1069.00M
+"sized" in: sky130A_mr.drc:495
+    Polygons (raw): 13 (flat)  13 (hierarchical)
+    Elapsed: 0.010s  Memory: 1069.00M
+"snap" in: sky130A_mr.drc:495
+    Polygons (raw): 13 (flat)  13 (hierarchical)
+    Elapsed: 0.000s  Memory: 1069.00M
+"&" in: sky130A_mr.drc:495
+    Polygons (raw): 13 (flat)  13 (hierarchical)
+    Elapsed: 0.010s  Memory: 1069.00M
+"edges" in: sky130A_mr.drc:496
+    Edges: 2367 (flat)  2367 (hierarchical)
+    Elapsed: 0.010s  Memory: 1069.00M
+"-" in: sky130A_mr.drc:496
+    Edges: 2296 (flat)  2296 (hierarchical)
+    Elapsed: 0.010s  Memory: 1069.00M
+"edges" in: sky130A_mr.drc:497
+    Edges: 64 (flat)  64 (hierarchical)
+    Elapsed: 0.010s  Memory: 1069.00M
+"merged" in: sky130A_mr.drc:497
+    Polygons (raw): 550 (flat)  550 (hierarchical)
+    Elapsed: 0.000s  Memory: 1069.00M
+"outside_part" in: sky130A_mr.drc:497
+    Edges: 65 (flat)  65 (hierarchical)
+    Elapsed: 0.010s  Memory: 1069.00M
+"not" in: sky130A_mr.drc:498
+    Polygons (raw): 2570 (flat)  2570 (hierarchical)
+    Elapsed: 0.010s  Memory: 1069.00M
+"space" in: sky130A_mr.drc:500
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 1069.00M
+"output" in: sky130A_mr.drc:500
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 1069.00M
+"separation" in: sky130A_mr.drc:502
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 1069.00M
+"space" in: sky130A_mr.drc:502
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 1069.00M
+"+" in: sky130A_mr.drc:502
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 1069.00M
+"output" in: sky130A_mr.drc:502
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 1069.00M
+"with_area" in: sky130A_mr.drc:504
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 1069.00M
+"output" in: sky130A_mr.drc:504
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 1069.00M
+"holes" in: sky130A_mr.drc:505
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 1069.00M
+"with_area" in: sky130A_mr.drc:505
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 1069.00M
+"output" in: sky130A_mr.drc:505
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 1069.00M
+"enclosing" in: sky130A_mr.drc:510
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.020s  Memory: 1069.00M
+"output" in: sky130A_mr.drc:510
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 1069.00M
+"not" in: sky130A_mr.drc:511
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 1069.00M
+"output" in: sky130A_mr.drc:511
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 1069.00M
+"enclosing" in: sky130A_mr.drc:512
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.020s  Memory: 1069.00M
+"second_edges" in: sky130A_mr.drc:512
+    Edges: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 1069.00M
+"width" in: sky130A_mr.drc:513
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 1069.00M
+"polygons" in: sky130A_mr.drc:514
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 1069.00M
+"interacting" in: sky130A_mr.drc:514
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 1069.00M
+"output" in: sky130A_mr.drc:515
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 1069.00M
+END: 69/20 (m2)
+START: 69/44 (via2)
+"not" in: sky130A_mr.drc:530
+    Polygons (raw): 1761 (flat)  1761 (hierarchical)
+    Elapsed: 0.000s  Memory: 1069.00M
+"non_rectangles" in: sky130A_mr.drc:531
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.020s  Memory: 1070.00M
+"output" in: sky130A_mr.drc:531
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 1070.00M
+"width" in: sky130A_mr.drc:532
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 1070.00M
+"output" in: sky130A_mr.drc:532
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 1070.00M
+"edges" in: sky130A_mr.drc:533
+    Edges: 7044 (flat)  7044 (hierarchical)
+    Elapsed: 0.020s  Memory: 1070.00M
+"without_length" in: sky130A_mr.drc:533
+    Edges: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.020s  Memory: 1071.00M
+"output" in: sky130A_mr.drc:533
+    Edges: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 1071.00M
+"space" in: sky130A_mr.drc:534
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.020s  Memory: 1071.00M
+"output" in: sky130A_mr.drc:534
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 1071.00M
+"enclosing" in: sky130A_mr.drc:542
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.020s  Memory: 1071.00M
+"output" in: sky130A_mr.drc:542
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 1071.00M
+"not" in: sky130A_mr.drc:543
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 1071.00M
+"output" in: sky130A_mr.drc:543
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 1071.00M
+"enclosing" in: sky130A_mr.drc:545
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.020s  Memory: 1071.00M
+"second_edges" in: sky130A_mr.drc:545
+    Edges: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 1071.00M
+"width" in: sky130A_mr.drc:546
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 1071.00M
+"polygons" in: sky130A_mr.drc:547
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 1071.00M
+"interacting" in: sky130A_mr.drc:547
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 1071.00M
+"output" in: sky130A_mr.drc:548
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 1071.00M
+END: 69/44 (via2)
+START: 70/20 (m3)
+"width" in: sky130A_mr.drc:554
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 1071.00M
+"output" in: sky130A_mr.drc:554
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 1071.00M
+"sized" in: sky130A_mr.drc:556
+    Polygons (raw): 53 (flat)  53 (hierarchical)
+    Elapsed: 0.000s  Memory: 1071.00M
+"sized" in: sky130A_mr.drc:556
+    Polygons (raw): 53 (flat)  53 (hierarchical)
+    Elapsed: 0.010s  Memory: 1071.00M
+"snap" in: sky130A_mr.drc:556
+    Polygons (raw): 53 (flat)  53 (hierarchical)
+    Elapsed: 0.010s  Memory: 1071.00M
+"&" in: sky130A_mr.drc:556
+    Polygons (raw): 98 (flat)  98 (hierarchical)
+    Elapsed: 0.010s  Memory: 1071.00M
+"edges" in: sky130A_mr.drc:557
+    Edges: 1658 (flat)  1658 (hierarchical)
+    Elapsed: 0.010s  Memory: 1071.00M
+"-" in: sky130A_mr.drc:557
+    Edges: 883 (flat)  883 (hierarchical)
+    Elapsed: 0.010s  Memory: 1071.00M
+"edges" in: sky130A_mr.drc:558
+    Edges: 671 (flat)  671 (hierarchical)
+    Elapsed: 0.010s  Memory: 1071.00M
+"merged" in: sky130A_mr.drc:558
+    Polygons (raw): 228 (flat)  228 (hierarchical)
+    Elapsed: 0.000s  Memory: 1071.00M
+"outside_part" in: sky130A_mr.drc:558
+    Edges: 676 (flat)  676 (hierarchical)
+    Elapsed: 0.010s  Memory: 1071.00M
+"space" in: sky130A_mr.drc:560
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 1071.00M
+"output" in: sky130A_mr.drc:560
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 1071.00M
+"separation" in: sky130A_mr.drc:562
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 1071.00M
+"space" in: sky130A_mr.drc:562
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 1071.00M
+"+" in: sky130A_mr.drc:562
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 1071.00M
+"output" in: sky130A_mr.drc:562
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 1071.00M
+"enclosing" in: sky130A_mr.drc:567
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.020s  Memory: 1071.00M
+"output" in: sky130A_mr.drc:567
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 1071.00M
+"not" in: sky130A_mr.drc:568
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 1071.00M
+"output" in: sky130A_mr.drc:568
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 1071.00M
+END: 70/20 (m3)
+START: 70/44 (via3)
+"not" in: sky130A_mr.drc:582
+    Polygons (raw): 25800 (flat)  25800 (hierarchical)
+    Elapsed: 0.010s  Memory: 1071.00M
+"non_rectangles" in: sky130A_mr.drc:583
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.130s  Memory: 1078.00M
+"output" in: sky130A_mr.drc:583
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 1078.00M
+"width" in: sky130A_mr.drc:584
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.020s  Memory: 1078.00M
+"output" in: sky130A_mr.drc:584
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 1078.00M
+"edges" in: sky130A_mr.drc:585
+    Edges: 103200 (flat)  103200 (hierarchical)
+    Elapsed: 0.100s  Memory: 1078.00M
+"without_length" in: sky130A_mr.drc:585
+    Edges: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.250s  Memory: 1105.00M
+"output" in: sky130A_mr.drc:585
+    Edges: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 1105.00M
+"space" in: sky130A_mr.drc:587
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.180s  Memory: 1105.00M
+"output" in: sky130A_mr.drc:587
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 1105.00M
+"enclosing" in: sky130A_mr.drc:588
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.220s  Memory: 1105.00M
+"output" in: sky130A_mr.drc:588
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 1105.00M
+"not" in: sky130A_mr.drc:589
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.060s  Memory: 1105.00M
+"output" in: sky130A_mr.drc:589
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 1105.00M
+"enclosing" in: sky130A_mr.drc:591
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.230s  Memory: 1105.00M
+"second_edges" in: sky130A_mr.drc:591
+    Edges: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 1105.00M
+"width" in: sky130A_mr.drc:592
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 1105.00M
+"polygons" in: sky130A_mr.drc:593
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 1105.00M
+"interacting" in: sky130A_mr.drc:593
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 1105.00M
+"output" in: sky130A_mr.drc:594
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 1105.00M
+END: 70/44 (via3)
+START: 71/20 (m4)
+"width" in: sky130A_mr.drc:600
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 1105.00M
+"output" in: sky130A_mr.drc:600
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 1105.00M
+"sized" in: sky130A_mr.drc:602
+    Polygons (raw): 20 (flat)  20 (hierarchical)
+    Elapsed: 0.010s  Memory: 1105.00M
+"sized" in: sky130A_mr.drc:602
+    Polygons (raw): 20 (flat)  20 (hierarchical)
+    Elapsed: 0.010s  Memory: 1105.00M
+"snap" in: sky130A_mr.drc:602
+    Polygons (raw): 20 (flat)  20 (hierarchical)
+    Elapsed: 0.000s  Memory: 1105.00M
+"&" in: sky130A_mr.drc:602
+    Polygons (raw): 46 (flat)  46 (hierarchical)
+    Elapsed: 0.010s  Memory: 1105.00M
+"edges" in: sky130A_mr.drc:603
+    Edges: 572 (flat)  572 (hierarchical)
+    Elapsed: 0.010s  Memory: 1105.00M
+"-" in: sky130A_mr.drc:603
+    Edges: 116 (flat)  116 (hierarchical)
+    Elapsed: 0.010s  Memory: 1105.00M
+"edges" in: sky130A_mr.drc:604
+    Edges: 366 (flat)  366 (hierarchical)
+    Elapsed: 0.010s  Memory: 1105.00M
+"merged" in: sky130A_mr.drc:604
+    Polygons (raw): 31 (flat)  31 (hierarchical)
+    Elapsed: 0.010s  Memory: 1105.00M
+"outside_part" in: sky130A_mr.drc:604
+    Edges: 371 (flat)  371 (hierarchical)
+    Elapsed: 0.010s  Memory: 1105.00M
+"space" in: sky130A_mr.drc:606
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 1105.00M
+"output" in: sky130A_mr.drc:606
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 1105.00M
+"with_area" in: sky130A_mr.drc:608
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 1105.00M
+"output" in: sky130A_mr.drc:608
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 1105.00M
+"separation" in: sky130A_mr.drc:610
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 1105.00M
+"space" in: sky130A_mr.drc:610
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 1105.00M
+"+" in: sky130A_mr.drc:610
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 1105.00M
+"output" in: sky130A_mr.drc:610
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 1105.00M
+"enclosing" in: sky130A_mr.drc:615
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.170s  Memory: 1105.00M
+"output" in: sky130A_mr.drc:615
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 1105.00M
+"not" in: sky130A_mr.drc:616
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.050s  Memory: 1105.00M
+"output" in: sky130A_mr.drc:616
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 1105.00M
+END: 71/20 (m4)
+START: 71/44 (via4)
+"not" in: sky130A_mr.drc:629
+    Polygons (raw): 552 (flat)  552 (hierarchical)
+    Elapsed: 0.000s  Memory: 1105.00M
+"non_rectangles" in: sky130A_mr.drc:630
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 1105.00M
+"output" in: sky130A_mr.drc:630
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 1105.00M
+"width" in: sky130A_mr.drc:631
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 1105.00M
+"output" in: sky130A_mr.drc:631
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 1105.00M
+"drc" in: sky130A_mr.drc:632
+    Edges: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 1105.00M
+"output" in: sky130A_mr.drc:632
+    Edges: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 1105.00M
+"space" in: sky130A_mr.drc:634
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 1105.00M
+"polygons" in: sky130A_mr.drc:634
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 1105.00M
+"output" in: sky130A_mr.drc:634
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 1105.00M
+"enclosing" in: sky130A_mr.drc:642
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 1105.00M
+"output" in: sky130A_mr.drc:642
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 1105.00M
+"not" in: sky130A_mr.drc:643
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 1105.00M
+"output" in: sky130A_mr.drc:643
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 1105.00M
+END: 71/44 (via4)
+START: 72/20 (m5)
+"width" in: sky130A_mr.drc:648
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 1105.00M
+"output" in: sky130A_mr.drc:648
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 1105.00M
+"space" in: sky130A_mr.drc:650
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.020s  Memory: 1105.00M
+"output" in: sky130A_mr.drc:650
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 1105.00M
+"enclosing" in: sky130A_mr.drc:652
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 1105.00M
+"output" in: sky130A_mr.drc:652
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 1105.00M
+"not" in: sky130A_mr.drc:653
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 1105.00M
+"output" in: sky130A_mr.drc:653
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 1105.00M
+"with_area" in: sky130A_mr.drc:657
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 1105.00M
+"output" in: sky130A_mr.drc:657
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 1105.00M
+END: 72/20 (m5)
+START: 76/20 (pad)
+"space" in: sky130A_mr.drc:662
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 1105.00M
+"output" in: sky130A_mr.drc:662
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 1105.00M
+END: 76/20 (pad)
+Writing report database: /home/krishna/Comparator_MPW6/precheck_results/04_JUN_2022___11_00_41/outputs/reports/klayout_beol_check.xml ..
+Total elapsed: 3.820s  Memory: 1105.00M
diff --git a/precheck_results/04_JUN_2022___11_00_41/logs/klayout_beol_check.total b/precheck_results/04_JUN_2022___11_00_41/logs/klayout_beol_check.total
new file mode 100644
index 0000000..c227083
--- /dev/null
+++ b/precheck_results/04_JUN_2022___11_00_41/logs/klayout_beol_check.total
@@ -0,0 +1 @@
+0
\ No newline at end of file
diff --git a/precheck_results/04_JUN_2022___11_00_41/logs/klayout_feol_check.log b/precheck_results/04_JUN_2022___11_00_41/logs/klayout_feol_check.log
new file mode 100644
index 0000000..b433629
--- /dev/null
+++ b/precheck_results/04_JUN_2022___11_00_41/logs/klayout_feol_check.log
@@ -0,0 +1,789 @@
+/home/krishna/mpw_precheckmpw6/checks/tech-files/sky130A_mr.drc:36: warning: already initialized constant DRC::DRCEngine::FEOL
+/home/krishna/mpw_precheckmpw6/checks/tech-files/sky130A_mr.drc:28: warning: previous definition of FEOL was here
+/home/krishna/mpw_precheckmpw6/checks/tech-files/sky130A_mr.drc:44: warning: already initialized constant DRC::DRCEngine::BEOL
+/home/krishna/mpw_precheckmpw6/checks/tech-files/sky130A_mr.drc:29: warning: previous definition of BEOL was here
+/home/krishna/mpw_precheckmpw6/checks/tech-files/sky130A_mr.drc:50: warning: already initialized constant DRC::DRCEngine::OFFGRID
+/home/krishna/mpw_precheckmpw6/checks/tech-files/sky130A_mr.drc:30: warning: previous definition of OFFGRID was here
+/home/krishna/mpw_precheckmpw6/checks/tech-files/sky130A_mr.drc:56: warning: already initialized constant DRC::DRCEngine::SEAL
+/home/krishna/mpw_precheckmpw6/checks/tech-files/sky130A_mr.drc:31: warning: previous definition of SEAL was here
+/home/krishna/mpw_precheckmpw6/checks/tech-files/sky130A_mr.drc:62: warning: already initialized constant DRC::DRCEngine::FLOATING_MET
+/home/krishna/mpw_precheckmpw6/checks/tech-files/sky130A_mr.drc:32: warning: previous definition of FLOATING_MET was here
+"input" in: sky130A_mr.drc:112
+    Polygons (raw): 62 (flat)  25 (hierarchical)
+    Elapsed: 0.000s  Memory: 522.00M
+"polygons" in: sky130A_mr.drc:113
+    Polygons (raw): 50 (flat)  42 (hierarchical)
+    Elapsed: 0.010s  Memory: 522.00M
+"polygons" in: sky130A_mr.drc:114
+    Polygons (raw): 89 (flat)  69 (hierarchical)
+    Elapsed: 0.000s  Memory: 522.00M
+"polygons" in: sky130A_mr.drc:115
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 522.00M
+"polygons" in: sky130A_mr.drc:116
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 522.00M
+"polygons" in: sky130A_mr.drc:117
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 522.00M
+"polygons" in: sky130A_mr.drc:118
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 522.00M
+"polygons" in: sky130A_mr.drc:119
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 522.00M
+"polygons" in: sky130A_mr.drc:120
+    Polygons (raw): 10 (flat)  2 (hierarchical)
+    Elapsed: 0.000s  Memory: 522.00M
+"polygons" in: sky130A_mr.drc:121
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 522.00M
+"polygons" in: sky130A_mr.drc:122
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 522.00M
+"polygons" in: sky130A_mr.drc:123
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 522.00M
+"polygons" in: sky130A_mr.drc:124
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 522.00M
+"polygons" in: sky130A_mr.drc:125
+    Polygons (raw): 202 (flat)  116 (hierarchical)
+    Elapsed: 0.010s  Memory: 522.00M
+"polygons" in: sky130A_mr.drc:126
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 522.00M
+"polygons" in: sky130A_mr.drc:127
+    Polygons (raw): 62 (flat)  43 (hierarchical)
+    Elapsed: 0.010s  Memory: 522.00M
+"polygons" in: sky130A_mr.drc:128
+    Polygons (raw): 76 (flat)  50 (hierarchical)
+    Elapsed: 0.000s  Memory: 522.00M
+"polygons" in: sky130A_mr.drc:129
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 522.00M
+"polygons" in: sky130A_mr.drc:130
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 522.00M
+"polygons" in: sky130A_mr.drc:131
+    Polygons (raw): 33 (flat)  24 (hierarchical)
+    Elapsed: 0.010s  Memory: 522.00M
+"polygons" in: sky130A_mr.drc:132
+    Polygons (raw): 928 (flat)  312 (hierarchical)
+    Elapsed: 0.010s  Memory: 522.00M
+"polygons" in: sky130A_mr.drc:134
+    Polygons (raw): 598 (flat)  426 (hierarchical)
+    Elapsed: 0.010s  Memory: 522.00M
+"polygons" in: sky130A_mr.drc:135
+    Polygons (raw): 1771 (flat)  1495 (hierarchical)
+    Elapsed: 0.000s  Memory: 522.00M
+"polygons" in: sky130A_mr.drc:137
+    Polygons (raw): 349 (flat)  299 (hierarchical)
+    Elapsed: 0.010s  Memory: 522.00M
+"polygons" in: sky130A_mr.drc:138
+    Polygons (raw): 2570 (flat)  2570 (hierarchical)
+    Elapsed: 0.000s  Memory: 522.00M
+"polygons" in: sky130A_mr.drc:140
+    Polygons (raw): 603 (flat)  603 (hierarchical)
+    Elapsed: 0.010s  Memory: 522.00M
+"polygons" in: sky130A_mr.drc:141
+    Polygons (raw): 1761 (flat)  1761 (hierarchical)
+    Elapsed: 0.010s  Memory: 522.00M
+"polygons" in: sky130A_mr.drc:143
+    Polygons (raw): 458 (flat)  458 (hierarchical)
+    Elapsed: 0.000s  Memory: 522.00M
+"polygons" in: sky130A_mr.drc:144
+    Polygons (raw): 25800 (flat)  25800 (hierarchical)
+    Elapsed: 0.020s  Memory: 523.00M
+"polygons" in: sky130A_mr.drc:146
+    Polygons (raw): 177 (flat)  177 (hierarchical)
+    Elapsed: 0.000s  Memory: 523.00M
+"polygons" in: sky130A_mr.drc:147
+    Polygons (raw): 552 (flat)  552 (hierarchical)
+    Elapsed: 0.010s  Memory: 523.00M
+"polygons" in: sky130A_mr.drc:149
+    Polygons (raw): 153 (flat)  153 (hierarchical)
+    Elapsed: 0.000s  Memory: 523.00M
+"polygons" in: sky130A_mr.drc:151
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 523.00M
+"polygons" in: sky130A_mr.drc:152
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 523.00M
+"polygons" in: sky130A_mr.drc:153
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 523.00M
+"polygons" in: sky130A_mr.drc:154
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 523.00M
+"polygons" in: sky130A_mr.drc:155
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 523.00M
+"polygons" in: sky130A_mr.drc:156
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 523.00M
+"polygons" in: sky130A_mr.drc:157
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 523.00M
+"polygons" in: sky130A_mr.drc:158
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 523.00M
+"polygons" in: sky130A_mr.drc:159
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 523.00M
+"polygons" in: sky130A_mr.drc:160
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 523.00M
+"polygons" in: sky130A_mr.drc:161
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 523.00M
+"polygons" in: sky130A_mr.drc:162
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 523.00M
+"polygons" in: sky130A_mr.drc:163
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 523.00M
+"polygons" in: sky130A_mr.drc:164
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 523.00M
+"polygons" in: sky130A_mr.drc:165
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 523.00M
+"polygons" in: sky130A_mr.drc:166
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 523.00M
+"polygons" in: sky130A_mr.drc:167
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 523.00M
+"polygons" in: sky130A_mr.drc:168
+    Polygons (raw): 10 (flat)  2 (hierarchical)
+    Elapsed: 0.000s  Memory: 523.00M
+"polygons" in: sky130A_mr.drc:169
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 523.00M
+"polygons" in: sky130A_mr.drc:170
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 523.00M
+"polygons" in: sky130A_mr.drc:171
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 523.00M
+"polygons" in: sky130A_mr.drc:172
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 523.00M
+"polygons" in: sky130A_mr.drc:173
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 523.00M
+"polygons" in: sky130A_mr.drc:174
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 523.00M
+"polygons" in: sky130A_mr.drc:175
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 523.00M
+"polygons" in: sky130A_mr.drc:176
+    Polygons (raw): 1 (flat)  1 (hierarchical)
+    Elapsed: 0.000s  Memory: 523.00M
+"polygons" in: sky130A_mr.drc:177
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 523.00M
+"polygons" in: sky130A_mr.drc:178
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 523.00M
+"polygons" in: sky130A_mr.drc:179
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 523.00M
+"polygons" in: sky130A_mr.drc:180
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 523.00M
+"polygons" in: sky130A_mr.drc:181
+    Polygons (raw): 4 (flat)  3 (hierarchical)
+    Elapsed: 0.010s  Memory: 523.00M
+"polygons" in: sky130A_mr.drc:182
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 523.00M
+"polygons" in: sky130A_mr.drc:183
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 523.00M
+"polygons" in: sky130A_mr.drc:184
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 523.00M
+"polygons" in: sky130A_mr.drc:185
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 523.00M
+"polygons" in: sky130A_mr.drc:186
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 523.00M
+"polygons" in: sky130A_mr.drc:187
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 523.00M
+"polygons" in: sky130A_mr.drc:188
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 523.00M
+"polygons" in: sky130A_mr.drc:189
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 523.00M
+"polygons" in: sky130A_mr.drc:190
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 523.00M
+"polygons" in: sky130A_mr.drc:191
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 523.00M
+"polygons" in: sky130A_mr.drc:192
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 523.00M
+"polygons" in: sky130A_mr.drc:193
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 523.00M
+"polygons" in: sky130A_mr.drc:194
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 523.00M
+"polygons" in: sky130A_mr.drc:195
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 523.00M
+"polygons" in: sky130A_mr.drc:196
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 523.00M
+"polygons" in: sky130A_mr.drc:197
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 523.00M
+"polygons" in: sky130A_mr.drc:198
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 523.00M
+"polygons" in: sky130A_mr.drc:199
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 523.00M
+"polygons" in: sky130A_mr.drc:200
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 523.00M
+"polygons" in: sky130A_mr.drc:201
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 523.00M
+"polygons" in: sky130A_mr.drc:202
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 523.00M
+"polygons" in: sky130A_mr.drc:203
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 523.00M
+DRC section
+FEOL section
+START: 64/18 (dnwell)
+"width" in: sky130A_mr.drc:229
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 523.00M
+"output" in: sky130A_mr.drc:229
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 523.00M
+END: 64/18 (dnwell)
+"input" in: sky130A_mr.drc:233
+    Polygons (raw): 62 (flat)  43 (hierarchical)
+    Elapsed: 0.000s  Memory: 523.00M
+"input" in: sky130A_mr.drc:234
+    Polygons (raw): 76 (flat)  50 (hierarchical)
+    Elapsed: 0.010s  Memory: 523.00M
+"input" in: sky130A_mr.drc:235
+    Polygons (raw): 89 (flat)  69 (hierarchical)
+    Elapsed: 0.000s  Memory: 523.00M
+START: 64/20 (nwell)
+"width" in: sky130A_mr.drc:239
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 523.00M
+"output" in: sky130A_mr.drc:239
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 523.00M
+"space" in: sky130A_mr.drc:240
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 1067.00M
+"output" in: sky130A_mr.drc:240
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 1067.00M
+"merge" in: sky130A_mr.drc:241
+    Polygons (raw): 15 (flat)  14 (hierarchical)
+    Elapsed: 0.010s  Memory: 1067.00M
+"holes" in: sky130A_mr.drc:242
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 1067.00M
+"enclosing" in: sky130A_mr.drc:242
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 1067.00M
+"output" in: sky130A_mr.drc:242
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 1067.00M
+END: 64/20 (nwell)
+START: 78/44 (hvtp)
+"width" in: sky130A_mr.drc:247
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 1067.00M
+"output" in: sky130A_mr.drc:247
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 1067.00M
+"space" in: sky130A_mr.drc:248
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 1067.00M
+"output" in: sky130A_mr.drc:248
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 1067.00M
+END: 78/44 (hvtp)
+START: 18/20 (htvr)
+"width" in: sky130A_mr.drc:253
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 1067.00M
+"output" in: sky130A_mr.drc:253
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 1067.00M
+"separation" in: sky130A_mr.drc:254
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 1067.00M
+"output" in: sky130A_mr.drc:254
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 1067.00M
+"and" in: sky130A_mr.drc:255
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 1067.00M
+"output" in: sky130A_mr.drc:255
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 1067.00M
+END: 18/20 (htvr)
+START: 25/44 (lvtn)
+"width" in: sky130A_mr.drc:260
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 1067.00M
+"output" in: sky130A_mr.drc:260
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 1067.00M
+"space" in: sky130A_mr.drc:261
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 1067.00M
+"output" in: sky130A_mr.drc:261
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 1067.00M
+END: 25/44 (lvtn)
+START: 92/44 (ncm)
+"width" in: sky130A_mr.drc:266
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 1067.00M
+"output" in: sky130A_mr.drc:266
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 1067.00M
+"space" in: sky130A_mr.drc:267
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 1067.00M
+"output" in: sky130A_mr.drc:267
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 1067.00M
+END: 92/44 (ncm)
+START: 65/20 (diff)
+"or" in: sky130A_mr.drc:272
+    Polygons (raw): 80 (flat)  47 (hierarchical)
+    Elapsed: 0.010s  Memory: 1067.00M
+"rectangles" in: sky130A_mr.drc:273
+    Polygons (raw): 31 (flat)  11 (hierarchical)
+    Elapsed: 0.000s  Memory: 1067.00M
+"width" in: sky130A_mr.drc:273
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 1067.00M
+"polygons" in: sky130A_mr.drc:273
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 1067.00M
+"edges" in: sky130A_mr.drc:274
+    Edges: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 1067.00M
+"outside_part" in: sky130A_mr.drc:274
+    Edges: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 1067.00M
+"outside" in: sky130A_mr.drc:274
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 1067.00M
+"edges" in: sky130A_mr.drc:274
+    Edges: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 1067.00M
+"not" in: sky130A_mr.drc:274
+    Edges: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 1067.00M
+"output" in: sky130A_mr.drc:275
+    Edges: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 1067.00M
+"outside" in: sky130A_mr.drc:276
+    Polygons (raw): 48 (flat)  17 (hierarchical)
+    Elapsed: 0.010s  Memory: 1067.00M
+"width" in: sky130A_mr.drc:276
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 1067.00M
+"output" in: sky130A_mr.drc:276
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 1067.00M
+END: 65/20 (diff)
+START: 65/44 (tap)
+"rectangles" in: sky130A_mr.drc:280
+    Polygons (raw): 26 (flat)  26 (hierarchical)
+    Elapsed: 0.000s  Memory: 1067.00M
+"width" in: sky130A_mr.drc:280
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 1067.00M
+"polygons" in: sky130A_mr.drc:280
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 1067.00M
+"edges" in: sky130A_mr.drc:281
+    Edges: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 1067.00M
+"outside_part" in: sky130A_mr.drc:281
+    Edges: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 1067.00M
+"outside" in: sky130A_mr.drc:281
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 1067.00M
+"edges" in: sky130A_mr.drc:281
+    Edges: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 1067.00M
+"not" in: sky130A_mr.drc:281
+    Edges: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 1067.00M
+"output" in: sky130A_mr.drc:282
+    Edges: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 1067.00M
+"not" in: sky130A_mr.drc:283
+    Polygons (raw): 50 (flat)  42 (hierarchical)
+    Elapsed: 0.000s  Memory: 1067.00M
+"width" in: sky130A_mr.drc:283
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 1067.00M
+"output" in: sky130A_mr.drc:283
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 1067.00M
+END: 65/44 (tap)
+"space" in: sky130A_mr.drc:286
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 1067.00M
+"output" in: sky130A_mr.drc:286
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 1067.00M
+START: 80/20 (tunm)
+"width" in: sky130A_mr.drc:290
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 1067.00M
+"output" in: sky130A_mr.drc:290
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 1067.00M
+"space" in: sky130A_mr.drc:291
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 1067.00M
+"output" in: sky130A_mr.drc:291
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 1067.00M
+END: 80/20 (tunm)
+START: 66/20 (poly)
+"width" in: sky130A_mr.drc:296
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 1067.00M
+"output" in: sky130A_mr.drc:296
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 1067.00M
+"not" in: sky130A_mr.drc:297
+    Polygons (raw): 202 (flat)  116 (hierarchical)
+    Elapsed: 0.000s  Memory: 1067.00M
+"space" in: sky130A_mr.drc:297
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 1067.00M
+"output" in: sky130A_mr.drc:297
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 1067.00M
+START: 86/20 (rpm)
+"width" in: sky130A_mr.drc:302
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 1067.00M
+"output" in: sky130A_mr.drc:302
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 1067.00M
+"space" in: sky130A_mr.drc:303
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 1067.00M
+"output" in: sky130A_mr.drc:303
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 1067.00M
+END: 86/20 (rpm)
+START: 79/20 (urpm)
+"width" in: sky130A_mr.drc:308
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 1067.00M
+"output" in: sky130A_mr.drc:308
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 1067.00M
+"space" in: sky130A_mr.drc:309
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 1067.00M
+"output" in: sky130A_mr.drc:309
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 1067.00M
+END: 79/20 (urpm)
+START: 95/20 (npc)
+"width" in: sky130A_mr.drc:314
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 1067.00M
+"output" in: sky130A_mr.drc:314
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 1067.00M
+"space" in: sky130A_mr.drc:315
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 1067.00M
+"output" in: sky130A_mr.drc:315
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 1067.00M
+END: 95/20 (npc)
+START: 93/44 (nsdm)
+"outside" in: sky130A_mr.drc:320
+    Polygons (raw): 34 (flat)  22 (hierarchical)
+    Elapsed: 0.010s  Memory: 1067.00M
+"width" in: sky130A_mr.drc:320
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 1067.00M
+"output" in: sky130A_mr.drc:320
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 1067.00M
+"not" in: sky130A_mr.drc:321
+    Polygons (raw): 62 (flat)  43 (hierarchical)
+    Elapsed: 0.000s  Memory: 1067.00M
+"space" in: sky130A_mr.drc:321
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 1067.00M
+"output" in: sky130A_mr.drc:321
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 1067.00M
+END: 93/44 (nsdm)
+START: 94/20 (psdm)
+"outside" in: sky130A_mr.drc:326
+    Polygons (raw): 36 (flat)  32 (hierarchical)
+    Elapsed: 0.000s  Memory: 1067.00M
+"width" in: sky130A_mr.drc:326
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 1067.00M
+"output" in: sky130A_mr.drc:326
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 1067.00M
+"not" in: sky130A_mr.drc:327
+    Polygons (raw): 76 (flat)  50 (hierarchical)
+    Elapsed: 0.000s  Memory: 1067.00M
+"space" in: sky130A_mr.drc:327
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 1067.00M
+"output" in: sky130A_mr.drc:327
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 1067.00M
+END: 94/20 (psdm)
+START: 66/44 (licon)
+"not" in: sky130A_mr.drc:338
+    Polygons (raw): 371 (flat)  118 (hierarchical)
+    Elapsed: 0.010s  Memory: 1067.00M
+"and" in: sky130A_mr.drc:339
+    Polygons (raw): 764 (flat)  257 (hierarchical)
+    Elapsed: 0.020s  Memory: 1067.00M
+"interacting" in: sky130A_mr.drc:339
+    Polygons (raw): 764 (flat)  257 (hierarchical)
+    Elapsed: 0.010s  Memory: 1067.00M
+"not" in: sky130A_mr.drc:340
+    Polygons (raw): 764 (flat)  257 (hierarchical)
+    Elapsed: 0.010s  Memory: 1067.00M
+"non_rectangles" in: sky130A_mr.drc:341
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 1067.00M
+"output" in: sky130A_mr.drc:341
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 1067.00M
+"or" in: sky130A_mr.drc:342
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 1067.00M
+"not" in: sky130A_mr.drc:342
+    Polygons (raw): 928 (flat)  312 (hierarchical)
+    Elapsed: 0.010s  Memory: 1067.00M
+"edges" in: sky130A_mr.drc:342
+    Edges: 3712 (flat)  1248 (hierarchical)
+    Elapsed: 0.000s  Memory: 1067.00M
+"without_length" in: sky130A_mr.drc:342
+    Edges: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 1067.00M
+"output" in: sky130A_mr.drc:342
+    Edges: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 1067.00M
+"separation" in: sky130A_mr.drc:343
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 1067.00M
+"output" in: sky130A_mr.drc:343
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 1067.00M
+"and" in: sky130A_mr.drc:344
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 1067.00M
+"output" in: sky130A_mr.drc:344
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 1067.00M
+"interacting" in: sky130A_mr.drc:345
+    Polygons (raw): 164 (flat)  55 (hierarchical)
+    Elapsed: 0.010s  Memory: 1067.00M
+"interacting" in: sky130A_mr.drc:345
+    Polygons (raw): 764 (flat)  257 (hierarchical)
+    Elapsed: 0.010s  Memory: 1067.00M
+"and" in: sky130A_mr.drc:345
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 1067.00M
+"output" in: sky130A_mr.drc:345
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 1067.00M
+END: 66/44 (licon)
+START: 89/44 (capm)
+"and" in: sky130A_mr.drc:350
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 1067.00M
+"sized" in: sky130A_mr.drc:350
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 1067.00M
+"width" in: sky130A_mr.drc:351
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 1067.00M
+"output" in: sky130A_mr.drc:351
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 1067.00M
+"space" in: sky130A_mr.drc:352
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 1067.00M
+"output" in: sky130A_mr.drc:352
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 1067.00M
+"interacting" in: sky130A_mr.drc:353
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 1067.00M
+"isolated" in: sky130A_mr.drc:353
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 1075.00M
+"output" in: sky130A_mr.drc:353
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 1067.00M
+"isolated" in: sky130A_mr.drc:354
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 1067.00M
+"output" in: sky130A_mr.drc:354
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 1067.00M
+"and" in: sky130A_mr.drc:355
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 1067.00M
+"enclosing" in: sky130A_mr.drc:355
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 1067.00M
+"output" in: sky130A_mr.drc:355
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 1067.00M
+"enclosing" in: sky130A_mr.drc:356
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 1067.00M
+"output" in: sky130A_mr.drc:356
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 1067.00M
+"enclosing" in: sky130A_mr.drc:357
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 1067.00M
+"output" in: sky130A_mr.drc:357
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 1067.00M
+"separation" in: sky130A_mr.drc:358
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 1067.00M
+"output" in: sky130A_mr.drc:358
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 1067.00M
+END: 89/44 (capm)
+START: 97/44 (cap2m)
+"and" in: sky130A_mr.drc:363
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 1067.00M
+"sized" in: sky130A_mr.drc:363
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 1067.00M
+"width" in: sky130A_mr.drc:364
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 1067.00M
+"output" in: sky130A_mr.drc:364
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 1067.00M
+"space" in: sky130A_mr.drc:365
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 1067.00M
+"output" in: sky130A_mr.drc:365
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 1067.00M
+"interacting" in: sky130A_mr.drc:366
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 1067.00M
+"isolated" in: sky130A_mr.drc:366
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 1067.00M
+"output" in: sky130A_mr.drc:366
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 1067.00M
+"isolated" in: sky130A_mr.drc:368
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 1067.00M
+"output" in: sky130A_mr.drc:368
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 1067.00M
+"and" in: sky130A_mr.drc:369
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 1067.00M
+"enclosing" in: sky130A_mr.drc:369
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 1067.00M
+"output" in: sky130A_mr.drc:369
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 1067.00M
+"enclosing" in: sky130A_mr.drc:370
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 1067.00M
+"output" in: sky130A_mr.drc:370
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 1067.00M
+"enclosing" in: sky130A_mr.drc:371
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 1067.00M
+"output" in: sky130A_mr.drc:371
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 1067.00M
+"separation" in: sky130A_mr.drc:372
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 1067.00M
+"output" in: sky130A_mr.drc:372
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 1067.00M
+END: 97/44 (cap2m)
+FEOL section
+START: 75/20 (hvi)
+"not" in: sky130A_mr.drc:672
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 1067.00M
+"width" in: sky130A_mr.drc:673
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 1067.00M
+"output" in: sky130A_mr.drc:673
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 1067.00M
+"space" in: sky130A_mr.drc:674
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 1067.00M
+"output" in: sky130A_mr.drc:674
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 1067.00M
+END: 75/20 (hvi)
+START: 125/20 (hvntm)
+"not" in: sky130A_mr.drc:679
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 1067.00M
+"width" in: sky130A_mr.drc:680
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 1067.00M
+"output" in: sky130A_mr.drc:680
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 1067.00M
+"space" in: sky130A_mr.drc:681
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 1067.00M
+"output" in: sky130A_mr.drc:681
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 1067.00M
+END: 125/20 (hvntm)
+Writing report database: /home/krishna/Comparator_MPW6/precheck_results/04_JUN_2022___11_00_41/outputs/reports/klayout_feol_check.xml ..
+Total elapsed: 1.400s  Memory: 1067.00M
diff --git a/precheck_results/04_JUN_2022___11_00_41/logs/klayout_feol_check.total b/precheck_results/04_JUN_2022___11_00_41/logs/klayout_feol_check.total
new file mode 100644
index 0000000..c227083
--- /dev/null
+++ b/precheck_results/04_JUN_2022___11_00_41/logs/klayout_feol_check.total
@@ -0,0 +1 @@
+0
\ No newline at end of file
diff --git a/precheck_results/04_JUN_2022___11_00_41/logs/klayout_met_min_ca_density_check.log b/precheck_results/04_JUN_2022___11_00_41/logs/klayout_met_min_ca_density_check.log
new file mode 100644
index 0000000..09a12f2
--- /dev/null
+++ b/precheck_results/04_JUN_2022___11_00_41/logs/klayout_met_min_ca_density_check.log
@@ -0,0 +1,79 @@
+"polygons" in: met_min_ca_density.lydrc:35
+    Polygons (raw): 648 (flat)  436 (hierarchical)
+    Elapsed: 0.010s  Memory: 522.00M
+"polygons" in: met_min_ca_density.lydrc:36
+    Polygons (raw): 1771 (flat)  1495 (hierarchical)
+    Elapsed: 0.010s  Memory: 522.00M
+"polygons" in: met_min_ca_density.lydrc:38
+    Polygons (raw): 369 (flat)  303 (hierarchical)
+    Elapsed: 0.000s  Memory: 522.00M
+"polygons" in: met_min_ca_density.lydrc:39
+    Polygons (raw): 2570 (flat)  2570 (hierarchical)
+    Elapsed: 0.010s  Memory: 522.00M
+"polygons" in: met_min_ca_density.lydrc:41
+    Polygons (raw): 1097 (flat)  1097 (hierarchical)
+    Elapsed: 0.000s  Memory: 522.00M
+"polygons" in: met_min_ca_density.lydrc:42
+    Polygons (raw): 1761 (flat)  1761 (hierarchical)
+    Elapsed: 0.010s  Memory: 522.00M
+"polygons" in: met_min_ca_density.lydrc:44
+    Polygons (raw): 642 (flat)  642 (hierarchical)
+    Elapsed: 0.010s  Memory: 522.00M
+"polygons" in: met_min_ca_density.lydrc:45
+    Polygons (raw): 25800 (flat)  25800 (hierarchical)
+    Elapsed: 0.010s  Memory: 523.00M
+"polygons" in: met_min_ca_density.lydrc:47
+    Polygons (raw): 181 (flat)  181 (hierarchical)
+    Elapsed: 0.010s  Memory: 523.00M
+"polygons" in: met_min_ca_density.lydrc:48
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 523.00M
+"polygons" in: met_min_ca_density.lydrc:49
+    Polygons (raw): 552 (flat)  552 (hierarchical)
+    Elapsed: 0.010s  Memory: 523.00M
+"polygons" in: met_min_ca_density.lydrc:51
+    Polygons (raw): 159 (flat)  159 (hierarchical)
+    Elapsed: 0.000s  Memory: 523.00M
+"input" in: met_min_ca_density.lydrc:53
+    Polygons (raw): 5 (flat)  4 (hierarchical)
+    Elapsed: 0.010s  Memory: 523.00M
+"area" in: met_min_ca_density.lydrc:55
+    Elapsed: 0.000s  Memory: 523.00M
+"polygons" in: met_min_ca_density.lydrc:59
+    Polygons (raw): 648 (flat)  436 (hierarchical)
+    Elapsed: 0.010s  Memory: 523.00M
+"area" in: met_min_ca_density.lydrc:59
+    Elapsed: 0.010s  Memory: 523.00M
+li1_ca_density is 0.9998098947015099
+"polygons" in: met_min_ca_density.lydrc:69
+    Polygons (raw): 369 (flat)  303 (hierarchical)
+    Elapsed: 0.000s  Memory: 523.00M
+"area" in: met_min_ca_density.lydrc:69
+    Elapsed: 0.010s  Memory: 523.00M
+m1_ca_density is 0.9997225643923179
+"polygons" in: met_min_ca_density.lydrc:79
+    Polygons (raw): 1097 (flat)  1097 (hierarchical)
+    Elapsed: 0.000s  Memory: 523.00M
+"area" in: met_min_ca_density.lydrc:79
+    Elapsed: 0.010s  Memory: 523.00M
+m2_ca_density is 0.999675358061566
+"polygons" in: met_min_ca_density.lydrc:89
+    Polygons (raw): 642 (flat)  642 (hierarchical)
+    Elapsed: 0.010s  Memory: 523.00M
+"area" in: met_min_ca_density.lydrc:89
+    Elapsed: 0.010s  Memory: 523.00M
+m3_ca_density is 0.9840555168265489
+"polygons" in: met_min_ca_density.lydrc:99
+    Polygons (raw): 181 (flat)  181 (hierarchical)
+    Elapsed: 0.000s  Memory: 523.00M
+"area" in: met_min_ca_density.lydrc:99
+    Elapsed: 0.010s  Memory: 523.00M
+m4_ca_density is 0.9791221846007161
+"polygons" in: met_min_ca_density.lydrc:109
+    Polygons (raw): 159 (flat)  159 (hierarchical)
+    Elapsed: 0.000s  Memory: 523.00M
+"area" in: met_min_ca_density.lydrc:109
+    Elapsed: 0.010s  Memory: 523.00M
+m5_ca_density is 0.9695933055825809
+Writing report database: /home/krishna/Comparator_MPW6/precheck_results/04_JUN_2022___11_00_41/outputs/reports/klayout_met_min_ca_density_check.xml ..
+Total elapsed: 0.180s  Memory: 522.00M
diff --git a/precheck_results/04_JUN_2022___11_00_41/logs/klayout_met_min_ca_density_check.total b/precheck_results/04_JUN_2022___11_00_41/logs/klayout_met_min_ca_density_check.total
new file mode 100644
index 0000000..c227083
--- /dev/null
+++ b/precheck_results/04_JUN_2022___11_00_41/logs/klayout_met_min_ca_density_check.total
@@ -0,0 +1 @@
+0
\ No newline at end of file
diff --git a/precheck_results/04_JUN_2022___11_00_41/logs/klayout_offgrid_check.log b/precheck_results/04_JUN_2022___11_00_41/logs/klayout_offgrid_check.log
new file mode 100644
index 0000000..f46936d
--- /dev/null
+++ b/precheck_results/04_JUN_2022___11_00_41/logs/klayout_offgrid_check.log
@@ -0,0 +1,755 @@
+/home/krishna/mpw_precheckmpw6/checks/tech-files/sky130A_mr.drc:38: warning: already initialized constant DRC::DRCEngine::FEOL
+/home/krishna/mpw_precheckmpw6/checks/tech-files/sky130A_mr.drc:28: warning: previous definition of FEOL was here
+/home/krishna/mpw_precheckmpw6/checks/tech-files/sky130A_mr.drc:44: warning: already initialized constant DRC::DRCEngine::BEOL
+/home/krishna/mpw_precheckmpw6/checks/tech-files/sky130A_mr.drc:29: warning: previous definition of BEOL was here
+/home/krishna/mpw_precheckmpw6/checks/tech-files/sky130A_mr.drc:48: warning: already initialized constant DRC::DRCEngine::OFFGRID
+/home/krishna/mpw_precheckmpw6/checks/tech-files/sky130A_mr.drc:30: warning: previous definition of OFFGRID was here
+/home/krishna/mpw_precheckmpw6/checks/tech-files/sky130A_mr.drc:56: warning: already initialized constant DRC::DRCEngine::SEAL
+/home/krishna/mpw_precheckmpw6/checks/tech-files/sky130A_mr.drc:31: warning: previous definition of SEAL was here
+/home/krishna/mpw_precheckmpw6/checks/tech-files/sky130A_mr.drc:62: warning: already initialized constant DRC::DRCEngine::FLOATING_MET
+/home/krishna/mpw_precheckmpw6/checks/tech-files/sky130A_mr.drc:32: warning: previous definition of FLOATING_MET was here
+"input" in: sky130A_mr.drc:112
+    Polygons (raw): 62 (flat)  25 (hierarchical)
+    Elapsed: 0.010s  Memory: 522.00M
+"polygons" in: sky130A_mr.drc:113
+    Polygons (raw): 50 (flat)  42 (hierarchical)
+    Elapsed: 0.000s  Memory: 522.00M
+"polygons" in: sky130A_mr.drc:114
+    Polygons (raw): 89 (flat)  69 (hierarchical)
+    Elapsed: 0.010s  Memory: 522.00M
+"polygons" in: sky130A_mr.drc:115
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 522.00M
+"polygons" in: sky130A_mr.drc:116
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 522.00M
+"polygons" in: sky130A_mr.drc:117
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 522.00M
+"polygons" in: sky130A_mr.drc:118
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 522.00M
+"polygons" in: sky130A_mr.drc:119
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 522.00M
+"polygons" in: sky130A_mr.drc:120
+    Polygons (raw): 10 (flat)  2 (hierarchical)
+    Elapsed: 0.000s  Memory: 522.00M
+"polygons" in: sky130A_mr.drc:121
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 522.00M
+"polygons" in: sky130A_mr.drc:122
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 522.00M
+"polygons" in: sky130A_mr.drc:123
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 522.00M
+"polygons" in: sky130A_mr.drc:124
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 522.00M
+"polygons" in: sky130A_mr.drc:125
+    Polygons (raw): 202 (flat)  116 (hierarchical)
+    Elapsed: 0.010s  Memory: 522.00M
+"polygons" in: sky130A_mr.drc:126
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 522.00M
+"polygons" in: sky130A_mr.drc:127
+    Polygons (raw): 62 (flat)  43 (hierarchical)
+    Elapsed: 0.010s  Memory: 522.00M
+"polygons" in: sky130A_mr.drc:128
+    Polygons (raw): 76 (flat)  50 (hierarchical)
+    Elapsed: 0.000s  Memory: 522.00M
+"polygons" in: sky130A_mr.drc:129
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 522.00M
+"polygons" in: sky130A_mr.drc:130
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 522.00M
+"polygons" in: sky130A_mr.drc:131
+    Polygons (raw): 33 (flat)  24 (hierarchical)
+    Elapsed: 0.010s  Memory: 522.00M
+"polygons" in: sky130A_mr.drc:132
+    Polygons (raw): 928 (flat)  312 (hierarchical)
+    Elapsed: 0.000s  Memory: 522.00M
+"polygons" in: sky130A_mr.drc:134
+    Polygons (raw): 598 (flat)  426 (hierarchical)
+    Elapsed: 0.010s  Memory: 522.00M
+"polygons" in: sky130A_mr.drc:135
+    Polygons (raw): 1771 (flat)  1495 (hierarchical)
+    Elapsed: 0.000s  Memory: 522.00M
+"polygons" in: sky130A_mr.drc:137
+    Polygons (raw): 349 (flat)  299 (hierarchical)
+    Elapsed: 0.010s  Memory: 522.00M
+"polygons" in: sky130A_mr.drc:138
+    Polygons (raw): 2570 (flat)  2570 (hierarchical)
+    Elapsed: 0.000s  Memory: 522.00M
+"polygons" in: sky130A_mr.drc:140
+    Polygons (raw): 603 (flat)  603 (hierarchical)
+    Elapsed: 0.010s  Memory: 522.00M
+"polygons" in: sky130A_mr.drc:141
+    Polygons (raw): 1761 (flat)  1761 (hierarchical)
+    Elapsed: 0.010s  Memory: 522.00M
+"polygons" in: sky130A_mr.drc:143
+    Polygons (raw): 458 (flat)  458 (hierarchical)
+    Elapsed: 0.000s  Memory: 522.00M
+"polygons" in: sky130A_mr.drc:144
+    Polygons (raw): 25800 (flat)  25800 (hierarchical)
+    Elapsed: 0.020s  Memory: 523.00M
+"polygons" in: sky130A_mr.drc:146
+    Polygons (raw): 177 (flat)  177 (hierarchical)
+    Elapsed: 0.000s  Memory: 523.00M
+"polygons" in: sky130A_mr.drc:147
+    Polygons (raw): 552 (flat)  552 (hierarchical)
+    Elapsed: 0.010s  Memory: 523.00M
+"polygons" in: sky130A_mr.drc:149
+    Polygons (raw): 153 (flat)  153 (hierarchical)
+    Elapsed: 0.000s  Memory: 523.00M
+"polygons" in: sky130A_mr.drc:151
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 523.00M
+"polygons" in: sky130A_mr.drc:152
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 523.00M
+"polygons" in: sky130A_mr.drc:153
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 523.00M
+"polygons" in: sky130A_mr.drc:154
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 523.00M
+"polygons" in: sky130A_mr.drc:155
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 523.00M
+"polygons" in: sky130A_mr.drc:156
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 523.00M
+"polygons" in: sky130A_mr.drc:157
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 523.00M
+"polygons" in: sky130A_mr.drc:158
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 523.00M
+"polygons" in: sky130A_mr.drc:159
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 523.00M
+"polygons" in: sky130A_mr.drc:160
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 523.00M
+"polygons" in: sky130A_mr.drc:161
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 523.00M
+"polygons" in: sky130A_mr.drc:162
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 523.00M
+"polygons" in: sky130A_mr.drc:163
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 523.00M
+"polygons" in: sky130A_mr.drc:164
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 523.00M
+"polygons" in: sky130A_mr.drc:165
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 523.00M
+"polygons" in: sky130A_mr.drc:166
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 523.00M
+"polygons" in: sky130A_mr.drc:167
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 523.00M
+"polygons" in: sky130A_mr.drc:168
+    Polygons (raw): 10 (flat)  2 (hierarchical)
+    Elapsed: 0.010s  Memory: 523.00M
+"polygons" in: sky130A_mr.drc:169
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 523.00M
+"polygons" in: sky130A_mr.drc:170
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 523.00M
+"polygons" in: sky130A_mr.drc:171
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 523.00M
+"polygons" in: sky130A_mr.drc:172
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 523.00M
+"polygons" in: sky130A_mr.drc:173
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 523.00M
+"polygons" in: sky130A_mr.drc:174
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 523.00M
+"polygons" in: sky130A_mr.drc:175
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 523.00M
+"polygons" in: sky130A_mr.drc:176
+    Polygons (raw): 1 (flat)  1 (hierarchical)
+    Elapsed: 0.000s  Memory: 523.00M
+"polygons" in: sky130A_mr.drc:177
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 523.00M
+"polygons" in: sky130A_mr.drc:178
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 523.00M
+"polygons" in: sky130A_mr.drc:179
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 523.00M
+"polygons" in: sky130A_mr.drc:180
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 523.00M
+"polygons" in: sky130A_mr.drc:181
+    Polygons (raw): 4 (flat)  3 (hierarchical)
+    Elapsed: 0.010s  Memory: 523.00M
+"polygons" in: sky130A_mr.drc:182
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 523.00M
+"polygons" in: sky130A_mr.drc:183
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 523.00M
+"polygons" in: sky130A_mr.drc:184
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 523.00M
+"polygons" in: sky130A_mr.drc:185
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 523.00M
+"polygons" in: sky130A_mr.drc:186
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 523.00M
+"polygons" in: sky130A_mr.drc:187
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 523.00M
+"polygons" in: sky130A_mr.drc:188
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 523.00M
+"polygons" in: sky130A_mr.drc:189
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 523.00M
+"polygons" in: sky130A_mr.drc:190
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 523.00M
+"polygons" in: sky130A_mr.drc:191
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 523.00M
+"polygons" in: sky130A_mr.drc:192
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 523.00M
+"polygons" in: sky130A_mr.drc:193
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 523.00M
+"polygons" in: sky130A_mr.drc:194
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 523.00M
+"polygons" in: sky130A_mr.drc:195
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 523.00M
+"polygons" in: sky130A_mr.drc:196
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 523.00M
+"polygons" in: sky130A_mr.drc:197
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 523.00M
+"polygons" in: sky130A_mr.drc:198
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 523.00M
+"polygons" in: sky130A_mr.drc:199
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 523.00M
+"polygons" in: sky130A_mr.drc:200
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 523.00M
+"polygons" in: sky130A_mr.drc:201
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 523.00M
+"polygons" in: sky130A_mr.drc:202
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 523.00M
+"polygons" in: sky130A_mr.drc:203
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 523.00M
+DRC section
+OFFGRID-ANGLES section
+"ongrid" in: sky130A_mr.drc:690
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 523.00M
+"output" in: sky130A_mr.drc:690
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 523.00M
+"with_angle" in: sky130A_mr.drc:691
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 523.00M
+"output" in: sky130A_mr.drc:691
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 523.00M
+"ongrid" in: sky130A_mr.drc:692
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 523.00M
+"output" in: sky130A_mr.drc:692
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 523.00M
+"with_angle" in: sky130A_mr.drc:693
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 523.00M
+"output" in: sky130A_mr.drc:693
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 523.00M
+"ongrid" in: sky130A_mr.drc:694
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 523.00M
+"output" in: sky130A_mr.drc:694
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 523.00M
+"with_angle" in: sky130A_mr.drc:695
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 523.00M
+"output" in: sky130A_mr.drc:695
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 523.00M
+"ongrid" in: sky130A_mr.drc:696
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 523.00M
+"output" in: sky130A_mr.drc:696
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 523.00M
+"with_angle" in: sky130A_mr.drc:697
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 523.00M
+"output" in: sky130A_mr.drc:697
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 523.00M
+"ongrid" in: sky130A_mr.drc:698
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 523.00M
+"output" in: sky130A_mr.drc:698
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 523.00M
+"with_angle" in: sky130A_mr.drc:699
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 523.00M
+"output" in: sky130A_mr.drc:699
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 523.00M
+"ongrid" in: sky130A_mr.drc:700
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 523.00M
+"output" in: sky130A_mr.drc:700
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 523.00M
+"with_angle" in: sky130A_mr.drc:701
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 523.00M
+"output" in: sky130A_mr.drc:701
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 523.00M
+"ongrid" in: sky130A_mr.drc:702
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 523.00M
+"output" in: sky130A_mr.drc:702
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 523.00M
+"with_angle" in: sky130A_mr.drc:703
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 523.00M
+"output" in: sky130A_mr.drc:703
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 523.00M
+"ongrid" in: sky130A_mr.drc:704
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 523.00M
+"output" in: sky130A_mr.drc:704
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 523.00M
+"with_angle" in: sky130A_mr.drc:705
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 523.00M
+"output" in: sky130A_mr.drc:705
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 523.00M
+"ongrid" in: sky130A_mr.drc:706
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 523.00M
+"output" in: sky130A_mr.drc:706
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 523.00M
+"ongrid" in: sky130A_mr.drc:707
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 523.00M
+"output" in: sky130A_mr.drc:707
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 523.00M
+"and" in: sky130A_mr.drc:708
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 523.00M
+"not" in: sky130A_mr.drc:708
+    Polygons (raw): 62 (flat)  25 (hierarchical)
+    Elapsed: 0.000s  Memory: 523.00M
+"with_angle" in: sky130A_mr.drc:708
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 523.00M
+"output" in: sky130A_mr.drc:708
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 523.00M
+"and" in: sky130A_mr.drc:709
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 523.00M
+"and" in: sky130A_mr.drc:709
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 523.00M
+"with_angle" in: sky130A_mr.drc:709
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 523.00M
+"output" in: sky130A_mr.drc:709
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 523.00M
+"and" in: sky130A_mr.drc:710
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 523.00M
+"not" in: sky130A_mr.drc:710
+    Polygons (raw): 50 (flat)  42 (hierarchical)
+    Elapsed: 0.000s  Memory: 523.00M
+"with_angle" in: sky130A_mr.drc:710
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 523.00M
+"output" in: sky130A_mr.drc:710
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 523.00M
+"and" in: sky130A_mr.drc:711
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 523.00M
+"and" in: sky130A_mr.drc:711
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 523.00M
+"with_angle" in: sky130A_mr.drc:711
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 523.00M
+"output" in: sky130A_mr.drc:711
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 523.00M
+"ongrid" in: sky130A_mr.drc:712
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 523.00M
+"output" in: sky130A_mr.drc:712
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 523.00M
+"with_angle" in: sky130A_mr.drc:713
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 523.00M
+"output" in: sky130A_mr.drc:713
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 523.00M
+"ongrid" in: sky130A_mr.drc:714
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 523.00M
+"output" in: sky130A_mr.drc:714
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 523.00M
+"with_angle" in: sky130A_mr.drc:715
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 523.00M
+"output" in: sky130A_mr.drc:715
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 523.00M
+"ongrid" in: sky130A_mr.drc:716
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 523.00M
+"output" in: sky130A_mr.drc:716
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 523.00M
+"with_angle" in: sky130A_mr.drc:717
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 523.00M
+"output" in: sky130A_mr.drc:717
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 523.00M
+"ongrid" in: sky130A_mr.drc:718
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 523.00M
+"output" in: sky130A_mr.drc:718
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 523.00M
+"with_angle" in: sky130A_mr.drc:719
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 523.00M
+"output" in: sky130A_mr.drc:719
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 523.00M
+"ongrid" in: sky130A_mr.drc:720
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 523.00M
+"output" in: sky130A_mr.drc:720
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 523.00M
+"with_angle" in: sky130A_mr.drc:721
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 523.00M
+"output" in: sky130A_mr.drc:721
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 523.00M
+"ongrid" in: sky130A_mr.drc:722
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 523.00M
+"output" in: sky130A_mr.drc:722
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 523.00M
+"with_angle" in: sky130A_mr.drc:723
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 523.00M
+"output" in: sky130A_mr.drc:723
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 523.00M
+"ongrid" in: sky130A_mr.drc:724
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 523.00M
+"output" in: sky130A_mr.drc:724
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 523.00M
+"with_angle" in: sky130A_mr.drc:725
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 523.00M
+"output" in: sky130A_mr.drc:725
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 523.00M
+"ongrid" in: sky130A_mr.drc:726
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 523.00M
+"output" in: sky130A_mr.drc:726
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 523.00M
+"with_angle" in: sky130A_mr.drc:727
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 523.00M
+"output" in: sky130A_mr.drc:727
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 523.00M
+"ongrid" in: sky130A_mr.drc:728
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.020s  Memory: 523.00M
+"output" in: sky130A_mr.drc:728
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 523.00M
+"with_angle" in: sky130A_mr.drc:729
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 523.00M
+"output" in: sky130A_mr.drc:729
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 523.00M
+"ongrid" in: sky130A_mr.drc:730
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 523.00M
+"output" in: sky130A_mr.drc:730
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 523.00M
+"with_angle" in: sky130A_mr.drc:731
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 523.00M
+"output" in: sky130A_mr.drc:731
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 523.00M
+"ongrid" in: sky130A_mr.drc:732
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 523.00M
+"output" in: sky130A_mr.drc:732
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 523.00M
+"with_angle" in: sky130A_mr.drc:733
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 523.00M
+"output" in: sky130A_mr.drc:733
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 523.00M
+"ongrid" in: sky130A_mr.drc:734
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.020s  Memory: 524.00M
+"output" in: sky130A_mr.drc:734
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 524.00M
+"with_angle" in: sky130A_mr.drc:735
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 524.00M
+"output" in: sky130A_mr.drc:735
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 524.00M
+"ongrid" in: sky130A_mr.drc:736
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 524.00M
+"output" in: sky130A_mr.drc:736
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 524.00M
+"with_angle" in: sky130A_mr.drc:737
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 524.00M
+"output" in: sky130A_mr.drc:737
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 524.00M
+"ongrid" in: sky130A_mr.drc:738
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.020s  Memory: 524.00M
+"output" in: sky130A_mr.drc:738
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 524.00M
+"with_angle" in: sky130A_mr.drc:739
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 524.00M
+"output" in: sky130A_mr.drc:739
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 524.00M
+"ongrid" in: sky130A_mr.drc:740
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 524.00M
+"output" in: sky130A_mr.drc:740
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 524.00M
+"with_angle" in: sky130A_mr.drc:741
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 524.00M
+"output" in: sky130A_mr.drc:741
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 524.00M
+"ongrid" in: sky130A_mr.drc:742
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.130s  Memory: 530.00M
+"output" in: sky130A_mr.drc:742
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 530.00M
+"with_angle" in: sky130A_mr.drc:743
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.030s  Memory: 530.00M
+"output" in: sky130A_mr.drc:743
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 530.00M
+"ongrid" in: sky130A_mr.drc:744
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 530.00M
+"output" in: sky130A_mr.drc:744
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 530.00M
+"with_angle" in: sky130A_mr.drc:745
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 530.00M
+"output" in: sky130A_mr.drc:745
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 530.00M
+"ongrid" in: sky130A_mr.drc:746
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 530.00M
+"output" in: sky130A_mr.drc:746
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 530.00M
+"with_angle" in: sky130A_mr.drc:747
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 530.00M
+"output" in: sky130A_mr.drc:747
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 530.00M
+"ongrid" in: sky130A_mr.drc:748
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 530.00M
+"output" in: sky130A_mr.drc:748
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 530.00M
+"with_angle" in: sky130A_mr.drc:749
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 530.00M
+"output" in: sky130A_mr.drc:749
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 530.00M
+"ongrid" in: sky130A_mr.drc:750
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 530.00M
+"output" in: sky130A_mr.drc:750
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 530.00M
+"with_angle" in: sky130A_mr.drc:751
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 530.00M
+"output" in: sky130A_mr.drc:751
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 530.00M
+"ongrid" in: sky130A_mr.drc:752
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 530.00M
+"output" in: sky130A_mr.drc:752
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 530.00M
+"with_angle" in: sky130A_mr.drc:753
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 530.00M
+"output" in: sky130A_mr.drc:753
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 530.00M
+"ongrid" in: sky130A_mr.drc:754
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 530.00M
+"output" in: sky130A_mr.drc:754
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 530.00M
+"with_angle" in: sky130A_mr.drc:755
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 530.00M
+"output" in: sky130A_mr.drc:755
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 530.00M
+"ongrid" in: sky130A_mr.drc:756
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 530.00M
+"output" in: sky130A_mr.drc:756
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 530.00M
+"with_angle" in: sky130A_mr.drc:757
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 530.00M
+"output" in: sky130A_mr.drc:757
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 530.00M
+"ongrid" in: sky130A_mr.drc:758
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 530.00M
+"output" in: sky130A_mr.drc:758
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 530.00M
+"with_angle" in: sky130A_mr.drc:759
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 530.00M
+"output" in: sky130A_mr.drc:759
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 530.00M
+"ongrid" in: sky130A_mr.drc:760
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 530.00M
+"output" in: sky130A_mr.drc:760
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 530.00M
+"with_angle" in: sky130A_mr.drc:761
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 530.00M
+"output" in: sky130A_mr.drc:761
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 530.00M
+"ongrid" in: sky130A_mr.drc:762
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 530.00M
+"output" in: sky130A_mr.drc:762
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 530.00M
+"with_angle" in: sky130A_mr.drc:763
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 530.00M
+"output" in: sky130A_mr.drc:763
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 530.00M
+"ongrid" in: sky130A_mr.drc:764
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 530.00M
+"output" in: sky130A_mr.drc:764
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 530.00M
+"with_angle" in: sky130A_mr.drc:765
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 530.00M
+"output" in: sky130A_mr.drc:765
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 530.00M
+"ongrid" in: sky130A_mr.drc:766
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 530.00M
+"output" in: sky130A_mr.drc:766
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 530.00M
+Writing report database: /home/krishna/Comparator_MPW6/precheck_results/04_JUN_2022___11_00_41/outputs/reports/klayout_offgrid_check.xml ..
+Total elapsed: 1.510s  Memory: 530.00M
diff --git a/precheck_results/04_JUN_2022___11_00_41/logs/klayout_offgrid_check.total b/precheck_results/04_JUN_2022___11_00_41/logs/klayout_offgrid_check.total
new file mode 100644
index 0000000..c227083
--- /dev/null
+++ b/precheck_results/04_JUN_2022___11_00_41/logs/klayout_offgrid_check.total
@@ -0,0 +1 @@
+0
\ No newline at end of file
diff --git a/precheck_results/04_JUN_2022___11_00_41/logs/klayout_pin_label_purposes_overlapping_drawing_check.log b/precheck_results/04_JUN_2022___11_00_41/logs/klayout_pin_label_purposes_overlapping_drawing_check.log
new file mode 100644
index 0000000..850fae8
--- /dev/null
+++ b/precheck_results/04_JUN_2022___11_00_41/logs/klayout_pin_label_purposes_overlapping_drawing_check.log
@@ -0,0 +1,29 @@
+Running pin_label_purposes_overlapping_drawing.rb.drc on file=/home/krishna/Comparator_MPW6/gds/user_analog_project_wrapper.gds, topcell=user_analog_project_wrapper, output to /home/krishna/Comparator_MPW6/precheck_results/04_JUN_2022___11_00_41/outputs/reports/klayout_pin_label_purposes_overlapping_drawing_check.xml
+  deep:true tiled:false threads:12
+--- #err|description, table for cell: user_analog_project_wrapper
+NO-Check ----        pwell:64/44/EMP   122/16/dat    64/59/EMP    44/16/EMP     44/5/EMP
+         ----        nwell:64/20/dat    64/16/dat     64/5/EMP
+         ----         diff:65/20/dat    65/16/EMP     65/6/EMP
+         ----          tap:65/44/dat    65/48/EMP     65/5/EMP
+         ----         poly:66/20/dat    66/16/EMP     66/5/EMP
+         ----       licon1:66/44/dat    66/58/EMP
+         ----          li1:67/20/dat    67/16/dat     67/5/EMP
+         ----         mcon:67/44/dat    67/48/EMP
+         ----         met1:68/20/dat    68/16/dat     68/5/EMP
+         ----          via:68/44/dat    68/58/EMP
+         ----         met2:69/20/dat    69/16/dat     69/5/EMP
+         ----         via2:69/44/dat    69/58/EMP
+         ----         met3:70/20/dat    70/16/dat     70/5/EMP
+         ----         via3:70/44/dat    70/48/EMP
+         ----         met4:71/20/dat    71/16/dat     71/5/EMP
+         ----         via4:71/44/dat    71/48/EMP
+         ----         met5:72/20/dat    72/16/dat     72/5/EMP
+         ----          pad:76/20/EMP     76/5/EMP    76/16/EMP
+         ----          pnp:82/44/EMP    82/59/EMP
+         ----          npn:82/20/EMP     82/5/EMP
+         ----          rdl:74/20/EMP    74/16/EMP     74/5/EMP
+         ----     inductor:82/24/EMP    82/25/EMP
+       0 total error(s) among 0 error type(s), 33 checks, cell: user_analog_project_wrapper
+Writing report...
+VmPeak:	 2369884 kB
+VmHWM:	  258140 kB
diff --git a/precheck_results/04_JUN_2022___11_00_41/logs/klayout_pin_label_purposes_overlapping_drawing_check.total b/precheck_results/04_JUN_2022___11_00_41/logs/klayout_pin_label_purposes_overlapping_drawing_check.total
new file mode 100644
index 0000000..c227083
--- /dev/null
+++ b/precheck_results/04_JUN_2022___11_00_41/logs/klayout_pin_label_purposes_overlapping_drawing_check.total
@@ -0,0 +1 @@
+0
\ No newline at end of file
diff --git a/precheck_results/04_JUN_2022___11_00_41/logs/klayout_zeroarea_check.log b/precheck_results/04_JUN_2022___11_00_41/logs/klayout_zeroarea_check.log
new file mode 100644
index 0000000..bb23cfb
--- /dev/null
+++ b/precheck_results/04_JUN_2022___11_00_41/logs/klayout_zeroarea_check.log
@@ -0,0 +1,4 @@
+0 zero-area shapes
+writing to /home/krishna/Comparator_MPW6/precheck_results/04_JUN_2022___11_00_41/outputs/user_analog_project_wrapper_no_zero_areas.gds
+VmPeak:	  542080 kB
+VmHWM:	  261156 kB
diff --git a/precheck_results/04_JUN_2022___11_00_41/logs/klayout_zeroarea_check.total b/precheck_results/04_JUN_2022___11_00_41/logs/klayout_zeroarea_check.total
new file mode 100644
index 0000000..c227083
--- /dev/null
+++ b/precheck_results/04_JUN_2022___11_00_41/logs/klayout_zeroarea_check.total
@@ -0,0 +1 @@
+0
\ No newline at end of file
diff --git a/precheck_results/04_JUN_2022___11_00_41/logs/magic_drc_check.log b/precheck_results/04_JUN_2022___11_00_41/logs/magic_drc_check.log
new file mode 100644
index 0000000..8eb58a1
--- /dev/null
+++ b/precheck_results/04_JUN_2022___11_00_41/logs/magic_drc_check.log
@@ -0,0 +1,30 @@
+
+Magic 8.3 revision 274 - Compiled on Fri Mar  4 22:54:15 UTC 2022.
+Starting magic under Tcl interpreter
+Using the terminal as the console.
+Using NULL graphics device.
+Processing system .magicrc file
+Sourcing design .magicrc for technology sky130A ...
+2 Magic internal units = 1 Lambda
+Could not find file '/usr/local/share/pdk/sky130A/sky130A/libs.tech/magic/sky130A.tech' in any of these directories:
+         . $CAD_ROOT/magic/sys $CAD_ROOT/magic/sys/current
+Error parsing "/home/krishna/mpw_precheckmpw6/checks/tech-files/sky130A.magicrc": couldn't read file "/usr/local/share/pdk/sky130A/sky130A/libs.tech/magic/sky130A.tcl": no such file or directory
+Bad local startup file "/home/krishna/mpw_precheckmpw6/checks/tech-files/sky130A.magicrc", continuing without.
+Scaled tech values by 2 / 1 to match internal grid scaling
+Loading "/home/krishna/mpw_precheckmpw6/checks/drc_checks/magic/magic_drc_check.tcl" from command line.
+Don't know how to read GDS-II:
+Nothing in "cifinput" section of tech file.
+[INFO]: Loading user_analog_project_wrapper
+
+File user_analog_project_wrapper.mag couldn't be read
+No such file or directory
+Creating new cell
+"drc(full)" is not one of the DRC styles Magic knows.
+The current style is "default".
+The DRC styles are: default.
+No errors found.
+[INFO]: COUNT: 0
+[INFO]: Should be divided by 3 or 4
+[INFO]: DRC Checking DONE (/home/krishna/Comparator_MPW6/precheck_results/04_JUN_2022___11_00_41/outputs/reports/magic_drc_check.drc.report)
+[INFO]: Saving mag view with DRC errors(/home/krishna/Comparator_MPW6/precheck_results/04_JUN_2022___11_00_41/outputs/user_analog_project_wrapper.magic.drc.mag)
+[INFO]: Saved
diff --git a/precheck_results/04_JUN_2022___11_00_41/logs/magic_drc_check.total b/precheck_results/04_JUN_2022___11_00_41/logs/magic_drc_check.total
new file mode 100644
index 0000000..c227083
--- /dev/null
+++ b/precheck_results/04_JUN_2022___11_00_41/logs/magic_drc_check.total
@@ -0,0 +1 @@
+0
\ No newline at end of file
diff --git a/precheck_results/04_JUN_2022___11_00_41/logs/pdks.info b/precheck_results/04_JUN_2022___11_00_41/logs/pdks.info
new file mode 100644
index 0000000..3072015
--- /dev/null
+++ b/precheck_results/04_JUN_2022___11_00_41/logs/pdks.info
@@ -0,0 +1,2 @@
+Open PDKs a3d6ffea8022332b859daa454cef7ee7131c5181
+Skywater PDK f70d8ca46961ff92719d8870a18a076370b85f6c
\ No newline at end of file
diff --git a/precheck_results/04_JUN_2022___11_00_41/logs/precheck.log b/precheck_results/04_JUN_2022___11_00_41/logs/precheck.log
new file mode 100644
index 0000000..869721f
--- /dev/null
+++ b/precheck_results/04_JUN_2022___11_00_41/logs/precheck.log
@@ -0,0 +1,60 @@
+2022-06-04 11:00:41 - [INFO] - {{EXTRACTING FILES}} Extracting compressed files in: /home/krishna/Comparator_MPW6
+2022-06-04 11:00:41 - [INFO] - {{Project Type Info}} analog
+2022-06-04 11:00:41 - [INFO] - {{Project GDS Info}} user_analog_project_wrapper: 0ef4810dbf736256e18ec922ce15f85dd8a63540
+2022-06-04 11:00:41 - [INFO] - {{Tools Info}} KLayout: v0.27.8 | Magic: v8.3.274
+2022-06-04 11:00:41 - [INFO] - {{PDKs Info}} Open PDKs: a3d6ffea8022332b859daa454cef7ee7131c5181 | Skywater PDK: f70d8ca46961ff92719d8870a18a076370b85f6c
+2022-06-04 11:00:41 - [INFO] - {{START}} Precheck Started, the full log 'precheck.log' will be located in '/home/krishna/Comparator_MPW6/precheck_results/04_JUN_2022___11_00_41/logs'
+2022-06-04 11:00:41 - [INFO] - {{PRECHECK SEQUENCE}} Precheck will run the following checks: [License, Makefile, Default, Documentation, Consistency, XOR, Magic DRC, Klayout FEOL, Klayout BEOL, Klayout Offgrid, Klayout Metal Minimum Clear Area Density, Klayout Pin Label Purposes Overlapping Drawing, Klayout ZeroArea]
+2022-06-04 11:00:41 - [INFO] - {{STEP UPDATE}} Executing Check 1 of 13: License
+2022-06-04 11:00:42 - [INFO] - An approved LICENSE (Apache-2.0) was found in /home/krishna/Comparator_MPW6.
+2022-06-04 11:00:42 - [INFO] - {{MAIN LICENSE CHECK PASSED}} An approved LICENSE was found in project root.
+2022-06-04 11:00:43 - [INFO] - An approved LICENSE (Apache-2.0) was found in /home/krishna/Comparator_MPW6.
+2022-06-04 11:00:43 - [INFO] - {{SUBMODULES LICENSE CHECK PASSED}} No prohibited LICENSE file(s) was found in project submodules
+2022-06-04 11:00:43 - [WARNING] - {{SPDX COMPLIANCE CHECK FAILED}} Found 131 non-compliant file(s) with the SPDX Standard.
+2022-06-04 11:00:43 - [INFO] - SPDX COMPLIANCE: NON-COMPLIANT FILE(S) PREVIEW: ['/home/krishna/Comparator_MPW6/Makefile', '/home/krishna/Comparator_MPW6/.spiceinit', '/home/krishna/Comparator_MPW6/verilog/dv/Makefile', '/home/krishna/Comparator_MPW6/verilog/dv/mprj_por/mprj_por.c', '/home/krishna/Comparator_MPW6/verilog/dv/mprj_por/mprj_por_tb.v', '/home/krishna/Comparator_MPW6/verilog/dv/mprj_por/Makefile', '/home/krishna/Comparator_MPW6/verilog/rtl/uprj_analog_netlists.v', '/home/krishna/Comparator_MPW6/verilog/rtl/example_por.v', '/home/krishna/Comparator_MPW6/verilog/rtl/user_analog_proj_example.v', '/home/krishna/Comparator_MPW6/verilog/rtl/user_analog_project_wrapper.v', '/home/krishna/Comparator_MPW6/verilog/rtl/comparator.v', '/home/krishna/Comparator_MPW6/precheck_results/04_JUN_2022___09_24_39/outputs/reports/magic_drc_check.drc.report', '/home/krishna/Comparator_MPW6/precheck_results/04_JUN_2022___09_24_39/logs/klayout_zeroarea_check.total', '/home/krishna/Comparator_MPW6/precheck_results/04_JUN_2022___09_24_39/logs/tools.info', '/home/krishna/Comparator_MPW6/precheck_results/04_JUN_2022___09_24_39/logs/klayout_beol_check.total']
+2022-06-04 11:00:43 - [INFO] - For the full SPDX compliance report check: /home/krishna/Comparator_MPW6/precheck_results/04_JUN_2022___11_00_41/logs/spdx_compliance_report.log
+2022-06-04 11:00:43 - [INFO] - {{STEP UPDATE}} Executing Check 2 of 13: Makefile
+2022-06-04 11:00:43 - [INFO] - {{MAKEFILE CHECK PASSED}} Makefile valid.
+2022-06-04 11:00:43 - [INFO] - {{STEP UPDATE}} Executing Check 3 of 13: Default
+2022-06-04 11:00:43 - [INFO] - {{README DEFAULT CHECK PASSED}} Project 'README.md' was modified and is not identical to the default 'README.md'
+2022-06-04 11:00:44 - [INFO] - {{CONTENT DEFAULT CHECK PASSED}} Project 'gds' was modified and is not identical to the default 'gds'
+2022-06-04 11:00:44 - [INFO] - {{STEP UPDATE}} Executing Check 4 of 13: Documentation
+2022-06-04 11:00:44 - [INFO] - {{DOCUMENTATION CHECK PASSED}} Project documentation is appropriate.
+2022-06-04 11:00:44 - [INFO] - {{STEP UPDATE}} Executing Check 5 of 13: Consistency
+2022-06-04 11:00:45 - [INFO] - HIERARCHY CHECK PASSED: Module user_analog_project_wrapper is instantiated in caravan. 
+2022-06-04 11:00:45 - [INFO] - COMPLEXITY CHECK PASSED: Netlist caravan contains at least 8 instances (68 instances). 
+2022-06-04 11:00:45 - [INFO] - MODELING CHECK PASSED: Netlist caravan is structural.
+2022-06-04 11:00:45 - [INFO] - SUBMODULE HOOKS CHECK PASSED: All module ports for user_analog_project_wrapper are correctly connected in the top level netlist caravan.
+2022-06-04 11:00:45 - [INFO] - {{NETLIST CONSISTENCY CHECK PASSED}} caravan netlist passed all consistency checks.
+2022-06-04 11:00:45 - [INFO] - PORTS CHECK PASSED: Netlist user_analog_project_wrapper ports match the golden wrapper ports
+2022-06-04 11:00:45 - [INFO] - COMPLEXITY CHECK PASSED: Netlist user_analog_project_wrapper contains at least 1 instances (19 instances). 
+2022-06-04 11:00:45 - [INFO] - MODELING CHECK PASSED: Netlist user_analog_project_wrapper is structural.
+2022-06-04 11:00:45 - [INFO] - LAYOUT CHECK PASSED: The GDS layout for user_analog_project_wrapper matches the provided structural netlist.
+2022-06-04 11:00:45 - [INFO] - {{NETLIST CONSISTENCY CHECK PASSED}} user_analog_project_wrapper netlist passed all consistency checks.
+2022-06-04 11:00:45 - [INFO] - {{CONSISTENCY CHECK PASSED}} The user netlist and the top netlist are valid.
+2022-06-04 11:00:45 - [INFO] - {{STEP UPDATE}} Executing Check 6 of 13: XOR
+2022-06-04 11:00:47 - [ERROR] - XOR CHECK FILE NOT FOUND in /home/krishna/Comparator_MPW6/precheck_results/04_JUN_2022___11_00_41/logs/xor_check.total
+2022-06-04 11:00:47 - [WARNING] - {{XOR CHECK FAILED}} The GDS file has non-conforming geometries.
+2022-06-04 11:00:47 - [INFO] - {{STEP UPDATE}} Executing Check 7 of 13: Magic DRC
+2022-06-04 11:00:47 - [INFO] - 0 DRC violations
+2022-06-04 11:00:47 - [INFO] - {{MAGIC DRC CHECK PASSED}} The GDS file, user_analog_project_wrapper.gds, has no DRC violations.
+2022-06-04 11:00:47 - [INFO] - {{STEP UPDATE}} Executing Check 8 of 13: Klayout FEOL
+2022-06-04 11:00:49 - [INFO] - No DRC Violations found
+2022-06-04 11:00:49 - [INFO] - {{Klayout FEOL CHECK PASSED}} The GDS file, user_analog_project_wrapper.gds, has no DRC violations.
+2022-06-04 11:00:49 - [INFO] - {{STEP UPDATE}} Executing Check 9 of 13: Klayout BEOL
+2022-06-04 11:00:53 - [INFO] - No DRC Violations found
+2022-06-04 11:00:53 - [INFO] - {{Klayout BEOL CHECK PASSED}} The GDS file, user_analog_project_wrapper.gds, has no DRC violations.
+2022-06-04 11:00:53 - [INFO] - {{STEP UPDATE}} Executing Check 10 of 13: Klayout Offgrid
+2022-06-04 11:00:56 - [INFO] - No DRC Violations found
+2022-06-04 11:00:56 - [INFO] - {{Klayout Offgrid CHECK PASSED}} The GDS file, user_analog_project_wrapper.gds, has no DRC violations.
+2022-06-04 11:00:56 - [INFO] - {{STEP UPDATE}} Executing Check 11 of 13: Klayout Metal Minimum Clear Area Density
+2022-06-04 11:00:57 - [INFO] - No DRC Violations found
+2022-06-04 11:00:57 - [INFO] - {{Klayout Metal Minimum Clear Area Density CHECK PASSED}} The GDS file, user_analog_project_wrapper.gds, has no DRC violations.
+2022-06-04 11:00:57 - [INFO] - {{STEP UPDATE}} Executing Check 12 of 13: Klayout Pin Label Purposes Overlapping Drawing
+2022-06-04 11:00:58 - [INFO] - No DRC Violations found
+2022-06-04 11:00:58 - [INFO] - {{Klayout Pin Label Purposes Overlapping Drawing CHECK PASSED}} The GDS file, user_analog_project_wrapper.gds, has no DRC violations.
+2022-06-04 11:00:58 - [INFO] - {{STEP UPDATE}} Executing Check 13 of 13: Klayout ZeroArea
+2022-06-04 11:00:59 - [INFO] - No DRC Violations found
+2022-06-04 11:00:59 - [INFO] - {{Klayout ZeroArea CHECK PASSED}} The GDS file, user_analog_project_wrapper.gds, has no DRC violations.
+2022-06-04 11:00:59 - [INFO] - {{FINISH}} Executing Finished, the full log 'precheck.log' can be found in '/home/krishna/Comparator_MPW6/precheck_results/04_JUN_2022___11_00_41/logs'
+2022-06-04 11:00:59 - [CRITICAL] - {{FAILURE}} 1 Check(s) Failed: ['XOR'] !!!
diff --git a/precheck_results/04_JUN_2022___11_00_41/logs/spdx_compliance_report.log b/precheck_results/04_JUN_2022___11_00_41/logs/spdx_compliance_report.log
new file mode 100644
index 0000000..b109af7
--- /dev/null
+++ b/precheck_results/04_JUN_2022___11_00_41/logs/spdx_compliance_report.log
@@ -0,0 +1,131 @@
+/home/krishna/Comparator_MPW6/Makefile
+/home/krishna/Comparator_MPW6/.spiceinit
+/home/krishna/Comparator_MPW6/verilog/dv/Makefile
+/home/krishna/Comparator_MPW6/verilog/dv/mprj_por/mprj_por.c
+/home/krishna/Comparator_MPW6/verilog/dv/mprj_por/mprj_por_tb.v
+/home/krishna/Comparator_MPW6/verilog/dv/mprj_por/Makefile
+/home/krishna/Comparator_MPW6/verilog/rtl/uprj_analog_netlists.v
+/home/krishna/Comparator_MPW6/verilog/rtl/example_por.v
+/home/krishna/Comparator_MPW6/verilog/rtl/user_analog_proj_example.v
+/home/krishna/Comparator_MPW6/verilog/rtl/user_analog_project_wrapper.v
+/home/krishna/Comparator_MPW6/verilog/rtl/comparator.v
+/home/krishna/Comparator_MPW6/precheck_results/04_JUN_2022___09_24_39/outputs/reports/magic_drc_check.drc.report
+/home/krishna/Comparator_MPW6/precheck_results/04_JUN_2022___09_24_39/logs/klayout_zeroarea_check.total
+/home/krishna/Comparator_MPW6/precheck_results/04_JUN_2022___09_24_39/logs/tools.info
+/home/krishna/Comparator_MPW6/precheck_results/04_JUN_2022___09_24_39/logs/klayout_beol_check.total
+/home/krishna/Comparator_MPW6/precheck_results/04_JUN_2022___09_24_39/logs/klayout_pin_label_purposes_overlapping_drawing_check.total
+/home/krishna/Comparator_MPW6/precheck_results/04_JUN_2022___09_24_39/logs/klayout_feol_check.total
+/home/krishna/Comparator_MPW6/precheck_results/04_JUN_2022___09_24_39/logs/gds.info
+/home/krishna/Comparator_MPW6/precheck_results/04_JUN_2022___09_24_39/logs/pdks.info
+/home/krishna/Comparator_MPW6/precheck_results/04_JUN_2022___09_24_39/logs/magic_drc_check.total
+/home/krishna/Comparator_MPW6/precheck_results/04_JUN_2022___09_24_39/logs/klayout_offgrid_check.total
+/home/krishna/Comparator_MPW6/precheck_results/04_JUN_2022___09_24_39/logs/klayout_met_min_ca_density_check.total
+/home/krishna/Comparator_MPW6/precheck_results/04_JUN_2022___11_00_41/logs/tools.info
+/home/krishna/Comparator_MPW6/precheck_results/04_JUN_2022___11_00_41/logs/gds.info
+/home/krishna/Comparator_MPW6/precheck_results/04_JUN_2022___11_00_41/logs/pdks.info
+/home/krishna/Comparator_MPW6/precheck_results/04_JUN_2022___09_00_52/outputs/reports/magic_drc_check.drc.report
+/home/krishna/Comparator_MPW6/precheck_results/04_JUN_2022___09_00_52/logs/klayout_zeroarea_check.total
+/home/krishna/Comparator_MPW6/precheck_results/04_JUN_2022___09_00_52/logs/tools.info
+/home/krishna/Comparator_MPW6/precheck_results/04_JUN_2022___09_00_52/logs/klayout_beol_check.total
+/home/krishna/Comparator_MPW6/precheck_results/04_JUN_2022___09_00_52/logs/klayout_pin_label_purposes_overlapping_drawing_check.total
+/home/krishna/Comparator_MPW6/precheck_results/04_JUN_2022___09_00_52/logs/klayout_feol_check.total
+/home/krishna/Comparator_MPW6/precheck_results/04_JUN_2022___09_00_52/logs/gds.info
+/home/krishna/Comparator_MPW6/precheck_results/04_JUN_2022___09_00_52/logs/pdks.info
+/home/krishna/Comparator_MPW6/precheck_results/04_JUN_2022___09_00_52/logs/magic_drc_check.total
+/home/krishna/Comparator_MPW6/precheck_results/04_JUN_2022___09_00_52/logs/klayout_offgrid_check.total
+/home/krishna/Comparator_MPW6/precheck_results/04_JUN_2022___09_00_52/logs/klayout_met_min_ca_density_check.total
+/home/krishna/Comparator_MPW6/precheck_results/04_JUN_2022___10_33_37/outputs/reports/magic_drc_check.drc.report
+/home/krishna/Comparator_MPW6/precheck_results/04_JUN_2022___10_33_37/logs/klayout_zeroarea_check.total
+/home/krishna/Comparator_MPW6/precheck_results/04_JUN_2022___10_33_37/logs/tools.info
+/home/krishna/Comparator_MPW6/precheck_results/04_JUN_2022___10_33_37/logs/klayout_beol_check.total
+/home/krishna/Comparator_MPW6/precheck_results/04_JUN_2022___10_33_37/logs/klayout_pin_label_purposes_overlapping_drawing_check.total
+/home/krishna/Comparator_MPW6/precheck_results/04_JUN_2022___10_33_37/logs/klayout_feol_check.total
+/home/krishna/Comparator_MPW6/precheck_results/04_JUN_2022___10_33_37/logs/gds.info
+/home/krishna/Comparator_MPW6/precheck_results/04_JUN_2022___10_33_37/logs/pdks.info
+/home/krishna/Comparator_MPW6/precheck_results/04_JUN_2022___10_33_37/logs/magic_drc_check.total
+/home/krishna/Comparator_MPW6/precheck_results/04_JUN_2022___10_33_37/logs/klayout_offgrid_check.total
+/home/krishna/Comparator_MPW6/precheck_results/04_JUN_2022___10_33_37/logs/klayout_met_min_ca_density_check.total
+/home/krishna/Comparator_MPW6/precheck_results/04_JUN_2022___10_10_56/outputs/reports/magic_drc_check.drc.report
+/home/krishna/Comparator_MPW6/precheck_results/04_JUN_2022___10_10_56/logs/klayout_zeroarea_check.total
+/home/krishna/Comparator_MPW6/precheck_results/04_JUN_2022___10_10_56/logs/tools.info
+/home/krishna/Comparator_MPW6/precheck_results/04_JUN_2022___10_10_56/logs/klayout_beol_check.total
+/home/krishna/Comparator_MPW6/precheck_results/04_JUN_2022___10_10_56/logs/klayout_pin_label_purposes_overlapping_drawing_check.total
+/home/krishna/Comparator_MPW6/precheck_results/04_JUN_2022___10_10_56/logs/klayout_feol_check.total
+/home/krishna/Comparator_MPW6/precheck_results/04_JUN_2022___10_10_56/logs/gds.info
+/home/krishna/Comparator_MPW6/precheck_results/04_JUN_2022___10_10_56/logs/pdks.info
+/home/krishna/Comparator_MPW6/precheck_results/04_JUN_2022___10_10_56/logs/magic_drc_check.total
+/home/krishna/Comparator_MPW6/precheck_results/04_JUN_2022___10_10_56/logs/klayout_offgrid_check.total
+/home/krishna/Comparator_MPW6/precheck_results/04_JUN_2022___10_10_56/logs/klayout_met_min_ca_density_check.total
+/home/krishna/Comparator_MPW6/precheck_results/04_JUN_2022___10_00_20/outputs/reports/magic_drc_check.drc.report
+/home/krishna/Comparator_MPW6/precheck_results/04_JUN_2022___10_00_20/logs/klayout_zeroarea_check.total
+/home/krishna/Comparator_MPW6/precheck_results/04_JUN_2022___10_00_20/logs/tools.info
+/home/krishna/Comparator_MPW6/precheck_results/04_JUN_2022___10_00_20/logs/klayout_beol_check.total
+/home/krishna/Comparator_MPW6/precheck_results/04_JUN_2022___10_00_20/logs/klayout_pin_label_purposes_overlapping_drawing_check.total
+/home/krishna/Comparator_MPW6/precheck_results/04_JUN_2022___10_00_20/logs/klayout_feol_check.total
+/home/krishna/Comparator_MPW6/precheck_results/04_JUN_2022___10_00_20/logs/gds.info
+/home/krishna/Comparator_MPW6/precheck_results/04_JUN_2022___10_00_20/logs/pdks.info
+/home/krishna/Comparator_MPW6/precheck_results/04_JUN_2022___10_00_20/logs/magic_drc_check.total
+/home/krishna/Comparator_MPW6/precheck_results/04_JUN_2022___10_00_20/logs/klayout_offgrid_check.total
+/home/krishna/Comparator_MPW6/precheck_results/04_JUN_2022___10_00_20/logs/klayout_met_min_ca_density_check.total
+/home/krishna/Comparator_MPW6/mag/sky130_fd_pr__pfet_01v8_AC5Z8B#0.ext
+/home/krishna/Comparator_MPW6/mag/latch_3.ext
+/home/krishna/Comparator_MPW6/mag/inv_W2.ext
+/home/krishna/Comparator_MPW6/mag/sky130_fd_pr__diode_pw2nd_05v5_KLAK3C.ext
+/home/krishna/Comparator_MPW6/mag/sky130_fd_pr__diode_pw2nd_05v5_FT7GK8.ext
+/home/krishna/Comparator_MPW6/mag/sky130_fd_pr__diode_pw2nd_05v5_3P6M5Y.ext
+/home/krishna/Comparator_MPW6/mag/sky130_fd_pr__pfet_01v8_5SM9EE.ext
+/home/krishna/Comparator_MPW6/mag/latch_22.ext
+/home/krishna/Comparator_MPW6/mag/sky130_fd_pr__diode_pw2nd_05v5_GT7G3L.ext
+/home/krishna/Comparator_MPW6/mag/inv_W2#0.ext
+/home/krishna/Comparator_MPW6/mag/.magicrc
+/home/krishna/Comparator_MPW6/mag/sky130_fd_pr__diode_pd2nw_05v5_G4XDRY.ext
+/home/krishna/Comparator_MPW6/mag/sky130_fd_pr__nfet_01v8_XJTKXQ#1.ext
+/home/krishna/Comparator_MPW6/mag/sky130_fd_pr__nfet_01v8_XJTKXQ.ext
+/home/krishna/Comparator_MPW6/mag/sky130_fd_pr__pfet_01v8_AC5Z8B.ext
+/home/krishna/Comparator_MPW6/mag/buffer_2#1.ext
+/home/krishna/Comparator_MPW6/mag/comparator_v6.ext
+/home/krishna/Comparator_MPW6/mag/buffer_1#0.ext
+/home/krishna/Comparator_MPW6/mag/latch_2.ext
+/home/krishna/Comparator_MPW6/mag/user_analog_project_wrapper.ext
+/home/krishna/Comparator_MPW6/mag/buffer_2#0.ext
+/home/krishna/Comparator_MPW6/mag/sky130_fd_pr__diode_pd2nw_05v5_RT56W3.ext
+/home/krishna/Comparator_MPW6/mag/latch/SR_latch.ext
+/home/krishna/Comparator_MPW6/mag/latch/sky130_fd_pr__pfet_01v8_AC5Z8B#0.ext
+/home/krishna/Comparator_MPW6/mag/latch/sky130A.magicrc
+/home/krishna/Comparator_MPW6/mag/latch/latch_22.ext
+/home/krishna/Comparator_MPW6/mag/latch/sky130_fd_pr__pfet_01v8_AC5E9B.ext
+/home/krishna/Comparator_MPW6/mag/latch/.magicrc
+/home/krishna/Comparator_MPW6/mag/latch/sky130_fd_pr__nfet_01v8_XJTKXQ#1.ext
+/home/krishna/Comparator_MPW6/mag/latch/sky130_fd_pr__nfet_01v8_F5U58G.ext
+/home/krishna/Comparator_MPW6/mag/latch/sky130_fd_pr__pfet_01v8_GJYUB2.ext
+/home/krishna/Comparator_MPW6/mag/latch/latch_2.ext
+/home/krishna/Comparator_MPW6/mag/latch/sky130_fd_pr__pfet_01v8_5SVZDE.ext
+/home/krishna/Comparator_MPW6/mag/latch/latch.ext
+/home/krishna/Comparator_MPW6/mag/preamp/sky130_fd_pr__nfet_01v8_G6PLX8.ext
+/home/krishna/Comparator_MPW6/mag/preamp/preamp_part1.ext
+/home/krishna/Comparator_MPW6/mag/preamp/preamp_part2.ext
+/home/krishna/Comparator_MPW6/mag/preamp/sky130_fd_pr__nfet_01v8_XJTKXQ#0.ext
+/home/krishna/Comparator_MPW6/mag/preamp/sky130_fd_pr__nfet_01v8_F5U58G#1.ext
+/home/krishna/Comparator_MPW6/mag/preamp/.magicrc
+/home/krishna/Comparator_MPW6/mag/preamp/sky130_fd_pr__pfet_01v8_RFM3CD.ext
+/home/krishna/Comparator_MPW6/mag/preamp/sky130_fd_pr__nfet_01v8_8FHE5N.ext
+/home/krishna/Comparator_MPW6/mag/preamp/sky130_fd_pr__nfet_01v8_F5U58G#0.ext
+/home/krishna/Comparator_MPW6/xschem/xschemrc
+/home/krishna/Comparator_MPW6/xschem/buffer.sch
+/home/krishna/Comparator_MPW6/xschem/user_analog_project_wrapper.sym
+/home/krishna/Comparator_MPW6/xschem/SR_latch.sym
+/home/krishna/Comparator_MPW6/xschem/comparator.sch
+/home/krishna/Comparator_MPW6/xschem/comparator.sym
+/home/krishna/Comparator_MPW6/xschem/analog_wrapper_tb.sch
+/home/krishna/Comparator_MPW6/xschem/user_analog_project_wrapper.sch
+/home/krishna/Comparator_MPW6/xschem/.spiceinit
+/home/krishna/Comparator_MPW6/xschem/comparator_tb.sch
+/home/krishna/Comparator_MPW6/xschem/SR_latch.sch
+/home/krishna/Comparator_MPW6/xschem/buffer.sym
+/home/krishna/Comparator_MPW6/docs/Makefile
+/home/krishna/Comparator_MPW6/docs/environment.yml
+/home/krishna/Comparator_MPW6/docs/source/conf.py
+/home/krishna/Comparator_MPW6/docs/source/index.rst
+/home/krishna/Comparator_MPW6/netgen/run_lvs_wrapper_verilog.sh
+/home/krishna/Comparator_MPW6/netgen/run_lvs_por.sh
+/home/krishna/Comparator_MPW6/netgen/run_lvs_wrapper_xschem.sh
diff --git a/precheck_results/04_JUN_2022___11_00_41/logs/tools.info b/precheck_results/04_JUN_2022___11_00_41/logs/tools.info
new file mode 100644
index 0000000..9b2230a
--- /dev/null
+++ b/precheck_results/04_JUN_2022___11_00_41/logs/tools.info
@@ -0,0 +1,2 @@
+KLayout: 0.27.8
+Magic: 8.3.274
\ No newline at end of file
diff --git a/precheck_results/04_JUN_2022___11_00_41/logs/xor_check.log b/precheck_results/04_JUN_2022___11_00_41/logs/xor_check.log
new file mode 100644
index 0000000..89222bf
--- /dev/null
+++ b/precheck_results/04_JUN_2022___11_00_41/logs/xor_check.log
@@ -0,0 +1,179 @@
+Reading file /home/krishna/Comparator_MPW6/gds/user_analog_project_wrapper.gds for cell user_analog_project_wrapper
+dbu=0.001
+cell user_analog_project_wrapper dbu-bbox(ll;ur)=(-4000,-4000;2924000,3524000)
+cell user_analog_project_wrapper dbu-bbox(left,bottom,right,top)=(-4000,-4000,2924000,3524000)
+cell user_analog_project_wrapper dbu-size(width,height)=(2928000,3528000)
+cell user_analog_project_wrapper micron-bbox(left,bottom,right,top)=(-4.0,-4.0,2924.0,3524.0)
+cell user_analog_project_wrapper micron-size(width,height)=(2928.0,3528.0)
+Done.
+
+Magic 8.3 revision 274 - Compiled on Fri Mar  4 22:54:15 UTC 2022.
+Starting magic under Tcl interpreter
+Using the terminal as the console.
+Using NULL graphics device.
+Processing system .magicrc file
+Loading "/home/krishna/mpw_precheckmpw6/checks/xor_check/erase_box.tcl" from command line.
+"sky130(vendor)" is not one of the CIF input styles Magic knows.
+Error: No style is set
+The CIF input styles are: .
+Don't know how to read GDS-II:
+Nothing in "cifinput" section of tech file.
+File user_analog_project_wrapper.mag couldn't be read
+No such file or directory
+Creating new cell
+Root cell box:
+           width x height  (   llx,  lly  ), (   urx,  ury  )  area (units^2)
+
+microns:  43.000 x 3520.000  (-43.000,  0.000), ( 0.000,  3520.000)  151360.000
+lambda:       43 x 3520    (   -43,  0    ), (     0,  3520 )  151360    
+Root cell box:
+           width x height  (   llx,  lly  ), (   urx,  ury  )  area (units^2)
+
+microns:  43.000 x 3520.000  ( 2920.000,  0.000), ( 2963.000,  3520.000)  151360.000
+lambda:       43 x 3520    (  2920,  0    ), (  2963,  3520 )  151360    
+Root cell box:
+           width x height  (   llx,  lly  ), (   urx,  ury  )  area (units^2)
+
+microns:  3006.000 x 38.000  (-43.000, -38.000), ( 2963.000,  0.000)  114228.000
+lambda:     3006 x 38      (   -43, -38   ), (  2963,  0    )  114228    
+Root cell box:
+           width x height  (   llx,  lly  ), (   urx,  ury  )  area (units^2)
+
+microns:  3006.000 x 37.000  (-43.000,  3520.000), ( 2963.000,  3557.000)  111222.000
+lambda:     3006 x 37      (   -43,  3520 ), (  2963,  3557 )  111222    
+Unrecognized layer: metal5
+Layer names are:
+    mag or magnet
+    f or fence
+    r or rotate
+    $
+    *
+    errors
+    labels
+    subcell
+    connect
+Unrecognized layer: metal4
+Layer names are:
+    mag or magnet
+    f or fence
+    r or rotate
+    $
+    *
+    errors
+    labels
+    subcell
+    connect
+Unrecognized layer: metal5
+Layer names are:
+    mag or magnet
+    f or fence
+    r or rotate
+    $
+    *
+    errors
+    labels
+    subcell
+    connect
+Unrecognized layer: metal4
+Layer names are:
+    mag or magnet
+    f or fence
+    r or rotate
+    $
+    *
+    errors
+    labels
+    subcell
+    connect
+No CIF/GDS output style set!
+I/O error in writing file /home/krishna/Comparator_MPW6/precheck_results/04_JUN_2022___11_00_41/outputs/user_analog_project_wrapper_erased.gds.
+File may be incompletely written.
+
+Magic 8.3 revision 274 - Compiled on Fri Mar  4 22:54:15 UTC 2022.
+Starting magic under Tcl interpreter
+Using the terminal as the console.
+Using NULL graphics device.
+Processing system .magicrc file
+Loading "/home/krishna/mpw_precheckmpw6/checks/xor_check/erase_box.tcl" from command line.
+"sky130(vendor)" is not one of the CIF input styles Magic knows.
+Error: No style is set
+The CIF input styles are: .
+Don't know how to read GDS-II:
+Nothing in "cifinput" section of tech file.
+File user_analog_project_wrapper.mag couldn't be read
+No such file or directory
+Creating new cell
+Root cell box:
+           width x height  (   llx,  lly  ), (   urx,  ury  )  area (units^2)
+
+microns:  43.000 x 3520.000  (-43.000,  0.000), ( 0.000,  3520.000)  151360.000
+lambda:       43 x 3520    (   -43,  0    ), (     0,  3520 )  151360    
+Root cell box:
+           width x height  (   llx,  lly  ), (   urx,  ury  )  area (units^2)
+
+microns:  43.000 x 3520.000  ( 2920.000,  0.000), ( 2963.000,  3520.000)  151360.000
+lambda:       43 x 3520    (  2920,  0    ), (  2963,  3520 )  151360    
+Root cell box:
+           width x height  (   llx,  lly  ), (   urx,  ury  )  area (units^2)
+
+microns:  3006.000 x 38.000  (-43.000, -38.000), ( 2963.000,  0.000)  114228.000
+lambda:     3006 x 38      (   -43, -38   ), (  2963,  0    )  114228    
+Root cell box:
+           width x height  (   llx,  lly  ), (   urx,  ury  )  area (units^2)
+
+microns:  3006.000 x 37.000  (-43.000,  3520.000), ( 2963.000,  3557.000)  111222.000
+lambda:     3006 x 37      (   -43,  3520 ), (  2963,  3557 )  111222    
+Unrecognized layer: metal5
+Layer names are:
+    mag or magnet
+    f or fence
+    r or rotate
+    $
+    *
+    errors
+    labels
+    subcell
+    connect
+Unrecognized layer: metal4
+Layer names are:
+    mag or magnet
+    f or fence
+    r or rotate
+    $
+    *
+    errors
+    labels
+    subcell
+    connect
+Unrecognized layer: metal5
+Layer names are:
+    mag or magnet
+    f or fence
+    r or rotate
+    $
+    *
+    errors
+    labels
+    subcell
+    connect
+Unrecognized layer: metal4
+Layer names are:
+    mag or magnet
+    f or fence
+    r or rotate
+    $
+    *
+    errors
+    labels
+    subcell
+    connect
+No CIF/GDS output style set!
+I/O error in writing file /home/krishna/Comparator_MPW6/precheck_results/04_JUN_2022___11_00_41/outputs/user_analog_project_wrapper_empty_erased.gds.
+File may be incompletely written.
+Reading /home/krishna/Comparator_MPW6/precheck_results/04_JUN_2022___11_00_41/outputs/user_analog_project_wrapper_erased.gds ..
+ERROR: In /home/krishna/mpw_precheckmpw6/checks/xor_check/xor.rb.drc: 'source': Stream has unknown format: /home/krishna/Comparator_MPW6/precheck_results/04_JUN_2022___11_00_41/outputs/user_analog_project_wrapper_erased.gds in Layout::read
+Total elapsed: 0.000s  Memory: 521.00M
+ERROR: 'source': Stream has unknown format: /home/krishna/Comparator_MPW6/precheck_results/04_JUN_2022___11_00_41/outputs/user_analog_project_wrapper_erased.gds in Layout::read in Executable::execute
+  /home/krishna/mpw_precheckmpw6/checks/xor_check/xor.rb.drc:15:in `execute'
+  :/built-in-macros/drc_interpreters.lym:27:in `instance_eval'
+  :/built-in-macros/drc_interpreters.lym:27:in `execute'
diff --git a/precheck_results/04_JUN_2022___11_00_41/outputs/reports/klayout_beol_check.xml b/precheck_results/04_JUN_2022___11_00_41/outputs/reports/klayout_beol_check.xml
new file mode 100644
index 0000000..9ad4ffd
--- /dev/null
+++ b/precheck_results/04_JUN_2022___11_00_41/outputs/reports/klayout_beol_check.xml
@@ -0,0 +1,447 @@
+<?xml version="1.0" encoding="utf-8"?>
+<report-database>
+ <description>SKY130 DRC runset</description>
+ <original-file/>
+ <generator>drc: script='/home/krishna/mpw_precheckmpw6/checks/tech-files/sky130A_mr.drc'</generator>
+ <top-cell>user_analog_project_wrapper</top-cell>
+ <tags>
+ </tags>
+ <categories>
+  <category>
+   <name>li.1</name>
+   <description>li.1 : min. li width : 0.17um</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>li.3</name>
+   <description>li.3 : min. li spacing : 0.17um</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>li.5</name>
+   <description>li.5 : min. li enclosure of licon of 2 adjacent edges : 0.08um</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>li.6</name>
+   <description>li.6 : min. li area : 0.0561um²</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>ct.1</name>
+   <description>ct.1: non-ring mcon should be rectangular</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>ct.1_a</name>
+   <description>ct.1_a : minimum width of mcon : 0.17um</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>ct.1_b</name>
+   <description>ct.1_b : maximum length of mcon : 0.17um</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>ct.2</name>
+   <description>ct.2 : min. mcon spacing : 0.19um</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>ct.4</name>
+   <description>ct.4 : mcon should covered by li</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>m1.1</name>
+   <description>m1.1 : min. m1 width : 0.14um</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>m1.2</name>
+   <description>m1.2 : min. m1 spacing : 0.14um</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>m1.3ab</name>
+   <description>m1.3ab : min. 3um.m1 spacing m1 : 0.28um</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>791_m1.4</name>
+   <description>791_m1.4 : min. m1 enclosure of mcon : 0.03um</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>m1.4</name>
+   <description>m1.4 : mcon periphery must be enclosed by m1</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>m1.4a</name>
+   <description>m1.4a : min. m1 enclosure of mcon for specific cells : 0.005um</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>m1.4a_a</name>
+   <description>m1.4a_a : mcon periph must be enclosed by met1 for specific cells</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>m1.6</name>
+   <description>m1.6 : min. m1 area : 0.083um²</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>m1.7</name>
+   <description>m1.7 : min. m1 with holes area : 0.14um²</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>m1.5</name>
+   <description>m1.5 : min. m1 enclosure of mcon of 2 adjacent edges : 0.06um</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>via.1a</name>
+   <description>via.1a : via outside of moduleCut should be rectangular</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>via.1a_a</name>
+   <description>via.1a_a : min. width of via outside of moduleCut : 0.15um</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>via.1a_b</name>
+   <description>via.1a_b : maximum length of via : 0.15um</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>via.2</name>
+   <description>via.2 : min. via spacing : 0.17um</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>via.4a</name>
+   <description>via.4a : min. m1 enclosure of 0.15um via : 0.055um</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>via.4a_a</name>
+   <description>via.4a_a : 0.15um via must be enclosed by met1</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>via.5a</name>
+   <description>via.5a : min. m1 enclosure of 0.15um via of 2 adjacent edges : 0.085um</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>m2.1</name>
+   <description>m2.1 : min. m2 width : 0.14um</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>m2.2</name>
+   <description>m2.2 : min. m2 spacing : 0.14um</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>m2.3ab</name>
+   <description>m2.3ab : min. 3um.m2 spacing m2 : 0.28um</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>m2.6</name>
+   <description>m2.6 : min. m2 area : 0.0676um²</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>m2.7</name>
+   <description>m2.7 : min. m2 holes area : 0.14um²</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>m2.4</name>
+   <description>m2.4 : min. m2 enclosure of via : 0.055um</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>m2.4_a</name>
+   <description>m2.4_a : via in periphery must be enclosed by met2</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>m2.5</name>
+   <description>m2.5 : min. m2 enclosure of via of 2 adjacent edges : 0.085um</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>via2.1a</name>
+   <description>via2.1a : via2 outside of moduleCut should be rectangular</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>via2.1a_a</name>
+   <description>via2.1a_a : min. width of via2 outside of moduleCut : 0.2um</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>via2.1a_b</name>
+   <description>via2.1a_b : maximum length of via2 : 0.2um</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>via2.2</name>
+   <description>via2.2 : min. via2 spacing : 0.2um</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>via2.4</name>
+   <description>via2.4 : min. m2 enclosure of via2 : 0.04um</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>via2.4_a</name>
+   <description>via2.4_a : via must be enclosed by met2</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>via2.5</name>
+   <description>via2.5 : min. m3 enclosure of via2 of 2 adjacent edges : 0.085um</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>m3.1</name>
+   <description>m3.1 : min. m3 width : 0.3um</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>m3.2</name>
+   <description>m3.2 : min. m3 spacing : 0.3um</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>m3.3cd</name>
+   <description>m3.3cd : min. 3um.m3 spacing m3 : 0.4um</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>m3.4</name>
+   <description>m3.4 : min. m3 enclosure of via2 : 0.065um</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>m3.4_a</name>
+   <description>m3.4_a : via2 must be enclosed by met3</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>via3.1</name>
+   <description>via3.1 : via3 outside of moduleCut should be rectangular</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>via3.1_a</name>
+   <description>via3.1_a : min. width of via3 outside of moduleCut : 0.2um</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>via3.1_b</name>
+   <description>via3.1_b : maximum length of via3 : 0.2um</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>via3.2</name>
+   <description>via3.2 : min. via3 spacing : 0.2um</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>via3.4</name>
+   <description>via3.4 : min. m3 enclosure of via3 : 0.06um</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>via3.4_a</name>
+   <description>via3.4_a : non-ring via3 must be enclosed by met3</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>via3.5</name>
+   <description>via3.5 : min. m3 enclosure of via3 of 2 adjacent edges : 0.09um</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>m4.1</name>
+   <description>m4.1 : min. m4 width : 0.3um</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>m4.2</name>
+   <description>m4.2 : min. m4 spacing : 0.3um</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>m4.4a</name>
+   <description>m4.4a : min. m4 area : 0.240um²</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>m4.5ab</name>
+   <description>m4.5ab : min. 3um.m4 spacing m4 : 0.4um</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>m4.3</name>
+   <description>m4.3 : min. m4 enclosure of via3 : 0.065um</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>m4.3_a</name>
+   <description>m4.3_a : via3 must be enclosed by met4</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>via4.1</name>
+   <description>via4.1 : via4 outside of moduleCut should be rectangular</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>via4.1_a</name>
+   <description>via4.1_a : min. width of via4 outside of moduleCut : 0.8um</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>via4.1_b</name>
+   <description>via4.1_b : maximum length of via4 : 0.8um</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>via4.2</name>
+   <description>via4.2 : min. via4 spacing : 0.8um</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>via4.4</name>
+   <description>via4.4 : min. m4 enclosure of via4 : 0.19um</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>via4.4_a</name>
+   <description>via4.4_a : m4 must enclose all via4</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>m5.1</name>
+   <description>m5.1 : min. m5 width : 1.6um</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>m5.2</name>
+   <description>m5.2 : min. m5 spacing : 1.6um</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>m5.3</name>
+   <description>m5.3 : min. m5 enclosure of via4 : 0.31um</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>m5.3_a</name>
+   <description>m5.3_a : via must be enclosed by m5</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>m5.4</name>
+   <description>m5.4 : min. m5 area : 4.0um²</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>pad.2</name>
+   <description>pad.2 : min. pad spacing : 1.27um</description>
+   <categories>
+   </categories>
+  </category>
+ </categories>
+ <cells>
+  <cell>
+   <name>user_analog_project_wrapper</name>
+   <variant/>
+   <references>
+   </references>
+  </cell>
+ </cells>
+ <items>
+ </items>
+</report-database>
diff --git a/precheck_results/04_JUN_2022___11_00_41/outputs/reports/klayout_feol_check.xml b/precheck_results/04_JUN_2022___11_00_41/outputs/reports/klayout_feol_check.xml
new file mode 100644
index 0000000..5c0c69c
--- /dev/null
+++ b/precheck_results/04_JUN_2022___11_00_41/outputs/reports/klayout_feol_check.xml
@@ -0,0 +1,363 @@
+<?xml version="1.0" encoding="utf-8"?>
+<report-database>
+ <description>SKY130 DRC runset</description>
+ <original-file/>
+ <generator>drc: script='/home/krishna/mpw_precheckmpw6/checks/tech-files/sky130A_mr.drc'</generator>
+ <top-cell>user_analog_project_wrapper</top-cell>
+ <tags>
+ </tags>
+ <categories>
+  <category>
+   <name>dnwell.2</name>
+   <description>dnwell.2 : min. dnwell width : 3.0um</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>nwell.1</name>
+   <description>nwell.1 : min. nwell width : 0.84um</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>nwell.2a</name>
+   <description>nwell.2a : min. nwell spacing (merged if less) : 1.27um</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>nwell.6</name>
+   <description>nwell.6 : min enclosure of nwellHole by dnwell : 1.03um</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>hvtp.1</name>
+   <description>hvtp.1 : min. hvtp width : 0.38um</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>hvtp.2</name>
+   <description>hvtp.2 : min. hvtp spacing : 0.38um</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>hvtr.1</name>
+   <description>hvtr.1 : min. hvtr width : 0.38um</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>hvtr.2</name>
+   <description>hvtr.2 : min. hvtr spacing : 0.38um</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>hvtr.2_a</name>
+   <description>hvtr.2_a : hvtr must not overlap hvtp</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>lvtn.1a</name>
+   <description>lvtn.1a : min. lvtn width : 0.38um</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>lvtn.2</name>
+   <description>lvtn.2 : min. lvtn spacing : 0.38um</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>ncm.1</name>
+   <description>ncm.1 : min. ncm width : 0.38um</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>ncm.2a</name>
+   <description>ncm.2a : min. ncm spacing : 0.38um</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>difftap.1</name>
+   <description>difftap.1 : min. diff width across areaid:ce : 0.15um</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>difftap.1_a</name>
+   <description>difftap.1_a : min. diff width in periphery : 0.15um</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>difftap.1_b</name>
+   <description>difftap.1_b : min. tap width across areaid:ce : 0.15um</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>difftap.1_c</name>
+   <description>difftap.1_c : min. tap width in periphery : 0.15um</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>difftap.3</name>
+   <description>difftap.3 : min. difftap spacing : 0.27um</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>tunm.1</name>
+   <description>tunm.1 : min. tunm width : 0.41um</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>tunm.2</name>
+   <description>tunm.2 : min. tunm spacing : 0.5um</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>poly.1a</name>
+   <description>poly.1a : min. poly width : 0.15um</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>poly.2</name>
+   <description>poly.2 : min. poly spacing : 0.21um</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>rpm.1a</name>
+   <description>rpm.1a : min. rpm width : 1.27um</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>rpm.2</name>
+   <description>rpm.2 : min. rpm spacing : 0.84um</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>urpm.1a</name>
+   <description>urpm.1a : min. rpm width : 1.27um</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>urpm.2</name>
+   <description>urpm.2 : min. rpm spacing : 0.84um</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>npc.1</name>
+   <description>npc.1 : min. npc width : 0.27um</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>npc.2</name>
+   <description>npc.2 : min. npc spacing, should be manually merged if less than : 0.27um</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>nsd.1</name>
+   <description>nsd.1 : min. nsdm width : 0.38um</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>nsd.2</name>
+   <description>nsd.2 : min. nsdm spacing, should be manually merged if less than : 0.38um</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>psd.1</name>
+   <description>psd.1 : min. psdm width : 0.38um</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>psd.2</name>
+   <description>psd.2 : min. psdm spacing, should be manually merged if less than : 0.38um</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>licon.1</name>
+   <description>licon.1 : licon should be rectangle</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>licon.1_a/b</name>
+   <description>licon.1_a/b : minimum/maximum width of licon : 0.17um</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>licon.13</name>
+   <description>licon.13 : min. difftap licon spacing to npc : 0.09um</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>licon.13_a</name>
+   <description>licon.13_a : licon of diffTap in periphery must not overlap npc</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>licon.17</name>
+   <description>licon.17 : Licons may not overlap both poly and (diff or tap)</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>capm.1</name>
+   <description>capm.1 : min. capm width : 1.0um</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>capm.2a</name>
+   <description>capm.2a : min. capm spacing : 0.84um</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>capm.2b</name>
+   <description>capm.2b : min. capm spacing : 1.2um</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>capm.2b_a</name>
+   <description>capm.2b_a : min. spacing of m3_bot_plate : 1.2um</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>capm.3</name>
+   <description>capm.3 : min. capm and m3 enclosure of m3 : 0.14um</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>capm.3_a</name>
+   <description>capm.3_a : min. m3 enclosure of capm : 0.14um</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>capm.4</name>
+   <description>capm.4 : min. capm enclosure of via3 : 0.14um</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>capm.5</name>
+   <description>capm.5 : min. capm spacing to via3 : 0.14um</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>cap2m.1</name>
+   <description>cap2m.1 : min. cap2m width : 1.0um</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>cap2m.2a</name>
+   <description>cap2m.2a : min. cap2m spacing : 0.84um</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>cap2m.2b</name>
+   <description>cap2m.2b : min. cap2m spacing : 1.2um</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>cap2m.2b_a</name>
+   <description>cap2m.2b_a : min. spacing of m4_bot_plate : 1.2um</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>cap2m.3</name>
+   <description>cap2m.3 : min. m4 enclosure of cap2m : 0.14um</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>cap2m.3_a</name>
+   <description>cap2m.3_a : min. m4 enclosure of cap2m : 0.14um</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>cap2m.4</name>
+   <description>cap2m.4 : min. cap2m enclosure of via4 : 0.14um</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>cap2m.5</name>
+   <description>cap2m.5 : min. cap2m spacing to via4 : 0.14um</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>hvi.1</name>
+   <description>hvi.1 : min. hvi width : 0.6um</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>hvi.2a</name>
+   <description>hvi.2a : min. hvi spacing : 0.7um</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>hvntm.1</name>
+   <description>hvntm.1 : min. hvntm width : 0.7um</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>hvntm.2</name>
+   <description>hvntm.2 : min. hvntm spacing : 0.7um</description>
+   <categories>
+   </categories>
+  </category>
+ </categories>
+ <cells>
+  <cell>
+   <name>user_analog_project_wrapper</name>
+   <variant/>
+   <references>
+   </references>
+  </cell>
+ </cells>
+ <items>
+ </items>
+</report-database>
diff --git a/precheck_results/04_JUN_2022___11_00_41/outputs/reports/klayout_met_min_ca_density_check.xml b/precheck_results/04_JUN_2022___11_00_41/outputs/reports/klayout_met_min_ca_density_check.xml
new file mode 100644
index 0000000..dc650ad
--- /dev/null
+++ b/precheck_results/04_JUN_2022___11_00_41/outputs/reports/klayout_met_min_ca_density_check.xml
@@ -0,0 +1,21 @@
+<?xml version="1.0" encoding="utf-8"?>
+<report-database>
+ <description>Density Checks</description>
+ <original-file/>
+ <generator>drc: script='/home/krishna/mpw_precheckmpw6/checks/drc_checks/klayout/met_min_ca_density.lydrc'</generator>
+ <top-cell>user_analog_project_wrapper</top-cell>
+ <tags>
+ </tags>
+ <categories>
+ </categories>
+ <cells>
+  <cell>
+   <name>user_analog_project_wrapper</name>
+   <variant/>
+   <references>
+   </references>
+  </cell>
+ </cells>
+ <items>
+ </items>
+</report-database>
diff --git a/precheck_results/04_JUN_2022___11_00_41/outputs/reports/klayout_offgrid_check.xml b/precheck_results/04_JUN_2022___11_00_41/outputs/reports/klayout_offgrid_check.xml
new file mode 100644
index 0000000..80a7de2
--- /dev/null
+++ b/precheck_results/04_JUN_2022___11_00_41/outputs/reports/klayout_offgrid_check.xml
@@ -0,0 +1,483 @@
+<?xml version="1.0" encoding="utf-8"?>
+<report-database>
+ <description>SKY130 DRC runset</description>
+ <original-file/>
+ <generator>drc: script='/home/krishna/mpw_precheckmpw6/checks/tech-files/sky130A_mr.drc'</generator>
+ <top-cell>user_analog_project_wrapper</top-cell>
+ <tags>
+ </tags>
+ <categories>
+  <category>
+   <name>dnwell_OFFGRID</name>
+   <description>x.1b : OFFGRID vertex on dnwell</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>dnwell_angle</name>
+   <description>x.3a : non 45 degree angle dnwell</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>nwell_OFFGRID</name>
+   <description>x.1b : OFFGRID vertex on nwell</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>nwell_angle</name>
+   <description>x.3a : non 45 degree angle nwell</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>pwbm_OFFGRID</name>
+   <description>x.1b : OFFGRID vertex on pwbm</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>pwbm_angle</name>
+   <description>x.3a : non 45 degree angle pwbm</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>pwde_OFFGRID</name>
+   <description>x.1b : OFFGRID vertex on pwde</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>pwde_angle</name>
+   <description>x.3a : non 45 degree angle pwde</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>hvtp_OFFGRID</name>
+   <description>x.1b : OFFGRID vertex on hvtp</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>hvtp_angle</name>
+   <description>x.3a : non 45 degree angle hvtp</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>hvtr_OFFGRID</name>
+   <description>x.1b : OFFGRID vertex on hvtr</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>hvtr_angle</name>
+   <description>x.3a : non 45 degree angle hvtr</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>lvtn_OFFGRID</name>
+   <description>x.1b : OFFGRID vertex on lvtn</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>lvtn_angle</name>
+   <description>x.3a : non 45 degree angle lvtn</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>ncm_OFFGRID</name>
+   <description>x.1b : OFFGRID vertex on ncm</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>ncm_angle</name>
+   <description>x.3a : non 45 degree angle ncm</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>diff_OFFGRID</name>
+   <description>x.1b : OFFGRID vertex on diff</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>tap_OFFGRID</name>
+   <description>x.1b : OFFGRID vertex on tap</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>diff_angle</name>
+   <description>x.2 : non 90 degree angle diff</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>diff_angle</name>
+   <description>x.2c : non 45 degree angle diff</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>tap_angle</name>
+   <description>x.2 : non 90 degree angle tap</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>tap_angle</name>
+   <description>x.2c : non 45 degree angle tap</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>tunm_OFFGRID</name>
+   <description>x.1b : OFFGRID vertex on tunm</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>tunm_angle</name>
+   <description>x.3a : non 45 degree angle tunm</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>poly_OFFGRID</name>
+   <description>x.1b : OFFGRID vertex on poly</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>poly_angle</name>
+   <description>x.2 : non 90 degree angle poly</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>rpm_OFFGRID</name>
+   <description>x.1b : OFFGRID vertex on rpm</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>rpm_angle</name>
+   <description>x.3a : non 45 degree angle rpm</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>npc_OFFGRID</name>
+   <description>x.1b : OFFGRID vertex on npc</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>npc_angle</name>
+   <description>x.3a : non 45 degree angle npc</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>nsdm_OFFGRID</name>
+   <description>x.1b : OFFGRID vertex on nsdm</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>nsdm_angle</name>
+   <description>x.3a : non 45 degree angle nsdm</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>psdm_OFFGRID</name>
+   <description>x.1b : OFFGRID vertex on psdm</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>psdm_angle</name>
+   <description>x.3a : non 45 degree angle psdm</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>licon_OFFGRID</name>
+   <description>x.1b : OFFGRID vertex on licon</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>licon_angle</name>
+   <description>x.2 : non 90 degree angle licon</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>li_OFFGRID</name>
+   <description>x.1b : OFFGRID vertex on li</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>li_angle</name>
+   <description>x.3a : non 45 degree angle li</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>ct_OFFGRID</name>
+   <description>x.1b : OFFGRID vertex on mcon</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>ct_angle</name>
+   <description>x.2 : non 90 degree angle mcon</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>vpp_OFFGRID</name>
+   <description>x.1b : OFFGRID vertex on vpp</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>vpp_angle</name>
+   <description>x.3a : non 45 degree angle vpp</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>m1_OFFGRID</name>
+   <description>x.1b : OFFGRID vertex on m1</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>m1_angle</name>
+   <description>x.3a : non 45 degree angle m1</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>via_OFFGRID</name>
+   <description>x.1b : OFFGRID vertex on via</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>via_angle</name>
+   <description>x.2 : non 90 degree angle via</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>m2_OFFGRID</name>
+   <description>x.1b : OFFGRID vertex on m2</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>m2_angle</name>
+   <description>x.3a : non 45 degree angle m2</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>via2_OFFGRID</name>
+   <description>x.1b : OFFGRID vertex on via2</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>via2_angle</name>
+   <description>x.2 : non 90 degree angle via2</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>m3_OFFGRID</name>
+   <description>x.1b : OFFGRID vertex on m3</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>m3_angle</name>
+   <description>x.3a : non 45 degree angle m3</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>via3_OFFGRID</name>
+   <description>x.1b : OFFGRID vertex on via3</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>via3_angle</name>
+   <description>x.2 : non 90 degree angle via3</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>nsm_OFFGRID</name>
+   <description>x.1b : OFFGRID vertex on nsm</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>nsm_angle</name>
+   <description>x.3a : non 45 degree angle nsm</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>m4_OFFGRID</name>
+   <description>x.1b : OFFGRID vertex on m4</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>m4_angle</name>
+   <description>x.3a : non 45 degree angle m4</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>via4_OFFGRID</name>
+   <description>x.1b : OFFGRID vertex on via4</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>via4_angle</name>
+   <description>x.2 : non 90 degree angle via4</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>m5_OFFGRID</name>
+   <description>x.1b : OFFGRID vertex on m5</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>m5_angle</name>
+   <description>x.3a : non 45 degree angle m5</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>pad_OFFGRID</name>
+   <description>x.1b : OFFGRID vertex on pad</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>pad_angle</name>
+   <description>x.3a : non 45 degree angle pad</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>mf_OFFGRID</name>
+   <description>x.1b : OFFGRID vertex on mf</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>mf_angle</name>
+   <description>x.2 : non 90 degree angle mf</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>hvi_OFFGRID</name>
+   <description>x.1b : OFFGRID vertex on hvi</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>hvi_angle</name>
+   <description>x.3a : non 45 degree angle hvi</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>hvntm_OFFGRID</name>
+   <description>x.1b : OFFGRID vertex on hvntm</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>hvntm_angle</name>
+   <description>x.3a : non 45 degree angle hvntm</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>vhvi_OFFGRID</name>
+   <description>x.1b : OFFGRID vertex on vhvi</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>vhvi_angle</name>
+   <description>x.3a : non 45 degree angle vhvi</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>uhvi_OFFGRID</name>
+   <description>x.1b : OFFGRID vertex on uhvi</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>uhvi_angle</name>
+   <description>x.3a : non 45 degree angle uhvi</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>pwell_rs_OFFGRID</name>
+   <description>x.1b : OFFGRID vertex on pwell_rs</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>pwell_rs_angle</name>
+   <description>x.3a : non 45 degree angle pwell_rs</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>areaid_re_OFFGRID</name>
+   <description>x.1b : OFFGRID vertex on areaid.re</description>
+   <categories>
+   </categories>
+  </category>
+ </categories>
+ <cells>
+  <cell>
+   <name>user_analog_project_wrapper</name>
+   <variant/>
+   <references>
+   </references>
+  </cell>
+ </cells>
+ <items>
+ </items>
+</report-database>
diff --git a/precheck_results/04_JUN_2022___11_00_41/outputs/reports/klayout_pin_label_purposes_overlapping_drawing_check.xml b/precheck_results/04_JUN_2022___11_00_41/outputs/reports/klayout_pin_label_purposes_overlapping_drawing_check.xml
new file mode 100644
index 0000000..95d0489
--- /dev/null
+++ b/precheck_results/04_JUN_2022___11_00_41/outputs/reports/klayout_pin_label_purposes_overlapping_drawing_check.xml
@@ -0,0 +1,21 @@
+<?xml version="1.0" encoding="utf-8"?>
+<report-database>
+ <description>pin_label_purposes_overlapping_drawing.rb.drc, input=/home/krishna/Comparator_MPW6/gds/user_analog_project_wrapper.gds, topcell=user_analog_project_wrapper</description>
+ <original-file/>
+ <generator>drc: script='/home/krishna/mpw_precheckmpw6/checks/drc_checks/klayout/pin_label_purposes_overlapping_drawing.rb.drc'</generator>
+ <top-cell>user_analog_project_wrapper</top-cell>
+ <tags>
+ </tags>
+ <categories>
+ </categories>
+ <cells>
+  <cell>
+   <name>user_analog_project_wrapper</name>
+   <variant/>
+   <references>
+   </references>
+  </cell>
+ </cells>
+ <items>
+ </items>
+</report-database>
diff --git a/precheck_results/04_JUN_2022___11_00_41/outputs/reports/klayout_zeroarea_check.xml b/precheck_results/04_JUN_2022___11_00_41/outputs/reports/klayout_zeroarea_check.xml
new file mode 100644
index 0000000..c90408a
--- /dev/null
+++ b/precheck_results/04_JUN_2022___11_00_41/outputs/reports/klayout_zeroarea_check.xml
@@ -0,0 +1,21 @@
+<?xml version="1.0" encoding="utf-8"?>
+<report-database>
+ <description>zero area check</description>
+ <original-file/>
+ <generator>drc: script='/home/krishna/mpw_precheckmpw6/checks/drc_checks/klayout/zeroarea.rb.drc'</generator>
+ <top-cell>user_analog_project_wrapper</top-cell>
+ <tags>
+ </tags>
+ <categories>
+ </categories>
+ <cells>
+  <cell>
+   <name>user_analog_project_wrapper</name>
+   <variant/>
+   <references>
+   </references>
+  </cell>
+ </cells>
+ <items>
+ </items>
+</report-database>
diff --git a/precheck_results/04_JUN_2022___11_00_41/outputs/reports/magic_drc_check.drc.report b/precheck_results/04_JUN_2022___11_00_41/outputs/reports/magic_drc_check.drc.report
new file mode 100644
index 0000000..829b9d5
--- /dev/null
+++ b/precheck_results/04_JUN_2022___11_00_41/outputs/reports/magic_drc_check.drc.report
@@ -0,0 +1,5 @@
+user_analog_project_wrapper
+----------------------------------------
+[INFO]: COUNT: 0
+[INFO]: Should be divided by 3 or 4
+
diff --git a/precheck_results/04_JUN_2022___11_00_41/outputs/reports/magic_drc_check.rdb b/precheck_results/04_JUN_2022___11_00_41/outputs/reports/magic_drc_check.rdb
new file mode 100644
index 0000000..8a882f3
--- /dev/null
+++ b/precheck_results/04_JUN_2022___11_00_41/outputs/reports/magic_drc_check.rdb
@@ -0,0 +1,2 @@
+$user_analog_project_wrapper
+ 100
diff --git a/precheck_results/04_JUN_2022___11_00_41/outputs/reports/magic_drc_check.tcl b/precheck_results/04_JUN_2022___11_00_41/outputs/reports/magic_drc_check.tcl
new file mode 100644
index 0000000..e69de29
--- /dev/null
+++ b/precheck_results/04_JUN_2022___11_00_41/outputs/reports/magic_drc_check.tcl
diff --git a/precheck_results/04_JUN_2022___11_00_41/outputs/reports/magic_drc_check.tr b/precheck_results/04_JUN_2022___11_00_41/outputs/reports/magic_drc_check.tr
new file mode 100644
index 0000000..e69de29
--- /dev/null
+++ b/precheck_results/04_JUN_2022___11_00_41/outputs/reports/magic_drc_check.tr
diff --git a/precheck_results/04_JUN_2022___11_00_41/outputs/reports/magic_drc_check.xml b/precheck_results/04_JUN_2022___11_00_41/outputs/reports/magic_drc_check.xml
new file mode 100644
index 0000000..7161d7c
--- /dev/null
+++ b/precheck_results/04_JUN_2022___11_00_41/outputs/reports/magic_drc_check.xml
@@ -0,0 +1,10 @@
+<?xml version="1.0" ?>
+<report-database>
+    <categories/>
+    <cells>
+        <cell>
+            <name>user_analog_project_wrapper</name>
+        </cell>
+    </cells>
+    <items/>
+</report-database>
diff --git a/precheck_results/04_JUN_2022___11_00_41/outputs/user_analog_project_wrapper.magic.drc.mag b/precheck_results/04_JUN_2022___11_00_41/outputs/user_analog_project_wrapper.magic.drc.mag
new file mode 100644
index 0000000..bc7865b
--- /dev/null
+++ b/precheck_results/04_JUN_2022___11_00_41/outputs/user_analog_project_wrapper.magic.drc.mag
@@ -0,0 +1,7 @@
+magic
+tech minimum
+magscale 1 2
+timestamp 0
+<< checkpaint >>
+rect 0 0 1 1
+<< end >>
diff --git a/precheck_results/04_JUN_2022___11_00_41/outputs/user_analog_project_wrapper_empty_erased.gds b/precheck_results/04_JUN_2022___11_00_41/outputs/user_analog_project_wrapper_empty_erased.gds
new file mode 100644
index 0000000..e69de29
--- /dev/null
+++ b/precheck_results/04_JUN_2022___11_00_41/outputs/user_analog_project_wrapper_empty_erased.gds
diff --git a/precheck_results/04_JUN_2022___11_00_41/outputs/user_analog_project_wrapper_erased.gds b/precheck_results/04_JUN_2022___11_00_41/outputs/user_analog_project_wrapper_erased.gds
new file mode 100644
index 0000000..e69de29
--- /dev/null
+++ b/precheck_results/04_JUN_2022___11_00_41/outputs/user_analog_project_wrapper_erased.gds
diff --git a/precheck_results/04_JUN_2022___11_00_41/outputs/user_analog_project_wrapper_no_zero_areas.gds b/precheck_results/04_JUN_2022___11_00_41/outputs/user_analog_project_wrapper_no_zero_areas.gds
new file mode 100644
index 0000000..e0e5c4d
--- /dev/null
+++ b/precheck_results/04_JUN_2022___11_00_41/outputs/user_analog_project_wrapper_no_zero_areas.gds
Binary files differ
diff --git a/precheck_results/04_JUN_2022___14_38_44/logs/gds.info b/precheck_results/04_JUN_2022___14_38_44/logs/gds.info
new file mode 100644
index 0000000..f78769e
--- /dev/null
+++ b/precheck_results/04_JUN_2022___14_38_44/logs/gds.info
@@ -0,0 +1 @@
+user_analog_project_wrapper.gds: e433532b4901dae519ca5d9b9b369e40178c04e6
\ No newline at end of file
diff --git a/precheck_results/04_JUN_2022___14_38_44/logs/klayout_beol_check.log b/precheck_results/04_JUN_2022___14_38_44/logs/klayout_beol_check.log
new file mode 100644
index 0000000..dc94d13
--- /dev/null
+++ b/precheck_results/04_JUN_2022___14_38_44/logs/klayout_beol_check.log
@@ -0,0 +1,992 @@
+/home/krishna/mpw_precheckmpw6/checks/tech-files/sky130A_mr.drc:38: warning: already initialized constant DRC::DRCEngine::FEOL
+/home/krishna/mpw_precheckmpw6/checks/tech-files/sky130A_mr.drc:28: warning: previous definition of FEOL was here
+/home/krishna/mpw_precheckmpw6/checks/tech-files/sky130A_mr.drc:42: warning: already initialized constant DRC::DRCEngine::BEOL
+/home/krishna/mpw_precheckmpw6/checks/tech-files/sky130A_mr.drc:29: warning: previous definition of BEOL was here
+/home/krishna/mpw_precheckmpw6/checks/tech-files/sky130A_mr.drc:50: warning: already initialized constant DRC::DRCEngine::OFFGRID
+/home/krishna/mpw_precheckmpw6/checks/tech-files/sky130A_mr.drc:30: warning: previous definition of OFFGRID was here
+/home/krishna/mpw_precheckmpw6/checks/tech-files/sky130A_mr.drc:56: warning: already initialized constant DRC::DRCEngine::SEAL
+/home/krishna/mpw_precheckmpw6/checks/tech-files/sky130A_mr.drc:31: warning: previous definition of SEAL was here
+/home/krishna/mpw_precheckmpw6/checks/tech-files/sky130A_mr.drc:62: warning: already initialized constant DRC::DRCEngine::FLOATING_MET
+/home/krishna/mpw_precheckmpw6/checks/tech-files/sky130A_mr.drc:32: warning: previous definition of FLOATING_MET was here
+"input" in: sky130A_mr.drc:112
+    Polygons (raw): 62 (flat)  25 (hierarchical)
+    Elapsed: 0.010s  Memory: 522.00M
+"polygons" in: sky130A_mr.drc:113
+    Polygons (raw): 50 (flat)  42 (hierarchical)
+    Elapsed: 0.000s  Memory: 522.00M
+"polygons" in: sky130A_mr.drc:114
+    Polygons (raw): 89 (flat)  69 (hierarchical)
+    Elapsed: 0.010s  Memory: 522.00M
+"polygons" in: sky130A_mr.drc:115
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 522.00M
+"polygons" in: sky130A_mr.drc:116
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 522.00M
+"polygons" in: sky130A_mr.drc:117
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 522.00M
+"polygons" in: sky130A_mr.drc:118
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 522.00M
+"polygons" in: sky130A_mr.drc:119
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 522.00M
+"polygons" in: sky130A_mr.drc:120
+    Polygons (raw): 10 (flat)  2 (hierarchical)
+    Elapsed: 0.010s  Memory: 522.00M
+"polygons" in: sky130A_mr.drc:121
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 522.00M
+"polygons" in: sky130A_mr.drc:122
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 522.00M
+"polygons" in: sky130A_mr.drc:123
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 522.00M
+"polygons" in: sky130A_mr.drc:124
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 522.00M
+"polygons" in: sky130A_mr.drc:125
+    Polygons (raw): 202 (flat)  116 (hierarchical)
+    Elapsed: 0.000s  Memory: 522.00M
+"polygons" in: sky130A_mr.drc:126
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 522.00M
+"polygons" in: sky130A_mr.drc:127
+    Polygons (raw): 62 (flat)  43 (hierarchical)
+    Elapsed: 0.000s  Memory: 522.00M
+"polygons" in: sky130A_mr.drc:128
+    Polygons (raw): 76 (flat)  50 (hierarchical)
+    Elapsed: 0.010s  Memory: 522.00M
+"polygons" in: sky130A_mr.drc:129
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 522.00M
+"polygons" in: sky130A_mr.drc:130
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 522.00M
+"polygons" in: sky130A_mr.drc:131
+    Polygons (raw): 33 (flat)  24 (hierarchical)
+    Elapsed: 0.000s  Memory: 522.00M
+"polygons" in: sky130A_mr.drc:132
+    Polygons (raw): 928 (flat)  312 (hierarchical)
+    Elapsed: 0.010s  Memory: 522.00M
+"polygons" in: sky130A_mr.drc:134
+    Polygons (raw): 598 (flat)  426 (hierarchical)
+    Elapsed: 0.010s  Memory: 522.00M
+"polygons" in: sky130A_mr.drc:135
+    Polygons (raw): 1771 (flat)  1495 (hierarchical)
+    Elapsed: 0.000s  Memory: 522.00M
+"polygons" in: sky130A_mr.drc:137
+    Polygons (raw): 349 (flat)  299 (hierarchical)
+    Elapsed: 0.010s  Memory: 522.00M
+"polygons" in: sky130A_mr.drc:138
+    Polygons (raw): 2570 (flat)  2570 (hierarchical)
+    Elapsed: 0.000s  Memory: 522.00M
+"polygons" in: sky130A_mr.drc:140
+    Polygons (raw): 603 (flat)  603 (hierarchical)
+    Elapsed: 0.010s  Memory: 522.00M
+"polygons" in: sky130A_mr.drc:141
+    Polygons (raw): 1761 (flat)  1761 (hierarchical)
+    Elapsed: 0.000s  Memory: 522.00M
+"polygons" in: sky130A_mr.drc:143
+    Polygons (raw): 466 (flat)  466 (hierarchical)
+    Elapsed: 0.000s  Memory: 522.00M
+"polygons" in: sky130A_mr.drc:144
+    Polygons (raw): 25800 (flat)  25800 (hierarchical)
+    Elapsed: 0.010s  Memory: 523.00M
+"polygons" in: sky130A_mr.drc:146
+    Polygons (raw): 180 (flat)  180 (hierarchical)
+    Elapsed: 0.000s  Memory: 523.00M
+"polygons" in: sky130A_mr.drc:147
+    Polygons (raw): 552 (flat)  552 (hierarchical)
+    Elapsed: 0.010s  Memory: 523.00M
+"polygons" in: sky130A_mr.drc:149
+    Polygons (raw): 154 (flat)  154 (hierarchical)
+    Elapsed: 0.000s  Memory: 523.00M
+"polygons" in: sky130A_mr.drc:151
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 523.00M
+"polygons" in: sky130A_mr.drc:152
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 523.00M
+"polygons" in: sky130A_mr.drc:153
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 523.00M
+"polygons" in: sky130A_mr.drc:154
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 523.00M
+"polygons" in: sky130A_mr.drc:155
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 523.00M
+"polygons" in: sky130A_mr.drc:156
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 523.00M
+"polygons" in: sky130A_mr.drc:157
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 523.00M
+"polygons" in: sky130A_mr.drc:158
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 523.00M
+"polygons" in: sky130A_mr.drc:159
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 523.00M
+"polygons" in: sky130A_mr.drc:160
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 523.00M
+"polygons" in: sky130A_mr.drc:161
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 523.00M
+"polygons" in: sky130A_mr.drc:162
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 523.00M
+"polygons" in: sky130A_mr.drc:163
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 523.00M
+"polygons" in: sky130A_mr.drc:164
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 523.00M
+"polygons" in: sky130A_mr.drc:165
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 523.00M
+"polygons" in: sky130A_mr.drc:166
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 523.00M
+"polygons" in: sky130A_mr.drc:167
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 523.00M
+"polygons" in: sky130A_mr.drc:168
+    Polygons (raw): 10 (flat)  2 (hierarchical)
+    Elapsed: 0.000s  Memory: 523.00M
+"polygons" in: sky130A_mr.drc:169
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 523.00M
+"polygons" in: sky130A_mr.drc:170
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 523.00M
+"polygons" in: sky130A_mr.drc:171
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 523.00M
+"polygons" in: sky130A_mr.drc:172
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 523.00M
+"polygons" in: sky130A_mr.drc:173
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 523.00M
+"polygons" in: sky130A_mr.drc:174
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 523.00M
+"polygons" in: sky130A_mr.drc:175
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 523.00M
+"polygons" in: sky130A_mr.drc:176
+    Polygons (raw): 1 (flat)  1 (hierarchical)
+    Elapsed: 0.000s  Memory: 523.00M
+"polygons" in: sky130A_mr.drc:177
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 523.00M
+"polygons" in: sky130A_mr.drc:178
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 523.00M
+"polygons" in: sky130A_mr.drc:179
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 523.00M
+"polygons" in: sky130A_mr.drc:180
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 523.00M
+"polygons" in: sky130A_mr.drc:181
+    Polygons (raw): 4 (flat)  3 (hierarchical)
+    Elapsed: 0.010s  Memory: 523.00M
+"polygons" in: sky130A_mr.drc:182
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 523.00M
+"polygons" in: sky130A_mr.drc:183
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 523.00M
+"polygons" in: sky130A_mr.drc:184
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 523.00M
+"polygons" in: sky130A_mr.drc:185
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 523.00M
+"polygons" in: sky130A_mr.drc:186
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 523.00M
+"polygons" in: sky130A_mr.drc:187
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 523.00M
+"polygons" in: sky130A_mr.drc:188
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 523.00M
+"polygons" in: sky130A_mr.drc:189
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 523.00M
+"polygons" in: sky130A_mr.drc:190
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 523.00M
+"polygons" in: sky130A_mr.drc:191
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 523.00M
+"polygons" in: sky130A_mr.drc:192
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 523.00M
+"polygons" in: sky130A_mr.drc:193
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 523.00M
+"polygons" in: sky130A_mr.drc:194
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 523.00M
+"polygons" in: sky130A_mr.drc:195
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 523.00M
+"polygons" in: sky130A_mr.drc:196
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 523.00M
+"polygons" in: sky130A_mr.drc:197
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 523.00M
+"polygons" in: sky130A_mr.drc:198
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 523.00M
+"polygons" in: sky130A_mr.drc:199
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 523.00M
+"polygons" in: sky130A_mr.drc:200
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 523.00M
+"polygons" in: sky130A_mr.drc:201
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 523.00M
+"polygons" in: sky130A_mr.drc:202
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 523.00M
+"polygons" in: sky130A_mr.drc:203
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 523.00M
+DRC section
+BEOL section
+START: 67/20 (li)
+"not" in: sky130A_mr.drc:381
+    Polygons (raw): 598 (flat)  426 (hierarchical)
+    Elapsed: 0.000s  Memory: 523.00M
+"width" in: sky130A_mr.drc:382
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 523.00M
+"output" in: sky130A_mr.drc:382
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 523.00M
+"edges" in: sky130A_mr.drc:384
+    Edges: 2997 (flat)  1750 (hierarchical)
+    Elapsed: 0.020s  Memory: 1067.00M
+"space" in: sky130A_mr.drc:384
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.020s  Memory: 1067.00M
+"output" in: sky130A_mr.drc:384
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 1067.00M
+"not" in: sky130A_mr.drc:385
+    Polygons (raw): 928 (flat)  312 (hierarchical)
+    Elapsed: 0.010s  Memory: 1067.00M
+"enclosing" in: sky130A_mr.drc:386
+    Edge pairs: 1219 (flat)  999 (hierarchical)
+    Elapsed: 0.030s  Memory: 1067.00M
+"second_edges" in: sky130A_mr.drc:386
+    Edges: 1219 (flat)  999 (hierarchical)
+    Elapsed: 0.010s  Memory: 1067.00M
+"width" in: sky130A_mr.drc:387
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 1067.00M
+"polygons" in: sky130A_mr.drc:388
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 1067.00M
+"interacting" in: sky130A_mr.drc:388
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 1067.00M
+"output" in: sky130A_mr.drc:389
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 1067.00M
+"with_area" in: sky130A_mr.drc:390
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 1067.00M
+"output" in: sky130A_mr.drc:390
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 1067.00M
+END: 67/20 (li)
+START: 67/44 (mcon)
+"not" in: sky130A_mr.drc:395
+    Polygons (raw): 1771 (flat)  1495 (hierarchical)
+    Elapsed: 0.000s  Memory: 1067.00M
+"not" in: sky130A_mr.drc:402
+    Polygons (raw): 1771 (flat)  1495 (hierarchical)
+    Elapsed: 0.010s  Memory: 1067.00M
+"non_rectangles" in: sky130A_mr.drc:403
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 1068.00M
+"output" in: sky130A_mr.drc:403
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 1068.00M
+"drc" in: sky130A_mr.drc:405
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.020s  Memory: 1068.00M
+"output" in: sky130A_mr.drc:405
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 1068.00M
+"drc" in: sky130A_mr.drc:406
+    Edges: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.020s  Memory: 1068.00M
+"output" in: sky130A_mr.drc:406
+    Edges: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 1068.00M
+"space" in: sky130A_mr.drc:407
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 1068.00M
+"output" in: sky130A_mr.drc:407
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 1068.00M
+"not" in: sky130A_mr.drc:413
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 1068.00M
+"output" in: sky130A_mr.drc:413
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 1068.00M
+END: 67/44 (mcon)
+START: 68/20 (m1)
+"width" in: sky130A_mr.drc:418
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 1068.00M
+"output" in: sky130A_mr.drc:418
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 1068.00M
+"sized" in: sky130A_mr.drc:419
+    Polygons (raw): 17 (flat)  17 (hierarchical)
+    Elapsed: 0.010s  Memory: 1068.00M
+"sized" in: sky130A_mr.drc:419
+    Polygons (raw): 17 (flat)  17 (hierarchical)
+    Elapsed: 0.000s  Memory: 1068.00M
+"snap" in: sky130A_mr.drc:419
+    Polygons (raw): 17 (flat)  17 (hierarchical)
+    Elapsed: 0.010s  Memory: 1068.00M
+"&" in: sky130A_mr.drc:419
+    Polygons (raw): 17 (flat)  17 (hierarchical)
+    Elapsed: 0.010s  Memory: 1068.00M
+"edges" in: sky130A_mr.drc:420
+    Edges: 1233 (flat)  1093 (hierarchical)
+    Elapsed: 0.010s  Memory: 1068.00M
+"-" in: sky130A_mr.drc:420
+    Edges: 1093 (flat)  962 (hierarchical)
+    Elapsed: 0.010s  Memory: 1068.00M
+"edges" in: sky130A_mr.drc:421
+    Edges: 126 (flat)  126 (hierarchical)
+    Elapsed: 0.010s  Memory: 1068.00M
+"merged" in: sky130A_mr.drc:421
+    Polygons (raw): 123 (flat)  113 (hierarchical)
+    Elapsed: 0.000s  Memory: 1068.00M
+"outside_part" in: sky130A_mr.drc:421
+    Edges: 142 (flat)  142 (hierarchical)
+    Elapsed: 0.010s  Memory: 1068.00M
+"space" in: sky130A_mr.drc:423
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 1068.00M
+"output" in: sky130A_mr.drc:423
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 1068.00M
+"separation" in: sky130A_mr.drc:425
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 1068.00M
+"space" in: sky130A_mr.drc:425
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 1068.00M
+"+" in: sky130A_mr.drc:425
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 1068.00M
+"output" in: sky130A_mr.drc:425
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 1068.00M
+"input" in: sky130A_mr.drc:429
+    Polygons (raw): 349 (flat)  305 (hierarchical)
+    Elapsed: 0.000s  Memory: 1068.00M
+"enclosing" in: sky130A_mr.drc:431
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.020s  Memory: 1068.00M
+"output" in: sky130A_mr.drc:431
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 1068.00M
+"not" in: sky130A_mr.drc:432
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 1068.00M
+"output" in: sky130A_mr.drc:432
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 1068.00M
+"input" in: sky130A_mr.drc:434
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 1068.00M
+"enclosing" in: sky130A_mr.drc:435
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 1068.00M
+"output" in: sky130A_mr.drc:435
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 1068.00M
+"not" in: sky130A_mr.drc:437
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 1068.00M
+"output" in: sky130A_mr.drc:437
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 1068.00M
+"with_area" in: sky130A_mr.drc:439
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 1068.00M
+"output" in: sky130A_mr.drc:439
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 1068.00M
+"holes" in: sky130A_mr.drc:441
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 1068.00M
+"with_area" in: sky130A_mr.drc:441
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 1068.00M
+"output" in: sky130A_mr.drc:441
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 1068.00M
+"enclosing" in: sky130A_mr.drc:448
+    Edge pairs: 245 (flat)  205 (hierarchical)
+    Elapsed: 0.020s  Memory: 1068.00M
+"second_edges" in: sky130A_mr.drc:448
+    Edges: 245 (flat)  205 (hierarchical)
+    Elapsed: 0.010s  Memory: 1068.00M
+"width" in: sky130A_mr.drc:449
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 1068.00M
+"polygons" in: sky130A_mr.drc:450
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 1068.00M
+"interacting" in: sky130A_mr.drc:450
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.020s  Memory: 1068.00M
+"output" in: sky130A_mr.drc:451
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 1068.00M
+END: 68/20 (m1)
+START: 68/44 (via)
+"not" in: sky130A_mr.drc:465
+    Polygons (raw): 2570 (flat)  2570 (hierarchical)
+    Elapsed: 0.010s  Memory: 1068.00M
+"non_rectangles" in: sky130A_mr.drc:467
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.020s  Memory: 1068.00M
+"output" in: sky130A_mr.drc:467
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 1068.00M
+"width" in: sky130A_mr.drc:468
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 1068.00M
+"output" in: sky130A_mr.drc:468
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 1068.00M
+"drc" in: sky130A_mr.drc:470
+    Edges: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.020s  Memory: 1068.00M
+"output" in: sky130A_mr.drc:470
+    Edges: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 1068.00M
+"space" in: sky130A_mr.drc:472
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.030s  Memory: 1068.00M
+"output" in: sky130A_mr.drc:472
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 1068.00M
+"edges" in: sky130A_mr.drc:480
+    Edges: 1233 (flat)  1093 (hierarchical)
+    Elapsed: 0.010s  Memory: 1068.00M
+"drc" in: sky130A_mr.drc:480
+    Edges: 10280 (flat)  10280 (hierarchical)
+    Elapsed: 0.030s  Memory: 1068.00M
+"enclosing" in: sky130A_mr.drc:480
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.020s  Memory: 1068.00M
+"output" in: sky130A_mr.drc:480
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 1068.00M
+"squares" in: sky130A_mr.drc:481
+    Polygons (raw): 2570 (flat)  2570 (hierarchical)
+    Elapsed: 0.010s  Memory: 1068.00M
+"drc" in: sky130A_mr.drc:481
+    Edges: 10280 (flat)  10280 (hierarchical)
+    Elapsed: 0.040s  Memory: 1068.00M
+"not" in: sky130A_mr.drc:481
+    Edges: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.020s  Memory: 1068.00M
+"output" in: sky130A_mr.drc:481
+    Edges: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 1068.00M
+"edges" in: sky130A_mr.drc:483
+    Edges: 1233 (flat)  1093 (hierarchical)
+    Elapsed: 0.010s  Memory: 1068.00M
+"drc" in: sky130A_mr.drc:483
+    Edges: 10280 (flat)  10280 (hierarchical)
+    Elapsed: 0.040s  Memory: 1068.00M
+"enclosing" in: sky130A_mr.drc:483
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.020s  Memory: 1068.00M
+"second_edges" in: sky130A_mr.drc:483
+    Edges: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 1068.00M
+"width" in: sky130A_mr.drc:484
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 1068.00M
+"polygons" in: sky130A_mr.drc:485
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 1068.00M
+"interacting" in: sky130A_mr.drc:485
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 1068.00M
+"output" in: sky130A_mr.drc:486
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 1068.00M
+END: 68/44 (via)
+START: 69/20 (m2)
+"width" in: sky130A_mr.drc:493
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 1069.00M
+"output" in: sky130A_mr.drc:493
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 1069.00M
+"sized" in: sky130A_mr.drc:495
+    Polygons (raw): 13 (flat)  13 (hierarchical)
+    Elapsed: 0.000s  Memory: 1069.00M
+"sized" in: sky130A_mr.drc:495
+    Polygons (raw): 13 (flat)  13 (hierarchical)
+    Elapsed: 0.010s  Memory: 1069.00M
+"snap" in: sky130A_mr.drc:495
+    Polygons (raw): 13 (flat)  13 (hierarchical)
+    Elapsed: 0.010s  Memory: 1069.00M
+"&" in: sky130A_mr.drc:495
+    Polygons (raw): 13 (flat)  13 (hierarchical)
+    Elapsed: 0.000s  Memory: 1069.00M
+"edges" in: sky130A_mr.drc:496
+    Edges: 2367 (flat)  2367 (hierarchical)
+    Elapsed: 0.010s  Memory: 1069.00M
+"-" in: sky130A_mr.drc:496
+    Edges: 2296 (flat)  2296 (hierarchical)
+    Elapsed: 0.010s  Memory: 1069.00M
+"edges" in: sky130A_mr.drc:497
+    Edges: 64 (flat)  64 (hierarchical)
+    Elapsed: 0.010s  Memory: 1069.00M
+"merged" in: sky130A_mr.drc:497
+    Polygons (raw): 550 (flat)  550 (hierarchical)
+    Elapsed: 0.010s  Memory: 1069.00M
+"outside_part" in: sky130A_mr.drc:497
+    Edges: 65 (flat)  65 (hierarchical)
+    Elapsed: 0.010s  Memory: 1069.00M
+"not" in: sky130A_mr.drc:498
+    Polygons (raw): 2570 (flat)  2570 (hierarchical)
+    Elapsed: 0.000s  Memory: 1069.00M
+"space" in: sky130A_mr.drc:500
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.020s  Memory: 1069.00M
+"output" in: sky130A_mr.drc:500
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 1069.00M
+"separation" in: sky130A_mr.drc:502
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 1069.00M
+"space" in: sky130A_mr.drc:502
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 1069.00M
+"+" in: sky130A_mr.drc:502
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 1069.00M
+"output" in: sky130A_mr.drc:502
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 1069.00M
+"with_area" in: sky130A_mr.drc:504
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 1069.00M
+"output" in: sky130A_mr.drc:504
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 1069.00M
+"holes" in: sky130A_mr.drc:505
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 1069.00M
+"with_area" in: sky130A_mr.drc:505
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 1069.00M
+"output" in: sky130A_mr.drc:505
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 1069.00M
+"enclosing" in: sky130A_mr.drc:510
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.020s  Memory: 1069.00M
+"output" in: sky130A_mr.drc:510
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 1069.00M
+"not" in: sky130A_mr.drc:511
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 1069.00M
+"output" in: sky130A_mr.drc:511
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 1069.00M
+"enclosing" in: sky130A_mr.drc:512
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.020s  Memory: 1069.00M
+"second_edges" in: sky130A_mr.drc:512
+    Edges: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 1069.00M
+"width" in: sky130A_mr.drc:513
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 1069.00M
+"polygons" in: sky130A_mr.drc:514
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 1069.00M
+"interacting" in: sky130A_mr.drc:514
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 1069.00M
+"output" in: sky130A_mr.drc:515
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 1069.00M
+END: 69/20 (m2)
+START: 69/44 (via2)
+"not" in: sky130A_mr.drc:530
+    Polygons (raw): 1761 (flat)  1761 (hierarchical)
+    Elapsed: 0.010s  Memory: 1069.00M
+"non_rectangles" in: sky130A_mr.drc:531
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 1070.00M
+"output" in: sky130A_mr.drc:531
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 1070.00M
+"width" in: sky130A_mr.drc:532
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 1070.00M
+"output" in: sky130A_mr.drc:532
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 1070.00M
+"edges" in: sky130A_mr.drc:533
+    Edges: 7044 (flat)  7044 (hierarchical)
+    Elapsed: 0.010s  Memory: 1070.00M
+"without_length" in: sky130A_mr.drc:533
+    Edges: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.020s  Memory: 1071.00M
+"output" in: sky130A_mr.drc:533
+    Edges: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 1071.00M
+"space" in: sky130A_mr.drc:534
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.020s  Memory: 1071.00M
+"output" in: sky130A_mr.drc:534
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 1071.00M
+"enclosing" in: sky130A_mr.drc:542
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.020s  Memory: 1071.00M
+"output" in: sky130A_mr.drc:542
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 1071.00M
+"not" in: sky130A_mr.drc:543
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 1071.00M
+"output" in: sky130A_mr.drc:543
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 1071.00M
+"enclosing" in: sky130A_mr.drc:545
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.020s  Memory: 1071.00M
+"second_edges" in: sky130A_mr.drc:545
+    Edges: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 1071.00M
+"width" in: sky130A_mr.drc:546
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 1071.00M
+"polygons" in: sky130A_mr.drc:547
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 1071.00M
+"interacting" in: sky130A_mr.drc:547
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 1071.00M
+"output" in: sky130A_mr.drc:548
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 1071.00M
+END: 69/44 (via2)
+START: 70/20 (m3)
+"width" in: sky130A_mr.drc:554
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 1071.00M
+"output" in: sky130A_mr.drc:554
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 1071.00M
+"sized" in: sky130A_mr.drc:556
+    Polygons (raw): 53 (flat)  53 (hierarchical)
+    Elapsed: 0.010s  Memory: 1071.00M
+"sized" in: sky130A_mr.drc:556
+    Polygons (raw): 53 (flat)  53 (hierarchical)
+    Elapsed: 0.000s  Memory: 1071.00M
+"snap" in: sky130A_mr.drc:556
+    Polygons (raw): 53 (flat)  53 (hierarchical)
+    Elapsed: 0.010s  Memory: 1071.00M
+"&" in: sky130A_mr.drc:556
+    Polygons (raw): 98 (flat)  98 (hierarchical)
+    Elapsed: 0.010s  Memory: 1071.00M
+"edges" in: sky130A_mr.drc:557
+    Edges: 1682 (flat)  1682 (hierarchical)
+    Elapsed: 0.010s  Memory: 1071.00M
+"-" in: sky130A_mr.drc:557
+    Edges: 883 (flat)  883 (hierarchical)
+    Elapsed: 0.010s  Memory: 1071.00M
+"edges" in: sky130A_mr.drc:558
+    Edges: 687 (flat)  687 (hierarchical)
+    Elapsed: 0.010s  Memory: 1071.00M
+"merged" in: sky130A_mr.drc:558
+    Polygons (raw): 228 (flat)  228 (hierarchical)
+    Elapsed: 0.010s  Memory: 1071.00M
+"outside_part" in: sky130A_mr.drc:558
+    Edges: 692 (flat)  692 (hierarchical)
+    Elapsed: 0.010s  Memory: 1071.00M
+"space" in: sky130A_mr.drc:560
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 1071.00M
+"output" in: sky130A_mr.drc:560
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 1071.00M
+"separation" in: sky130A_mr.drc:562
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 1071.00M
+"space" in: sky130A_mr.drc:562
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 1071.00M
+"+" in: sky130A_mr.drc:562
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 1071.00M
+"output" in: sky130A_mr.drc:562
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 1071.00M
+"enclosing" in: sky130A_mr.drc:567
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.020s  Memory: 1071.00M
+"output" in: sky130A_mr.drc:567
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 1071.00M
+"not" in: sky130A_mr.drc:568
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 1071.00M
+"output" in: sky130A_mr.drc:568
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 1071.00M
+END: 70/20 (m3)
+START: 70/44 (via3)
+"not" in: sky130A_mr.drc:582
+    Polygons (raw): 25800 (flat)  25800 (hierarchical)
+    Elapsed: 0.010s  Memory: 1071.00M
+"non_rectangles" in: sky130A_mr.drc:583
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.130s  Memory: 1078.00M
+"output" in: sky130A_mr.drc:583
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 1078.00M
+"width" in: sky130A_mr.drc:584
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.020s  Memory: 1078.00M
+"output" in: sky130A_mr.drc:584
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 1078.00M
+"edges" in: sky130A_mr.drc:585
+    Edges: 103200 (flat)  103200 (hierarchical)
+    Elapsed: 0.100s  Memory: 1078.00M
+"without_length" in: sky130A_mr.drc:585
+    Edges: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.250s  Memory: 1105.00M
+"output" in: sky130A_mr.drc:585
+    Edges: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 1105.00M
+"space" in: sky130A_mr.drc:587
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.180s  Memory: 1105.00M
+"output" in: sky130A_mr.drc:587
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 1105.00M
+"enclosing" in: sky130A_mr.drc:588
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.230s  Memory: 1105.00M
+"output" in: sky130A_mr.drc:588
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 1105.00M
+"not" in: sky130A_mr.drc:589
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.060s  Memory: 1105.00M
+"output" in: sky130A_mr.drc:589
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 1105.00M
+"enclosing" in: sky130A_mr.drc:591
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.240s  Memory: 1105.00M
+"second_edges" in: sky130A_mr.drc:591
+    Edges: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 1105.00M
+"width" in: sky130A_mr.drc:592
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 1105.00M
+"polygons" in: sky130A_mr.drc:593
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 1105.00M
+"interacting" in: sky130A_mr.drc:593
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 1105.00M
+"output" in: sky130A_mr.drc:594
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 1105.00M
+END: 70/44 (via3)
+START: 71/20 (m4)
+"width" in: sky130A_mr.drc:600
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 1105.00M
+"output" in: sky130A_mr.drc:600
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 1105.00M
+"sized" in: sky130A_mr.drc:602
+    Polygons (raw): 20 (flat)  20 (hierarchical)
+    Elapsed: 0.010s  Memory: 1105.00M
+"sized" in: sky130A_mr.drc:602
+    Polygons (raw): 20 (flat)  20 (hierarchical)
+    Elapsed: 0.000s  Memory: 1105.00M
+"snap" in: sky130A_mr.drc:602
+    Polygons (raw): 20 (flat)  20 (hierarchical)
+    Elapsed: 0.010s  Memory: 1105.00M
+"&" in: sky130A_mr.drc:602
+    Polygons (raw): 46 (flat)  46 (hierarchical)
+    Elapsed: 0.010s  Memory: 1105.00M
+"edges" in: sky130A_mr.drc:603
+    Edges: 581 (flat)  581 (hierarchical)
+    Elapsed: 0.010s  Memory: 1105.00M
+"-" in: sky130A_mr.drc:603
+    Edges: 116 (flat)  116 (hierarchical)
+    Elapsed: 0.010s  Memory: 1105.00M
+"edges" in: sky130A_mr.drc:604
+    Edges: 372 (flat)  372 (hierarchical)
+    Elapsed: 0.000s  Memory: 1105.00M
+"merged" in: sky130A_mr.drc:604
+    Polygons (raw): 31 (flat)  31 (hierarchical)
+    Elapsed: 0.010s  Memory: 1105.00M
+"outside_part" in: sky130A_mr.drc:604
+    Edges: 377 (flat)  377 (hierarchical)
+    Elapsed: 0.010s  Memory: 1105.00M
+"space" in: sky130A_mr.drc:606
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 1105.00M
+"output" in: sky130A_mr.drc:606
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 1105.00M
+"with_area" in: sky130A_mr.drc:608
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 1105.00M
+"output" in: sky130A_mr.drc:608
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 1105.00M
+"separation" in: sky130A_mr.drc:610
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 1105.00M
+"space" in: sky130A_mr.drc:610
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 1105.00M
+"+" in: sky130A_mr.drc:610
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 1105.00M
+"output" in: sky130A_mr.drc:610
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 1105.00M
+"enclosing" in: sky130A_mr.drc:615
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.190s  Memory: 1105.00M
+"output" in: sky130A_mr.drc:615
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 1105.00M
+"not" in: sky130A_mr.drc:616
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.060s  Memory: 1105.00M
+"output" in: sky130A_mr.drc:616
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 1105.00M
+END: 71/20 (m4)
+START: 71/44 (via4)
+"not" in: sky130A_mr.drc:629
+    Polygons (raw): 552 (flat)  552 (hierarchical)
+    Elapsed: 0.000s  Memory: 1105.00M
+"non_rectangles" in: sky130A_mr.drc:630
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 1105.00M
+"output" in: sky130A_mr.drc:630
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 1105.00M
+"width" in: sky130A_mr.drc:631
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 1105.00M
+"output" in: sky130A_mr.drc:631
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 1105.00M
+"drc" in: sky130A_mr.drc:632
+    Edges: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 1105.00M
+"output" in: sky130A_mr.drc:632
+    Edges: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 1105.00M
+"space" in: sky130A_mr.drc:634
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 1105.00M
+"polygons" in: sky130A_mr.drc:634
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 1105.00M
+"output" in: sky130A_mr.drc:634
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 1105.00M
+"enclosing" in: sky130A_mr.drc:642
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 1105.00M
+"output" in: sky130A_mr.drc:642
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 1105.00M
+"not" in: sky130A_mr.drc:643
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 1105.00M
+"output" in: sky130A_mr.drc:643
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 1105.00M
+END: 71/44 (via4)
+START: 72/20 (m5)
+"width" in: sky130A_mr.drc:648
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 1105.00M
+"output" in: sky130A_mr.drc:648
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 1105.00M
+"space" in: sky130A_mr.drc:650
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 1105.00M
+"output" in: sky130A_mr.drc:650
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 1105.00M
+"enclosing" in: sky130A_mr.drc:652
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 1105.00M
+"output" in: sky130A_mr.drc:652
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 1105.00M
+"not" in: sky130A_mr.drc:653
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 1105.00M
+"output" in: sky130A_mr.drc:653
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 1105.00M
+"with_area" in: sky130A_mr.drc:657
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 1105.00M
+"output" in: sky130A_mr.drc:657
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 1105.00M
+END: 72/20 (m5)
+START: 76/20 (pad)
+"space" in: sky130A_mr.drc:662
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 1105.00M
+"output" in: sky130A_mr.drc:662
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 1105.00M
+END: 76/20 (pad)
+Writing report database: /home/krishna/Comparator_MPW6/precheck_results/04_JUN_2022___14_38_44/outputs/reports/klayout_beol_check.xml ..
+Total elapsed: 3.830s  Memory: 1105.00M
diff --git a/precheck_results/04_JUN_2022___14_38_44/logs/klayout_beol_check.total b/precheck_results/04_JUN_2022___14_38_44/logs/klayout_beol_check.total
new file mode 100644
index 0000000..c227083
--- /dev/null
+++ b/precheck_results/04_JUN_2022___14_38_44/logs/klayout_beol_check.total
@@ -0,0 +1 @@
+0
\ No newline at end of file
diff --git a/precheck_results/04_JUN_2022___14_38_44/logs/klayout_feol_check.log b/precheck_results/04_JUN_2022___14_38_44/logs/klayout_feol_check.log
new file mode 100644
index 0000000..cd6a678
--- /dev/null
+++ b/precheck_results/04_JUN_2022___14_38_44/logs/klayout_feol_check.log
@@ -0,0 +1,789 @@
+/home/krishna/mpw_precheckmpw6/checks/tech-files/sky130A_mr.drc:36: warning: already initialized constant DRC::DRCEngine::FEOL
+/home/krishna/mpw_precheckmpw6/checks/tech-files/sky130A_mr.drc:28: warning: previous definition of FEOL was here
+/home/krishna/mpw_precheckmpw6/checks/tech-files/sky130A_mr.drc:44: warning: already initialized constant DRC::DRCEngine::BEOL
+/home/krishna/mpw_precheckmpw6/checks/tech-files/sky130A_mr.drc:29: warning: previous definition of BEOL was here
+/home/krishna/mpw_precheckmpw6/checks/tech-files/sky130A_mr.drc:50: warning: already initialized constant DRC::DRCEngine::OFFGRID
+/home/krishna/mpw_precheckmpw6/checks/tech-files/sky130A_mr.drc:30: warning: previous definition of OFFGRID was here
+/home/krishna/mpw_precheckmpw6/checks/tech-files/sky130A_mr.drc:56: warning: already initialized constant DRC::DRCEngine::SEAL
+/home/krishna/mpw_precheckmpw6/checks/tech-files/sky130A_mr.drc:31: warning: previous definition of SEAL was here
+/home/krishna/mpw_precheckmpw6/checks/tech-files/sky130A_mr.drc:62: warning: already initialized constant DRC::DRCEngine::FLOATING_MET
+/home/krishna/mpw_precheckmpw6/checks/tech-files/sky130A_mr.drc:32: warning: previous definition of FLOATING_MET was here
+"input" in: sky130A_mr.drc:112
+    Polygons (raw): 62 (flat)  25 (hierarchical)
+    Elapsed: 0.020s  Memory: 522.00M
+"polygons" in: sky130A_mr.drc:113
+    Polygons (raw): 50 (flat)  42 (hierarchical)
+    Elapsed: 0.000s  Memory: 522.00M
+"polygons" in: sky130A_mr.drc:114
+    Polygons (raw): 89 (flat)  69 (hierarchical)
+    Elapsed: 0.010s  Memory: 522.00M
+"polygons" in: sky130A_mr.drc:115
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 522.00M
+"polygons" in: sky130A_mr.drc:116
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 522.00M
+"polygons" in: sky130A_mr.drc:117
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 522.00M
+"polygons" in: sky130A_mr.drc:118
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 522.00M
+"polygons" in: sky130A_mr.drc:119
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 522.00M
+"polygons" in: sky130A_mr.drc:120
+    Polygons (raw): 10 (flat)  2 (hierarchical)
+    Elapsed: 0.010s  Memory: 522.00M
+"polygons" in: sky130A_mr.drc:121
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 522.00M
+"polygons" in: sky130A_mr.drc:122
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 522.00M
+"polygons" in: sky130A_mr.drc:123
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 522.00M
+"polygons" in: sky130A_mr.drc:124
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 522.00M
+"polygons" in: sky130A_mr.drc:125
+    Polygons (raw): 202 (flat)  116 (hierarchical)
+    Elapsed: 0.000s  Memory: 522.00M
+"polygons" in: sky130A_mr.drc:126
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 522.00M
+"polygons" in: sky130A_mr.drc:127
+    Polygons (raw): 62 (flat)  43 (hierarchical)
+    Elapsed: 0.000s  Memory: 522.00M
+"polygons" in: sky130A_mr.drc:128
+    Polygons (raw): 76 (flat)  50 (hierarchical)
+    Elapsed: 0.010s  Memory: 522.00M
+"polygons" in: sky130A_mr.drc:129
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 522.00M
+"polygons" in: sky130A_mr.drc:130
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 522.00M
+"polygons" in: sky130A_mr.drc:131
+    Polygons (raw): 33 (flat)  24 (hierarchical)
+    Elapsed: 0.000s  Memory: 522.00M
+"polygons" in: sky130A_mr.drc:132
+    Polygons (raw): 928 (flat)  312 (hierarchical)
+    Elapsed: 0.010s  Memory: 522.00M
+"polygons" in: sky130A_mr.drc:134
+    Polygons (raw): 598 (flat)  426 (hierarchical)
+    Elapsed: 0.010s  Memory: 522.00M
+"polygons" in: sky130A_mr.drc:135
+    Polygons (raw): 1771 (flat)  1495 (hierarchical)
+    Elapsed: 0.000s  Memory: 522.00M
+"polygons" in: sky130A_mr.drc:137
+    Polygons (raw): 349 (flat)  299 (hierarchical)
+    Elapsed: 0.010s  Memory: 522.00M
+"polygons" in: sky130A_mr.drc:138
+    Polygons (raw): 2570 (flat)  2570 (hierarchical)
+    Elapsed: 0.000s  Memory: 522.00M
+"polygons" in: sky130A_mr.drc:140
+    Polygons (raw): 603 (flat)  603 (hierarchical)
+    Elapsed: 0.010s  Memory: 522.00M
+"polygons" in: sky130A_mr.drc:141
+    Polygons (raw): 1761 (flat)  1761 (hierarchical)
+    Elapsed: 0.000s  Memory: 522.00M
+"polygons" in: sky130A_mr.drc:143
+    Polygons (raw): 466 (flat)  466 (hierarchical)
+    Elapsed: 0.000s  Memory: 522.00M
+"polygons" in: sky130A_mr.drc:144
+    Polygons (raw): 25800 (flat)  25800 (hierarchical)
+    Elapsed: 0.010s  Memory: 523.00M
+"polygons" in: sky130A_mr.drc:146
+    Polygons (raw): 180 (flat)  180 (hierarchical)
+    Elapsed: 0.010s  Memory: 523.00M
+"polygons" in: sky130A_mr.drc:147
+    Polygons (raw): 552 (flat)  552 (hierarchical)
+    Elapsed: 0.000s  Memory: 523.00M
+"polygons" in: sky130A_mr.drc:149
+    Polygons (raw): 154 (flat)  154 (hierarchical)
+    Elapsed: 0.010s  Memory: 523.00M
+"polygons" in: sky130A_mr.drc:151
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 523.00M
+"polygons" in: sky130A_mr.drc:152
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 523.00M
+"polygons" in: sky130A_mr.drc:153
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 523.00M
+"polygons" in: sky130A_mr.drc:154
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 523.00M
+"polygons" in: sky130A_mr.drc:155
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 523.00M
+"polygons" in: sky130A_mr.drc:156
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 523.00M
+"polygons" in: sky130A_mr.drc:157
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 523.00M
+"polygons" in: sky130A_mr.drc:158
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 523.00M
+"polygons" in: sky130A_mr.drc:159
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 523.00M
+"polygons" in: sky130A_mr.drc:160
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 523.00M
+"polygons" in: sky130A_mr.drc:161
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 523.00M
+"polygons" in: sky130A_mr.drc:162
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 523.00M
+"polygons" in: sky130A_mr.drc:163
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 523.00M
+"polygons" in: sky130A_mr.drc:164
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 523.00M
+"polygons" in: sky130A_mr.drc:165
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 523.00M
+"polygons" in: sky130A_mr.drc:166
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 523.00M
+"polygons" in: sky130A_mr.drc:167
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 523.00M
+"polygons" in: sky130A_mr.drc:168
+    Polygons (raw): 10 (flat)  2 (hierarchical)
+    Elapsed: 0.000s  Memory: 523.00M
+"polygons" in: sky130A_mr.drc:169
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 523.00M
+"polygons" in: sky130A_mr.drc:170
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 523.00M
+"polygons" in: sky130A_mr.drc:171
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 523.00M
+"polygons" in: sky130A_mr.drc:172
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 523.00M
+"polygons" in: sky130A_mr.drc:173
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 523.00M
+"polygons" in: sky130A_mr.drc:174
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 523.00M
+"polygons" in: sky130A_mr.drc:175
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 523.00M
+"polygons" in: sky130A_mr.drc:176
+    Polygons (raw): 1 (flat)  1 (hierarchical)
+    Elapsed: 0.000s  Memory: 523.00M
+"polygons" in: sky130A_mr.drc:177
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 523.00M
+"polygons" in: sky130A_mr.drc:178
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 523.00M
+"polygons" in: sky130A_mr.drc:179
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 523.00M
+"polygons" in: sky130A_mr.drc:180
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 523.00M
+"polygons" in: sky130A_mr.drc:181
+    Polygons (raw): 4 (flat)  3 (hierarchical)
+    Elapsed: 0.010s  Memory: 523.00M
+"polygons" in: sky130A_mr.drc:182
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 523.00M
+"polygons" in: sky130A_mr.drc:183
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 523.00M
+"polygons" in: sky130A_mr.drc:184
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 523.00M
+"polygons" in: sky130A_mr.drc:185
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 523.00M
+"polygons" in: sky130A_mr.drc:186
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 523.00M
+"polygons" in: sky130A_mr.drc:187
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 523.00M
+"polygons" in: sky130A_mr.drc:188
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 523.00M
+"polygons" in: sky130A_mr.drc:189
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 523.00M
+"polygons" in: sky130A_mr.drc:190
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 523.00M
+"polygons" in: sky130A_mr.drc:191
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 523.00M
+"polygons" in: sky130A_mr.drc:192
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 523.00M
+"polygons" in: sky130A_mr.drc:193
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 523.00M
+"polygons" in: sky130A_mr.drc:194
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 523.00M
+"polygons" in: sky130A_mr.drc:195
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 523.00M
+"polygons" in: sky130A_mr.drc:196
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 523.00M
+"polygons" in: sky130A_mr.drc:197
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 523.00M
+"polygons" in: sky130A_mr.drc:198
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 523.00M
+"polygons" in: sky130A_mr.drc:199
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 523.00M
+"polygons" in: sky130A_mr.drc:200
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 523.00M
+"polygons" in: sky130A_mr.drc:201
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 523.00M
+"polygons" in: sky130A_mr.drc:202
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 523.00M
+"polygons" in: sky130A_mr.drc:203
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 523.00M
+DRC section
+FEOL section
+START: 64/18 (dnwell)
+"width" in: sky130A_mr.drc:229
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 523.00M
+"output" in: sky130A_mr.drc:229
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 523.00M
+END: 64/18 (dnwell)
+"input" in: sky130A_mr.drc:233
+    Polygons (raw): 62 (flat)  43 (hierarchical)
+    Elapsed: 0.000s  Memory: 523.00M
+"input" in: sky130A_mr.drc:234
+    Polygons (raw): 76 (flat)  50 (hierarchical)
+    Elapsed: 0.010s  Memory: 523.00M
+"input" in: sky130A_mr.drc:235
+    Polygons (raw): 89 (flat)  69 (hierarchical)
+    Elapsed: 0.000s  Memory: 523.00M
+START: 64/20 (nwell)
+"width" in: sky130A_mr.drc:239
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 523.00M
+"output" in: sky130A_mr.drc:239
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 523.00M
+"space" in: sky130A_mr.drc:240
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 1067.00M
+"output" in: sky130A_mr.drc:240
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 1067.00M
+"merge" in: sky130A_mr.drc:241
+    Polygons (raw): 15 (flat)  14 (hierarchical)
+    Elapsed: 0.000s  Memory: 1067.00M
+"holes" in: sky130A_mr.drc:242
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 1067.00M
+"enclosing" in: sky130A_mr.drc:242
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 1067.00M
+"output" in: sky130A_mr.drc:242
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 1067.00M
+END: 64/20 (nwell)
+START: 78/44 (hvtp)
+"width" in: sky130A_mr.drc:247
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 1067.00M
+"output" in: sky130A_mr.drc:247
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 1067.00M
+"space" in: sky130A_mr.drc:248
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 1067.00M
+"output" in: sky130A_mr.drc:248
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 1067.00M
+END: 78/44 (hvtp)
+START: 18/20 (htvr)
+"width" in: sky130A_mr.drc:253
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 1067.00M
+"output" in: sky130A_mr.drc:253
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 1067.00M
+"separation" in: sky130A_mr.drc:254
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 1067.00M
+"output" in: sky130A_mr.drc:254
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 1067.00M
+"and" in: sky130A_mr.drc:255
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 1067.00M
+"output" in: sky130A_mr.drc:255
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 1067.00M
+END: 18/20 (htvr)
+START: 25/44 (lvtn)
+"width" in: sky130A_mr.drc:260
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 1067.00M
+"output" in: sky130A_mr.drc:260
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 1067.00M
+"space" in: sky130A_mr.drc:261
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 1067.00M
+"output" in: sky130A_mr.drc:261
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 1067.00M
+END: 25/44 (lvtn)
+START: 92/44 (ncm)
+"width" in: sky130A_mr.drc:266
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 1067.00M
+"output" in: sky130A_mr.drc:266
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 1067.00M
+"space" in: sky130A_mr.drc:267
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 1067.00M
+"output" in: sky130A_mr.drc:267
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 1067.00M
+END: 92/44 (ncm)
+START: 65/20 (diff)
+"or" in: sky130A_mr.drc:272
+    Polygons (raw): 80 (flat)  47 (hierarchical)
+    Elapsed: 0.010s  Memory: 1067.00M
+"rectangles" in: sky130A_mr.drc:273
+    Polygons (raw): 31 (flat)  11 (hierarchical)
+    Elapsed: 0.000s  Memory: 1067.00M
+"width" in: sky130A_mr.drc:273
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 1067.00M
+"polygons" in: sky130A_mr.drc:273
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 1067.00M
+"edges" in: sky130A_mr.drc:274
+    Edges: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 1067.00M
+"outside_part" in: sky130A_mr.drc:274
+    Edges: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 1067.00M
+"outside" in: sky130A_mr.drc:274
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 1067.00M
+"edges" in: sky130A_mr.drc:274
+    Edges: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 1067.00M
+"not" in: sky130A_mr.drc:274
+    Edges: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 1067.00M
+"output" in: sky130A_mr.drc:275
+    Edges: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 1067.00M
+"outside" in: sky130A_mr.drc:276
+    Polygons (raw): 48 (flat)  17 (hierarchical)
+    Elapsed: 0.000s  Memory: 1067.00M
+"width" in: sky130A_mr.drc:276
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 1067.00M
+"output" in: sky130A_mr.drc:276
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 1067.00M
+END: 65/20 (diff)
+START: 65/44 (tap)
+"rectangles" in: sky130A_mr.drc:280
+    Polygons (raw): 26 (flat)  26 (hierarchical)
+    Elapsed: 0.010s  Memory: 1067.00M
+"width" in: sky130A_mr.drc:280
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 1067.00M
+"polygons" in: sky130A_mr.drc:280
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 1067.00M
+"edges" in: sky130A_mr.drc:281
+    Edges: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 1067.00M
+"outside_part" in: sky130A_mr.drc:281
+    Edges: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 1067.00M
+"outside" in: sky130A_mr.drc:281
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 1075.00M
+"edges" in: sky130A_mr.drc:281
+    Edges: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 1067.00M
+"not" in: sky130A_mr.drc:281
+    Edges: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 1067.00M
+"output" in: sky130A_mr.drc:282
+    Edges: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 1067.00M
+"not" in: sky130A_mr.drc:283
+    Polygons (raw): 50 (flat)  42 (hierarchical)
+    Elapsed: 0.010s  Memory: 1067.00M
+"width" in: sky130A_mr.drc:283
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 1067.00M
+"output" in: sky130A_mr.drc:283
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 1067.00M
+END: 65/44 (tap)
+"space" in: sky130A_mr.drc:286
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 1067.00M
+"output" in: sky130A_mr.drc:286
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 1067.00M
+START: 80/20 (tunm)
+"width" in: sky130A_mr.drc:290
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 1067.00M
+"output" in: sky130A_mr.drc:290
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 1067.00M
+"space" in: sky130A_mr.drc:291
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 1067.00M
+"output" in: sky130A_mr.drc:291
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 1067.00M
+END: 80/20 (tunm)
+START: 66/20 (poly)
+"width" in: sky130A_mr.drc:296
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 1067.00M
+"output" in: sky130A_mr.drc:296
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 1067.00M
+"not" in: sky130A_mr.drc:297
+    Polygons (raw): 202 (flat)  116 (hierarchical)
+    Elapsed: 0.010s  Memory: 1067.00M
+"space" in: sky130A_mr.drc:297
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 1067.00M
+"output" in: sky130A_mr.drc:297
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 1067.00M
+START: 86/20 (rpm)
+"width" in: sky130A_mr.drc:302
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 1067.00M
+"output" in: sky130A_mr.drc:302
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 1067.00M
+"space" in: sky130A_mr.drc:303
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 1067.00M
+"output" in: sky130A_mr.drc:303
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 1067.00M
+END: 86/20 (rpm)
+START: 79/20 (urpm)
+"width" in: sky130A_mr.drc:308
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 1067.00M
+"output" in: sky130A_mr.drc:308
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 1067.00M
+"space" in: sky130A_mr.drc:309
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 1067.00M
+"output" in: sky130A_mr.drc:309
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 1067.00M
+END: 79/20 (urpm)
+START: 95/20 (npc)
+"width" in: sky130A_mr.drc:314
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 1067.00M
+"output" in: sky130A_mr.drc:314
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 1067.00M
+"space" in: sky130A_mr.drc:315
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 1067.00M
+"output" in: sky130A_mr.drc:315
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 1067.00M
+END: 95/20 (npc)
+START: 93/44 (nsdm)
+"outside" in: sky130A_mr.drc:320
+    Polygons (raw): 34 (flat)  22 (hierarchical)
+    Elapsed: 0.010s  Memory: 1067.00M
+"width" in: sky130A_mr.drc:320
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 1067.00M
+"output" in: sky130A_mr.drc:320
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 1067.00M
+"not" in: sky130A_mr.drc:321
+    Polygons (raw): 62 (flat)  43 (hierarchical)
+    Elapsed: 0.010s  Memory: 1067.00M
+"space" in: sky130A_mr.drc:321
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 1067.00M
+"output" in: sky130A_mr.drc:321
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 1067.00M
+END: 93/44 (nsdm)
+START: 94/20 (psdm)
+"outside" in: sky130A_mr.drc:326
+    Polygons (raw): 36 (flat)  32 (hierarchical)
+    Elapsed: 0.010s  Memory: 1067.00M
+"width" in: sky130A_mr.drc:326
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 1067.00M
+"output" in: sky130A_mr.drc:326
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 1067.00M
+"not" in: sky130A_mr.drc:327
+    Polygons (raw): 76 (flat)  50 (hierarchical)
+    Elapsed: 0.010s  Memory: 1067.00M
+"space" in: sky130A_mr.drc:327
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 1067.00M
+"output" in: sky130A_mr.drc:327
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 1067.00M
+END: 94/20 (psdm)
+START: 66/44 (licon)
+"not" in: sky130A_mr.drc:338
+    Polygons (raw): 371 (flat)  118 (hierarchical)
+    Elapsed: 0.010s  Memory: 1067.00M
+"and" in: sky130A_mr.drc:339
+    Polygons (raw): 764 (flat)  257 (hierarchical)
+    Elapsed: 0.010s  Memory: 1067.00M
+"interacting" in: sky130A_mr.drc:339
+    Polygons (raw): 764 (flat)  257 (hierarchical)
+    Elapsed: 0.010s  Memory: 1067.00M
+"not" in: sky130A_mr.drc:340
+    Polygons (raw): 764 (flat)  257 (hierarchical)
+    Elapsed: 0.000s  Memory: 1067.00M
+"non_rectangles" in: sky130A_mr.drc:341
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 1067.00M
+"output" in: sky130A_mr.drc:341
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 1067.00M
+"or" in: sky130A_mr.drc:342
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 1067.00M
+"not" in: sky130A_mr.drc:342
+    Polygons (raw): 928 (flat)  312 (hierarchical)
+    Elapsed: 0.000s  Memory: 1067.00M
+"edges" in: sky130A_mr.drc:342
+    Edges: 3712 (flat)  1248 (hierarchical)
+    Elapsed: 0.010s  Memory: 1067.00M
+"without_length" in: sky130A_mr.drc:342
+    Edges: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 1067.00M
+"output" in: sky130A_mr.drc:342
+    Edges: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 1067.00M
+"separation" in: sky130A_mr.drc:343
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 1067.00M
+"output" in: sky130A_mr.drc:343
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 1067.00M
+"and" in: sky130A_mr.drc:344
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 1067.00M
+"output" in: sky130A_mr.drc:344
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 1067.00M
+"interacting" in: sky130A_mr.drc:345
+    Polygons (raw): 164 (flat)  55 (hierarchical)
+    Elapsed: 0.020s  Memory: 1067.00M
+"interacting" in: sky130A_mr.drc:345
+    Polygons (raw): 764 (flat)  257 (hierarchical)
+    Elapsed: 0.010s  Memory: 1067.00M
+"and" in: sky130A_mr.drc:345
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 1067.00M
+"output" in: sky130A_mr.drc:345
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 1067.00M
+END: 66/44 (licon)
+START: 89/44 (capm)
+"and" in: sky130A_mr.drc:350
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 1067.00M
+"sized" in: sky130A_mr.drc:350
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 1067.00M
+"width" in: sky130A_mr.drc:351
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 1067.00M
+"output" in: sky130A_mr.drc:351
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 1067.00M
+"space" in: sky130A_mr.drc:352
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 1067.00M
+"output" in: sky130A_mr.drc:352
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 1067.00M
+"interacting" in: sky130A_mr.drc:353
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 1067.00M
+"isolated" in: sky130A_mr.drc:353
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 1067.00M
+"output" in: sky130A_mr.drc:353
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 1067.00M
+"isolated" in: sky130A_mr.drc:354
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 1067.00M
+"output" in: sky130A_mr.drc:354
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 1067.00M
+"and" in: sky130A_mr.drc:355
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 1067.00M
+"enclosing" in: sky130A_mr.drc:355
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 1067.00M
+"output" in: sky130A_mr.drc:355
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 1067.00M
+"enclosing" in: sky130A_mr.drc:356
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 1067.00M
+"output" in: sky130A_mr.drc:356
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 1067.00M
+"enclosing" in: sky130A_mr.drc:357
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 1067.00M
+"output" in: sky130A_mr.drc:357
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 1067.00M
+"separation" in: sky130A_mr.drc:358
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 1067.00M
+"output" in: sky130A_mr.drc:358
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 1067.00M
+END: 89/44 (capm)
+START: 97/44 (cap2m)
+"and" in: sky130A_mr.drc:363
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 1067.00M
+"sized" in: sky130A_mr.drc:363
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 1067.00M
+"width" in: sky130A_mr.drc:364
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 1067.00M
+"output" in: sky130A_mr.drc:364
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 1067.00M
+"space" in: sky130A_mr.drc:365
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 1067.00M
+"output" in: sky130A_mr.drc:365
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 1067.00M
+"interacting" in: sky130A_mr.drc:366
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 1067.00M
+"isolated" in: sky130A_mr.drc:366
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 1083.00M
+"output" in: sky130A_mr.drc:366
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 1067.00M
+"isolated" in: sky130A_mr.drc:368
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 1067.00M
+"output" in: sky130A_mr.drc:368
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 1067.00M
+"and" in: sky130A_mr.drc:369
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 1067.00M
+"enclosing" in: sky130A_mr.drc:369
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 1067.00M
+"output" in: sky130A_mr.drc:369
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 1067.00M
+"enclosing" in: sky130A_mr.drc:370
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 1067.00M
+"output" in: sky130A_mr.drc:370
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 1067.00M
+"enclosing" in: sky130A_mr.drc:371
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 1067.00M
+"output" in: sky130A_mr.drc:371
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 1067.00M
+"separation" in: sky130A_mr.drc:372
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 1067.00M
+"output" in: sky130A_mr.drc:372
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 1067.00M
+END: 97/44 (cap2m)
+FEOL section
+START: 75/20 (hvi)
+"not" in: sky130A_mr.drc:672
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 1067.00M
+"width" in: sky130A_mr.drc:673
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 1067.00M
+"output" in: sky130A_mr.drc:673
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 1067.00M
+"space" in: sky130A_mr.drc:674
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 1067.00M
+"output" in: sky130A_mr.drc:674
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 1067.00M
+END: 75/20 (hvi)
+START: 125/20 (hvntm)
+"not" in: sky130A_mr.drc:679
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 1067.00M
+"width" in: sky130A_mr.drc:680
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 1067.00M
+"output" in: sky130A_mr.drc:680
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 1067.00M
+"space" in: sky130A_mr.drc:681
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 1067.00M
+"output" in: sky130A_mr.drc:681
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 1067.00M
+END: 125/20 (hvntm)
+Writing report database: /home/krishna/Comparator_MPW6/precheck_results/04_JUN_2022___14_38_44/outputs/reports/klayout_feol_check.xml ..
+Total elapsed: 1.430s  Memory: 1067.00M
diff --git a/precheck_results/04_JUN_2022___14_38_44/logs/klayout_feol_check.total b/precheck_results/04_JUN_2022___14_38_44/logs/klayout_feol_check.total
new file mode 100644
index 0000000..c227083
--- /dev/null
+++ b/precheck_results/04_JUN_2022___14_38_44/logs/klayout_feol_check.total
@@ -0,0 +1 @@
+0
\ No newline at end of file
diff --git a/precheck_results/04_JUN_2022___14_38_44/logs/klayout_met_min_ca_density_check.log b/precheck_results/04_JUN_2022___14_38_44/logs/klayout_met_min_ca_density_check.log
new file mode 100644
index 0000000..ec911df
--- /dev/null
+++ b/precheck_results/04_JUN_2022___14_38_44/logs/klayout_met_min_ca_density_check.log
@@ -0,0 +1,79 @@
+"polygons" in: met_min_ca_density.lydrc:35
+    Polygons (raw): 648 (flat)  436 (hierarchical)
+    Elapsed: 0.000s  Memory: 522.00M
+"polygons" in: met_min_ca_density.lydrc:36
+    Polygons (raw): 1771 (flat)  1495 (hierarchical)
+    Elapsed: 0.000s  Memory: 522.00M
+"polygons" in: met_min_ca_density.lydrc:38
+    Polygons (raw): 369 (flat)  303 (hierarchical)
+    Elapsed: 0.010s  Memory: 522.00M
+"polygons" in: met_min_ca_density.lydrc:39
+    Polygons (raw): 2570 (flat)  2570 (hierarchical)
+    Elapsed: 0.000s  Memory: 522.00M
+"polygons" in: met_min_ca_density.lydrc:41
+    Polygons (raw): 1097 (flat)  1097 (hierarchical)
+    Elapsed: 0.010s  Memory: 522.00M
+"polygons" in: met_min_ca_density.lydrc:42
+    Polygons (raw): 1761 (flat)  1761 (hierarchical)
+    Elapsed: 0.010s  Memory: 522.00M
+"polygons" in: met_min_ca_density.lydrc:44
+    Polygons (raw): 649 (flat)  649 (hierarchical)
+    Elapsed: 0.000s  Memory: 522.00M
+"polygons" in: met_min_ca_density.lydrc:45
+    Polygons (raw): 25800 (flat)  25800 (hierarchical)
+    Elapsed: 0.020s  Memory: 523.00M
+"polygons" in: met_min_ca_density.lydrc:47
+    Polygons (raw): 184 (flat)  184 (hierarchical)
+    Elapsed: 0.000s  Memory: 523.00M
+"polygons" in: met_min_ca_density.lydrc:48
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 523.00M
+"polygons" in: met_min_ca_density.lydrc:49
+    Polygons (raw): 552 (flat)  552 (hierarchical)
+    Elapsed: 0.000s  Memory: 523.00M
+"polygons" in: met_min_ca_density.lydrc:51
+    Polygons (raw): 159 (flat)  159 (hierarchical)
+    Elapsed: 0.010s  Memory: 523.00M
+"input" in: met_min_ca_density.lydrc:53
+    Polygons (raw): 5 (flat)  4 (hierarchical)
+    Elapsed: 0.010s  Memory: 523.00M
+"area" in: met_min_ca_density.lydrc:55
+    Elapsed: 0.000s  Memory: 523.00M
+"polygons" in: met_min_ca_density.lydrc:59
+    Polygons (raw): 648 (flat)  436 (hierarchical)
+    Elapsed: 0.010s  Memory: 523.00M
+"area" in: met_min_ca_density.lydrc:59
+    Elapsed: 0.000s  Memory: 523.00M
+li1_ca_density is 0.9998098947015099
+"polygons" in: met_min_ca_density.lydrc:69
+    Polygons (raw): 369 (flat)  303 (hierarchical)
+    Elapsed: 0.010s  Memory: 523.00M
+"area" in: met_min_ca_density.lydrc:69
+    Elapsed: 0.010s  Memory: 523.00M
+m1_ca_density is 0.9997225643923179
+"polygons" in: met_min_ca_density.lydrc:79
+    Polygons (raw): 1097 (flat)  1097 (hierarchical)
+    Elapsed: 0.000s  Memory: 523.00M
+"area" in: met_min_ca_density.lydrc:79
+    Elapsed: 0.010s  Memory: 523.00M
+m2_ca_density is 0.999675358061566
+"polygons" in: met_min_ca_density.lydrc:89
+    Polygons (raw): 649 (flat)  649 (hierarchical)
+    Elapsed: 0.010s  Memory: 523.00M
+"area" in: met_min_ca_density.lydrc:89
+    Elapsed: 0.000s  Memory: 523.00M
+m3_ca_density is 0.9840581576753191
+"polygons" in: met_min_ca_density.lydrc:99
+    Polygons (raw): 184 (flat)  184 (hierarchical)
+    Elapsed: 0.010s  Memory: 523.00M
+"area" in: met_min_ca_density.lydrc:99
+    Elapsed: 0.010s  Memory: 523.00M
+m4_ca_density is 0.9791222089722136
+"polygons" in: met_min_ca_density.lydrc:109
+    Polygons (raw): 159 (flat)  159 (hierarchical)
+    Elapsed: 0.000s  Memory: 523.00M
+"area" in: met_min_ca_density.lydrc:109
+    Elapsed: 0.010s  Memory: 523.00M
+m5_ca_density is 0.969593330061099
+Writing report database: /home/krishna/Comparator_MPW6/precheck_results/04_JUN_2022___14_38_44/outputs/reports/klayout_met_min_ca_density_check.xml ..
+Total elapsed: 0.180s  Memory: 522.00M
diff --git a/precheck_results/04_JUN_2022___14_38_44/logs/klayout_met_min_ca_density_check.total b/precheck_results/04_JUN_2022___14_38_44/logs/klayout_met_min_ca_density_check.total
new file mode 100644
index 0000000..c227083
--- /dev/null
+++ b/precheck_results/04_JUN_2022___14_38_44/logs/klayout_met_min_ca_density_check.total
@@ -0,0 +1 @@
+0
\ No newline at end of file
diff --git a/precheck_results/04_JUN_2022___14_38_44/logs/klayout_offgrid_check.log b/precheck_results/04_JUN_2022___14_38_44/logs/klayout_offgrid_check.log
new file mode 100644
index 0000000..b6695b9
--- /dev/null
+++ b/precheck_results/04_JUN_2022___14_38_44/logs/klayout_offgrid_check.log
@@ -0,0 +1,755 @@
+/home/krishna/mpw_precheckmpw6/checks/tech-files/sky130A_mr.drc:38: warning: already initialized constant DRC::DRCEngine::FEOL
+/home/krishna/mpw_precheckmpw6/checks/tech-files/sky130A_mr.drc:28: warning: previous definition of FEOL was here
+/home/krishna/mpw_precheckmpw6/checks/tech-files/sky130A_mr.drc:44: warning: already initialized constant DRC::DRCEngine::BEOL
+/home/krishna/mpw_precheckmpw6/checks/tech-files/sky130A_mr.drc:29: warning: previous definition of BEOL was here
+/home/krishna/mpw_precheckmpw6/checks/tech-files/sky130A_mr.drc:48: warning: already initialized constant DRC::DRCEngine::OFFGRID
+/home/krishna/mpw_precheckmpw6/checks/tech-files/sky130A_mr.drc:30: warning: previous definition of OFFGRID was here
+/home/krishna/mpw_precheckmpw6/checks/tech-files/sky130A_mr.drc:56: warning: already initialized constant DRC::DRCEngine::SEAL
+/home/krishna/mpw_precheckmpw6/checks/tech-files/sky130A_mr.drc:31: warning: previous definition of SEAL was here
+/home/krishna/mpw_precheckmpw6/checks/tech-files/sky130A_mr.drc:62: warning: already initialized constant DRC::DRCEngine::FLOATING_MET
+/home/krishna/mpw_precheckmpw6/checks/tech-files/sky130A_mr.drc:32: warning: previous definition of FLOATING_MET was here
+"input" in: sky130A_mr.drc:112
+    Polygons (raw): 62 (flat)  25 (hierarchical)
+    Elapsed: 0.010s  Memory: 522.00M
+"polygons" in: sky130A_mr.drc:113
+    Polygons (raw): 50 (flat)  42 (hierarchical)
+    Elapsed: 0.010s  Memory: 522.00M
+"polygons" in: sky130A_mr.drc:114
+    Polygons (raw): 89 (flat)  69 (hierarchical)
+    Elapsed: 0.000s  Memory: 522.00M
+"polygons" in: sky130A_mr.drc:115
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 522.00M
+"polygons" in: sky130A_mr.drc:116
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 522.00M
+"polygons" in: sky130A_mr.drc:117
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 522.00M
+"polygons" in: sky130A_mr.drc:118
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 522.00M
+"polygons" in: sky130A_mr.drc:119
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 522.00M
+"polygons" in: sky130A_mr.drc:120
+    Polygons (raw): 10 (flat)  2 (hierarchical)
+    Elapsed: 0.000s  Memory: 522.00M
+"polygons" in: sky130A_mr.drc:121
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 522.00M
+"polygons" in: sky130A_mr.drc:122
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 522.00M
+"polygons" in: sky130A_mr.drc:123
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 522.00M
+"polygons" in: sky130A_mr.drc:124
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 522.00M
+"polygons" in: sky130A_mr.drc:125
+    Polygons (raw): 202 (flat)  116 (hierarchical)
+    Elapsed: 0.010s  Memory: 522.00M
+"polygons" in: sky130A_mr.drc:126
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 522.00M
+"polygons" in: sky130A_mr.drc:127
+    Polygons (raw): 62 (flat)  43 (hierarchical)
+    Elapsed: 0.000s  Memory: 522.00M
+"polygons" in: sky130A_mr.drc:128
+    Polygons (raw): 76 (flat)  50 (hierarchical)
+    Elapsed: 0.010s  Memory: 522.00M
+"polygons" in: sky130A_mr.drc:129
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 522.00M
+"polygons" in: sky130A_mr.drc:130
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 522.00M
+"polygons" in: sky130A_mr.drc:131
+    Polygons (raw): 33 (flat)  24 (hierarchical)
+    Elapsed: 0.000s  Memory: 522.00M
+"polygons" in: sky130A_mr.drc:132
+    Polygons (raw): 928 (flat)  312 (hierarchical)
+    Elapsed: 0.010s  Memory: 522.00M
+"polygons" in: sky130A_mr.drc:134
+    Polygons (raw): 598 (flat)  426 (hierarchical)
+    Elapsed: 0.000s  Memory: 522.00M
+"polygons" in: sky130A_mr.drc:135
+    Polygons (raw): 1771 (flat)  1495 (hierarchical)
+    Elapsed: 0.010s  Memory: 522.00M
+"polygons" in: sky130A_mr.drc:137
+    Polygons (raw): 349 (flat)  299 (hierarchical)
+    Elapsed: 0.010s  Memory: 522.00M
+"polygons" in: sky130A_mr.drc:138
+    Polygons (raw): 2570 (flat)  2570 (hierarchical)
+    Elapsed: 0.000s  Memory: 522.00M
+"polygons" in: sky130A_mr.drc:140
+    Polygons (raw): 603 (flat)  603 (hierarchical)
+    Elapsed: 0.010s  Memory: 522.00M
+"polygons" in: sky130A_mr.drc:141
+    Polygons (raw): 1761 (flat)  1761 (hierarchical)
+    Elapsed: 0.010s  Memory: 522.00M
+"polygons" in: sky130A_mr.drc:143
+    Polygons (raw): 466 (flat)  466 (hierarchical)
+    Elapsed: 0.000s  Memory: 522.00M
+"polygons" in: sky130A_mr.drc:144
+    Polygons (raw): 25800 (flat)  25800 (hierarchical)
+    Elapsed: 0.020s  Memory: 523.00M
+"polygons" in: sky130A_mr.drc:146
+    Polygons (raw): 180 (flat)  180 (hierarchical)
+    Elapsed: 0.000s  Memory: 523.00M
+"polygons" in: sky130A_mr.drc:147
+    Polygons (raw): 552 (flat)  552 (hierarchical)
+    Elapsed: 0.010s  Memory: 523.00M
+"polygons" in: sky130A_mr.drc:149
+    Polygons (raw): 154 (flat)  154 (hierarchical)
+    Elapsed: 0.010s  Memory: 523.00M
+"polygons" in: sky130A_mr.drc:151
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 523.00M
+"polygons" in: sky130A_mr.drc:152
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 523.00M
+"polygons" in: sky130A_mr.drc:153
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 523.00M
+"polygons" in: sky130A_mr.drc:154
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 523.00M
+"polygons" in: sky130A_mr.drc:155
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 523.00M
+"polygons" in: sky130A_mr.drc:156
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 523.00M
+"polygons" in: sky130A_mr.drc:157
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 523.00M
+"polygons" in: sky130A_mr.drc:158
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 523.00M
+"polygons" in: sky130A_mr.drc:159
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 523.00M
+"polygons" in: sky130A_mr.drc:160
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 523.00M
+"polygons" in: sky130A_mr.drc:161
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 523.00M
+"polygons" in: sky130A_mr.drc:162
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 523.00M
+"polygons" in: sky130A_mr.drc:163
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 523.00M
+"polygons" in: sky130A_mr.drc:164
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 523.00M
+"polygons" in: sky130A_mr.drc:165
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 523.00M
+"polygons" in: sky130A_mr.drc:166
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 523.00M
+"polygons" in: sky130A_mr.drc:167
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 523.00M
+"polygons" in: sky130A_mr.drc:168
+    Polygons (raw): 10 (flat)  2 (hierarchical)
+    Elapsed: 0.000s  Memory: 523.00M
+"polygons" in: sky130A_mr.drc:169
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 523.00M
+"polygons" in: sky130A_mr.drc:170
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 523.00M
+"polygons" in: sky130A_mr.drc:171
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 523.00M
+"polygons" in: sky130A_mr.drc:172
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 523.00M
+"polygons" in: sky130A_mr.drc:173
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 523.00M
+"polygons" in: sky130A_mr.drc:174
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 523.00M
+"polygons" in: sky130A_mr.drc:175
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 523.00M
+"polygons" in: sky130A_mr.drc:176
+    Polygons (raw): 1 (flat)  1 (hierarchical)
+    Elapsed: 0.000s  Memory: 523.00M
+"polygons" in: sky130A_mr.drc:177
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 523.00M
+"polygons" in: sky130A_mr.drc:178
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 523.00M
+"polygons" in: sky130A_mr.drc:179
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 523.00M
+"polygons" in: sky130A_mr.drc:180
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 523.00M
+"polygons" in: sky130A_mr.drc:181
+    Polygons (raw): 4 (flat)  3 (hierarchical)
+    Elapsed: 0.000s  Memory: 523.00M
+"polygons" in: sky130A_mr.drc:182
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 523.00M
+"polygons" in: sky130A_mr.drc:183
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 523.00M
+"polygons" in: sky130A_mr.drc:184
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 523.00M
+"polygons" in: sky130A_mr.drc:185
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 523.00M
+"polygons" in: sky130A_mr.drc:186
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 523.00M
+"polygons" in: sky130A_mr.drc:187
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 523.00M
+"polygons" in: sky130A_mr.drc:188
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 523.00M
+"polygons" in: sky130A_mr.drc:189
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 523.00M
+"polygons" in: sky130A_mr.drc:190
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 523.00M
+"polygons" in: sky130A_mr.drc:191
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 523.00M
+"polygons" in: sky130A_mr.drc:192
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 523.00M
+"polygons" in: sky130A_mr.drc:193
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 523.00M
+"polygons" in: sky130A_mr.drc:194
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 523.00M
+"polygons" in: sky130A_mr.drc:195
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 523.00M
+"polygons" in: sky130A_mr.drc:196
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 523.00M
+"polygons" in: sky130A_mr.drc:197
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 523.00M
+"polygons" in: sky130A_mr.drc:198
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 523.00M
+"polygons" in: sky130A_mr.drc:199
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 523.00M
+"polygons" in: sky130A_mr.drc:200
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 523.00M
+"polygons" in: sky130A_mr.drc:201
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 523.00M
+"polygons" in: sky130A_mr.drc:202
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 523.00M
+"polygons" in: sky130A_mr.drc:203
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 523.00M
+DRC section
+OFFGRID-ANGLES section
+"ongrid" in: sky130A_mr.drc:690
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 523.00M
+"output" in: sky130A_mr.drc:690
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 523.00M
+"with_angle" in: sky130A_mr.drc:691
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 523.00M
+"output" in: sky130A_mr.drc:691
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 523.00M
+"ongrid" in: sky130A_mr.drc:692
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 523.00M
+"output" in: sky130A_mr.drc:692
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 523.00M
+"with_angle" in: sky130A_mr.drc:693
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 523.00M
+"output" in: sky130A_mr.drc:693
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 523.00M
+"ongrid" in: sky130A_mr.drc:694
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 523.00M
+"output" in: sky130A_mr.drc:694
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 523.00M
+"with_angle" in: sky130A_mr.drc:695
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 523.00M
+"output" in: sky130A_mr.drc:695
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 523.00M
+"ongrid" in: sky130A_mr.drc:696
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 523.00M
+"output" in: sky130A_mr.drc:696
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 523.00M
+"with_angle" in: sky130A_mr.drc:697
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 523.00M
+"output" in: sky130A_mr.drc:697
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 523.00M
+"ongrid" in: sky130A_mr.drc:698
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 523.00M
+"output" in: sky130A_mr.drc:698
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 523.00M
+"with_angle" in: sky130A_mr.drc:699
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 523.00M
+"output" in: sky130A_mr.drc:699
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 523.00M
+"ongrid" in: sky130A_mr.drc:700
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 523.00M
+"output" in: sky130A_mr.drc:700
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 523.00M
+"with_angle" in: sky130A_mr.drc:701
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 523.00M
+"output" in: sky130A_mr.drc:701
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 523.00M
+"ongrid" in: sky130A_mr.drc:702
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 523.00M
+"output" in: sky130A_mr.drc:702
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 523.00M
+"with_angle" in: sky130A_mr.drc:703
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 523.00M
+"output" in: sky130A_mr.drc:703
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 523.00M
+"ongrid" in: sky130A_mr.drc:704
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 523.00M
+"output" in: sky130A_mr.drc:704
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 523.00M
+"with_angle" in: sky130A_mr.drc:705
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 523.00M
+"output" in: sky130A_mr.drc:705
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 523.00M
+"ongrid" in: sky130A_mr.drc:706
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 523.00M
+"output" in: sky130A_mr.drc:706
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 523.00M
+"ongrid" in: sky130A_mr.drc:707
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 523.00M
+"output" in: sky130A_mr.drc:707
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 523.00M
+"and" in: sky130A_mr.drc:708
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 523.00M
+"not" in: sky130A_mr.drc:708
+    Polygons (raw): 62 (flat)  25 (hierarchical)
+    Elapsed: 0.000s  Memory: 523.00M
+"with_angle" in: sky130A_mr.drc:708
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 523.00M
+"output" in: sky130A_mr.drc:708
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 523.00M
+"and" in: sky130A_mr.drc:709
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 523.00M
+"and" in: sky130A_mr.drc:709
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 523.00M
+"with_angle" in: sky130A_mr.drc:709
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 523.00M
+"output" in: sky130A_mr.drc:709
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 523.00M
+"and" in: sky130A_mr.drc:710
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 523.00M
+"not" in: sky130A_mr.drc:710
+    Polygons (raw): 50 (flat)  42 (hierarchical)
+    Elapsed: 0.000s  Memory: 523.00M
+"with_angle" in: sky130A_mr.drc:710
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 523.00M
+"output" in: sky130A_mr.drc:710
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 523.00M
+"and" in: sky130A_mr.drc:711
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 523.00M
+"and" in: sky130A_mr.drc:711
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 523.00M
+"with_angle" in: sky130A_mr.drc:711
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 523.00M
+"output" in: sky130A_mr.drc:711
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 523.00M
+"ongrid" in: sky130A_mr.drc:712
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 523.00M
+"output" in: sky130A_mr.drc:712
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 523.00M
+"with_angle" in: sky130A_mr.drc:713
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 523.00M
+"output" in: sky130A_mr.drc:713
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 523.00M
+"ongrid" in: sky130A_mr.drc:714
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 523.00M
+"output" in: sky130A_mr.drc:714
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 523.00M
+"with_angle" in: sky130A_mr.drc:715
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 523.00M
+"output" in: sky130A_mr.drc:715
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 523.00M
+"ongrid" in: sky130A_mr.drc:716
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 523.00M
+"output" in: sky130A_mr.drc:716
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 523.00M
+"with_angle" in: sky130A_mr.drc:717
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 523.00M
+"output" in: sky130A_mr.drc:717
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 523.00M
+"ongrid" in: sky130A_mr.drc:718
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 523.00M
+"output" in: sky130A_mr.drc:718
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 523.00M
+"with_angle" in: sky130A_mr.drc:719
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 523.00M
+"output" in: sky130A_mr.drc:719
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 523.00M
+"ongrid" in: sky130A_mr.drc:720
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 523.00M
+"output" in: sky130A_mr.drc:720
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 523.00M
+"with_angle" in: sky130A_mr.drc:721
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 523.00M
+"output" in: sky130A_mr.drc:721
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 523.00M
+"ongrid" in: sky130A_mr.drc:722
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 523.00M
+"output" in: sky130A_mr.drc:722
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 523.00M
+"with_angle" in: sky130A_mr.drc:723
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 523.00M
+"output" in: sky130A_mr.drc:723
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 523.00M
+"ongrid" in: sky130A_mr.drc:724
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 523.00M
+"output" in: sky130A_mr.drc:724
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 523.00M
+"with_angle" in: sky130A_mr.drc:725
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 523.00M
+"output" in: sky130A_mr.drc:725
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 523.00M
+"ongrid" in: sky130A_mr.drc:726
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 523.00M
+"output" in: sky130A_mr.drc:726
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 523.00M
+"with_angle" in: sky130A_mr.drc:727
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 523.00M
+"output" in: sky130A_mr.drc:727
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 523.00M
+"ongrid" in: sky130A_mr.drc:728
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 523.00M
+"output" in: sky130A_mr.drc:728
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 523.00M
+"with_angle" in: sky130A_mr.drc:729
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 523.00M
+"output" in: sky130A_mr.drc:729
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 523.00M
+"ongrid" in: sky130A_mr.drc:730
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 523.00M
+"output" in: sky130A_mr.drc:730
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 523.00M
+"with_angle" in: sky130A_mr.drc:731
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 523.00M
+"output" in: sky130A_mr.drc:731
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 523.00M
+"ongrid" in: sky130A_mr.drc:732
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 523.00M
+"output" in: sky130A_mr.drc:732
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 523.00M
+"with_angle" in: sky130A_mr.drc:733
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 523.00M
+"output" in: sky130A_mr.drc:733
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 523.00M
+"ongrid" in: sky130A_mr.drc:734
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.020s  Memory: 524.00M
+"output" in: sky130A_mr.drc:734
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 524.00M
+"with_angle" in: sky130A_mr.drc:735
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 524.00M
+"output" in: sky130A_mr.drc:735
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 524.00M
+"ongrid" in: sky130A_mr.drc:736
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 524.00M
+"output" in: sky130A_mr.drc:736
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 524.00M
+"with_angle" in: sky130A_mr.drc:737
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 524.00M
+"output" in: sky130A_mr.drc:737
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 524.00M
+"ongrid" in: sky130A_mr.drc:738
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.020s  Memory: 524.00M
+"output" in: sky130A_mr.drc:738
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 524.00M
+"with_angle" in: sky130A_mr.drc:739
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.020s  Memory: 524.00M
+"output" in: sky130A_mr.drc:739
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 524.00M
+"ongrid" in: sky130A_mr.drc:740
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 524.00M
+"output" in: sky130A_mr.drc:740
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 524.00M
+"with_angle" in: sky130A_mr.drc:741
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 524.00M
+"output" in: sky130A_mr.drc:741
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 524.00M
+"ongrid" in: sky130A_mr.drc:742
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.160s  Memory: 530.00M
+"output" in: sky130A_mr.drc:742
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 530.00M
+"with_angle" in: sky130A_mr.drc:743
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.020s  Memory: 530.00M
+"output" in: sky130A_mr.drc:743
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 530.00M
+"ongrid" in: sky130A_mr.drc:744
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 530.00M
+"output" in: sky130A_mr.drc:744
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 530.00M
+"with_angle" in: sky130A_mr.drc:745
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 530.00M
+"output" in: sky130A_mr.drc:745
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 530.00M
+"ongrid" in: sky130A_mr.drc:746
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 530.00M
+"output" in: sky130A_mr.drc:746
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 530.00M
+"with_angle" in: sky130A_mr.drc:747
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 530.00M
+"output" in: sky130A_mr.drc:747
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 530.00M
+"ongrid" in: sky130A_mr.drc:748
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 530.00M
+"output" in: sky130A_mr.drc:748
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 530.00M
+"with_angle" in: sky130A_mr.drc:749
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 530.00M
+"output" in: sky130A_mr.drc:749
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 530.00M
+"ongrid" in: sky130A_mr.drc:750
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 530.00M
+"output" in: sky130A_mr.drc:750
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 530.00M
+"with_angle" in: sky130A_mr.drc:751
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 530.00M
+"output" in: sky130A_mr.drc:751
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 530.00M
+"ongrid" in: sky130A_mr.drc:752
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 530.00M
+"output" in: sky130A_mr.drc:752
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 530.00M
+"with_angle" in: sky130A_mr.drc:753
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 530.00M
+"output" in: sky130A_mr.drc:753
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 530.00M
+"ongrid" in: sky130A_mr.drc:754
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 530.00M
+"output" in: sky130A_mr.drc:754
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 530.00M
+"with_angle" in: sky130A_mr.drc:755
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 530.00M
+"output" in: sky130A_mr.drc:755
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 530.00M
+"ongrid" in: sky130A_mr.drc:756
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 530.00M
+"output" in: sky130A_mr.drc:756
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 530.00M
+"with_angle" in: sky130A_mr.drc:757
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 530.00M
+"output" in: sky130A_mr.drc:757
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 530.00M
+"ongrid" in: sky130A_mr.drc:758
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 530.00M
+"output" in: sky130A_mr.drc:758
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 530.00M
+"with_angle" in: sky130A_mr.drc:759
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 530.00M
+"output" in: sky130A_mr.drc:759
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 530.00M
+"ongrid" in: sky130A_mr.drc:760
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 530.00M
+"output" in: sky130A_mr.drc:760
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 530.00M
+"with_angle" in: sky130A_mr.drc:761
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 530.00M
+"output" in: sky130A_mr.drc:761
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 530.00M
+"ongrid" in: sky130A_mr.drc:762
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 530.00M
+"output" in: sky130A_mr.drc:762
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 530.00M
+"with_angle" in: sky130A_mr.drc:763
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 530.00M
+"output" in: sky130A_mr.drc:763
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 530.00M
+"ongrid" in: sky130A_mr.drc:764
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 530.00M
+"output" in: sky130A_mr.drc:764
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 530.00M
+"with_angle" in: sky130A_mr.drc:765
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 530.00M
+"output" in: sky130A_mr.drc:765
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 530.00M
+"ongrid" in: sky130A_mr.drc:766
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 530.00M
+"output" in: sky130A_mr.drc:766
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 530.00M
+Writing report database: /home/krishna/Comparator_MPW6/precheck_results/04_JUN_2022___14_38_44/outputs/reports/klayout_offgrid_check.xml ..
+Total elapsed: 1.600s  Memory: 530.00M
diff --git a/precheck_results/04_JUN_2022___14_38_44/logs/klayout_offgrid_check.total b/precheck_results/04_JUN_2022___14_38_44/logs/klayout_offgrid_check.total
new file mode 100644
index 0000000..c227083
--- /dev/null
+++ b/precheck_results/04_JUN_2022___14_38_44/logs/klayout_offgrid_check.total
@@ -0,0 +1 @@
+0
\ No newline at end of file
diff --git a/precheck_results/04_JUN_2022___14_38_44/logs/klayout_pin_label_purposes_overlapping_drawing_check.log b/precheck_results/04_JUN_2022___14_38_44/logs/klayout_pin_label_purposes_overlapping_drawing_check.log
new file mode 100644
index 0000000..cd321fe
--- /dev/null
+++ b/precheck_results/04_JUN_2022___14_38_44/logs/klayout_pin_label_purposes_overlapping_drawing_check.log
@@ -0,0 +1,29 @@
+Running pin_label_purposes_overlapping_drawing.rb.drc on file=/home/krishna/Comparator_MPW6/gds/user_analog_project_wrapper.gds, topcell=user_analog_project_wrapper, output to /home/krishna/Comparator_MPW6/precheck_results/04_JUN_2022___14_38_44/outputs/reports/klayout_pin_label_purposes_overlapping_drawing_check.xml
+  deep:true tiled:false threads:12
+--- #err|description, table for cell: user_analog_project_wrapper
+NO-Check ----        pwell:64/44/EMP   122/16/dat    64/59/EMP    44/16/EMP     44/5/EMP
+         ----        nwell:64/20/dat    64/16/dat     64/5/EMP
+         ----         diff:65/20/dat    65/16/EMP     65/6/EMP
+         ----          tap:65/44/dat    65/48/EMP     65/5/EMP
+         ----         poly:66/20/dat    66/16/EMP     66/5/EMP
+         ----       licon1:66/44/dat    66/58/EMP
+         ----          li1:67/20/dat    67/16/dat     67/5/EMP
+         ----         mcon:67/44/dat    67/48/EMP
+         ----         met1:68/20/dat    68/16/dat     68/5/EMP
+         ----          via:68/44/dat    68/58/EMP
+         ----         met2:69/20/dat    69/16/dat     69/5/EMP
+         ----         via2:69/44/dat    69/58/EMP
+         ----         met3:70/20/dat    70/16/dat     70/5/EMP
+         ----         via3:70/44/dat    70/48/EMP
+         ----         met4:71/20/dat    71/16/dat     71/5/EMP
+         ----         via4:71/44/dat    71/48/EMP
+         ----         met5:72/20/dat    72/16/dat     72/5/EMP
+         ----          pad:76/20/EMP     76/5/EMP    76/16/EMP
+         ----          pnp:82/44/EMP    82/59/EMP
+         ----          npn:82/20/EMP     82/5/EMP
+         ----          rdl:74/20/EMP    74/16/EMP     74/5/EMP
+         ----     inductor:82/24/EMP    82/25/EMP
+       0 total error(s) among 0 error type(s), 33 checks, cell: user_analog_project_wrapper
+Writing report...
+VmPeak:	 2305228 kB
+VmHWM:	  258016 kB
diff --git a/precheck_results/04_JUN_2022___14_38_44/logs/klayout_pin_label_purposes_overlapping_drawing_check.total b/precheck_results/04_JUN_2022___14_38_44/logs/klayout_pin_label_purposes_overlapping_drawing_check.total
new file mode 100644
index 0000000..c227083
--- /dev/null
+++ b/precheck_results/04_JUN_2022___14_38_44/logs/klayout_pin_label_purposes_overlapping_drawing_check.total
@@ -0,0 +1 @@
+0
\ No newline at end of file
diff --git a/precheck_results/04_JUN_2022___14_38_44/logs/klayout_zeroarea_check.log b/precheck_results/04_JUN_2022___14_38_44/logs/klayout_zeroarea_check.log
new file mode 100644
index 0000000..ee349ab
--- /dev/null
+++ b/precheck_results/04_JUN_2022___14_38_44/logs/klayout_zeroarea_check.log
@@ -0,0 +1,4 @@
+0 zero-area shapes
+writing to /home/krishna/Comparator_MPW6/precheck_results/04_JUN_2022___14_38_44/outputs/user_analog_project_wrapper_no_zero_areas.gds
+VmPeak:	  542084 kB
+VmHWM:	  260652 kB
diff --git a/precheck_results/04_JUN_2022___14_38_44/logs/klayout_zeroarea_check.total b/precheck_results/04_JUN_2022___14_38_44/logs/klayout_zeroarea_check.total
new file mode 100644
index 0000000..c227083
--- /dev/null
+++ b/precheck_results/04_JUN_2022___14_38_44/logs/klayout_zeroarea_check.total
@@ -0,0 +1 @@
+0
\ No newline at end of file
diff --git a/precheck_results/04_JUN_2022___14_38_44/logs/magic_drc_check.log b/precheck_results/04_JUN_2022___14_38_44/logs/magic_drc_check.log
new file mode 100644
index 0000000..3c2093c
--- /dev/null
+++ b/precheck_results/04_JUN_2022___14_38_44/logs/magic_drc_check.log
@@ -0,0 +1,30 @@
+
+Magic 8.3 revision 274 - Compiled on Fri Mar  4 22:54:15 UTC 2022.
+Starting magic under Tcl interpreter
+Using the terminal as the console.
+Using NULL graphics device.
+Processing system .magicrc file
+Sourcing design .magicrc for technology sky130A ...
+2 Magic internal units = 1 Lambda
+Could not find file '/usr/local/share/pdk/sky130A/sky130A/libs.tech/magic/sky130A.tech' in any of these directories:
+         . $CAD_ROOT/magic/sys $CAD_ROOT/magic/sys/current
+Error parsing "/home/krishna/mpw_precheckmpw6/checks/tech-files/sky130A.magicrc": couldn't read file "/usr/local/share/pdk/sky130A/sky130A/libs.tech/magic/sky130A.tcl": no such file or directory
+Bad local startup file "/home/krishna/mpw_precheckmpw6/checks/tech-files/sky130A.magicrc", continuing without.
+Scaled tech values by 2 / 1 to match internal grid scaling
+Loading "/home/krishna/mpw_precheckmpw6/checks/drc_checks/magic/magic_drc_check.tcl" from command line.
+Don't know how to read GDS-II:
+Nothing in "cifinput" section of tech file.
+[INFO]: Loading user_analog_project_wrapper
+
+File user_analog_project_wrapper.mag couldn't be read
+No such file or directory
+Creating new cell
+"drc(full)" is not one of the DRC styles Magic knows.
+The current style is "default".
+The DRC styles are: default.
+No errors found.
+[INFO]: COUNT: 0
+[INFO]: Should be divided by 3 or 4
+[INFO]: DRC Checking DONE (/home/krishna/Comparator_MPW6/precheck_results/04_JUN_2022___14_38_44/outputs/reports/magic_drc_check.drc.report)
+[INFO]: Saving mag view with DRC errors(/home/krishna/Comparator_MPW6/precheck_results/04_JUN_2022___14_38_44/outputs/user_analog_project_wrapper.magic.drc.mag)
+[INFO]: Saved
diff --git a/precheck_results/04_JUN_2022___14_38_44/logs/magic_drc_check.total b/precheck_results/04_JUN_2022___14_38_44/logs/magic_drc_check.total
new file mode 100644
index 0000000..c227083
--- /dev/null
+++ b/precheck_results/04_JUN_2022___14_38_44/logs/magic_drc_check.total
@@ -0,0 +1 @@
+0
\ No newline at end of file
diff --git a/precheck_results/04_JUN_2022___14_38_44/logs/pdks.info b/precheck_results/04_JUN_2022___14_38_44/logs/pdks.info
new file mode 100644
index 0000000..3072015
--- /dev/null
+++ b/precheck_results/04_JUN_2022___14_38_44/logs/pdks.info
@@ -0,0 +1,2 @@
+Open PDKs a3d6ffea8022332b859daa454cef7ee7131c5181
+Skywater PDK f70d8ca46961ff92719d8870a18a076370b85f6c
\ No newline at end of file
diff --git a/precheck_results/04_JUN_2022___14_38_44/logs/precheck.log b/precheck_results/04_JUN_2022___14_38_44/logs/precheck.log
new file mode 100644
index 0000000..6afc8bb
--- /dev/null
+++ b/precheck_results/04_JUN_2022___14_38_44/logs/precheck.log
@@ -0,0 +1,60 @@
+2022-06-04 14:38:44 - [INFO] - {{EXTRACTING FILES}} Extracting compressed files in: /home/krishna/Comparator_MPW6
+2022-06-04 14:38:44 - [INFO] - {{Project Type Info}} analog
+2022-06-04 14:38:44 - [INFO] - {{Project GDS Info}} user_analog_project_wrapper: e433532b4901dae519ca5d9b9b369e40178c04e6
+2022-06-04 14:38:45 - [INFO] - {{Tools Info}} KLayout: v0.27.8 | Magic: v8.3.274
+2022-06-04 14:38:45 - [INFO] - {{PDKs Info}} Open PDKs: a3d6ffea8022332b859daa454cef7ee7131c5181 | Skywater PDK: f70d8ca46961ff92719d8870a18a076370b85f6c
+2022-06-04 14:38:45 - [INFO] - {{START}} Precheck Started, the full log 'precheck.log' will be located in '/home/krishna/Comparator_MPW6/precheck_results/04_JUN_2022___14_38_44/logs'
+2022-06-04 14:38:45 - [INFO] - {{PRECHECK SEQUENCE}} Precheck will run the following checks: [License, Makefile, Default, Documentation, Consistency, XOR, Magic DRC, Klayout FEOL, Klayout BEOL, Klayout Offgrid, Klayout Metal Minimum Clear Area Density, Klayout Pin Label Purposes Overlapping Drawing, Klayout ZeroArea]
+2022-06-04 14:38:45 - [INFO] - {{STEP UPDATE}} Executing Check 1 of 13: License
+2022-06-04 14:38:46 - [INFO] - An approved LICENSE (Apache-2.0) was found in /home/krishna/Comparator_MPW6.
+2022-06-04 14:38:46 - [INFO] - {{MAIN LICENSE CHECK PASSED}} An approved LICENSE was found in project root.
+2022-06-04 14:38:47 - [INFO] - An approved LICENSE (Apache-2.0) was found in /home/krishna/Comparator_MPW6.
+2022-06-04 14:38:47 - [INFO] - {{SUBMODULES LICENSE CHECK PASSED}} No prohibited LICENSE file(s) was found in project submodules
+2022-06-04 14:38:47 - [WARNING] - {{SPDX COMPLIANCE CHECK FAILED}} Found 142 non-compliant file(s) with the SPDX Standard.
+2022-06-04 14:38:47 - [INFO] - SPDX COMPLIANCE: NON-COMPLIANT FILE(S) PREVIEW: ['/home/krishna/Comparator_MPW6/Makefile', '/home/krishna/Comparator_MPW6/.spiceinit', '/home/krishna/Comparator_MPW6/verilog/dv/Makefile', '/home/krishna/Comparator_MPW6/verilog/dv/mprj_por/mprj_por.c', '/home/krishna/Comparator_MPW6/verilog/dv/mprj_por/mprj_por_tb.v', '/home/krishna/Comparator_MPW6/verilog/dv/mprj_por/Makefile', '/home/krishna/Comparator_MPW6/verilog/rtl/uprj_analog_netlists.v', '/home/krishna/Comparator_MPW6/verilog/rtl/example_por.v', '/home/krishna/Comparator_MPW6/verilog/rtl/user_analog_proj_example.v', '/home/krishna/Comparator_MPW6/verilog/rtl/user_analog_project_wrapper.v', '/home/krishna/Comparator_MPW6/verilog/rtl/comparator.v', '/home/krishna/Comparator_MPW6/precheck_results/04_JUN_2022___09_24_39/outputs/reports/magic_drc_check.drc.report', '/home/krishna/Comparator_MPW6/precheck_results/04_JUN_2022___09_24_39/logs/klayout_zeroarea_check.total', '/home/krishna/Comparator_MPW6/precheck_results/04_JUN_2022___09_24_39/logs/tools.info', '/home/krishna/Comparator_MPW6/precheck_results/04_JUN_2022___09_24_39/logs/klayout_beol_check.total']
+2022-06-04 14:38:47 - [INFO] - For the full SPDX compliance report check: /home/krishna/Comparator_MPW6/precheck_results/04_JUN_2022___14_38_44/logs/spdx_compliance_report.log
+2022-06-04 14:38:47 - [INFO] - {{STEP UPDATE}} Executing Check 2 of 13: Makefile
+2022-06-04 14:38:47 - [INFO] - {{MAKEFILE CHECK PASSED}} Makefile valid.
+2022-06-04 14:38:47 - [INFO] - {{STEP UPDATE}} Executing Check 3 of 13: Default
+2022-06-04 14:38:47 - [INFO] - {{README DEFAULT CHECK PASSED}} Project 'README.md' was modified and is not identical to the default 'README.md'
+2022-06-04 14:38:47 - [INFO] - {{CONTENT DEFAULT CHECK PASSED}} Project 'gds' was modified and is not identical to the default 'gds'
+2022-06-04 14:38:47 - [INFO] - {{STEP UPDATE}} Executing Check 4 of 13: Documentation
+2022-06-04 14:38:47 - [INFO] - {{DOCUMENTATION CHECK PASSED}} Project documentation is appropriate.
+2022-06-04 14:38:47 - [INFO] - {{STEP UPDATE}} Executing Check 5 of 13: Consistency
+2022-06-04 14:38:48 - [INFO] - HIERARCHY CHECK PASSED: Module user_analog_project_wrapper is instantiated in caravan. 
+2022-06-04 14:38:48 - [INFO] - COMPLEXITY CHECK PASSED: Netlist caravan contains at least 8 instances (68 instances). 
+2022-06-04 14:38:48 - [INFO] - MODELING CHECK PASSED: Netlist caravan is structural.
+2022-06-04 14:38:48 - [INFO] - SUBMODULE HOOKS CHECK PASSED: All module ports for user_analog_project_wrapper are correctly connected in the top level netlist caravan.
+2022-06-04 14:38:48 - [INFO] - {{NETLIST CONSISTENCY CHECK PASSED}} caravan netlist passed all consistency checks.
+2022-06-04 14:38:48 - [INFO] - PORTS CHECK PASSED: Netlist user_analog_project_wrapper ports match the golden wrapper ports
+2022-06-04 14:38:48 - [INFO] - COMPLEXITY CHECK PASSED: Netlist user_analog_project_wrapper contains at least 1 instances (19 instances). 
+2022-06-04 14:38:48 - [INFO] - MODELING CHECK PASSED: Netlist user_analog_project_wrapper is structural.
+2022-06-04 14:38:48 - [INFO] - LAYOUT CHECK PASSED: The GDS layout for user_analog_project_wrapper matches the provided structural netlist.
+2022-06-04 14:38:48 - [INFO] - {{NETLIST CONSISTENCY CHECK PASSED}} user_analog_project_wrapper netlist passed all consistency checks.
+2022-06-04 14:38:48 - [INFO] - {{CONSISTENCY CHECK PASSED}} The user netlist and the top netlist are valid.
+2022-06-04 14:38:48 - [INFO] - {{STEP UPDATE}} Executing Check 6 of 13: XOR
+2022-06-04 14:38:50 - [ERROR] - XOR CHECK FILE NOT FOUND in /home/krishna/Comparator_MPW6/precheck_results/04_JUN_2022___14_38_44/logs/xor_check.total
+2022-06-04 14:38:50 - [WARNING] - {{XOR CHECK FAILED}} The GDS file has non-conforming geometries.
+2022-06-04 14:38:50 - [INFO] - {{STEP UPDATE}} Executing Check 7 of 13: Magic DRC
+2022-06-04 14:38:50 - [INFO] - 0 DRC violations
+2022-06-04 14:38:50 - [INFO] - {{MAGIC DRC CHECK PASSED}} The GDS file, user_analog_project_wrapper.gds, has no DRC violations.
+2022-06-04 14:38:50 - [INFO] - {{STEP UPDATE}} Executing Check 8 of 13: Klayout FEOL
+2022-06-04 14:38:52 - [INFO] - No DRC Violations found
+2022-06-04 14:38:52 - [INFO] - {{Klayout FEOL CHECK PASSED}} The GDS file, user_analog_project_wrapper.gds, has no DRC violations.
+2022-06-04 14:38:52 - [INFO] - {{STEP UPDATE}} Executing Check 9 of 13: Klayout BEOL
+2022-06-04 14:38:57 - [INFO] - No DRC Violations found
+2022-06-04 14:38:57 - [INFO] - {{Klayout BEOL CHECK PASSED}} The GDS file, user_analog_project_wrapper.gds, has no DRC violations.
+2022-06-04 14:38:57 - [INFO] - {{STEP UPDATE}} Executing Check 10 of 13: Klayout Offgrid
+2022-06-04 14:38:59 - [INFO] - No DRC Violations found
+2022-06-04 14:38:59 - [INFO] - {{Klayout Offgrid CHECK PASSED}} The GDS file, user_analog_project_wrapper.gds, has no DRC violations.
+2022-06-04 14:38:59 - [INFO] - {{STEP UPDATE}} Executing Check 11 of 13: Klayout Metal Minimum Clear Area Density
+2022-06-04 14:39:00 - [INFO] - No DRC Violations found
+2022-06-04 14:39:00 - [INFO] - {{Klayout Metal Minimum Clear Area Density CHECK PASSED}} The GDS file, user_analog_project_wrapper.gds, has no DRC violations.
+2022-06-04 14:39:00 - [INFO] - {{STEP UPDATE}} Executing Check 12 of 13: Klayout Pin Label Purposes Overlapping Drawing
+2022-06-04 14:39:01 - [INFO] - No DRC Violations found
+2022-06-04 14:39:01 - [INFO] - {{Klayout Pin Label Purposes Overlapping Drawing CHECK PASSED}} The GDS file, user_analog_project_wrapper.gds, has no DRC violations.
+2022-06-04 14:39:01 - [INFO] - {{STEP UPDATE}} Executing Check 13 of 13: Klayout ZeroArea
+2022-06-04 14:39:02 - [INFO] - No DRC Violations found
+2022-06-04 14:39:02 - [INFO] - {{Klayout ZeroArea CHECK PASSED}} The GDS file, user_analog_project_wrapper.gds, has no DRC violations.
+2022-06-04 14:39:02 - [INFO] - {{FINISH}} Executing Finished, the full log 'precheck.log' can be found in '/home/krishna/Comparator_MPW6/precheck_results/04_JUN_2022___14_38_44/logs'
+2022-06-04 14:39:02 - [CRITICAL] - {{FAILURE}} 1 Check(s) Failed: ['XOR'] !!!
diff --git a/precheck_results/04_JUN_2022___14_38_44/logs/spdx_compliance_report.log b/precheck_results/04_JUN_2022___14_38_44/logs/spdx_compliance_report.log
new file mode 100644
index 0000000..7ffa6ff
--- /dev/null
+++ b/precheck_results/04_JUN_2022___14_38_44/logs/spdx_compliance_report.log
@@ -0,0 +1,142 @@
+/home/krishna/Comparator_MPW6/Makefile
+/home/krishna/Comparator_MPW6/.spiceinit
+/home/krishna/Comparator_MPW6/verilog/dv/Makefile
+/home/krishna/Comparator_MPW6/verilog/dv/mprj_por/mprj_por.c
+/home/krishna/Comparator_MPW6/verilog/dv/mprj_por/mprj_por_tb.v
+/home/krishna/Comparator_MPW6/verilog/dv/mprj_por/Makefile
+/home/krishna/Comparator_MPW6/verilog/rtl/uprj_analog_netlists.v
+/home/krishna/Comparator_MPW6/verilog/rtl/example_por.v
+/home/krishna/Comparator_MPW6/verilog/rtl/user_analog_proj_example.v
+/home/krishna/Comparator_MPW6/verilog/rtl/user_analog_project_wrapper.v
+/home/krishna/Comparator_MPW6/verilog/rtl/comparator.v
+/home/krishna/Comparator_MPW6/precheck_results/04_JUN_2022___09_24_39/outputs/reports/magic_drc_check.drc.report
+/home/krishna/Comparator_MPW6/precheck_results/04_JUN_2022___09_24_39/logs/klayout_zeroarea_check.total
+/home/krishna/Comparator_MPW6/precheck_results/04_JUN_2022___09_24_39/logs/tools.info
+/home/krishna/Comparator_MPW6/precheck_results/04_JUN_2022___09_24_39/logs/klayout_beol_check.total
+/home/krishna/Comparator_MPW6/precheck_results/04_JUN_2022___09_24_39/logs/klayout_pin_label_purposes_overlapping_drawing_check.total
+/home/krishna/Comparator_MPW6/precheck_results/04_JUN_2022___09_24_39/logs/klayout_feol_check.total
+/home/krishna/Comparator_MPW6/precheck_results/04_JUN_2022___09_24_39/logs/gds.info
+/home/krishna/Comparator_MPW6/precheck_results/04_JUN_2022___09_24_39/logs/pdks.info
+/home/krishna/Comparator_MPW6/precheck_results/04_JUN_2022___09_24_39/logs/magic_drc_check.total
+/home/krishna/Comparator_MPW6/precheck_results/04_JUN_2022___09_24_39/logs/klayout_offgrid_check.total
+/home/krishna/Comparator_MPW6/precheck_results/04_JUN_2022___09_24_39/logs/klayout_met_min_ca_density_check.total
+/home/krishna/Comparator_MPW6/precheck_results/04_JUN_2022___14_38_44/logs/tools.info
+/home/krishna/Comparator_MPW6/precheck_results/04_JUN_2022___14_38_44/logs/gds.info
+/home/krishna/Comparator_MPW6/precheck_results/04_JUN_2022___14_38_44/logs/pdks.info
+/home/krishna/Comparator_MPW6/precheck_results/04_JUN_2022___11_00_41/outputs/reports/magic_drc_check.drc.report
+/home/krishna/Comparator_MPW6/precheck_results/04_JUN_2022___11_00_41/logs/klayout_zeroarea_check.total
+/home/krishna/Comparator_MPW6/precheck_results/04_JUN_2022___11_00_41/logs/tools.info
+/home/krishna/Comparator_MPW6/precheck_results/04_JUN_2022___11_00_41/logs/klayout_beol_check.total
+/home/krishna/Comparator_MPW6/precheck_results/04_JUN_2022___11_00_41/logs/klayout_pin_label_purposes_overlapping_drawing_check.total
+/home/krishna/Comparator_MPW6/precheck_results/04_JUN_2022___11_00_41/logs/klayout_feol_check.total
+/home/krishna/Comparator_MPW6/precheck_results/04_JUN_2022___11_00_41/logs/gds.info
+/home/krishna/Comparator_MPW6/precheck_results/04_JUN_2022___11_00_41/logs/pdks.info
+/home/krishna/Comparator_MPW6/precheck_results/04_JUN_2022___11_00_41/logs/magic_drc_check.total
+/home/krishna/Comparator_MPW6/precheck_results/04_JUN_2022___11_00_41/logs/klayout_offgrid_check.total
+/home/krishna/Comparator_MPW6/precheck_results/04_JUN_2022___11_00_41/logs/klayout_met_min_ca_density_check.total
+/home/krishna/Comparator_MPW6/precheck_results/04_JUN_2022___09_00_52/outputs/reports/magic_drc_check.drc.report
+/home/krishna/Comparator_MPW6/precheck_results/04_JUN_2022___09_00_52/logs/klayout_zeroarea_check.total
+/home/krishna/Comparator_MPW6/precheck_results/04_JUN_2022___09_00_52/logs/tools.info
+/home/krishna/Comparator_MPW6/precheck_results/04_JUN_2022___09_00_52/logs/klayout_beol_check.total
+/home/krishna/Comparator_MPW6/precheck_results/04_JUN_2022___09_00_52/logs/klayout_pin_label_purposes_overlapping_drawing_check.total
+/home/krishna/Comparator_MPW6/precheck_results/04_JUN_2022___09_00_52/logs/klayout_feol_check.total
+/home/krishna/Comparator_MPW6/precheck_results/04_JUN_2022___09_00_52/logs/gds.info
+/home/krishna/Comparator_MPW6/precheck_results/04_JUN_2022___09_00_52/logs/pdks.info
+/home/krishna/Comparator_MPW6/precheck_results/04_JUN_2022___09_00_52/logs/magic_drc_check.total
+/home/krishna/Comparator_MPW6/precheck_results/04_JUN_2022___09_00_52/logs/klayout_offgrid_check.total
+/home/krishna/Comparator_MPW6/precheck_results/04_JUN_2022___09_00_52/logs/klayout_met_min_ca_density_check.total
+/home/krishna/Comparator_MPW6/precheck_results/04_JUN_2022___10_33_37/outputs/reports/magic_drc_check.drc.report
+/home/krishna/Comparator_MPW6/precheck_results/04_JUN_2022___10_33_37/logs/klayout_zeroarea_check.total
+/home/krishna/Comparator_MPW6/precheck_results/04_JUN_2022___10_33_37/logs/tools.info
+/home/krishna/Comparator_MPW6/precheck_results/04_JUN_2022___10_33_37/logs/klayout_beol_check.total
+/home/krishna/Comparator_MPW6/precheck_results/04_JUN_2022___10_33_37/logs/klayout_pin_label_purposes_overlapping_drawing_check.total
+/home/krishna/Comparator_MPW6/precheck_results/04_JUN_2022___10_33_37/logs/klayout_feol_check.total
+/home/krishna/Comparator_MPW6/precheck_results/04_JUN_2022___10_33_37/logs/gds.info
+/home/krishna/Comparator_MPW6/precheck_results/04_JUN_2022___10_33_37/logs/pdks.info
+/home/krishna/Comparator_MPW6/precheck_results/04_JUN_2022___10_33_37/logs/magic_drc_check.total
+/home/krishna/Comparator_MPW6/precheck_results/04_JUN_2022___10_33_37/logs/klayout_offgrid_check.total
+/home/krishna/Comparator_MPW6/precheck_results/04_JUN_2022___10_33_37/logs/klayout_met_min_ca_density_check.total
+/home/krishna/Comparator_MPW6/precheck_results/04_JUN_2022___10_10_56/outputs/reports/magic_drc_check.drc.report
+/home/krishna/Comparator_MPW6/precheck_results/04_JUN_2022___10_10_56/logs/klayout_zeroarea_check.total
+/home/krishna/Comparator_MPW6/precheck_results/04_JUN_2022___10_10_56/logs/tools.info
+/home/krishna/Comparator_MPW6/precheck_results/04_JUN_2022___10_10_56/logs/klayout_beol_check.total
+/home/krishna/Comparator_MPW6/precheck_results/04_JUN_2022___10_10_56/logs/klayout_pin_label_purposes_overlapping_drawing_check.total
+/home/krishna/Comparator_MPW6/precheck_results/04_JUN_2022___10_10_56/logs/klayout_feol_check.total
+/home/krishna/Comparator_MPW6/precheck_results/04_JUN_2022___10_10_56/logs/gds.info
+/home/krishna/Comparator_MPW6/precheck_results/04_JUN_2022___10_10_56/logs/pdks.info
+/home/krishna/Comparator_MPW6/precheck_results/04_JUN_2022___10_10_56/logs/magic_drc_check.total
+/home/krishna/Comparator_MPW6/precheck_results/04_JUN_2022___10_10_56/logs/klayout_offgrid_check.total
+/home/krishna/Comparator_MPW6/precheck_results/04_JUN_2022___10_10_56/logs/klayout_met_min_ca_density_check.total
+/home/krishna/Comparator_MPW6/precheck_results/04_JUN_2022___10_00_20/outputs/reports/magic_drc_check.drc.report
+/home/krishna/Comparator_MPW6/precheck_results/04_JUN_2022___10_00_20/logs/klayout_zeroarea_check.total
+/home/krishna/Comparator_MPW6/precheck_results/04_JUN_2022___10_00_20/logs/tools.info
+/home/krishna/Comparator_MPW6/precheck_results/04_JUN_2022___10_00_20/logs/klayout_beol_check.total
+/home/krishna/Comparator_MPW6/precheck_results/04_JUN_2022___10_00_20/logs/klayout_pin_label_purposes_overlapping_drawing_check.total
+/home/krishna/Comparator_MPW6/precheck_results/04_JUN_2022___10_00_20/logs/klayout_feol_check.total
+/home/krishna/Comparator_MPW6/precheck_results/04_JUN_2022___10_00_20/logs/gds.info
+/home/krishna/Comparator_MPW6/precheck_results/04_JUN_2022___10_00_20/logs/pdks.info
+/home/krishna/Comparator_MPW6/precheck_results/04_JUN_2022___10_00_20/logs/magic_drc_check.total
+/home/krishna/Comparator_MPW6/precheck_results/04_JUN_2022___10_00_20/logs/klayout_offgrid_check.total
+/home/krishna/Comparator_MPW6/precheck_results/04_JUN_2022___10_00_20/logs/klayout_met_min_ca_density_check.total
+/home/krishna/Comparator_MPW6/mag/sky130_fd_pr__pfet_01v8_AC5Z8B#0.ext
+/home/krishna/Comparator_MPW6/mag/latch_3.ext
+/home/krishna/Comparator_MPW6/mag/inv_W2.ext
+/home/krishna/Comparator_MPW6/mag/sky130_fd_pr__diode_pw2nd_05v5_KLAK3C.ext
+/home/krishna/Comparator_MPW6/mag/sky130_fd_pr__diode_pw2nd_05v5_FT7GK8.ext
+/home/krishna/Comparator_MPW6/mag/sky130_fd_pr__diode_pw2nd_05v5_3P6M5Y.ext
+/home/krishna/Comparator_MPW6/mag/sky130_fd_pr__pfet_01v8_5SM9EE.ext
+/home/krishna/Comparator_MPW6/mag/latch_22.ext
+/home/krishna/Comparator_MPW6/mag/sky130_fd_pr__diode_pw2nd_05v5_GT7G3L.ext
+/home/krishna/Comparator_MPW6/mag/inv_W2#0.ext
+/home/krishna/Comparator_MPW6/mag/.magicrc
+/home/krishna/Comparator_MPW6/mag/sky130_fd_pr__diode_pd2nw_05v5_G4XDRY.ext
+/home/krishna/Comparator_MPW6/mag/sky130_fd_pr__nfet_01v8_XJTKXQ#1.ext
+/home/krishna/Comparator_MPW6/mag/sky130_fd_pr__nfet_01v8_XJTKXQ.ext
+/home/krishna/Comparator_MPW6/mag/sky130_fd_pr__pfet_01v8_AC5Z8B.ext
+/home/krishna/Comparator_MPW6/mag/buffer_2#1.ext
+/home/krishna/Comparator_MPW6/mag/comparator_v6.ext
+/home/krishna/Comparator_MPW6/mag/buffer_1#0.ext
+/home/krishna/Comparator_MPW6/mag/latch_2.ext
+/home/krishna/Comparator_MPW6/mag/user_analog_project_wrapper.ext
+/home/krishna/Comparator_MPW6/mag/buffer_2#0.ext
+/home/krishna/Comparator_MPW6/mag/sky130_fd_pr__diode_pd2nw_05v5_RT56W3.ext
+/home/krishna/Comparator_MPW6/mag/latch/SR_latch.ext
+/home/krishna/Comparator_MPW6/mag/latch/sky130_fd_pr__pfet_01v8_AC5Z8B#0.ext
+/home/krishna/Comparator_MPW6/mag/latch/sky130A.magicrc
+/home/krishna/Comparator_MPW6/mag/latch/latch_22.ext
+/home/krishna/Comparator_MPW6/mag/latch/sky130_fd_pr__pfet_01v8_AC5E9B.ext
+/home/krishna/Comparator_MPW6/mag/latch/.magicrc
+/home/krishna/Comparator_MPW6/mag/latch/sky130_fd_pr__nfet_01v8_XJTKXQ#1.ext
+/home/krishna/Comparator_MPW6/mag/latch/sky130_fd_pr__nfet_01v8_F5U58G.ext
+/home/krishna/Comparator_MPW6/mag/latch/sky130_fd_pr__pfet_01v8_GJYUB2.ext
+/home/krishna/Comparator_MPW6/mag/latch/latch_2.ext
+/home/krishna/Comparator_MPW6/mag/latch/sky130_fd_pr__pfet_01v8_5SVZDE.ext
+/home/krishna/Comparator_MPW6/mag/latch/latch.ext
+/home/krishna/Comparator_MPW6/mag/preamp/sky130_fd_pr__nfet_01v8_G6PLX8.ext
+/home/krishna/Comparator_MPW6/mag/preamp/preamp_part1.ext
+/home/krishna/Comparator_MPW6/mag/preamp/preamp_part2.ext
+/home/krishna/Comparator_MPW6/mag/preamp/sky130_fd_pr__nfet_01v8_XJTKXQ#0.ext
+/home/krishna/Comparator_MPW6/mag/preamp/sky130_fd_pr__nfet_01v8_F5U58G#1.ext
+/home/krishna/Comparator_MPW6/mag/preamp/.magicrc
+/home/krishna/Comparator_MPW6/mag/preamp/sky130_fd_pr__pfet_01v8_RFM3CD.ext
+/home/krishna/Comparator_MPW6/mag/preamp/sky130_fd_pr__nfet_01v8_8FHE5N.ext
+/home/krishna/Comparator_MPW6/mag/preamp/sky130_fd_pr__nfet_01v8_F5U58G#0.ext
+/home/krishna/Comparator_MPW6/xschem/xschemrc
+/home/krishna/Comparator_MPW6/xschem/buffer.sch
+/home/krishna/Comparator_MPW6/xschem/user_analog_project_wrapper.sym
+/home/krishna/Comparator_MPW6/xschem/SR_latch.sym
+/home/krishna/Comparator_MPW6/xschem/comparator.sch
+/home/krishna/Comparator_MPW6/xschem/comparator.sym
+/home/krishna/Comparator_MPW6/xschem/analog_wrapper_tb.sch
+/home/krishna/Comparator_MPW6/xschem/user_analog_project_wrapper.sch
+/home/krishna/Comparator_MPW6/xschem/.spiceinit
+/home/krishna/Comparator_MPW6/xschem/comparator_tb.sch
+/home/krishna/Comparator_MPW6/xschem/SR_latch.sch
+/home/krishna/Comparator_MPW6/xschem/buffer.sym
+/home/krishna/Comparator_MPW6/docs/Makefile
+/home/krishna/Comparator_MPW6/docs/environment.yml
+/home/krishna/Comparator_MPW6/docs/source/conf.py
+/home/krishna/Comparator_MPW6/docs/source/index.rst
+/home/krishna/Comparator_MPW6/netgen/run_lvs_wrapper_verilog.sh
+/home/krishna/Comparator_MPW6/netgen/run_lvs_por.sh
+/home/krishna/Comparator_MPW6/netgen/run_lvs_wrapper_xschem.sh
diff --git a/precheck_results/04_JUN_2022___14_38_44/logs/tools.info b/precheck_results/04_JUN_2022___14_38_44/logs/tools.info
new file mode 100644
index 0000000..9b2230a
--- /dev/null
+++ b/precheck_results/04_JUN_2022___14_38_44/logs/tools.info
@@ -0,0 +1,2 @@
+KLayout: 0.27.8
+Magic: 8.3.274
\ No newline at end of file
diff --git a/precheck_results/04_JUN_2022___14_38_44/logs/xor_check.log b/precheck_results/04_JUN_2022___14_38_44/logs/xor_check.log
new file mode 100644
index 0000000..f6ce858
--- /dev/null
+++ b/precheck_results/04_JUN_2022___14_38_44/logs/xor_check.log
@@ -0,0 +1,179 @@
+Reading file /home/krishna/Comparator_MPW6/gds/user_analog_project_wrapper.gds for cell user_analog_project_wrapper
+dbu=0.001
+cell user_analog_project_wrapper dbu-bbox(ll;ur)=(-4000,-4000;2924000,3524000)
+cell user_analog_project_wrapper dbu-bbox(left,bottom,right,top)=(-4000,-4000,2924000,3524000)
+cell user_analog_project_wrapper dbu-size(width,height)=(2928000,3528000)
+cell user_analog_project_wrapper micron-bbox(left,bottom,right,top)=(-4.0,-4.0,2924.0,3524.0)
+cell user_analog_project_wrapper micron-size(width,height)=(2928.0,3528.0)
+Done.
+
+Magic 8.3 revision 274 - Compiled on Fri Mar  4 22:54:15 UTC 2022.
+Starting magic under Tcl interpreter
+Using the terminal as the console.
+Using NULL graphics device.
+Processing system .magicrc file
+Loading "/home/krishna/mpw_precheckmpw6/checks/xor_check/erase_box.tcl" from command line.
+"sky130(vendor)" is not one of the CIF input styles Magic knows.
+Error: No style is set
+The CIF input styles are: .
+Don't know how to read GDS-II:
+Nothing in "cifinput" section of tech file.
+File user_analog_project_wrapper.mag couldn't be read
+No such file or directory
+Creating new cell
+Root cell box:
+           width x height  (   llx,  lly  ), (   urx,  ury  )  area (units^2)
+
+microns:  43.000 x 3520.000  (-43.000,  0.000), ( 0.000,  3520.000)  151360.000
+lambda:       43 x 3520    (   -43,  0    ), (     0,  3520 )  151360    
+Root cell box:
+           width x height  (   llx,  lly  ), (   urx,  ury  )  area (units^2)
+
+microns:  43.000 x 3520.000  ( 2920.000,  0.000), ( 2963.000,  3520.000)  151360.000
+lambda:       43 x 3520    (  2920,  0    ), (  2963,  3520 )  151360    
+Root cell box:
+           width x height  (   llx,  lly  ), (   urx,  ury  )  area (units^2)
+
+microns:  3006.000 x 38.000  (-43.000, -38.000), ( 2963.000,  0.000)  114228.000
+lambda:     3006 x 38      (   -43, -38   ), (  2963,  0    )  114228    
+Root cell box:
+           width x height  (   llx,  lly  ), (   urx,  ury  )  area (units^2)
+
+microns:  3006.000 x 37.000  (-43.000,  3520.000), ( 2963.000,  3557.000)  111222.000
+lambda:     3006 x 37      (   -43,  3520 ), (  2963,  3557 )  111222    
+Unrecognized layer: metal5
+Layer names are:
+    mag or magnet
+    f or fence
+    r or rotate
+    $
+    *
+    errors
+    labels
+    subcell
+    connect
+Unrecognized layer: metal4
+Layer names are:
+    mag or magnet
+    f or fence
+    r or rotate
+    $
+    *
+    errors
+    labels
+    subcell
+    connect
+Unrecognized layer: metal5
+Layer names are:
+    mag or magnet
+    f or fence
+    r or rotate
+    $
+    *
+    errors
+    labels
+    subcell
+    connect
+Unrecognized layer: metal4
+Layer names are:
+    mag or magnet
+    f or fence
+    r or rotate
+    $
+    *
+    errors
+    labels
+    subcell
+    connect
+No CIF/GDS output style set!
+I/O error in writing file /home/krishna/Comparator_MPW6/precheck_results/04_JUN_2022___14_38_44/outputs/user_analog_project_wrapper_erased.gds.
+File may be incompletely written.
+
+Magic 8.3 revision 274 - Compiled on Fri Mar  4 22:54:15 UTC 2022.
+Starting magic under Tcl interpreter
+Using the terminal as the console.
+Using NULL graphics device.
+Processing system .magicrc file
+Loading "/home/krishna/mpw_precheckmpw6/checks/xor_check/erase_box.tcl" from command line.
+"sky130(vendor)" is not one of the CIF input styles Magic knows.
+Error: No style is set
+The CIF input styles are: .
+Don't know how to read GDS-II:
+Nothing in "cifinput" section of tech file.
+File user_analog_project_wrapper.mag couldn't be read
+No such file or directory
+Creating new cell
+Root cell box:
+           width x height  (   llx,  lly  ), (   urx,  ury  )  area (units^2)
+
+microns:  43.000 x 3520.000  (-43.000,  0.000), ( 0.000,  3520.000)  151360.000
+lambda:       43 x 3520    (   -43,  0    ), (     0,  3520 )  151360    
+Root cell box:
+           width x height  (   llx,  lly  ), (   urx,  ury  )  area (units^2)
+
+microns:  43.000 x 3520.000  ( 2920.000,  0.000), ( 2963.000,  3520.000)  151360.000
+lambda:       43 x 3520    (  2920,  0    ), (  2963,  3520 )  151360    
+Root cell box:
+           width x height  (   llx,  lly  ), (   urx,  ury  )  area (units^2)
+
+microns:  3006.000 x 38.000  (-43.000, -38.000), ( 2963.000,  0.000)  114228.000
+lambda:     3006 x 38      (   -43, -38   ), (  2963,  0    )  114228    
+Root cell box:
+           width x height  (   llx,  lly  ), (   urx,  ury  )  area (units^2)
+
+microns:  3006.000 x 37.000  (-43.000,  3520.000), ( 2963.000,  3557.000)  111222.000
+lambda:     3006 x 37      (   -43,  3520 ), (  2963,  3557 )  111222    
+Unrecognized layer: metal5
+Layer names are:
+    mag or magnet
+    f or fence
+    r or rotate
+    $
+    *
+    errors
+    labels
+    subcell
+    connect
+Unrecognized layer: metal4
+Layer names are:
+    mag or magnet
+    f or fence
+    r or rotate
+    $
+    *
+    errors
+    labels
+    subcell
+    connect
+Unrecognized layer: metal5
+Layer names are:
+    mag or magnet
+    f or fence
+    r or rotate
+    $
+    *
+    errors
+    labels
+    subcell
+    connect
+Unrecognized layer: metal4
+Layer names are:
+    mag or magnet
+    f or fence
+    r or rotate
+    $
+    *
+    errors
+    labels
+    subcell
+    connect
+No CIF/GDS output style set!
+I/O error in writing file /home/krishna/Comparator_MPW6/precheck_results/04_JUN_2022___14_38_44/outputs/user_analog_project_wrapper_empty_erased.gds.
+File may be incompletely written.
+Reading /home/krishna/Comparator_MPW6/precheck_results/04_JUN_2022___14_38_44/outputs/user_analog_project_wrapper_erased.gds ..
+ERROR: In /home/krishna/mpw_precheckmpw6/checks/xor_check/xor.rb.drc: 'source': Stream has unknown format: /home/krishna/Comparator_MPW6/precheck_results/04_JUN_2022___14_38_44/outputs/user_analog_project_wrapper_erased.gds in Layout::read
+Total elapsed: 0.000s  Memory: 521.00M
+ERROR: 'source': Stream has unknown format: /home/krishna/Comparator_MPW6/precheck_results/04_JUN_2022___14_38_44/outputs/user_analog_project_wrapper_erased.gds in Layout::read in Executable::execute
+  /home/krishna/mpw_precheckmpw6/checks/xor_check/xor.rb.drc:15:in `execute'
+  :/built-in-macros/drc_interpreters.lym:27:in `instance_eval'
+  :/built-in-macros/drc_interpreters.lym:27:in `execute'
diff --git a/precheck_results/04_JUN_2022___14_38_44/outputs/reports/klayout_beol_check.xml b/precheck_results/04_JUN_2022___14_38_44/outputs/reports/klayout_beol_check.xml
new file mode 100644
index 0000000..9ad4ffd
--- /dev/null
+++ b/precheck_results/04_JUN_2022___14_38_44/outputs/reports/klayout_beol_check.xml
@@ -0,0 +1,447 @@
+<?xml version="1.0" encoding="utf-8"?>
+<report-database>
+ <description>SKY130 DRC runset</description>
+ <original-file/>
+ <generator>drc: script='/home/krishna/mpw_precheckmpw6/checks/tech-files/sky130A_mr.drc'</generator>
+ <top-cell>user_analog_project_wrapper</top-cell>
+ <tags>
+ </tags>
+ <categories>
+  <category>
+   <name>li.1</name>
+   <description>li.1 : min. li width : 0.17um</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>li.3</name>
+   <description>li.3 : min. li spacing : 0.17um</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>li.5</name>
+   <description>li.5 : min. li enclosure of licon of 2 adjacent edges : 0.08um</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>li.6</name>
+   <description>li.6 : min. li area : 0.0561um²</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>ct.1</name>
+   <description>ct.1: non-ring mcon should be rectangular</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>ct.1_a</name>
+   <description>ct.1_a : minimum width of mcon : 0.17um</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>ct.1_b</name>
+   <description>ct.1_b : maximum length of mcon : 0.17um</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>ct.2</name>
+   <description>ct.2 : min. mcon spacing : 0.19um</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>ct.4</name>
+   <description>ct.4 : mcon should covered by li</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>m1.1</name>
+   <description>m1.1 : min. m1 width : 0.14um</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>m1.2</name>
+   <description>m1.2 : min. m1 spacing : 0.14um</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>m1.3ab</name>
+   <description>m1.3ab : min. 3um.m1 spacing m1 : 0.28um</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>791_m1.4</name>
+   <description>791_m1.4 : min. m1 enclosure of mcon : 0.03um</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>m1.4</name>
+   <description>m1.4 : mcon periphery must be enclosed by m1</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>m1.4a</name>
+   <description>m1.4a : min. m1 enclosure of mcon for specific cells : 0.005um</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>m1.4a_a</name>
+   <description>m1.4a_a : mcon periph must be enclosed by met1 for specific cells</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>m1.6</name>
+   <description>m1.6 : min. m1 area : 0.083um²</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>m1.7</name>
+   <description>m1.7 : min. m1 with holes area : 0.14um²</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>m1.5</name>
+   <description>m1.5 : min. m1 enclosure of mcon of 2 adjacent edges : 0.06um</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>via.1a</name>
+   <description>via.1a : via outside of moduleCut should be rectangular</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>via.1a_a</name>
+   <description>via.1a_a : min. width of via outside of moduleCut : 0.15um</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>via.1a_b</name>
+   <description>via.1a_b : maximum length of via : 0.15um</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>via.2</name>
+   <description>via.2 : min. via spacing : 0.17um</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>via.4a</name>
+   <description>via.4a : min. m1 enclosure of 0.15um via : 0.055um</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>via.4a_a</name>
+   <description>via.4a_a : 0.15um via must be enclosed by met1</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>via.5a</name>
+   <description>via.5a : min. m1 enclosure of 0.15um via of 2 adjacent edges : 0.085um</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>m2.1</name>
+   <description>m2.1 : min. m2 width : 0.14um</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>m2.2</name>
+   <description>m2.2 : min. m2 spacing : 0.14um</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>m2.3ab</name>
+   <description>m2.3ab : min. 3um.m2 spacing m2 : 0.28um</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>m2.6</name>
+   <description>m2.6 : min. m2 area : 0.0676um²</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>m2.7</name>
+   <description>m2.7 : min. m2 holes area : 0.14um²</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>m2.4</name>
+   <description>m2.4 : min. m2 enclosure of via : 0.055um</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>m2.4_a</name>
+   <description>m2.4_a : via in periphery must be enclosed by met2</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>m2.5</name>
+   <description>m2.5 : min. m2 enclosure of via of 2 adjacent edges : 0.085um</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>via2.1a</name>
+   <description>via2.1a : via2 outside of moduleCut should be rectangular</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>via2.1a_a</name>
+   <description>via2.1a_a : min. width of via2 outside of moduleCut : 0.2um</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>via2.1a_b</name>
+   <description>via2.1a_b : maximum length of via2 : 0.2um</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>via2.2</name>
+   <description>via2.2 : min. via2 spacing : 0.2um</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>via2.4</name>
+   <description>via2.4 : min. m2 enclosure of via2 : 0.04um</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>via2.4_a</name>
+   <description>via2.4_a : via must be enclosed by met2</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>via2.5</name>
+   <description>via2.5 : min. m3 enclosure of via2 of 2 adjacent edges : 0.085um</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>m3.1</name>
+   <description>m3.1 : min. m3 width : 0.3um</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>m3.2</name>
+   <description>m3.2 : min. m3 spacing : 0.3um</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>m3.3cd</name>
+   <description>m3.3cd : min. 3um.m3 spacing m3 : 0.4um</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>m3.4</name>
+   <description>m3.4 : min. m3 enclosure of via2 : 0.065um</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>m3.4_a</name>
+   <description>m3.4_a : via2 must be enclosed by met3</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>via3.1</name>
+   <description>via3.1 : via3 outside of moduleCut should be rectangular</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>via3.1_a</name>
+   <description>via3.1_a : min. width of via3 outside of moduleCut : 0.2um</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>via3.1_b</name>
+   <description>via3.1_b : maximum length of via3 : 0.2um</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>via3.2</name>
+   <description>via3.2 : min. via3 spacing : 0.2um</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>via3.4</name>
+   <description>via3.4 : min. m3 enclosure of via3 : 0.06um</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>via3.4_a</name>
+   <description>via3.4_a : non-ring via3 must be enclosed by met3</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>via3.5</name>
+   <description>via3.5 : min. m3 enclosure of via3 of 2 adjacent edges : 0.09um</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>m4.1</name>
+   <description>m4.1 : min. m4 width : 0.3um</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>m4.2</name>
+   <description>m4.2 : min. m4 spacing : 0.3um</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>m4.4a</name>
+   <description>m4.4a : min. m4 area : 0.240um²</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>m4.5ab</name>
+   <description>m4.5ab : min. 3um.m4 spacing m4 : 0.4um</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>m4.3</name>
+   <description>m4.3 : min. m4 enclosure of via3 : 0.065um</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>m4.3_a</name>
+   <description>m4.3_a : via3 must be enclosed by met4</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>via4.1</name>
+   <description>via4.1 : via4 outside of moduleCut should be rectangular</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>via4.1_a</name>
+   <description>via4.1_a : min. width of via4 outside of moduleCut : 0.8um</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>via4.1_b</name>
+   <description>via4.1_b : maximum length of via4 : 0.8um</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>via4.2</name>
+   <description>via4.2 : min. via4 spacing : 0.8um</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>via4.4</name>
+   <description>via4.4 : min. m4 enclosure of via4 : 0.19um</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>via4.4_a</name>
+   <description>via4.4_a : m4 must enclose all via4</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>m5.1</name>
+   <description>m5.1 : min. m5 width : 1.6um</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>m5.2</name>
+   <description>m5.2 : min. m5 spacing : 1.6um</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>m5.3</name>
+   <description>m5.3 : min. m5 enclosure of via4 : 0.31um</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>m5.3_a</name>
+   <description>m5.3_a : via must be enclosed by m5</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>m5.4</name>
+   <description>m5.4 : min. m5 area : 4.0um²</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>pad.2</name>
+   <description>pad.2 : min. pad spacing : 1.27um</description>
+   <categories>
+   </categories>
+  </category>
+ </categories>
+ <cells>
+  <cell>
+   <name>user_analog_project_wrapper</name>
+   <variant/>
+   <references>
+   </references>
+  </cell>
+ </cells>
+ <items>
+ </items>
+</report-database>
diff --git a/precheck_results/04_JUN_2022___14_38_44/outputs/reports/klayout_feol_check.xml b/precheck_results/04_JUN_2022___14_38_44/outputs/reports/klayout_feol_check.xml
new file mode 100644
index 0000000..5c0c69c
--- /dev/null
+++ b/precheck_results/04_JUN_2022___14_38_44/outputs/reports/klayout_feol_check.xml
@@ -0,0 +1,363 @@
+<?xml version="1.0" encoding="utf-8"?>
+<report-database>
+ <description>SKY130 DRC runset</description>
+ <original-file/>
+ <generator>drc: script='/home/krishna/mpw_precheckmpw6/checks/tech-files/sky130A_mr.drc'</generator>
+ <top-cell>user_analog_project_wrapper</top-cell>
+ <tags>
+ </tags>
+ <categories>
+  <category>
+   <name>dnwell.2</name>
+   <description>dnwell.2 : min. dnwell width : 3.0um</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>nwell.1</name>
+   <description>nwell.1 : min. nwell width : 0.84um</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>nwell.2a</name>
+   <description>nwell.2a : min. nwell spacing (merged if less) : 1.27um</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>nwell.6</name>
+   <description>nwell.6 : min enclosure of nwellHole by dnwell : 1.03um</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>hvtp.1</name>
+   <description>hvtp.1 : min. hvtp width : 0.38um</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>hvtp.2</name>
+   <description>hvtp.2 : min. hvtp spacing : 0.38um</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>hvtr.1</name>
+   <description>hvtr.1 : min. hvtr width : 0.38um</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>hvtr.2</name>
+   <description>hvtr.2 : min. hvtr spacing : 0.38um</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>hvtr.2_a</name>
+   <description>hvtr.2_a : hvtr must not overlap hvtp</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>lvtn.1a</name>
+   <description>lvtn.1a : min. lvtn width : 0.38um</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>lvtn.2</name>
+   <description>lvtn.2 : min. lvtn spacing : 0.38um</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>ncm.1</name>
+   <description>ncm.1 : min. ncm width : 0.38um</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>ncm.2a</name>
+   <description>ncm.2a : min. ncm spacing : 0.38um</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>difftap.1</name>
+   <description>difftap.1 : min. diff width across areaid:ce : 0.15um</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>difftap.1_a</name>
+   <description>difftap.1_a : min. diff width in periphery : 0.15um</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>difftap.1_b</name>
+   <description>difftap.1_b : min. tap width across areaid:ce : 0.15um</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>difftap.1_c</name>
+   <description>difftap.1_c : min. tap width in periphery : 0.15um</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>difftap.3</name>
+   <description>difftap.3 : min. difftap spacing : 0.27um</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>tunm.1</name>
+   <description>tunm.1 : min. tunm width : 0.41um</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>tunm.2</name>
+   <description>tunm.2 : min. tunm spacing : 0.5um</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>poly.1a</name>
+   <description>poly.1a : min. poly width : 0.15um</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>poly.2</name>
+   <description>poly.2 : min. poly spacing : 0.21um</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>rpm.1a</name>
+   <description>rpm.1a : min. rpm width : 1.27um</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>rpm.2</name>
+   <description>rpm.2 : min. rpm spacing : 0.84um</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>urpm.1a</name>
+   <description>urpm.1a : min. rpm width : 1.27um</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>urpm.2</name>
+   <description>urpm.2 : min. rpm spacing : 0.84um</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>npc.1</name>
+   <description>npc.1 : min. npc width : 0.27um</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>npc.2</name>
+   <description>npc.2 : min. npc spacing, should be manually merged if less than : 0.27um</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>nsd.1</name>
+   <description>nsd.1 : min. nsdm width : 0.38um</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>nsd.2</name>
+   <description>nsd.2 : min. nsdm spacing, should be manually merged if less than : 0.38um</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>psd.1</name>
+   <description>psd.1 : min. psdm width : 0.38um</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>psd.2</name>
+   <description>psd.2 : min. psdm spacing, should be manually merged if less than : 0.38um</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>licon.1</name>
+   <description>licon.1 : licon should be rectangle</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>licon.1_a/b</name>
+   <description>licon.1_a/b : minimum/maximum width of licon : 0.17um</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>licon.13</name>
+   <description>licon.13 : min. difftap licon spacing to npc : 0.09um</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>licon.13_a</name>
+   <description>licon.13_a : licon of diffTap in periphery must not overlap npc</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>licon.17</name>
+   <description>licon.17 : Licons may not overlap both poly and (diff or tap)</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>capm.1</name>
+   <description>capm.1 : min. capm width : 1.0um</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>capm.2a</name>
+   <description>capm.2a : min. capm spacing : 0.84um</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>capm.2b</name>
+   <description>capm.2b : min. capm spacing : 1.2um</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>capm.2b_a</name>
+   <description>capm.2b_a : min. spacing of m3_bot_plate : 1.2um</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>capm.3</name>
+   <description>capm.3 : min. capm and m3 enclosure of m3 : 0.14um</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>capm.3_a</name>
+   <description>capm.3_a : min. m3 enclosure of capm : 0.14um</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>capm.4</name>
+   <description>capm.4 : min. capm enclosure of via3 : 0.14um</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>capm.5</name>
+   <description>capm.5 : min. capm spacing to via3 : 0.14um</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>cap2m.1</name>
+   <description>cap2m.1 : min. cap2m width : 1.0um</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>cap2m.2a</name>
+   <description>cap2m.2a : min. cap2m spacing : 0.84um</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>cap2m.2b</name>
+   <description>cap2m.2b : min. cap2m spacing : 1.2um</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>cap2m.2b_a</name>
+   <description>cap2m.2b_a : min. spacing of m4_bot_plate : 1.2um</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>cap2m.3</name>
+   <description>cap2m.3 : min. m4 enclosure of cap2m : 0.14um</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>cap2m.3_a</name>
+   <description>cap2m.3_a : min. m4 enclosure of cap2m : 0.14um</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>cap2m.4</name>
+   <description>cap2m.4 : min. cap2m enclosure of via4 : 0.14um</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>cap2m.5</name>
+   <description>cap2m.5 : min. cap2m spacing to via4 : 0.14um</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>hvi.1</name>
+   <description>hvi.1 : min. hvi width : 0.6um</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>hvi.2a</name>
+   <description>hvi.2a : min. hvi spacing : 0.7um</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>hvntm.1</name>
+   <description>hvntm.1 : min. hvntm width : 0.7um</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>hvntm.2</name>
+   <description>hvntm.2 : min. hvntm spacing : 0.7um</description>
+   <categories>
+   </categories>
+  </category>
+ </categories>
+ <cells>
+  <cell>
+   <name>user_analog_project_wrapper</name>
+   <variant/>
+   <references>
+   </references>
+  </cell>
+ </cells>
+ <items>
+ </items>
+</report-database>
diff --git a/precheck_results/04_JUN_2022___14_38_44/outputs/reports/klayout_met_min_ca_density_check.xml b/precheck_results/04_JUN_2022___14_38_44/outputs/reports/klayout_met_min_ca_density_check.xml
new file mode 100644
index 0000000..dc650ad
--- /dev/null
+++ b/precheck_results/04_JUN_2022___14_38_44/outputs/reports/klayout_met_min_ca_density_check.xml
@@ -0,0 +1,21 @@
+<?xml version="1.0" encoding="utf-8"?>
+<report-database>
+ <description>Density Checks</description>
+ <original-file/>
+ <generator>drc: script='/home/krishna/mpw_precheckmpw6/checks/drc_checks/klayout/met_min_ca_density.lydrc'</generator>
+ <top-cell>user_analog_project_wrapper</top-cell>
+ <tags>
+ </tags>
+ <categories>
+ </categories>
+ <cells>
+  <cell>
+   <name>user_analog_project_wrapper</name>
+   <variant/>
+   <references>
+   </references>
+  </cell>
+ </cells>
+ <items>
+ </items>
+</report-database>
diff --git a/precheck_results/04_JUN_2022___14_38_44/outputs/reports/klayout_offgrid_check.xml b/precheck_results/04_JUN_2022___14_38_44/outputs/reports/klayout_offgrid_check.xml
new file mode 100644
index 0000000..80a7de2
--- /dev/null
+++ b/precheck_results/04_JUN_2022___14_38_44/outputs/reports/klayout_offgrid_check.xml
@@ -0,0 +1,483 @@
+<?xml version="1.0" encoding="utf-8"?>
+<report-database>
+ <description>SKY130 DRC runset</description>
+ <original-file/>
+ <generator>drc: script='/home/krishna/mpw_precheckmpw6/checks/tech-files/sky130A_mr.drc'</generator>
+ <top-cell>user_analog_project_wrapper</top-cell>
+ <tags>
+ </tags>
+ <categories>
+  <category>
+   <name>dnwell_OFFGRID</name>
+   <description>x.1b : OFFGRID vertex on dnwell</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>dnwell_angle</name>
+   <description>x.3a : non 45 degree angle dnwell</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>nwell_OFFGRID</name>
+   <description>x.1b : OFFGRID vertex on nwell</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>nwell_angle</name>
+   <description>x.3a : non 45 degree angle nwell</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>pwbm_OFFGRID</name>
+   <description>x.1b : OFFGRID vertex on pwbm</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>pwbm_angle</name>
+   <description>x.3a : non 45 degree angle pwbm</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>pwde_OFFGRID</name>
+   <description>x.1b : OFFGRID vertex on pwde</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>pwde_angle</name>
+   <description>x.3a : non 45 degree angle pwde</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>hvtp_OFFGRID</name>
+   <description>x.1b : OFFGRID vertex on hvtp</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>hvtp_angle</name>
+   <description>x.3a : non 45 degree angle hvtp</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>hvtr_OFFGRID</name>
+   <description>x.1b : OFFGRID vertex on hvtr</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>hvtr_angle</name>
+   <description>x.3a : non 45 degree angle hvtr</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>lvtn_OFFGRID</name>
+   <description>x.1b : OFFGRID vertex on lvtn</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>lvtn_angle</name>
+   <description>x.3a : non 45 degree angle lvtn</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>ncm_OFFGRID</name>
+   <description>x.1b : OFFGRID vertex on ncm</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>ncm_angle</name>
+   <description>x.3a : non 45 degree angle ncm</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>diff_OFFGRID</name>
+   <description>x.1b : OFFGRID vertex on diff</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>tap_OFFGRID</name>
+   <description>x.1b : OFFGRID vertex on tap</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>diff_angle</name>
+   <description>x.2 : non 90 degree angle diff</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>diff_angle</name>
+   <description>x.2c : non 45 degree angle diff</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>tap_angle</name>
+   <description>x.2 : non 90 degree angle tap</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>tap_angle</name>
+   <description>x.2c : non 45 degree angle tap</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>tunm_OFFGRID</name>
+   <description>x.1b : OFFGRID vertex on tunm</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>tunm_angle</name>
+   <description>x.3a : non 45 degree angle tunm</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>poly_OFFGRID</name>
+   <description>x.1b : OFFGRID vertex on poly</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>poly_angle</name>
+   <description>x.2 : non 90 degree angle poly</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>rpm_OFFGRID</name>
+   <description>x.1b : OFFGRID vertex on rpm</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>rpm_angle</name>
+   <description>x.3a : non 45 degree angle rpm</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>npc_OFFGRID</name>
+   <description>x.1b : OFFGRID vertex on npc</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>npc_angle</name>
+   <description>x.3a : non 45 degree angle npc</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>nsdm_OFFGRID</name>
+   <description>x.1b : OFFGRID vertex on nsdm</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>nsdm_angle</name>
+   <description>x.3a : non 45 degree angle nsdm</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>psdm_OFFGRID</name>
+   <description>x.1b : OFFGRID vertex on psdm</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>psdm_angle</name>
+   <description>x.3a : non 45 degree angle psdm</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>licon_OFFGRID</name>
+   <description>x.1b : OFFGRID vertex on licon</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>licon_angle</name>
+   <description>x.2 : non 90 degree angle licon</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>li_OFFGRID</name>
+   <description>x.1b : OFFGRID vertex on li</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>li_angle</name>
+   <description>x.3a : non 45 degree angle li</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>ct_OFFGRID</name>
+   <description>x.1b : OFFGRID vertex on mcon</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>ct_angle</name>
+   <description>x.2 : non 90 degree angle mcon</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>vpp_OFFGRID</name>
+   <description>x.1b : OFFGRID vertex on vpp</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>vpp_angle</name>
+   <description>x.3a : non 45 degree angle vpp</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>m1_OFFGRID</name>
+   <description>x.1b : OFFGRID vertex on m1</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>m1_angle</name>
+   <description>x.3a : non 45 degree angle m1</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>via_OFFGRID</name>
+   <description>x.1b : OFFGRID vertex on via</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>via_angle</name>
+   <description>x.2 : non 90 degree angle via</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>m2_OFFGRID</name>
+   <description>x.1b : OFFGRID vertex on m2</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>m2_angle</name>
+   <description>x.3a : non 45 degree angle m2</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>via2_OFFGRID</name>
+   <description>x.1b : OFFGRID vertex on via2</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>via2_angle</name>
+   <description>x.2 : non 90 degree angle via2</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>m3_OFFGRID</name>
+   <description>x.1b : OFFGRID vertex on m3</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>m3_angle</name>
+   <description>x.3a : non 45 degree angle m3</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>via3_OFFGRID</name>
+   <description>x.1b : OFFGRID vertex on via3</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>via3_angle</name>
+   <description>x.2 : non 90 degree angle via3</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>nsm_OFFGRID</name>
+   <description>x.1b : OFFGRID vertex on nsm</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>nsm_angle</name>
+   <description>x.3a : non 45 degree angle nsm</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>m4_OFFGRID</name>
+   <description>x.1b : OFFGRID vertex on m4</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>m4_angle</name>
+   <description>x.3a : non 45 degree angle m4</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>via4_OFFGRID</name>
+   <description>x.1b : OFFGRID vertex on via4</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>via4_angle</name>
+   <description>x.2 : non 90 degree angle via4</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>m5_OFFGRID</name>
+   <description>x.1b : OFFGRID vertex on m5</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>m5_angle</name>
+   <description>x.3a : non 45 degree angle m5</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>pad_OFFGRID</name>
+   <description>x.1b : OFFGRID vertex on pad</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>pad_angle</name>
+   <description>x.3a : non 45 degree angle pad</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>mf_OFFGRID</name>
+   <description>x.1b : OFFGRID vertex on mf</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>mf_angle</name>
+   <description>x.2 : non 90 degree angle mf</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>hvi_OFFGRID</name>
+   <description>x.1b : OFFGRID vertex on hvi</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>hvi_angle</name>
+   <description>x.3a : non 45 degree angle hvi</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>hvntm_OFFGRID</name>
+   <description>x.1b : OFFGRID vertex on hvntm</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>hvntm_angle</name>
+   <description>x.3a : non 45 degree angle hvntm</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>vhvi_OFFGRID</name>
+   <description>x.1b : OFFGRID vertex on vhvi</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>vhvi_angle</name>
+   <description>x.3a : non 45 degree angle vhvi</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>uhvi_OFFGRID</name>
+   <description>x.1b : OFFGRID vertex on uhvi</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>uhvi_angle</name>
+   <description>x.3a : non 45 degree angle uhvi</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>pwell_rs_OFFGRID</name>
+   <description>x.1b : OFFGRID vertex on pwell_rs</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>pwell_rs_angle</name>
+   <description>x.3a : non 45 degree angle pwell_rs</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>areaid_re_OFFGRID</name>
+   <description>x.1b : OFFGRID vertex on areaid.re</description>
+   <categories>
+   </categories>
+  </category>
+ </categories>
+ <cells>
+  <cell>
+   <name>user_analog_project_wrapper</name>
+   <variant/>
+   <references>
+   </references>
+  </cell>
+ </cells>
+ <items>
+ </items>
+</report-database>
diff --git a/precheck_results/04_JUN_2022___14_38_44/outputs/reports/klayout_pin_label_purposes_overlapping_drawing_check.xml b/precheck_results/04_JUN_2022___14_38_44/outputs/reports/klayout_pin_label_purposes_overlapping_drawing_check.xml
new file mode 100644
index 0000000..95d0489
--- /dev/null
+++ b/precheck_results/04_JUN_2022___14_38_44/outputs/reports/klayout_pin_label_purposes_overlapping_drawing_check.xml
@@ -0,0 +1,21 @@
+<?xml version="1.0" encoding="utf-8"?>
+<report-database>
+ <description>pin_label_purposes_overlapping_drawing.rb.drc, input=/home/krishna/Comparator_MPW6/gds/user_analog_project_wrapper.gds, topcell=user_analog_project_wrapper</description>
+ <original-file/>
+ <generator>drc: script='/home/krishna/mpw_precheckmpw6/checks/drc_checks/klayout/pin_label_purposes_overlapping_drawing.rb.drc'</generator>
+ <top-cell>user_analog_project_wrapper</top-cell>
+ <tags>
+ </tags>
+ <categories>
+ </categories>
+ <cells>
+  <cell>
+   <name>user_analog_project_wrapper</name>
+   <variant/>
+   <references>
+   </references>
+  </cell>
+ </cells>
+ <items>
+ </items>
+</report-database>
diff --git a/precheck_results/04_JUN_2022___14_38_44/outputs/reports/klayout_zeroarea_check.xml b/precheck_results/04_JUN_2022___14_38_44/outputs/reports/klayout_zeroarea_check.xml
new file mode 100644
index 0000000..c90408a
--- /dev/null
+++ b/precheck_results/04_JUN_2022___14_38_44/outputs/reports/klayout_zeroarea_check.xml
@@ -0,0 +1,21 @@
+<?xml version="1.0" encoding="utf-8"?>
+<report-database>
+ <description>zero area check</description>
+ <original-file/>
+ <generator>drc: script='/home/krishna/mpw_precheckmpw6/checks/drc_checks/klayout/zeroarea.rb.drc'</generator>
+ <top-cell>user_analog_project_wrapper</top-cell>
+ <tags>
+ </tags>
+ <categories>
+ </categories>
+ <cells>
+  <cell>
+   <name>user_analog_project_wrapper</name>
+   <variant/>
+   <references>
+   </references>
+  </cell>
+ </cells>
+ <items>
+ </items>
+</report-database>
diff --git a/precheck_results/04_JUN_2022___14_38_44/outputs/reports/magic_drc_check.drc.report b/precheck_results/04_JUN_2022___14_38_44/outputs/reports/magic_drc_check.drc.report
new file mode 100644
index 0000000..829b9d5
--- /dev/null
+++ b/precheck_results/04_JUN_2022___14_38_44/outputs/reports/magic_drc_check.drc.report
@@ -0,0 +1,5 @@
+user_analog_project_wrapper
+----------------------------------------
+[INFO]: COUNT: 0
+[INFO]: Should be divided by 3 or 4
+
diff --git a/precheck_results/04_JUN_2022___14_38_44/outputs/reports/magic_drc_check.rdb b/precheck_results/04_JUN_2022___14_38_44/outputs/reports/magic_drc_check.rdb
new file mode 100644
index 0000000..8a882f3
--- /dev/null
+++ b/precheck_results/04_JUN_2022___14_38_44/outputs/reports/magic_drc_check.rdb
@@ -0,0 +1,2 @@
+$user_analog_project_wrapper
+ 100
diff --git a/precheck_results/04_JUN_2022___14_38_44/outputs/reports/magic_drc_check.tcl b/precheck_results/04_JUN_2022___14_38_44/outputs/reports/magic_drc_check.tcl
new file mode 100644
index 0000000..e69de29
--- /dev/null
+++ b/precheck_results/04_JUN_2022___14_38_44/outputs/reports/magic_drc_check.tcl
diff --git a/precheck_results/04_JUN_2022___14_38_44/outputs/reports/magic_drc_check.tr b/precheck_results/04_JUN_2022___14_38_44/outputs/reports/magic_drc_check.tr
new file mode 100644
index 0000000..e69de29
--- /dev/null
+++ b/precheck_results/04_JUN_2022___14_38_44/outputs/reports/magic_drc_check.tr
diff --git a/precheck_results/04_JUN_2022___14_38_44/outputs/reports/magic_drc_check.xml b/precheck_results/04_JUN_2022___14_38_44/outputs/reports/magic_drc_check.xml
new file mode 100644
index 0000000..7161d7c
--- /dev/null
+++ b/precheck_results/04_JUN_2022___14_38_44/outputs/reports/magic_drc_check.xml
@@ -0,0 +1,10 @@
+<?xml version="1.0" ?>
+<report-database>
+    <categories/>
+    <cells>
+        <cell>
+            <name>user_analog_project_wrapper</name>
+        </cell>
+    </cells>
+    <items/>
+</report-database>
diff --git a/precheck_results/04_JUN_2022___14_38_44/outputs/user_analog_project_wrapper.magic.drc.mag b/precheck_results/04_JUN_2022___14_38_44/outputs/user_analog_project_wrapper.magic.drc.mag
new file mode 100644
index 0000000..bc7865b
--- /dev/null
+++ b/precheck_results/04_JUN_2022___14_38_44/outputs/user_analog_project_wrapper.magic.drc.mag
@@ -0,0 +1,7 @@
+magic
+tech minimum
+magscale 1 2
+timestamp 0
+<< checkpaint >>
+rect 0 0 1 1
+<< end >>
diff --git a/precheck_results/04_JUN_2022___14_38_44/outputs/user_analog_project_wrapper_empty_erased.gds b/precheck_results/04_JUN_2022___14_38_44/outputs/user_analog_project_wrapper_empty_erased.gds
new file mode 100644
index 0000000..e69de29
--- /dev/null
+++ b/precheck_results/04_JUN_2022___14_38_44/outputs/user_analog_project_wrapper_empty_erased.gds
diff --git a/precheck_results/04_JUN_2022___14_38_44/outputs/user_analog_project_wrapper_erased.gds b/precheck_results/04_JUN_2022___14_38_44/outputs/user_analog_project_wrapper_erased.gds
new file mode 100644
index 0000000..e69de29
--- /dev/null
+++ b/precheck_results/04_JUN_2022___14_38_44/outputs/user_analog_project_wrapper_erased.gds
diff --git a/precheck_results/04_JUN_2022___14_38_44/outputs/user_analog_project_wrapper_no_zero_areas.gds b/precheck_results/04_JUN_2022___14_38_44/outputs/user_analog_project_wrapper_no_zero_areas.gds
new file mode 100644
index 0000000..a1c7c09
--- /dev/null
+++ b/precheck_results/04_JUN_2022___14_38_44/outputs/user_analog_project_wrapper_no_zero_areas.gds
Binary files differ
diff --git a/precheck_results/05_JUN_2022___09_43_53/logs/gds.info b/precheck_results/05_JUN_2022___09_43_53/logs/gds.info
new file mode 100644
index 0000000..998d56a
--- /dev/null
+++ b/precheck_results/05_JUN_2022___09_43_53/logs/gds.info
@@ -0,0 +1 @@
+user_analog_project_wrapper.gds: 407c5f2c8c0c002c1c297468c9c1a0adb2e73da8
\ No newline at end of file
diff --git a/precheck_results/05_JUN_2022___09_43_53/logs/klayout_beol_check.log b/precheck_results/05_JUN_2022___09_43_53/logs/klayout_beol_check.log
new file mode 100644
index 0000000..a7e54ea
--- /dev/null
+++ b/precheck_results/05_JUN_2022___09_43_53/logs/klayout_beol_check.log
@@ -0,0 +1,992 @@
+/home/krishna/mpw_precheckmpw6/checks/tech-files/sky130A_mr.drc:38: warning: already initialized constant DRC::DRCEngine::FEOL
+/home/krishna/mpw_precheckmpw6/checks/tech-files/sky130A_mr.drc:28: warning: previous definition of FEOL was here
+/home/krishna/mpw_precheckmpw6/checks/tech-files/sky130A_mr.drc:42: warning: already initialized constant DRC::DRCEngine::BEOL
+/home/krishna/mpw_precheckmpw6/checks/tech-files/sky130A_mr.drc:29: warning: previous definition of BEOL was here
+/home/krishna/mpw_precheckmpw6/checks/tech-files/sky130A_mr.drc:50: warning: already initialized constant DRC::DRCEngine::OFFGRID
+/home/krishna/mpw_precheckmpw6/checks/tech-files/sky130A_mr.drc:30: warning: previous definition of OFFGRID was here
+/home/krishna/mpw_precheckmpw6/checks/tech-files/sky130A_mr.drc:56: warning: already initialized constant DRC::DRCEngine::SEAL
+/home/krishna/mpw_precheckmpw6/checks/tech-files/sky130A_mr.drc:31: warning: previous definition of SEAL was here
+/home/krishna/mpw_precheckmpw6/checks/tech-files/sky130A_mr.drc:62: warning: already initialized constant DRC::DRCEngine::FLOATING_MET
+/home/krishna/mpw_precheckmpw6/checks/tech-files/sky130A_mr.drc:32: warning: previous definition of FLOATING_MET was here
+"input" in: sky130A_mr.drc:112
+    Polygons (raw): 62 (flat)  25 (hierarchical)
+    Elapsed: 0.010s  Memory: 522.00M
+"polygons" in: sky130A_mr.drc:113
+    Polygons (raw): 50 (flat)  42 (hierarchical)
+    Elapsed: 0.000s  Memory: 522.00M
+"polygons" in: sky130A_mr.drc:114
+    Polygons (raw): 89 (flat)  69 (hierarchical)
+    Elapsed: 0.010s  Memory: 522.00M
+"polygons" in: sky130A_mr.drc:115
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 522.00M
+"polygons" in: sky130A_mr.drc:116
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 522.00M
+"polygons" in: sky130A_mr.drc:117
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 522.00M
+"polygons" in: sky130A_mr.drc:118
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 522.00M
+"polygons" in: sky130A_mr.drc:119
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 522.00M
+"polygons" in: sky130A_mr.drc:120
+    Polygons (raw): 10 (flat)  2 (hierarchical)
+    Elapsed: 0.010s  Memory: 522.00M
+"polygons" in: sky130A_mr.drc:121
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 522.00M
+"polygons" in: sky130A_mr.drc:122
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 522.00M
+"polygons" in: sky130A_mr.drc:123
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 522.00M
+"polygons" in: sky130A_mr.drc:124
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 522.00M
+"polygons" in: sky130A_mr.drc:125
+    Polygons (raw): 202 (flat)  116 (hierarchical)
+    Elapsed: 0.000s  Memory: 522.00M
+"polygons" in: sky130A_mr.drc:126
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 522.00M
+"polygons" in: sky130A_mr.drc:127
+    Polygons (raw): 62 (flat)  43 (hierarchical)
+    Elapsed: 0.000s  Memory: 522.00M
+"polygons" in: sky130A_mr.drc:128
+    Polygons (raw): 76 (flat)  50 (hierarchical)
+    Elapsed: 0.010s  Memory: 522.00M
+"polygons" in: sky130A_mr.drc:129
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 522.00M
+"polygons" in: sky130A_mr.drc:130
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 522.00M
+"polygons" in: sky130A_mr.drc:131
+    Polygons (raw): 33 (flat)  24 (hierarchical)
+    Elapsed: 0.000s  Memory: 522.00M
+"polygons" in: sky130A_mr.drc:132
+    Polygons (raw): 928 (flat)  312 (hierarchical)
+    Elapsed: 0.010s  Memory: 522.00M
+"polygons" in: sky130A_mr.drc:134
+    Polygons (raw): 598 (flat)  426 (hierarchical)
+    Elapsed: 0.010s  Memory: 522.00M
+"polygons" in: sky130A_mr.drc:135
+    Polygons (raw): 1771 (flat)  1495 (hierarchical)
+    Elapsed: 0.000s  Memory: 522.00M
+"polygons" in: sky130A_mr.drc:137
+    Polygons (raw): 349 (flat)  299 (hierarchical)
+    Elapsed: 0.010s  Memory: 522.00M
+"polygons" in: sky130A_mr.drc:138
+    Polygons (raw): 2570 (flat)  2570 (hierarchical)
+    Elapsed: 0.000s  Memory: 522.00M
+"polygons" in: sky130A_mr.drc:140
+    Polygons (raw): 603 (flat)  603 (hierarchical)
+    Elapsed: 0.010s  Memory: 522.00M
+"polygons" in: sky130A_mr.drc:141
+    Polygons (raw): 1761 (flat)  1761 (hierarchical)
+    Elapsed: 0.010s  Memory: 522.00M
+"polygons" in: sky130A_mr.drc:143
+    Polygons (raw): 462 (flat)  462 (hierarchical)
+    Elapsed: 0.000s  Memory: 522.00M
+"polygons" in: sky130A_mr.drc:144
+    Polygons (raw): 25800 (flat)  25800 (hierarchical)
+    Elapsed: 0.020s  Memory: 523.00M
+"polygons" in: sky130A_mr.drc:146
+    Polygons (raw): 175 (flat)  175 (hierarchical)
+    Elapsed: 0.000s  Memory: 523.00M
+"polygons" in: sky130A_mr.drc:147
+    Polygons (raw): 552 (flat)  552 (hierarchical)
+    Elapsed: 0.010s  Memory: 523.00M
+"polygons" in: sky130A_mr.drc:149
+    Polygons (raw): 156 (flat)  156 (hierarchical)
+    Elapsed: 0.010s  Memory: 523.00M
+"polygons" in: sky130A_mr.drc:151
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 523.00M
+"polygons" in: sky130A_mr.drc:152
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 523.00M
+"polygons" in: sky130A_mr.drc:153
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 523.00M
+"polygons" in: sky130A_mr.drc:154
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 523.00M
+"polygons" in: sky130A_mr.drc:155
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 523.00M
+"polygons" in: sky130A_mr.drc:156
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 523.00M
+"polygons" in: sky130A_mr.drc:157
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 523.00M
+"polygons" in: sky130A_mr.drc:158
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 523.00M
+"polygons" in: sky130A_mr.drc:159
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 523.00M
+"polygons" in: sky130A_mr.drc:160
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 523.00M
+"polygons" in: sky130A_mr.drc:161
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 523.00M
+"polygons" in: sky130A_mr.drc:162
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 523.00M
+"polygons" in: sky130A_mr.drc:163
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 523.00M
+"polygons" in: sky130A_mr.drc:164
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 523.00M
+"polygons" in: sky130A_mr.drc:165
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 523.00M
+"polygons" in: sky130A_mr.drc:166
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 523.00M
+"polygons" in: sky130A_mr.drc:167
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 523.00M
+"polygons" in: sky130A_mr.drc:168
+    Polygons (raw): 10 (flat)  2 (hierarchical)
+    Elapsed: 0.010s  Memory: 523.00M
+"polygons" in: sky130A_mr.drc:169
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 523.00M
+"polygons" in: sky130A_mr.drc:170
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 523.00M
+"polygons" in: sky130A_mr.drc:171
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 523.00M
+"polygons" in: sky130A_mr.drc:172
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 523.00M
+"polygons" in: sky130A_mr.drc:173
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 523.00M
+"polygons" in: sky130A_mr.drc:174
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 523.00M
+"polygons" in: sky130A_mr.drc:175
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 523.00M
+"polygons" in: sky130A_mr.drc:176
+    Polygons (raw): 1 (flat)  1 (hierarchical)
+    Elapsed: 0.000s  Memory: 523.00M
+"polygons" in: sky130A_mr.drc:177
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 523.00M
+"polygons" in: sky130A_mr.drc:178
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 523.00M
+"polygons" in: sky130A_mr.drc:179
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 523.00M
+"polygons" in: sky130A_mr.drc:180
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 523.00M
+"polygons" in: sky130A_mr.drc:181
+    Polygons (raw): 4 (flat)  3 (hierarchical)
+    Elapsed: 0.010s  Memory: 523.00M
+"polygons" in: sky130A_mr.drc:182
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 523.00M
+"polygons" in: sky130A_mr.drc:183
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 523.00M
+"polygons" in: sky130A_mr.drc:184
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 523.00M
+"polygons" in: sky130A_mr.drc:185
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 523.00M
+"polygons" in: sky130A_mr.drc:186
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 523.00M
+"polygons" in: sky130A_mr.drc:187
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 523.00M
+"polygons" in: sky130A_mr.drc:188
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 523.00M
+"polygons" in: sky130A_mr.drc:189
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 523.00M
+"polygons" in: sky130A_mr.drc:190
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 523.00M
+"polygons" in: sky130A_mr.drc:191
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 523.00M
+"polygons" in: sky130A_mr.drc:192
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 523.00M
+"polygons" in: sky130A_mr.drc:193
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 523.00M
+"polygons" in: sky130A_mr.drc:194
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 523.00M
+"polygons" in: sky130A_mr.drc:195
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 523.00M
+"polygons" in: sky130A_mr.drc:196
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 523.00M
+"polygons" in: sky130A_mr.drc:197
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 523.00M
+"polygons" in: sky130A_mr.drc:198
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 523.00M
+"polygons" in: sky130A_mr.drc:199
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 523.00M
+"polygons" in: sky130A_mr.drc:200
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 523.00M
+"polygons" in: sky130A_mr.drc:201
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 523.00M
+"polygons" in: sky130A_mr.drc:202
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 523.00M
+"polygons" in: sky130A_mr.drc:203
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 523.00M
+DRC section
+BEOL section
+START: 67/20 (li)
+"not" in: sky130A_mr.drc:381
+    Polygons (raw): 598 (flat)  426 (hierarchical)
+    Elapsed: 0.000s  Memory: 523.00M
+"width" in: sky130A_mr.drc:382
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 523.00M
+"output" in: sky130A_mr.drc:382
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 523.00M
+"edges" in: sky130A_mr.drc:384
+    Edges: 2997 (flat)  1750 (hierarchical)
+    Elapsed: 0.020s  Memory: 1067.00M
+"space" in: sky130A_mr.drc:384
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.020s  Memory: 1067.00M
+"output" in: sky130A_mr.drc:384
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 1067.00M
+"not" in: sky130A_mr.drc:385
+    Polygons (raw): 928 (flat)  312 (hierarchical)
+    Elapsed: 0.000s  Memory: 1067.00M
+"enclosing" in: sky130A_mr.drc:386
+    Edge pairs: 1219 (flat)  999 (hierarchical)
+    Elapsed: 0.030s  Memory: 1067.00M
+"second_edges" in: sky130A_mr.drc:386
+    Edges: 1219 (flat)  999 (hierarchical)
+    Elapsed: 0.010s  Memory: 1067.00M
+"width" in: sky130A_mr.drc:387
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 1067.00M
+"polygons" in: sky130A_mr.drc:388
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 1067.00M
+"interacting" in: sky130A_mr.drc:388
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 1067.00M
+"output" in: sky130A_mr.drc:389
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 1067.00M
+"with_area" in: sky130A_mr.drc:390
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 1067.00M
+"output" in: sky130A_mr.drc:390
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 1067.00M
+END: 67/20 (li)
+START: 67/44 (mcon)
+"not" in: sky130A_mr.drc:395
+    Polygons (raw): 1771 (flat)  1495 (hierarchical)
+    Elapsed: 0.000s  Memory: 1067.00M
+"not" in: sky130A_mr.drc:402
+    Polygons (raw): 1771 (flat)  1495 (hierarchical)
+    Elapsed: 0.010s  Memory: 1067.00M
+"non_rectangles" in: sky130A_mr.drc:403
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 1068.00M
+"output" in: sky130A_mr.drc:403
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 1068.00M
+"drc" in: sky130A_mr.drc:405
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 1068.00M
+"output" in: sky130A_mr.drc:405
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 1068.00M
+"drc" in: sky130A_mr.drc:406
+    Edges: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 1068.00M
+"output" in: sky130A_mr.drc:406
+    Edges: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 1068.00M
+"space" in: sky130A_mr.drc:407
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 1068.00M
+"output" in: sky130A_mr.drc:407
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 1068.00M
+"not" in: sky130A_mr.drc:413
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 1068.00M
+"output" in: sky130A_mr.drc:413
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 1068.00M
+END: 67/44 (mcon)
+START: 68/20 (m1)
+"width" in: sky130A_mr.drc:418
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 1068.00M
+"output" in: sky130A_mr.drc:418
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 1068.00M
+"sized" in: sky130A_mr.drc:419
+    Polygons (raw): 17 (flat)  17 (hierarchical)
+    Elapsed: 0.010s  Memory: 1068.00M
+"sized" in: sky130A_mr.drc:419
+    Polygons (raw): 17 (flat)  17 (hierarchical)
+    Elapsed: 0.000s  Memory: 1068.00M
+"snap" in: sky130A_mr.drc:419
+    Polygons (raw): 17 (flat)  17 (hierarchical)
+    Elapsed: 0.010s  Memory: 1068.00M
+"&" in: sky130A_mr.drc:419
+    Polygons (raw): 17 (flat)  17 (hierarchical)
+    Elapsed: 0.000s  Memory: 1068.00M
+"edges" in: sky130A_mr.drc:420
+    Edges: 1233 (flat)  1093 (hierarchical)
+    Elapsed: 0.020s  Memory: 1068.00M
+"-" in: sky130A_mr.drc:420
+    Edges: 1093 (flat)  962 (hierarchical)
+    Elapsed: 0.010s  Memory: 1068.00M
+"edges" in: sky130A_mr.drc:421
+    Edges: 126 (flat)  126 (hierarchical)
+    Elapsed: 0.010s  Memory: 1068.00M
+"merged" in: sky130A_mr.drc:421
+    Polygons (raw): 123 (flat)  113 (hierarchical)
+    Elapsed: 0.010s  Memory: 1068.00M
+"outside_part" in: sky130A_mr.drc:421
+    Edges: 142 (flat)  142 (hierarchical)
+    Elapsed: 0.010s  Memory: 1068.00M
+"space" in: sky130A_mr.drc:423
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 1068.00M
+"output" in: sky130A_mr.drc:423
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 1068.00M
+"separation" in: sky130A_mr.drc:425
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 1068.00M
+"space" in: sky130A_mr.drc:425
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 1068.00M
+"+" in: sky130A_mr.drc:425
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 1068.00M
+"output" in: sky130A_mr.drc:425
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 1068.00M
+"input" in: sky130A_mr.drc:429
+    Polygons (raw): 349 (flat)  305 (hierarchical)
+    Elapsed: 0.010s  Memory: 1068.00M
+"enclosing" in: sky130A_mr.drc:431
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.020s  Memory: 1068.00M
+"output" in: sky130A_mr.drc:431
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 1068.00M
+"not" in: sky130A_mr.drc:432
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.020s  Memory: 1068.00M
+"output" in: sky130A_mr.drc:432
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 1068.00M
+"input" in: sky130A_mr.drc:434
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 1068.00M
+"enclosing" in: sky130A_mr.drc:435
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 1068.00M
+"output" in: sky130A_mr.drc:435
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 1068.00M
+"not" in: sky130A_mr.drc:437
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 1068.00M
+"output" in: sky130A_mr.drc:437
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 1068.00M
+"with_area" in: sky130A_mr.drc:439
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 1068.00M
+"output" in: sky130A_mr.drc:439
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 1068.00M
+"holes" in: sky130A_mr.drc:441
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 1068.00M
+"with_area" in: sky130A_mr.drc:441
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 1068.00M
+"output" in: sky130A_mr.drc:441
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 1068.00M
+"enclosing" in: sky130A_mr.drc:448
+    Edge pairs: 245 (flat)  205 (hierarchical)
+    Elapsed: 0.020s  Memory: 1068.00M
+"second_edges" in: sky130A_mr.drc:448
+    Edges: 245 (flat)  205 (hierarchical)
+    Elapsed: 0.010s  Memory: 1068.00M
+"width" in: sky130A_mr.drc:449
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 1068.00M
+"polygons" in: sky130A_mr.drc:450
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 1068.00M
+"interacting" in: sky130A_mr.drc:450
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 1068.00M
+"output" in: sky130A_mr.drc:451
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 1068.00M
+END: 68/20 (m1)
+START: 68/44 (via)
+"not" in: sky130A_mr.drc:465
+    Polygons (raw): 2570 (flat)  2570 (hierarchical)
+    Elapsed: 0.000s  Memory: 1068.00M
+"non_rectangles" in: sky130A_mr.drc:467
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 1068.00M
+"output" in: sky130A_mr.drc:467
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 1068.00M
+"width" in: sky130A_mr.drc:468
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 1068.00M
+"output" in: sky130A_mr.drc:468
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 1068.00M
+"drc" in: sky130A_mr.drc:470
+    Edges: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 1068.00M
+"output" in: sky130A_mr.drc:470
+    Edges: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 1068.00M
+"space" in: sky130A_mr.drc:472
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.020s  Memory: 1068.00M
+"output" in: sky130A_mr.drc:472
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 1068.00M
+"edges" in: sky130A_mr.drc:480
+    Edges: 1233 (flat)  1093 (hierarchical)
+    Elapsed: 0.010s  Memory: 1068.00M
+"drc" in: sky130A_mr.drc:480
+    Edges: 10280 (flat)  10280 (hierarchical)
+    Elapsed: 0.040s  Memory: 1068.00M
+"enclosing" in: sky130A_mr.drc:480
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 1068.00M
+"output" in: sky130A_mr.drc:480
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 1068.00M
+"squares" in: sky130A_mr.drc:481
+    Polygons (raw): 2570 (flat)  2570 (hierarchical)
+    Elapsed: 0.010s  Memory: 1068.00M
+"drc" in: sky130A_mr.drc:481
+    Edges: 10280 (flat)  10280 (hierarchical)
+    Elapsed: 0.040s  Memory: 1068.00M
+"not" in: sky130A_mr.drc:481
+    Edges: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.020s  Memory: 1068.00M
+"output" in: sky130A_mr.drc:481
+    Edges: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 1068.00M
+"edges" in: sky130A_mr.drc:483
+    Edges: 1233 (flat)  1093 (hierarchical)
+    Elapsed: 0.010s  Memory: 1068.00M
+"drc" in: sky130A_mr.drc:483
+    Edges: 10280 (flat)  10280 (hierarchical)
+    Elapsed: 0.040s  Memory: 1068.00M
+"enclosing" in: sky130A_mr.drc:483
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 1068.00M
+"second_edges" in: sky130A_mr.drc:483
+    Edges: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 1068.00M
+"width" in: sky130A_mr.drc:484
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 1068.00M
+"polygons" in: sky130A_mr.drc:485
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 1068.00M
+"interacting" in: sky130A_mr.drc:485
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 1068.00M
+"output" in: sky130A_mr.drc:486
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 1068.00M
+END: 68/44 (via)
+START: 69/20 (m2)
+"width" in: sky130A_mr.drc:493
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 1069.00M
+"output" in: sky130A_mr.drc:493
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 1069.00M
+"sized" in: sky130A_mr.drc:495
+    Polygons (raw): 13 (flat)  13 (hierarchical)
+    Elapsed: 0.000s  Memory: 1069.00M
+"sized" in: sky130A_mr.drc:495
+    Polygons (raw): 13 (flat)  13 (hierarchical)
+    Elapsed: 0.010s  Memory: 1069.00M
+"snap" in: sky130A_mr.drc:495
+    Polygons (raw): 13 (flat)  13 (hierarchical)
+    Elapsed: 0.010s  Memory: 1069.00M
+"&" in: sky130A_mr.drc:495
+    Polygons (raw): 13 (flat)  13 (hierarchical)
+    Elapsed: 0.000s  Memory: 1069.00M
+"edges" in: sky130A_mr.drc:496
+    Edges: 2367 (flat)  2367 (hierarchical)
+    Elapsed: 0.020s  Memory: 1069.00M
+"-" in: sky130A_mr.drc:496
+    Edges: 2296 (flat)  2296 (hierarchical)
+    Elapsed: 0.010s  Memory: 1069.00M
+"edges" in: sky130A_mr.drc:497
+    Edges: 64 (flat)  64 (hierarchical)
+    Elapsed: 0.010s  Memory: 1069.00M
+"merged" in: sky130A_mr.drc:497
+    Polygons (raw): 550 (flat)  550 (hierarchical)
+    Elapsed: 0.010s  Memory: 1069.00M
+"outside_part" in: sky130A_mr.drc:497
+    Edges: 65 (flat)  65 (hierarchical)
+    Elapsed: 0.000s  Memory: 1069.00M
+"not" in: sky130A_mr.drc:498
+    Polygons (raw): 2570 (flat)  2570 (hierarchical)
+    Elapsed: 0.010s  Memory: 1069.00M
+"space" in: sky130A_mr.drc:500
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.020s  Memory: 1069.00M
+"output" in: sky130A_mr.drc:500
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 1069.00M
+"separation" in: sky130A_mr.drc:502
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 1069.00M
+"space" in: sky130A_mr.drc:502
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 1069.00M
+"+" in: sky130A_mr.drc:502
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 1069.00M
+"output" in: sky130A_mr.drc:502
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 1069.00M
+"with_area" in: sky130A_mr.drc:504
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 1069.00M
+"output" in: sky130A_mr.drc:504
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 1069.00M
+"holes" in: sky130A_mr.drc:505
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 1069.00M
+"with_area" in: sky130A_mr.drc:505
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 1069.00M
+"output" in: sky130A_mr.drc:505
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 1069.00M
+"enclosing" in: sky130A_mr.drc:510
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.020s  Memory: 1069.00M
+"output" in: sky130A_mr.drc:510
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 1069.00M
+"not" in: sky130A_mr.drc:511
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 1069.00M
+"output" in: sky130A_mr.drc:511
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 1069.00M
+"enclosing" in: sky130A_mr.drc:512
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.020s  Memory: 1069.00M
+"second_edges" in: sky130A_mr.drc:512
+    Edges: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 1069.00M
+"width" in: sky130A_mr.drc:513
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 1069.00M
+"polygons" in: sky130A_mr.drc:514
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 1069.00M
+"interacting" in: sky130A_mr.drc:514
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 1069.00M
+"output" in: sky130A_mr.drc:515
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 1069.00M
+END: 69/20 (m2)
+START: 69/44 (via2)
+"not" in: sky130A_mr.drc:530
+    Polygons (raw): 1761 (flat)  1761 (hierarchical)
+    Elapsed: 0.010s  Memory: 1069.00M
+"non_rectangles" in: sky130A_mr.drc:531
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 1070.00M
+"output" in: sky130A_mr.drc:531
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 1070.00M
+"width" in: sky130A_mr.drc:532
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 1070.00M
+"output" in: sky130A_mr.drc:532
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 1070.00M
+"edges" in: sky130A_mr.drc:533
+    Edges: 7044 (flat)  7044 (hierarchical)
+    Elapsed: 0.010s  Memory: 1070.00M
+"without_length" in: sky130A_mr.drc:533
+    Edges: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.020s  Memory: 1071.00M
+"output" in: sky130A_mr.drc:533
+    Edges: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 1071.00M
+"space" in: sky130A_mr.drc:534
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.020s  Memory: 1071.00M
+"output" in: sky130A_mr.drc:534
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 1071.00M
+"enclosing" in: sky130A_mr.drc:542
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.020s  Memory: 1071.00M
+"output" in: sky130A_mr.drc:542
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 1071.00M
+"not" in: sky130A_mr.drc:543
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 1071.00M
+"output" in: sky130A_mr.drc:543
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 1071.00M
+"enclosing" in: sky130A_mr.drc:545
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.020s  Memory: 1071.00M
+"second_edges" in: sky130A_mr.drc:545
+    Edges: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 1071.00M
+"width" in: sky130A_mr.drc:546
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 1071.00M
+"polygons" in: sky130A_mr.drc:547
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 1071.00M
+"interacting" in: sky130A_mr.drc:547
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 1071.00M
+"output" in: sky130A_mr.drc:548
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 1071.00M
+END: 69/44 (via2)
+START: 70/20 (m3)
+"width" in: sky130A_mr.drc:554
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 1071.00M
+"output" in: sky130A_mr.drc:554
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 1071.00M
+"sized" in: sky130A_mr.drc:556
+    Polygons (raw): 53 (flat)  53 (hierarchical)
+    Elapsed: 0.010s  Memory: 1071.00M
+"sized" in: sky130A_mr.drc:556
+    Polygons (raw): 53 (flat)  53 (hierarchical)
+    Elapsed: 0.010s  Memory: 1071.00M
+"snap" in: sky130A_mr.drc:556
+    Polygons (raw): 53 (flat)  53 (hierarchical)
+    Elapsed: 0.010s  Memory: 1071.00M
+"&" in: sky130A_mr.drc:556
+    Polygons (raw): 98 (flat)  98 (hierarchical)
+    Elapsed: 0.000s  Memory: 1071.00M
+"edges" in: sky130A_mr.drc:557
+    Edges: 1670 (flat)  1670 (hierarchical)
+    Elapsed: 0.020s  Memory: 1071.00M
+"-" in: sky130A_mr.drc:557
+    Edges: 883 (flat)  883 (hierarchical)
+    Elapsed: 0.010s  Memory: 1071.00M
+"edges" in: sky130A_mr.drc:558
+    Edges: 679 (flat)  679 (hierarchical)
+    Elapsed: 0.010s  Memory: 1071.00M
+"merged" in: sky130A_mr.drc:558
+    Polygons (raw): 228 (flat)  228 (hierarchical)
+    Elapsed: 0.000s  Memory: 1071.00M
+"outside_part" in: sky130A_mr.drc:558
+    Edges: 684 (flat)  684 (hierarchical)
+    Elapsed: 0.010s  Memory: 1071.00M
+"space" in: sky130A_mr.drc:560
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 1071.00M
+"output" in: sky130A_mr.drc:560
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 1071.00M
+"separation" in: sky130A_mr.drc:562
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 1071.00M
+"space" in: sky130A_mr.drc:562
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 1071.00M
+"+" in: sky130A_mr.drc:562
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 1071.00M
+"output" in: sky130A_mr.drc:562
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 1071.00M
+"enclosing" in: sky130A_mr.drc:567
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 1071.00M
+"output" in: sky130A_mr.drc:567
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 1071.00M
+"not" in: sky130A_mr.drc:568
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 1071.00M
+"output" in: sky130A_mr.drc:568
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 1071.00M
+END: 70/20 (m3)
+START: 70/44 (via3)
+"not" in: sky130A_mr.drc:582
+    Polygons (raw): 25800 (flat)  25800 (hierarchical)
+    Elapsed: 0.010s  Memory: 1071.00M
+"non_rectangles" in: sky130A_mr.drc:583
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.140s  Memory: 1078.00M
+"output" in: sky130A_mr.drc:583
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 1078.00M
+"width" in: sky130A_mr.drc:584
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.020s  Memory: 1078.00M
+"output" in: sky130A_mr.drc:584
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 1078.00M
+"edges" in: sky130A_mr.drc:585
+    Edges: 103200 (flat)  103200 (hierarchical)
+    Elapsed: 0.130s  Memory: 1078.00M
+"without_length" in: sky130A_mr.drc:585
+    Edges: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.300s  Memory: 1105.00M
+"output" in: sky130A_mr.drc:585
+    Edges: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 1105.00M
+"space" in: sky130A_mr.drc:587
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.190s  Memory: 1105.00M
+"output" in: sky130A_mr.drc:587
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 1105.00M
+"enclosing" in: sky130A_mr.drc:588
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.240s  Memory: 1105.00M
+"output" in: sky130A_mr.drc:588
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 1105.00M
+"not" in: sky130A_mr.drc:589
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.060s  Memory: 1105.00M
+"output" in: sky130A_mr.drc:589
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 1105.00M
+"enclosing" in: sky130A_mr.drc:591
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.240s  Memory: 1105.00M
+"second_edges" in: sky130A_mr.drc:591
+    Edges: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 1105.00M
+"width" in: sky130A_mr.drc:592
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 1105.00M
+"polygons" in: sky130A_mr.drc:593
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 1105.00M
+"interacting" in: sky130A_mr.drc:593
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 1105.00M
+"output" in: sky130A_mr.drc:594
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 1105.00M
+END: 70/44 (via3)
+START: 71/20 (m4)
+"width" in: sky130A_mr.drc:600
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 1105.00M
+"output" in: sky130A_mr.drc:600
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 1105.00M
+"sized" in: sky130A_mr.drc:602
+    Polygons (raw): 20 (flat)  20 (hierarchical)
+    Elapsed: 0.010s  Memory: 1105.00M
+"sized" in: sky130A_mr.drc:602
+    Polygons (raw): 20 (flat)  20 (hierarchical)
+    Elapsed: 0.000s  Memory: 1105.00M
+"snap" in: sky130A_mr.drc:602
+    Polygons (raw): 20 (flat)  20 (hierarchical)
+    Elapsed: 0.010s  Memory: 1105.00M
+"&" in: sky130A_mr.drc:602
+    Polygons (raw): 46 (flat)  46 (hierarchical)
+    Elapsed: 0.010s  Memory: 1105.00M
+"edges" in: sky130A_mr.drc:603
+    Edges: 566 (flat)  566 (hierarchical)
+    Elapsed: 0.010s  Memory: 1105.00M
+"-" in: sky130A_mr.drc:603
+    Edges: 116 (flat)  116 (hierarchical)
+    Elapsed: 0.010s  Memory: 1105.00M
+"edges" in: sky130A_mr.drc:604
+    Edges: 362 (flat)  362 (hierarchical)
+    Elapsed: 0.010s  Memory: 1105.00M
+"merged" in: sky130A_mr.drc:604
+    Polygons (raw): 31 (flat)  31 (hierarchical)
+    Elapsed: 0.000s  Memory: 1105.00M
+"outside_part" in: sky130A_mr.drc:604
+    Edges: 367 (flat)  367 (hierarchical)
+    Elapsed: 0.010s  Memory: 1105.00M
+"space" in: sky130A_mr.drc:606
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 1105.00M
+"output" in: sky130A_mr.drc:606
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 1105.00M
+"with_area" in: sky130A_mr.drc:608
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 1105.00M
+"output" in: sky130A_mr.drc:608
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 1105.00M
+"separation" in: sky130A_mr.drc:610
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 1105.00M
+"space" in: sky130A_mr.drc:610
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 1105.00M
+"+" in: sky130A_mr.drc:610
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 1105.00M
+"output" in: sky130A_mr.drc:610
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 1105.00M
+"enclosing" in: sky130A_mr.drc:615
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.190s  Memory: 1105.00M
+"output" in: sky130A_mr.drc:615
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 1105.00M
+"not" in: sky130A_mr.drc:616
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.050s  Memory: 1105.00M
+"output" in: sky130A_mr.drc:616
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 1105.00M
+END: 71/20 (m4)
+START: 71/44 (via4)
+"not" in: sky130A_mr.drc:629
+    Polygons (raw): 552 (flat)  552 (hierarchical)
+    Elapsed: 0.000s  Memory: 1105.00M
+"non_rectangles" in: sky130A_mr.drc:630
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 1105.00M
+"output" in: sky130A_mr.drc:630
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 1105.00M
+"width" in: sky130A_mr.drc:631
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 1105.00M
+"output" in: sky130A_mr.drc:631
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 1105.00M
+"drc" in: sky130A_mr.drc:632
+    Edges: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 1105.00M
+"output" in: sky130A_mr.drc:632
+    Edges: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 1105.00M
+"space" in: sky130A_mr.drc:634
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 1105.00M
+"polygons" in: sky130A_mr.drc:634
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 1105.00M
+"output" in: sky130A_mr.drc:634
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 1105.00M
+"enclosing" in: sky130A_mr.drc:642
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 1105.00M
+"output" in: sky130A_mr.drc:642
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 1105.00M
+"not" in: sky130A_mr.drc:643
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 1105.00M
+"output" in: sky130A_mr.drc:643
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 1105.00M
+END: 71/44 (via4)
+START: 72/20 (m5)
+"width" in: sky130A_mr.drc:648
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 1105.00M
+"output" in: sky130A_mr.drc:648
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 1105.00M
+"space" in: sky130A_mr.drc:650
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 1105.00M
+"output" in: sky130A_mr.drc:650
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 1105.00M
+"enclosing" in: sky130A_mr.drc:652
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 1105.00M
+"output" in: sky130A_mr.drc:652
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 1105.00M
+"not" in: sky130A_mr.drc:653
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 1105.00M
+"output" in: sky130A_mr.drc:653
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 1105.00M
+"with_area" in: sky130A_mr.drc:657
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 1105.00M
+"output" in: sky130A_mr.drc:657
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 1105.00M
+END: 72/20 (m5)
+START: 76/20 (pad)
+"space" in: sky130A_mr.drc:662
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 1105.00M
+"output" in: sky130A_mr.drc:662
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 1105.00M
+END: 76/20 (pad)
+Writing report database: /home/krishna/Comparator_MPW6/precheck_results/05_JUN_2022___09_43_53/outputs/reports/klayout_beol_check.xml ..
+Total elapsed: 4.010s  Memory: 1105.00M
diff --git a/precheck_results/05_JUN_2022___09_43_53/logs/klayout_beol_check.total b/precheck_results/05_JUN_2022___09_43_53/logs/klayout_beol_check.total
new file mode 100644
index 0000000..c227083
--- /dev/null
+++ b/precheck_results/05_JUN_2022___09_43_53/logs/klayout_beol_check.total
@@ -0,0 +1 @@
+0
\ No newline at end of file
diff --git a/precheck_results/05_JUN_2022___09_43_53/logs/klayout_feol_check.log b/precheck_results/05_JUN_2022___09_43_53/logs/klayout_feol_check.log
new file mode 100644
index 0000000..79e3726
--- /dev/null
+++ b/precheck_results/05_JUN_2022___09_43_53/logs/klayout_feol_check.log
@@ -0,0 +1,789 @@
+/home/krishna/mpw_precheckmpw6/checks/tech-files/sky130A_mr.drc:36: warning: already initialized constant DRC::DRCEngine::FEOL
+/home/krishna/mpw_precheckmpw6/checks/tech-files/sky130A_mr.drc:28: warning: previous definition of FEOL was here
+/home/krishna/mpw_precheckmpw6/checks/tech-files/sky130A_mr.drc:44: warning: already initialized constant DRC::DRCEngine::BEOL
+/home/krishna/mpw_precheckmpw6/checks/tech-files/sky130A_mr.drc:29: warning: previous definition of BEOL was here
+/home/krishna/mpw_precheckmpw6/checks/tech-files/sky130A_mr.drc:50: warning: already initialized constant DRC::DRCEngine::OFFGRID
+/home/krishna/mpw_precheckmpw6/checks/tech-files/sky130A_mr.drc:30: warning: previous definition of OFFGRID was here
+/home/krishna/mpw_precheckmpw6/checks/tech-files/sky130A_mr.drc:56: warning: already initialized constant DRC::DRCEngine::SEAL
+/home/krishna/mpw_precheckmpw6/checks/tech-files/sky130A_mr.drc:31: warning: previous definition of SEAL was here
+/home/krishna/mpw_precheckmpw6/checks/tech-files/sky130A_mr.drc:62: warning: already initialized constant DRC::DRCEngine::FLOATING_MET
+/home/krishna/mpw_precheckmpw6/checks/tech-files/sky130A_mr.drc:32: warning: previous definition of FLOATING_MET was here
+"input" in: sky130A_mr.drc:112
+    Polygons (raw): 62 (flat)  25 (hierarchical)
+    Elapsed: 0.000s  Memory: 522.00M
+"polygons" in: sky130A_mr.drc:113
+    Polygons (raw): 50 (flat)  42 (hierarchical)
+    Elapsed: 0.010s  Memory: 522.00M
+"polygons" in: sky130A_mr.drc:114
+    Polygons (raw): 89 (flat)  69 (hierarchical)
+    Elapsed: 0.010s  Memory: 522.00M
+"polygons" in: sky130A_mr.drc:115
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 522.00M
+"polygons" in: sky130A_mr.drc:116
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 522.00M
+"polygons" in: sky130A_mr.drc:117
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 522.00M
+"polygons" in: sky130A_mr.drc:118
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 522.00M
+"polygons" in: sky130A_mr.drc:119
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 522.00M
+"polygons" in: sky130A_mr.drc:120
+    Polygons (raw): 10 (flat)  2 (hierarchical)
+    Elapsed: 0.010s  Memory: 522.00M
+"polygons" in: sky130A_mr.drc:121
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 522.00M
+"polygons" in: sky130A_mr.drc:122
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 522.00M
+"polygons" in: sky130A_mr.drc:123
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 522.00M
+"polygons" in: sky130A_mr.drc:124
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 522.00M
+"polygons" in: sky130A_mr.drc:125
+    Polygons (raw): 202 (flat)  116 (hierarchical)
+    Elapsed: 0.000s  Memory: 522.00M
+"polygons" in: sky130A_mr.drc:126
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 522.00M
+"polygons" in: sky130A_mr.drc:127
+    Polygons (raw): 62 (flat)  43 (hierarchical)
+    Elapsed: 0.000s  Memory: 522.00M
+"polygons" in: sky130A_mr.drc:128
+    Polygons (raw): 76 (flat)  50 (hierarchical)
+    Elapsed: 0.010s  Memory: 522.00M
+"polygons" in: sky130A_mr.drc:129
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 522.00M
+"polygons" in: sky130A_mr.drc:130
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 522.00M
+"polygons" in: sky130A_mr.drc:131
+    Polygons (raw): 33 (flat)  24 (hierarchical)
+    Elapsed: 0.000s  Memory: 522.00M
+"polygons" in: sky130A_mr.drc:132
+    Polygons (raw): 928 (flat)  312 (hierarchical)
+    Elapsed: 0.010s  Memory: 522.00M
+"polygons" in: sky130A_mr.drc:134
+    Polygons (raw): 598 (flat)  426 (hierarchical)
+    Elapsed: 0.000s  Memory: 522.00M
+"polygons" in: sky130A_mr.drc:135
+    Polygons (raw): 1771 (flat)  1495 (hierarchical)
+    Elapsed: 0.010s  Memory: 522.00M
+"polygons" in: sky130A_mr.drc:137
+    Polygons (raw): 349 (flat)  299 (hierarchical)
+    Elapsed: 0.010s  Memory: 522.00M
+"polygons" in: sky130A_mr.drc:138
+    Polygons (raw): 2570 (flat)  2570 (hierarchical)
+    Elapsed: 0.000s  Memory: 522.00M
+"polygons" in: sky130A_mr.drc:140
+    Polygons (raw): 603 (flat)  603 (hierarchical)
+    Elapsed: 0.010s  Memory: 522.00M
+"polygons" in: sky130A_mr.drc:141
+    Polygons (raw): 1761 (flat)  1761 (hierarchical)
+    Elapsed: 0.000s  Memory: 522.00M
+"polygons" in: sky130A_mr.drc:143
+    Polygons (raw): 462 (flat)  462 (hierarchical)
+    Elapsed: 0.010s  Memory: 522.00M
+"polygons" in: sky130A_mr.drc:144
+    Polygons (raw): 25800 (flat)  25800 (hierarchical)
+    Elapsed: 0.010s  Memory: 523.00M
+"polygons" in: sky130A_mr.drc:146
+    Polygons (raw): 175 (flat)  175 (hierarchical)
+    Elapsed: 0.000s  Memory: 523.00M
+"polygons" in: sky130A_mr.drc:147
+    Polygons (raw): 552 (flat)  552 (hierarchical)
+    Elapsed: 0.010s  Memory: 523.00M
+"polygons" in: sky130A_mr.drc:149
+    Polygons (raw): 156 (flat)  156 (hierarchical)
+    Elapsed: 0.000s  Memory: 523.00M
+"polygons" in: sky130A_mr.drc:151
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 523.00M
+"polygons" in: sky130A_mr.drc:152
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 523.00M
+"polygons" in: sky130A_mr.drc:153
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 523.00M
+"polygons" in: sky130A_mr.drc:154
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 523.00M
+"polygons" in: sky130A_mr.drc:155
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 523.00M
+"polygons" in: sky130A_mr.drc:156
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 523.00M
+"polygons" in: sky130A_mr.drc:157
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 523.00M
+"polygons" in: sky130A_mr.drc:158
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 523.00M
+"polygons" in: sky130A_mr.drc:159
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 523.00M
+"polygons" in: sky130A_mr.drc:160
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 523.00M
+"polygons" in: sky130A_mr.drc:161
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 523.00M
+"polygons" in: sky130A_mr.drc:162
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 523.00M
+"polygons" in: sky130A_mr.drc:163
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 523.00M
+"polygons" in: sky130A_mr.drc:164
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 523.00M
+"polygons" in: sky130A_mr.drc:165
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 523.00M
+"polygons" in: sky130A_mr.drc:166
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 523.00M
+"polygons" in: sky130A_mr.drc:167
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 523.00M
+"polygons" in: sky130A_mr.drc:168
+    Polygons (raw): 10 (flat)  2 (hierarchical)
+    Elapsed: 0.010s  Memory: 523.00M
+"polygons" in: sky130A_mr.drc:169
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 523.00M
+"polygons" in: sky130A_mr.drc:170
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 523.00M
+"polygons" in: sky130A_mr.drc:171
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 523.00M
+"polygons" in: sky130A_mr.drc:172
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 523.00M
+"polygons" in: sky130A_mr.drc:173
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 523.00M
+"polygons" in: sky130A_mr.drc:174
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 523.00M
+"polygons" in: sky130A_mr.drc:175
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 523.00M
+"polygons" in: sky130A_mr.drc:176
+    Polygons (raw): 1 (flat)  1 (hierarchical)
+    Elapsed: 0.010s  Memory: 523.00M
+"polygons" in: sky130A_mr.drc:177
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 523.00M
+"polygons" in: sky130A_mr.drc:178
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 523.00M
+"polygons" in: sky130A_mr.drc:179
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 523.00M
+"polygons" in: sky130A_mr.drc:180
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 523.00M
+"polygons" in: sky130A_mr.drc:181
+    Polygons (raw): 4 (flat)  3 (hierarchical)
+    Elapsed: 0.000s  Memory: 523.00M
+"polygons" in: sky130A_mr.drc:182
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 523.00M
+"polygons" in: sky130A_mr.drc:183
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 523.00M
+"polygons" in: sky130A_mr.drc:184
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 523.00M
+"polygons" in: sky130A_mr.drc:185
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 523.00M
+"polygons" in: sky130A_mr.drc:186
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 523.00M
+"polygons" in: sky130A_mr.drc:187
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 523.00M
+"polygons" in: sky130A_mr.drc:188
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 523.00M
+"polygons" in: sky130A_mr.drc:189
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 523.00M
+"polygons" in: sky130A_mr.drc:190
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 523.00M
+"polygons" in: sky130A_mr.drc:191
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 523.00M
+"polygons" in: sky130A_mr.drc:192
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 523.00M
+"polygons" in: sky130A_mr.drc:193
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 523.00M
+"polygons" in: sky130A_mr.drc:194
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 523.00M
+"polygons" in: sky130A_mr.drc:195
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 523.00M
+"polygons" in: sky130A_mr.drc:196
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 523.00M
+"polygons" in: sky130A_mr.drc:197
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 523.00M
+"polygons" in: sky130A_mr.drc:198
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 523.00M
+"polygons" in: sky130A_mr.drc:199
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 523.00M
+"polygons" in: sky130A_mr.drc:200
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 523.00M
+"polygons" in: sky130A_mr.drc:201
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 523.00M
+"polygons" in: sky130A_mr.drc:202
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 523.00M
+"polygons" in: sky130A_mr.drc:203
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 523.00M
+DRC section
+FEOL section
+START: 64/18 (dnwell)
+"width" in: sky130A_mr.drc:229
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 523.00M
+"output" in: sky130A_mr.drc:229
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 523.00M
+END: 64/18 (dnwell)
+"input" in: sky130A_mr.drc:233
+    Polygons (raw): 62 (flat)  43 (hierarchical)
+    Elapsed: 0.010s  Memory: 523.00M
+"input" in: sky130A_mr.drc:234
+    Polygons (raw): 76 (flat)  50 (hierarchical)
+    Elapsed: 0.000s  Memory: 523.00M
+"input" in: sky130A_mr.drc:235
+    Polygons (raw): 89 (flat)  69 (hierarchical)
+    Elapsed: 0.010s  Memory: 523.00M
+START: 64/20 (nwell)
+"width" in: sky130A_mr.drc:239
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 523.00M
+"output" in: sky130A_mr.drc:239
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 523.00M
+"space" in: sky130A_mr.drc:240
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 1067.00M
+"output" in: sky130A_mr.drc:240
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 1067.00M
+"merge" in: sky130A_mr.drc:241
+    Polygons (raw): 15 (flat)  14 (hierarchical)
+    Elapsed: 0.000s  Memory: 1067.00M
+"holes" in: sky130A_mr.drc:242
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 1067.00M
+"enclosing" in: sky130A_mr.drc:242
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 1067.00M
+"output" in: sky130A_mr.drc:242
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 1067.00M
+END: 64/20 (nwell)
+START: 78/44 (hvtp)
+"width" in: sky130A_mr.drc:247
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 1067.00M
+"output" in: sky130A_mr.drc:247
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 1067.00M
+"space" in: sky130A_mr.drc:248
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 1067.00M
+"output" in: sky130A_mr.drc:248
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 1067.00M
+END: 78/44 (hvtp)
+START: 18/20 (htvr)
+"width" in: sky130A_mr.drc:253
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 1067.00M
+"output" in: sky130A_mr.drc:253
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 1067.00M
+"separation" in: sky130A_mr.drc:254
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 1067.00M
+"output" in: sky130A_mr.drc:254
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 1067.00M
+"and" in: sky130A_mr.drc:255
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 1067.00M
+"output" in: sky130A_mr.drc:255
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 1067.00M
+END: 18/20 (htvr)
+START: 25/44 (lvtn)
+"width" in: sky130A_mr.drc:260
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 1067.00M
+"output" in: sky130A_mr.drc:260
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 1067.00M
+"space" in: sky130A_mr.drc:261
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 1067.00M
+"output" in: sky130A_mr.drc:261
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 1067.00M
+END: 25/44 (lvtn)
+START: 92/44 (ncm)
+"width" in: sky130A_mr.drc:266
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 1067.00M
+"output" in: sky130A_mr.drc:266
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 1067.00M
+"space" in: sky130A_mr.drc:267
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 1067.00M
+"output" in: sky130A_mr.drc:267
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 1067.00M
+END: 92/44 (ncm)
+START: 65/20 (diff)
+"or" in: sky130A_mr.drc:272
+    Polygons (raw): 80 (flat)  47 (hierarchical)
+    Elapsed: 0.000s  Memory: 1067.00M
+"rectangles" in: sky130A_mr.drc:273
+    Polygons (raw): 31 (flat)  11 (hierarchical)
+    Elapsed: 0.010s  Memory: 1067.00M
+"width" in: sky130A_mr.drc:273
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 1067.00M
+"polygons" in: sky130A_mr.drc:273
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 1067.00M
+"edges" in: sky130A_mr.drc:274
+    Edges: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 1067.00M
+"outside_part" in: sky130A_mr.drc:274
+    Edges: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 1067.00M
+"outside" in: sky130A_mr.drc:274
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 1067.00M
+"edges" in: sky130A_mr.drc:274
+    Edges: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 1067.00M
+"not" in: sky130A_mr.drc:274
+    Edges: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 1067.00M
+"output" in: sky130A_mr.drc:275
+    Edges: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 1067.00M
+"outside" in: sky130A_mr.drc:276
+    Polygons (raw): 48 (flat)  17 (hierarchical)
+    Elapsed: 0.000s  Memory: 1067.00M
+"width" in: sky130A_mr.drc:276
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 1067.00M
+"output" in: sky130A_mr.drc:276
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 1067.00M
+END: 65/20 (diff)
+START: 65/44 (tap)
+"rectangles" in: sky130A_mr.drc:280
+    Polygons (raw): 26 (flat)  26 (hierarchical)
+    Elapsed: 0.010s  Memory: 1067.00M
+"width" in: sky130A_mr.drc:280
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 1067.00M
+"polygons" in: sky130A_mr.drc:280
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 1067.00M
+"edges" in: sky130A_mr.drc:281
+    Edges: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 1067.00M
+"outside_part" in: sky130A_mr.drc:281
+    Edges: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 1067.00M
+"outside" in: sky130A_mr.drc:281
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 1067.00M
+"edges" in: sky130A_mr.drc:281
+    Edges: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 1067.00M
+"not" in: sky130A_mr.drc:281
+    Edges: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 1067.00M
+"output" in: sky130A_mr.drc:282
+    Edges: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 1067.00M
+"not" in: sky130A_mr.drc:283
+    Polygons (raw): 50 (flat)  42 (hierarchical)
+    Elapsed: 0.000s  Memory: 1067.00M
+"width" in: sky130A_mr.drc:283
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 1067.00M
+"output" in: sky130A_mr.drc:283
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 1067.00M
+END: 65/44 (tap)
+"space" in: sky130A_mr.drc:286
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 1067.00M
+"output" in: sky130A_mr.drc:286
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 1067.00M
+START: 80/20 (tunm)
+"width" in: sky130A_mr.drc:290
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 1067.00M
+"output" in: sky130A_mr.drc:290
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 1067.00M
+"space" in: sky130A_mr.drc:291
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 1067.00M
+"output" in: sky130A_mr.drc:291
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 1067.00M
+END: 80/20 (tunm)
+START: 66/20 (poly)
+"width" in: sky130A_mr.drc:296
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 1067.00M
+"output" in: sky130A_mr.drc:296
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 1067.00M
+"not" in: sky130A_mr.drc:297
+    Polygons (raw): 202 (flat)  116 (hierarchical)
+    Elapsed: 0.010s  Memory: 1067.00M
+"space" in: sky130A_mr.drc:297
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 1067.00M
+"output" in: sky130A_mr.drc:297
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 1067.00M
+START: 86/20 (rpm)
+"width" in: sky130A_mr.drc:302
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 1067.00M
+"output" in: sky130A_mr.drc:302
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 1067.00M
+"space" in: sky130A_mr.drc:303
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 1067.00M
+"output" in: sky130A_mr.drc:303
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 1067.00M
+END: 86/20 (rpm)
+START: 79/20 (urpm)
+"width" in: sky130A_mr.drc:308
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 1067.00M
+"output" in: sky130A_mr.drc:308
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 1067.00M
+"space" in: sky130A_mr.drc:309
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 1067.00M
+"output" in: sky130A_mr.drc:309
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 1067.00M
+END: 79/20 (urpm)
+START: 95/20 (npc)
+"width" in: sky130A_mr.drc:314
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 1067.00M
+"output" in: sky130A_mr.drc:314
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 1067.00M
+"space" in: sky130A_mr.drc:315
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 1067.00M
+"output" in: sky130A_mr.drc:315
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 1067.00M
+END: 95/20 (npc)
+START: 93/44 (nsdm)
+"outside" in: sky130A_mr.drc:320
+    Polygons (raw): 34 (flat)  22 (hierarchical)
+    Elapsed: 0.010s  Memory: 1067.00M
+"width" in: sky130A_mr.drc:320
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 1067.00M
+"output" in: sky130A_mr.drc:320
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 1067.00M
+"not" in: sky130A_mr.drc:321
+    Polygons (raw): 62 (flat)  43 (hierarchical)
+    Elapsed: 0.010s  Memory: 1067.00M
+"space" in: sky130A_mr.drc:321
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 1067.00M
+"output" in: sky130A_mr.drc:321
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 1067.00M
+END: 93/44 (nsdm)
+START: 94/20 (psdm)
+"outside" in: sky130A_mr.drc:326
+    Polygons (raw): 36 (flat)  32 (hierarchical)
+    Elapsed: 0.010s  Memory: 1067.00M
+"width" in: sky130A_mr.drc:326
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 1067.00M
+"output" in: sky130A_mr.drc:326
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 1067.00M
+"not" in: sky130A_mr.drc:327
+    Polygons (raw): 76 (flat)  50 (hierarchical)
+    Elapsed: 0.010s  Memory: 1067.00M
+"space" in: sky130A_mr.drc:327
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 1067.00M
+"output" in: sky130A_mr.drc:327
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 1067.00M
+END: 94/20 (psdm)
+START: 66/44 (licon)
+"not" in: sky130A_mr.drc:338
+    Polygons (raw): 371 (flat)  118 (hierarchical)
+    Elapsed: 0.000s  Memory: 1067.00M
+"and" in: sky130A_mr.drc:339
+    Polygons (raw): 764 (flat)  257 (hierarchical)
+    Elapsed: 0.010s  Memory: 1067.00M
+"interacting" in: sky130A_mr.drc:339
+    Polygons (raw): 764 (flat)  257 (hierarchical)
+    Elapsed: 0.020s  Memory: 1067.00M
+"not" in: sky130A_mr.drc:340
+    Polygons (raw): 764 (flat)  257 (hierarchical)
+    Elapsed: 0.000s  Memory: 1067.00M
+"non_rectangles" in: sky130A_mr.drc:341
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 1067.00M
+"output" in: sky130A_mr.drc:341
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 1067.00M
+"or" in: sky130A_mr.drc:342
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 1067.00M
+"not" in: sky130A_mr.drc:342
+    Polygons (raw): 928 (flat)  312 (hierarchical)
+    Elapsed: 0.010s  Memory: 1067.00M
+"edges" in: sky130A_mr.drc:342
+    Edges: 3712 (flat)  1248 (hierarchical)
+    Elapsed: 0.000s  Memory: 1067.00M
+"without_length" in: sky130A_mr.drc:342
+    Edges: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 1067.00M
+"output" in: sky130A_mr.drc:342
+    Edges: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 1067.00M
+"separation" in: sky130A_mr.drc:343
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 1067.00M
+"output" in: sky130A_mr.drc:343
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 1067.00M
+"and" in: sky130A_mr.drc:344
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 1067.00M
+"output" in: sky130A_mr.drc:344
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 1067.00M
+"interacting" in: sky130A_mr.drc:345
+    Polygons (raw): 164 (flat)  55 (hierarchical)
+    Elapsed: 0.010s  Memory: 1067.00M
+"interacting" in: sky130A_mr.drc:345
+    Polygons (raw): 764 (flat)  257 (hierarchical)
+    Elapsed: 0.010s  Memory: 1067.00M
+"and" in: sky130A_mr.drc:345
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 1067.00M
+"output" in: sky130A_mr.drc:345
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 1067.00M
+END: 66/44 (licon)
+START: 89/44 (capm)
+"and" in: sky130A_mr.drc:350
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 1067.00M
+"sized" in: sky130A_mr.drc:350
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 1067.00M
+"width" in: sky130A_mr.drc:351
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 1067.00M
+"output" in: sky130A_mr.drc:351
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 1067.00M
+"space" in: sky130A_mr.drc:352
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 1067.00M
+"output" in: sky130A_mr.drc:352
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 1067.00M
+"interacting" in: sky130A_mr.drc:353
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 1067.00M
+"isolated" in: sky130A_mr.drc:353
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 1067.00M
+"output" in: sky130A_mr.drc:353
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 1067.00M
+"isolated" in: sky130A_mr.drc:354
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 1067.00M
+"output" in: sky130A_mr.drc:354
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 1067.00M
+"and" in: sky130A_mr.drc:355
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 1067.00M
+"enclosing" in: sky130A_mr.drc:355
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 1067.00M
+"output" in: sky130A_mr.drc:355
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 1067.00M
+"enclosing" in: sky130A_mr.drc:356
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 1067.00M
+"output" in: sky130A_mr.drc:356
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 1067.00M
+"enclosing" in: sky130A_mr.drc:357
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 1067.00M
+"output" in: sky130A_mr.drc:357
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 1067.00M
+"separation" in: sky130A_mr.drc:358
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 1067.00M
+"output" in: sky130A_mr.drc:358
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 1067.00M
+END: 89/44 (capm)
+START: 97/44 (cap2m)
+"and" in: sky130A_mr.drc:363
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 1067.00M
+"sized" in: sky130A_mr.drc:363
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 1067.00M
+"width" in: sky130A_mr.drc:364
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 1067.00M
+"output" in: sky130A_mr.drc:364
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 1067.00M
+"space" in: sky130A_mr.drc:365
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 1067.00M
+"output" in: sky130A_mr.drc:365
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 1067.00M
+"interacting" in: sky130A_mr.drc:366
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 1067.00M
+"isolated" in: sky130A_mr.drc:366
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 1067.00M
+"output" in: sky130A_mr.drc:366
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 1067.00M
+"isolated" in: sky130A_mr.drc:368
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 1067.00M
+"output" in: sky130A_mr.drc:368
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 1067.00M
+"and" in: sky130A_mr.drc:369
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 1067.00M
+"enclosing" in: sky130A_mr.drc:369
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 1067.00M
+"output" in: sky130A_mr.drc:369
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 1067.00M
+"enclosing" in: sky130A_mr.drc:370
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 1067.00M
+"output" in: sky130A_mr.drc:370
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 1067.00M
+"enclosing" in: sky130A_mr.drc:371
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 1067.00M
+"output" in: sky130A_mr.drc:371
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 1067.00M
+"separation" in: sky130A_mr.drc:372
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 1067.00M
+"output" in: sky130A_mr.drc:372
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 1067.00M
+END: 97/44 (cap2m)
+FEOL section
+START: 75/20 (hvi)
+"not" in: sky130A_mr.drc:672
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 1067.00M
+"width" in: sky130A_mr.drc:673
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 1067.00M
+"output" in: sky130A_mr.drc:673
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 1067.00M
+"space" in: sky130A_mr.drc:674
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 1067.00M
+"output" in: sky130A_mr.drc:674
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 1067.00M
+END: 75/20 (hvi)
+START: 125/20 (hvntm)
+"not" in: sky130A_mr.drc:679
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 1067.00M
+"width" in: sky130A_mr.drc:680
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 1067.00M
+"output" in: sky130A_mr.drc:680
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 1067.00M
+"space" in: sky130A_mr.drc:681
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 1067.00M
+"output" in: sky130A_mr.drc:681
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 1067.00M
+END: 125/20 (hvntm)
+Writing report database: /home/krishna/Comparator_MPW6/precheck_results/05_JUN_2022___09_43_53/outputs/reports/klayout_feol_check.xml ..
+Total elapsed: 1.420s  Memory: 1067.00M
diff --git a/precheck_results/05_JUN_2022___09_43_53/logs/klayout_feol_check.total b/precheck_results/05_JUN_2022___09_43_53/logs/klayout_feol_check.total
new file mode 100644
index 0000000..c227083
--- /dev/null
+++ b/precheck_results/05_JUN_2022___09_43_53/logs/klayout_feol_check.total
@@ -0,0 +1 @@
+0
\ No newline at end of file
diff --git a/precheck_results/05_JUN_2022___09_43_53/logs/klayout_met_min_ca_density_check.log b/precheck_results/05_JUN_2022___09_43_53/logs/klayout_met_min_ca_density_check.log
new file mode 100644
index 0000000..9f73600
--- /dev/null
+++ b/precheck_results/05_JUN_2022___09_43_53/logs/klayout_met_min_ca_density_check.log
@@ -0,0 +1,79 @@
+"polygons" in: met_min_ca_density.lydrc:35
+    Polygons (raw): 648 (flat)  436 (hierarchical)
+    Elapsed: 0.010s  Memory: 522.00M
+"polygons" in: met_min_ca_density.lydrc:36
+    Polygons (raw): 1771 (flat)  1495 (hierarchical)
+    Elapsed: 0.010s  Memory: 522.00M
+"polygons" in: met_min_ca_density.lydrc:38
+    Polygons (raw): 369 (flat)  303 (hierarchical)
+    Elapsed: 0.000s  Memory: 522.00M
+"polygons" in: met_min_ca_density.lydrc:39
+    Polygons (raw): 2570 (flat)  2570 (hierarchical)
+    Elapsed: 0.010s  Memory: 522.00M
+"polygons" in: met_min_ca_density.lydrc:41
+    Polygons (raw): 1097 (flat)  1097 (hierarchical)
+    Elapsed: 0.000s  Memory: 522.00M
+"polygons" in: met_min_ca_density.lydrc:42
+    Polygons (raw): 1761 (flat)  1761 (hierarchical)
+    Elapsed: 0.010s  Memory: 522.00M
+"polygons" in: met_min_ca_density.lydrc:44
+    Polygons (raw): 645 (flat)  645 (hierarchical)
+    Elapsed: 0.010s  Memory: 522.00M
+"polygons" in: met_min_ca_density.lydrc:45
+    Polygons (raw): 25800 (flat)  25800 (hierarchical)
+    Elapsed: 0.010s  Memory: 523.00M
+"polygons" in: met_min_ca_density.lydrc:47
+    Polygons (raw): 179 (flat)  179 (hierarchical)
+    Elapsed: 0.010s  Memory: 523.00M
+"polygons" in: met_min_ca_density.lydrc:48
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 523.00M
+"polygons" in: met_min_ca_density.lydrc:49
+    Polygons (raw): 552 (flat)  552 (hierarchical)
+    Elapsed: 0.010s  Memory: 523.00M
+"polygons" in: met_min_ca_density.lydrc:51
+    Polygons (raw): 161 (flat)  161 (hierarchical)
+    Elapsed: 0.010s  Memory: 523.00M
+"input" in: met_min_ca_density.lydrc:53
+    Polygons (raw): 5 (flat)  4 (hierarchical)
+    Elapsed: 0.000s  Memory: 523.00M
+"area" in: met_min_ca_density.lydrc:55
+    Elapsed: 0.010s  Memory: 523.00M
+"polygons" in: met_min_ca_density.lydrc:59
+    Polygons (raw): 648 (flat)  436 (hierarchical)
+    Elapsed: 0.000s  Memory: 523.00M
+"area" in: met_min_ca_density.lydrc:59
+    Elapsed: 0.010s  Memory: 523.00M
+li1_ca_density is 0.9998098947015099
+"polygons" in: met_min_ca_density.lydrc:69
+    Polygons (raw): 369 (flat)  303 (hierarchical)
+    Elapsed: 0.010s  Memory: 523.00M
+"area" in: met_min_ca_density.lydrc:69
+    Elapsed: 0.000s  Memory: 523.00M
+m1_ca_density is 0.9997225643923179
+"polygons" in: met_min_ca_density.lydrc:79
+    Polygons (raw): 1097 (flat)  1097 (hierarchical)
+    Elapsed: 0.010s  Memory: 523.00M
+"area" in: met_min_ca_density.lydrc:79
+    Elapsed: 0.010s  Memory: 523.00M
+m2_ca_density is 0.999675358061566
+"polygons" in: met_min_ca_density.lydrc:89
+    Polygons (raw): 645 (flat)  645 (hierarchical)
+    Elapsed: 0.000s  Memory: 523.00M
+"area" in: met_min_ca_density.lydrc:89
+    Elapsed: 0.010s  Memory: 523.00M
+m3_ca_density is 0.9841076272231086
+"polygons" in: met_min_ca_density.lydrc:99
+    Polygons (raw): 179 (flat)  179 (hierarchical)
+    Elapsed: 0.000s  Memory: 523.00M
+"area" in: met_min_ca_density.lydrc:99
+    Elapsed: 0.010s  Memory: 523.00M
+m4_ca_density is 0.9791700484705791
+"polygons" in: met_min_ca_density.lydrc:109
+    Polygons (raw): 161 (flat)  161 (hierarchical)
+    Elapsed: 0.000s  Memory: 523.00M
+"area" in: met_min_ca_density.lydrc:109
+    Elapsed: 0.010s  Memory: 523.00M
+m5_ca_density is 0.9695944227895392
+Writing report database: /home/krishna/Comparator_MPW6/precheck_results/05_JUN_2022___09_43_53/outputs/reports/klayout_met_min_ca_density_check.xml ..
+Total elapsed: 0.170s  Memory: 522.00M
diff --git a/precheck_results/05_JUN_2022___09_43_53/logs/klayout_met_min_ca_density_check.total b/precheck_results/05_JUN_2022___09_43_53/logs/klayout_met_min_ca_density_check.total
new file mode 100644
index 0000000..c227083
--- /dev/null
+++ b/precheck_results/05_JUN_2022___09_43_53/logs/klayout_met_min_ca_density_check.total
@@ -0,0 +1 @@
+0
\ No newline at end of file
diff --git a/precheck_results/05_JUN_2022___09_43_53/logs/klayout_offgrid_check.log b/precheck_results/05_JUN_2022___09_43_53/logs/klayout_offgrid_check.log
new file mode 100644
index 0000000..f8c8a44
--- /dev/null
+++ b/precheck_results/05_JUN_2022___09_43_53/logs/klayout_offgrid_check.log
@@ -0,0 +1,755 @@
+/home/krishna/mpw_precheckmpw6/checks/tech-files/sky130A_mr.drc:38: warning: already initialized constant DRC::DRCEngine::FEOL
+/home/krishna/mpw_precheckmpw6/checks/tech-files/sky130A_mr.drc:28: warning: previous definition of FEOL was here
+/home/krishna/mpw_precheckmpw6/checks/tech-files/sky130A_mr.drc:44: warning: already initialized constant DRC::DRCEngine::BEOL
+/home/krishna/mpw_precheckmpw6/checks/tech-files/sky130A_mr.drc:29: warning: previous definition of BEOL was here
+/home/krishna/mpw_precheckmpw6/checks/tech-files/sky130A_mr.drc:48: warning: already initialized constant DRC::DRCEngine::OFFGRID
+/home/krishna/mpw_precheckmpw6/checks/tech-files/sky130A_mr.drc:30: warning: previous definition of OFFGRID was here
+/home/krishna/mpw_precheckmpw6/checks/tech-files/sky130A_mr.drc:56: warning: already initialized constant DRC::DRCEngine::SEAL
+/home/krishna/mpw_precheckmpw6/checks/tech-files/sky130A_mr.drc:31: warning: previous definition of SEAL was here
+/home/krishna/mpw_precheckmpw6/checks/tech-files/sky130A_mr.drc:62: warning: already initialized constant DRC::DRCEngine::FLOATING_MET
+/home/krishna/mpw_precheckmpw6/checks/tech-files/sky130A_mr.drc:32: warning: previous definition of FLOATING_MET was here
+"input" in: sky130A_mr.drc:112
+    Polygons (raw): 62 (flat)  25 (hierarchical)
+    Elapsed: 0.010s  Memory: 522.00M
+"polygons" in: sky130A_mr.drc:113
+    Polygons (raw): 50 (flat)  42 (hierarchical)
+    Elapsed: 0.000s  Memory: 522.00M
+"polygons" in: sky130A_mr.drc:114
+    Polygons (raw): 89 (flat)  69 (hierarchical)
+    Elapsed: 0.010s  Memory: 522.00M
+"polygons" in: sky130A_mr.drc:115
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 522.00M
+"polygons" in: sky130A_mr.drc:116
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 522.00M
+"polygons" in: sky130A_mr.drc:117
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 522.00M
+"polygons" in: sky130A_mr.drc:118
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 522.00M
+"polygons" in: sky130A_mr.drc:119
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 522.00M
+"polygons" in: sky130A_mr.drc:120
+    Polygons (raw): 10 (flat)  2 (hierarchical)
+    Elapsed: 0.010s  Memory: 522.00M
+"polygons" in: sky130A_mr.drc:121
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 522.00M
+"polygons" in: sky130A_mr.drc:122
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 522.00M
+"polygons" in: sky130A_mr.drc:123
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 522.00M
+"polygons" in: sky130A_mr.drc:124
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 522.00M
+"polygons" in: sky130A_mr.drc:125
+    Polygons (raw): 202 (flat)  116 (hierarchical)
+    Elapsed: 0.000s  Memory: 522.00M
+"polygons" in: sky130A_mr.drc:126
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 522.00M
+"polygons" in: sky130A_mr.drc:127
+    Polygons (raw): 62 (flat)  43 (hierarchical)
+    Elapsed: 0.000s  Memory: 522.00M
+"polygons" in: sky130A_mr.drc:128
+    Polygons (raw): 76 (flat)  50 (hierarchical)
+    Elapsed: 0.010s  Memory: 522.00M
+"polygons" in: sky130A_mr.drc:129
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 522.00M
+"polygons" in: sky130A_mr.drc:130
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 522.00M
+"polygons" in: sky130A_mr.drc:131
+    Polygons (raw): 33 (flat)  24 (hierarchical)
+    Elapsed: 0.000s  Memory: 522.00M
+"polygons" in: sky130A_mr.drc:132
+    Polygons (raw): 928 (flat)  312 (hierarchical)
+    Elapsed: 0.010s  Memory: 522.00M
+"polygons" in: sky130A_mr.drc:134
+    Polygons (raw): 598 (flat)  426 (hierarchical)
+    Elapsed: 0.000s  Memory: 522.00M
+"polygons" in: sky130A_mr.drc:135
+    Polygons (raw): 1771 (flat)  1495 (hierarchical)
+    Elapsed: 0.010s  Memory: 522.00M
+"polygons" in: sky130A_mr.drc:137
+    Polygons (raw): 349 (flat)  299 (hierarchical)
+    Elapsed: 0.000s  Memory: 522.00M
+"polygons" in: sky130A_mr.drc:138
+    Polygons (raw): 2570 (flat)  2570 (hierarchical)
+    Elapsed: 0.010s  Memory: 522.00M
+"polygons" in: sky130A_mr.drc:140
+    Polygons (raw): 603 (flat)  603 (hierarchical)
+    Elapsed: 0.000s  Memory: 522.00M
+"polygons" in: sky130A_mr.drc:141
+    Polygons (raw): 1761 (flat)  1761 (hierarchical)
+    Elapsed: 0.010s  Memory: 522.00M
+"polygons" in: sky130A_mr.drc:143
+    Polygons (raw): 462 (flat)  462 (hierarchical)
+    Elapsed: 0.010s  Memory: 522.00M
+"polygons" in: sky130A_mr.drc:144
+    Polygons (raw): 25800 (flat)  25800 (hierarchical)
+    Elapsed: 0.010s  Memory: 523.00M
+"polygons" in: sky130A_mr.drc:146
+    Polygons (raw): 175 (flat)  175 (hierarchical)
+    Elapsed: 0.010s  Memory: 523.00M
+"polygons" in: sky130A_mr.drc:147
+    Polygons (raw): 552 (flat)  552 (hierarchical)
+    Elapsed: 0.000s  Memory: 523.00M
+"polygons" in: sky130A_mr.drc:149
+    Polygons (raw): 156 (flat)  156 (hierarchical)
+    Elapsed: 0.010s  Memory: 523.00M
+"polygons" in: sky130A_mr.drc:151
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 523.00M
+"polygons" in: sky130A_mr.drc:152
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 523.00M
+"polygons" in: sky130A_mr.drc:153
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 523.00M
+"polygons" in: sky130A_mr.drc:154
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 523.00M
+"polygons" in: sky130A_mr.drc:155
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 523.00M
+"polygons" in: sky130A_mr.drc:156
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 523.00M
+"polygons" in: sky130A_mr.drc:157
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 523.00M
+"polygons" in: sky130A_mr.drc:158
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 523.00M
+"polygons" in: sky130A_mr.drc:159
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 523.00M
+"polygons" in: sky130A_mr.drc:160
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 523.00M
+"polygons" in: sky130A_mr.drc:161
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 523.00M
+"polygons" in: sky130A_mr.drc:162
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 523.00M
+"polygons" in: sky130A_mr.drc:163
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 523.00M
+"polygons" in: sky130A_mr.drc:164
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 523.00M
+"polygons" in: sky130A_mr.drc:165
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 523.00M
+"polygons" in: sky130A_mr.drc:166
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 523.00M
+"polygons" in: sky130A_mr.drc:167
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 523.00M
+"polygons" in: sky130A_mr.drc:168
+    Polygons (raw): 10 (flat)  2 (hierarchical)
+    Elapsed: 0.010s  Memory: 523.00M
+"polygons" in: sky130A_mr.drc:169
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 523.00M
+"polygons" in: sky130A_mr.drc:170
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 523.00M
+"polygons" in: sky130A_mr.drc:171
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 523.00M
+"polygons" in: sky130A_mr.drc:172
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 523.00M
+"polygons" in: sky130A_mr.drc:173
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 523.00M
+"polygons" in: sky130A_mr.drc:174
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 523.00M
+"polygons" in: sky130A_mr.drc:175
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 523.00M
+"polygons" in: sky130A_mr.drc:176
+    Polygons (raw): 1 (flat)  1 (hierarchical)
+    Elapsed: 0.010s  Memory: 523.00M
+"polygons" in: sky130A_mr.drc:177
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 523.00M
+"polygons" in: sky130A_mr.drc:178
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 523.00M
+"polygons" in: sky130A_mr.drc:179
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 523.00M
+"polygons" in: sky130A_mr.drc:180
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 523.00M
+"polygons" in: sky130A_mr.drc:181
+    Polygons (raw): 4 (flat)  3 (hierarchical)
+    Elapsed: 0.000s  Memory: 523.00M
+"polygons" in: sky130A_mr.drc:182
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 523.00M
+"polygons" in: sky130A_mr.drc:183
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 523.00M
+"polygons" in: sky130A_mr.drc:184
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 523.00M
+"polygons" in: sky130A_mr.drc:185
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 523.00M
+"polygons" in: sky130A_mr.drc:186
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 523.00M
+"polygons" in: sky130A_mr.drc:187
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 523.00M
+"polygons" in: sky130A_mr.drc:188
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 523.00M
+"polygons" in: sky130A_mr.drc:189
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 523.00M
+"polygons" in: sky130A_mr.drc:190
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 523.00M
+"polygons" in: sky130A_mr.drc:191
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 523.00M
+"polygons" in: sky130A_mr.drc:192
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 523.00M
+"polygons" in: sky130A_mr.drc:193
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 523.00M
+"polygons" in: sky130A_mr.drc:194
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 523.00M
+"polygons" in: sky130A_mr.drc:195
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 523.00M
+"polygons" in: sky130A_mr.drc:196
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 523.00M
+"polygons" in: sky130A_mr.drc:197
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 523.00M
+"polygons" in: sky130A_mr.drc:198
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 523.00M
+"polygons" in: sky130A_mr.drc:199
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 523.00M
+"polygons" in: sky130A_mr.drc:200
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 523.00M
+"polygons" in: sky130A_mr.drc:201
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 523.00M
+"polygons" in: sky130A_mr.drc:202
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 523.00M
+"polygons" in: sky130A_mr.drc:203
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 523.00M
+DRC section
+OFFGRID-ANGLES section
+"ongrid" in: sky130A_mr.drc:690
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 523.00M
+"output" in: sky130A_mr.drc:690
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 523.00M
+"with_angle" in: sky130A_mr.drc:691
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 523.00M
+"output" in: sky130A_mr.drc:691
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 523.00M
+"ongrid" in: sky130A_mr.drc:692
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 523.00M
+"output" in: sky130A_mr.drc:692
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 523.00M
+"with_angle" in: sky130A_mr.drc:693
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 523.00M
+"output" in: sky130A_mr.drc:693
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 523.00M
+"ongrid" in: sky130A_mr.drc:694
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 523.00M
+"output" in: sky130A_mr.drc:694
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 523.00M
+"with_angle" in: sky130A_mr.drc:695
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 523.00M
+"output" in: sky130A_mr.drc:695
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 523.00M
+"ongrid" in: sky130A_mr.drc:696
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 523.00M
+"output" in: sky130A_mr.drc:696
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 523.00M
+"with_angle" in: sky130A_mr.drc:697
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 523.00M
+"output" in: sky130A_mr.drc:697
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 523.00M
+"ongrid" in: sky130A_mr.drc:698
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 523.00M
+"output" in: sky130A_mr.drc:698
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 523.00M
+"with_angle" in: sky130A_mr.drc:699
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 523.00M
+"output" in: sky130A_mr.drc:699
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 523.00M
+"ongrid" in: sky130A_mr.drc:700
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 523.00M
+"output" in: sky130A_mr.drc:700
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 523.00M
+"with_angle" in: sky130A_mr.drc:701
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 523.00M
+"output" in: sky130A_mr.drc:701
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 523.00M
+"ongrid" in: sky130A_mr.drc:702
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 523.00M
+"output" in: sky130A_mr.drc:702
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 523.00M
+"with_angle" in: sky130A_mr.drc:703
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 523.00M
+"output" in: sky130A_mr.drc:703
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 523.00M
+"ongrid" in: sky130A_mr.drc:704
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 523.00M
+"output" in: sky130A_mr.drc:704
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 523.00M
+"with_angle" in: sky130A_mr.drc:705
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 523.00M
+"output" in: sky130A_mr.drc:705
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 523.00M
+"ongrid" in: sky130A_mr.drc:706
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 523.00M
+"output" in: sky130A_mr.drc:706
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 523.00M
+"ongrid" in: sky130A_mr.drc:707
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 523.00M
+"output" in: sky130A_mr.drc:707
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 523.00M
+"and" in: sky130A_mr.drc:708
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 523.00M
+"not" in: sky130A_mr.drc:708
+    Polygons (raw): 62 (flat)  25 (hierarchical)
+    Elapsed: 0.000s  Memory: 523.00M
+"with_angle" in: sky130A_mr.drc:708
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 523.00M
+"output" in: sky130A_mr.drc:708
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 523.00M
+"and" in: sky130A_mr.drc:709
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 523.00M
+"and" in: sky130A_mr.drc:709
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 523.00M
+"with_angle" in: sky130A_mr.drc:709
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 523.00M
+"output" in: sky130A_mr.drc:709
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 523.00M
+"and" in: sky130A_mr.drc:710
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 523.00M
+"not" in: sky130A_mr.drc:710
+    Polygons (raw): 50 (flat)  42 (hierarchical)
+    Elapsed: 0.000s  Memory: 523.00M
+"with_angle" in: sky130A_mr.drc:710
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 523.00M
+"output" in: sky130A_mr.drc:710
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 523.00M
+"and" in: sky130A_mr.drc:711
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 523.00M
+"and" in: sky130A_mr.drc:711
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 523.00M
+"with_angle" in: sky130A_mr.drc:711
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 523.00M
+"output" in: sky130A_mr.drc:711
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 523.00M
+"ongrid" in: sky130A_mr.drc:712
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 523.00M
+"output" in: sky130A_mr.drc:712
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 523.00M
+"with_angle" in: sky130A_mr.drc:713
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 523.00M
+"output" in: sky130A_mr.drc:713
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 523.00M
+"ongrid" in: sky130A_mr.drc:714
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 523.00M
+"output" in: sky130A_mr.drc:714
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 523.00M
+"with_angle" in: sky130A_mr.drc:715
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 523.00M
+"output" in: sky130A_mr.drc:715
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 523.00M
+"ongrid" in: sky130A_mr.drc:716
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 523.00M
+"output" in: sky130A_mr.drc:716
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 523.00M
+"with_angle" in: sky130A_mr.drc:717
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 523.00M
+"output" in: sky130A_mr.drc:717
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 523.00M
+"ongrid" in: sky130A_mr.drc:718
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 523.00M
+"output" in: sky130A_mr.drc:718
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 523.00M
+"with_angle" in: sky130A_mr.drc:719
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 523.00M
+"output" in: sky130A_mr.drc:719
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 523.00M
+"ongrid" in: sky130A_mr.drc:720
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 523.00M
+"output" in: sky130A_mr.drc:720
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 523.00M
+"with_angle" in: sky130A_mr.drc:721
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 523.00M
+"output" in: sky130A_mr.drc:721
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 523.00M
+"ongrid" in: sky130A_mr.drc:722
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 523.00M
+"output" in: sky130A_mr.drc:722
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 523.00M
+"with_angle" in: sky130A_mr.drc:723
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 523.00M
+"output" in: sky130A_mr.drc:723
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 523.00M
+"ongrid" in: sky130A_mr.drc:724
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 523.00M
+"output" in: sky130A_mr.drc:724
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 523.00M
+"with_angle" in: sky130A_mr.drc:725
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 523.00M
+"output" in: sky130A_mr.drc:725
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 523.00M
+"ongrid" in: sky130A_mr.drc:726
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 523.00M
+"output" in: sky130A_mr.drc:726
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 523.00M
+"with_angle" in: sky130A_mr.drc:727
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 523.00M
+"output" in: sky130A_mr.drc:727
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 523.00M
+"ongrid" in: sky130A_mr.drc:728
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 523.00M
+"output" in: sky130A_mr.drc:728
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 523.00M
+"with_angle" in: sky130A_mr.drc:729
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 523.00M
+"output" in: sky130A_mr.drc:729
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 523.00M
+"ongrid" in: sky130A_mr.drc:730
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 523.00M
+"output" in: sky130A_mr.drc:730
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 523.00M
+"with_angle" in: sky130A_mr.drc:731
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 523.00M
+"output" in: sky130A_mr.drc:731
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 523.00M
+"ongrid" in: sky130A_mr.drc:732
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 523.00M
+"output" in: sky130A_mr.drc:732
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 523.00M
+"with_angle" in: sky130A_mr.drc:733
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 523.00M
+"output" in: sky130A_mr.drc:733
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 523.00M
+"ongrid" in: sky130A_mr.drc:734
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.020s  Memory: 524.00M
+"output" in: sky130A_mr.drc:734
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 524.00M
+"with_angle" in: sky130A_mr.drc:735
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 524.00M
+"output" in: sky130A_mr.drc:735
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 524.00M
+"ongrid" in: sky130A_mr.drc:736
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 524.00M
+"output" in: sky130A_mr.drc:736
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 524.00M
+"with_angle" in: sky130A_mr.drc:737
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 524.00M
+"output" in: sky130A_mr.drc:737
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 524.00M
+"ongrid" in: sky130A_mr.drc:738
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 524.00M
+"output" in: sky130A_mr.drc:738
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 524.00M
+"with_angle" in: sky130A_mr.drc:739
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 524.00M
+"output" in: sky130A_mr.drc:739
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 524.00M
+"ongrid" in: sky130A_mr.drc:740
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 524.00M
+"output" in: sky130A_mr.drc:740
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 524.00M
+"with_angle" in: sky130A_mr.drc:741
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 524.00M
+"output" in: sky130A_mr.drc:741
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 524.00M
+"ongrid" in: sky130A_mr.drc:742
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.130s  Memory: 530.00M
+"output" in: sky130A_mr.drc:742
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 530.00M
+"with_angle" in: sky130A_mr.drc:743
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.030s  Memory: 530.00M
+"output" in: sky130A_mr.drc:743
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 530.00M
+"ongrid" in: sky130A_mr.drc:744
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 530.00M
+"output" in: sky130A_mr.drc:744
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 530.00M
+"with_angle" in: sky130A_mr.drc:745
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 530.00M
+"output" in: sky130A_mr.drc:745
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 530.00M
+"ongrid" in: sky130A_mr.drc:746
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 530.00M
+"output" in: sky130A_mr.drc:746
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 530.00M
+"with_angle" in: sky130A_mr.drc:747
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 530.00M
+"output" in: sky130A_mr.drc:747
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 530.00M
+"ongrid" in: sky130A_mr.drc:748
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 530.00M
+"output" in: sky130A_mr.drc:748
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 530.00M
+"with_angle" in: sky130A_mr.drc:749
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 530.00M
+"output" in: sky130A_mr.drc:749
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 530.00M
+"ongrid" in: sky130A_mr.drc:750
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 530.00M
+"output" in: sky130A_mr.drc:750
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 530.00M
+"with_angle" in: sky130A_mr.drc:751
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 530.00M
+"output" in: sky130A_mr.drc:751
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 530.00M
+"ongrid" in: sky130A_mr.drc:752
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 530.00M
+"output" in: sky130A_mr.drc:752
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 530.00M
+"with_angle" in: sky130A_mr.drc:753
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 530.00M
+"output" in: sky130A_mr.drc:753
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 530.00M
+"ongrid" in: sky130A_mr.drc:754
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 530.00M
+"output" in: sky130A_mr.drc:754
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 530.00M
+"with_angle" in: sky130A_mr.drc:755
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 530.00M
+"output" in: sky130A_mr.drc:755
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 530.00M
+"ongrid" in: sky130A_mr.drc:756
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 530.00M
+"output" in: sky130A_mr.drc:756
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 530.00M
+"with_angle" in: sky130A_mr.drc:757
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 530.00M
+"output" in: sky130A_mr.drc:757
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 530.00M
+"ongrid" in: sky130A_mr.drc:758
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 530.00M
+"output" in: sky130A_mr.drc:758
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 530.00M
+"with_angle" in: sky130A_mr.drc:759
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 530.00M
+"output" in: sky130A_mr.drc:759
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 530.00M
+"ongrid" in: sky130A_mr.drc:760
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 530.00M
+"output" in: sky130A_mr.drc:760
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 530.00M
+"with_angle" in: sky130A_mr.drc:761
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 530.00M
+"output" in: sky130A_mr.drc:761
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 530.00M
+"ongrid" in: sky130A_mr.drc:762
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 530.00M
+"output" in: sky130A_mr.drc:762
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 530.00M
+"with_angle" in: sky130A_mr.drc:763
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 530.00M
+"output" in: sky130A_mr.drc:763
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 530.00M
+"ongrid" in: sky130A_mr.drc:764
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 530.00M
+"output" in: sky130A_mr.drc:764
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 530.00M
+"with_angle" in: sky130A_mr.drc:765
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 530.00M
+"output" in: sky130A_mr.drc:765
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 530.00M
+"ongrid" in: sky130A_mr.drc:766
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 530.00M
+"output" in: sky130A_mr.drc:766
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 530.00M
+Writing report database: /home/krishna/Comparator_MPW6/precheck_results/05_JUN_2022___09_43_53/outputs/reports/klayout_offgrid_check.xml ..
+Total elapsed: 1.460s  Memory: 530.00M
diff --git a/precheck_results/05_JUN_2022___09_43_53/logs/klayout_offgrid_check.total b/precheck_results/05_JUN_2022___09_43_53/logs/klayout_offgrid_check.total
new file mode 100644
index 0000000..c227083
--- /dev/null
+++ b/precheck_results/05_JUN_2022___09_43_53/logs/klayout_offgrid_check.total
@@ -0,0 +1 @@
+0
\ No newline at end of file
diff --git a/precheck_results/05_JUN_2022___09_43_53/logs/klayout_pin_label_purposes_overlapping_drawing_check.log b/precheck_results/05_JUN_2022___09_43_53/logs/klayout_pin_label_purposes_overlapping_drawing_check.log
new file mode 100644
index 0000000..c3c595d
--- /dev/null
+++ b/precheck_results/05_JUN_2022___09_43_53/logs/klayout_pin_label_purposes_overlapping_drawing_check.log
@@ -0,0 +1,29 @@
+Running pin_label_purposes_overlapping_drawing.rb.drc on file=/home/krishna/Comparator_MPW6/gds/user_analog_project_wrapper.gds, topcell=user_analog_project_wrapper, output to /home/krishna/Comparator_MPW6/precheck_results/05_JUN_2022___09_43_53/outputs/reports/klayout_pin_label_purposes_overlapping_drawing_check.xml
+  deep:true tiled:false threads:12
+--- #err|description, table for cell: user_analog_project_wrapper
+NO-Check ----        pwell:64/44/EMP   122/16/dat    64/59/EMP    44/16/EMP     44/5/EMP
+         ----        nwell:64/20/dat    64/16/dat     64/5/EMP
+         ----         diff:65/20/dat    65/16/EMP     65/6/EMP
+         ----          tap:65/44/dat    65/48/EMP     65/5/EMP
+         ----         poly:66/20/dat    66/16/EMP     66/5/EMP
+         ----       licon1:66/44/dat    66/58/EMP
+         ----          li1:67/20/dat    67/16/dat     67/5/EMP
+         ----         mcon:67/44/dat    67/48/EMP
+         ----         met1:68/20/dat    68/16/dat     68/5/EMP
+         ----          via:68/44/dat    68/58/EMP
+         ----         met2:69/20/dat    69/16/dat     69/5/EMP
+         ----         via2:69/44/dat    69/58/EMP
+         ----         met3:70/20/dat    70/16/dat     70/5/EMP
+         ----         via3:70/44/dat    70/48/EMP
+         ----         met4:71/20/dat    71/16/dat     71/5/EMP
+         ----         via4:71/44/dat    71/48/EMP
+         ----         met5:72/20/dat    72/16/dat     72/5/EMP
+         ----          pad:76/20/EMP     76/5/EMP    76/16/EMP
+         ----          pnp:82/44/EMP    82/59/EMP
+         ----          npn:82/20/EMP     82/5/EMP
+         ----          rdl:74/20/EMP    74/16/EMP     74/5/EMP
+         ----     inductor:82/24/EMP    82/25/EMP
+       0 total error(s) among 0 error type(s), 33 checks, cell: user_analog_project_wrapper
+Writing report...
+VmPeak:	 2369884 kB
+VmHWM:	  257364 kB
diff --git a/precheck_results/05_JUN_2022___09_43_53/logs/klayout_pin_label_purposes_overlapping_drawing_check.total b/precheck_results/05_JUN_2022___09_43_53/logs/klayout_pin_label_purposes_overlapping_drawing_check.total
new file mode 100644
index 0000000..c227083
--- /dev/null
+++ b/precheck_results/05_JUN_2022___09_43_53/logs/klayout_pin_label_purposes_overlapping_drawing_check.total
@@ -0,0 +1 @@
+0
\ No newline at end of file
diff --git a/precheck_results/05_JUN_2022___09_43_53/logs/klayout_zeroarea_check.log b/precheck_results/05_JUN_2022___09_43_53/logs/klayout_zeroarea_check.log
new file mode 100644
index 0000000..918d384
--- /dev/null
+++ b/precheck_results/05_JUN_2022___09_43_53/logs/klayout_zeroarea_check.log
@@ -0,0 +1,4 @@
+0 zero-area shapes
+writing to /home/krishna/Comparator_MPW6/precheck_results/05_JUN_2022___09_43_53/outputs/user_analog_project_wrapper_no_zero_areas.gds
+VmPeak:	  542080 kB
+VmHWM:	  260236 kB
diff --git a/precheck_results/05_JUN_2022___09_43_53/logs/klayout_zeroarea_check.total b/precheck_results/05_JUN_2022___09_43_53/logs/klayout_zeroarea_check.total
new file mode 100644
index 0000000..c227083
--- /dev/null
+++ b/precheck_results/05_JUN_2022___09_43_53/logs/klayout_zeroarea_check.total
@@ -0,0 +1 @@
+0
\ No newline at end of file
diff --git a/precheck_results/05_JUN_2022___09_43_53/logs/magic_drc_check.log b/precheck_results/05_JUN_2022___09_43_53/logs/magic_drc_check.log
new file mode 100644
index 0000000..c0ee00c
--- /dev/null
+++ b/precheck_results/05_JUN_2022___09_43_53/logs/magic_drc_check.log
@@ -0,0 +1,30 @@
+
+Magic 8.3 revision 274 - Compiled on Fri Mar  4 22:54:15 UTC 2022.
+Starting magic under Tcl interpreter
+Using the terminal as the console.
+Using NULL graphics device.
+Processing system .magicrc file
+Sourcing design .magicrc for technology sky130A ...
+2 Magic internal units = 1 Lambda
+Could not find file '/usr/local/share/pdk/sky130A/sky130A/libs.tech/magic/sky130A.tech' in any of these directories:
+         . $CAD_ROOT/magic/sys $CAD_ROOT/magic/sys/current
+Error parsing "/home/krishna/mpw_precheckmpw6/checks/tech-files/sky130A.magicrc": couldn't read file "/usr/local/share/pdk/sky130A/sky130A/libs.tech/magic/sky130A.tcl": no such file or directory
+Bad local startup file "/home/krishna/mpw_precheckmpw6/checks/tech-files/sky130A.magicrc", continuing without.
+Scaled tech values by 2 / 1 to match internal grid scaling
+Loading "/home/krishna/mpw_precheckmpw6/checks/drc_checks/magic/magic_drc_check.tcl" from command line.
+Don't know how to read GDS-II:
+Nothing in "cifinput" section of tech file.
+[INFO]: Loading user_analog_project_wrapper
+
+File user_analog_project_wrapper.mag couldn't be read
+No such file or directory
+Creating new cell
+"drc(full)" is not one of the DRC styles Magic knows.
+The current style is "default".
+The DRC styles are: default.
+No errors found.
+[INFO]: COUNT: 0
+[INFO]: Should be divided by 3 or 4
+[INFO]: DRC Checking DONE (/home/krishna/Comparator_MPW6/precheck_results/05_JUN_2022___09_43_53/outputs/reports/magic_drc_check.drc.report)
+[INFO]: Saving mag view with DRC errors(/home/krishna/Comparator_MPW6/precheck_results/05_JUN_2022___09_43_53/outputs/user_analog_project_wrapper.magic.drc.mag)
+[INFO]: Saved
diff --git a/precheck_results/05_JUN_2022___09_43_53/logs/magic_drc_check.total b/precheck_results/05_JUN_2022___09_43_53/logs/magic_drc_check.total
new file mode 100644
index 0000000..c227083
--- /dev/null
+++ b/precheck_results/05_JUN_2022___09_43_53/logs/magic_drc_check.total
@@ -0,0 +1 @@
+0
\ No newline at end of file
diff --git a/precheck_results/05_JUN_2022___09_43_53/logs/pdks.info b/precheck_results/05_JUN_2022___09_43_53/logs/pdks.info
new file mode 100644
index 0000000..3072015
--- /dev/null
+++ b/precheck_results/05_JUN_2022___09_43_53/logs/pdks.info
@@ -0,0 +1,2 @@
+Open PDKs a3d6ffea8022332b859daa454cef7ee7131c5181
+Skywater PDK f70d8ca46961ff92719d8870a18a076370b85f6c
\ No newline at end of file
diff --git a/precheck_results/05_JUN_2022___09_43_53/logs/precheck.log b/precheck_results/05_JUN_2022___09_43_53/logs/precheck.log
new file mode 100644
index 0000000..68b1de8
--- /dev/null
+++ b/precheck_results/05_JUN_2022___09_43_53/logs/precheck.log
@@ -0,0 +1,60 @@
+2022-06-05 09:43:53 - [INFO] - {{EXTRACTING FILES}} Extracting compressed files in: /home/krishna/Comparator_MPW6
+2022-06-05 09:43:54 - [INFO] - {{Project Type Info}} analog
+2022-06-05 09:43:54 - [INFO] - {{Project GDS Info}} user_analog_project_wrapper: 407c5f2c8c0c002c1c297468c9c1a0adb2e73da8
+2022-06-05 09:43:54 - [INFO] - {{Tools Info}} KLayout: v0.27.8 | Magic: v8.3.274
+2022-06-05 09:43:54 - [INFO] - {{PDKs Info}} Open PDKs: a3d6ffea8022332b859daa454cef7ee7131c5181 | Skywater PDK: f70d8ca46961ff92719d8870a18a076370b85f6c
+2022-06-05 09:43:54 - [INFO] - {{START}} Precheck Started, the full log 'precheck.log' will be located in '/home/krishna/Comparator_MPW6/precheck_results/05_JUN_2022___09_43_53/logs'
+2022-06-05 09:43:54 - [INFO] - {{PRECHECK SEQUENCE}} Precheck will run the following checks: [License, Makefile, Default, Documentation, Consistency, XOR, Magic DRC, Klayout FEOL, Klayout BEOL, Klayout Offgrid, Klayout Metal Minimum Clear Area Density, Klayout Pin Label Purposes Overlapping Drawing, Klayout ZeroArea]
+2022-06-05 09:43:54 - [INFO] - {{STEP UPDATE}} Executing Check 1 of 13: License
+2022-06-05 09:43:55 - [INFO] - An approved LICENSE (Apache-2.0) was found in /home/krishna/Comparator_MPW6.
+2022-06-05 09:43:55 - [INFO] - {{MAIN LICENSE CHECK PASSED}} An approved LICENSE was found in project root.
+2022-06-05 09:43:56 - [INFO] - An approved LICENSE (Apache-2.0) was found in /home/krishna/Comparator_MPW6.
+2022-06-05 09:43:56 - [INFO] - {{SUBMODULES LICENSE CHECK PASSED}} No prohibited LICENSE file(s) was found in project submodules
+2022-06-05 09:43:57 - [WARNING] - {{SPDX COMPLIANCE CHECK FAILED}} Found 155 non-compliant file(s) with the SPDX Standard.
+2022-06-05 09:43:57 - [INFO] - SPDX COMPLIANCE: NON-COMPLIANT FILE(S) PREVIEW: ['/home/krishna/Comparator_MPW6/Makefile', '/home/krishna/Comparator_MPW6/.spiceinit', '/home/krishna/Comparator_MPW6/verilog/dv/Makefile', '/home/krishna/Comparator_MPW6/verilog/dv/mprj_por/mprj_por.c', '/home/krishna/Comparator_MPW6/verilog/dv/mprj_por/mprj_por_tb.v', '/home/krishna/Comparator_MPW6/verilog/dv/mprj_por/Makefile', '/home/krishna/Comparator_MPW6/verilog/rtl/uprj_analog_netlists.v', '/home/krishna/Comparator_MPW6/verilog/rtl/example_por.v', '/home/krishna/Comparator_MPW6/verilog/rtl/user_analog_proj_example.v', '/home/krishna/Comparator_MPW6/verilog/rtl/user_analog_project_wrapper.v', '/home/krishna/Comparator_MPW6/verilog/rtl/comparator.v', '/home/krishna/Comparator_MPW6/precheck_results/04_JUN_2022___09_24_39/outputs/reports/magic_drc_check.drc.report', '/home/krishna/Comparator_MPW6/precheck_results/04_JUN_2022___09_24_39/logs/klayout_zeroarea_check.total', '/home/krishna/Comparator_MPW6/precheck_results/04_JUN_2022___09_24_39/logs/tools.info', '/home/krishna/Comparator_MPW6/precheck_results/04_JUN_2022___09_24_39/logs/klayout_beol_check.total']
+2022-06-05 09:43:57 - [INFO] - For the full SPDX compliance report check: /home/krishna/Comparator_MPW6/precheck_results/05_JUN_2022___09_43_53/logs/spdx_compliance_report.log
+2022-06-05 09:43:57 - [INFO] - {{STEP UPDATE}} Executing Check 2 of 13: Makefile
+2022-06-05 09:43:57 - [INFO] - {{MAKEFILE CHECK PASSED}} Makefile valid.
+2022-06-05 09:43:57 - [INFO] - {{STEP UPDATE}} Executing Check 3 of 13: Default
+2022-06-05 09:43:57 - [INFO] - {{README DEFAULT CHECK PASSED}} Project 'README.md' was modified and is not identical to the default 'README.md'
+2022-06-05 09:43:57 - [INFO] - {{CONTENT DEFAULT CHECK PASSED}} Project 'gds' was modified and is not identical to the default 'gds'
+2022-06-05 09:43:57 - [INFO] - {{STEP UPDATE}} Executing Check 4 of 13: Documentation
+2022-06-05 09:43:57 - [INFO] - {{DOCUMENTATION CHECK PASSED}} Project documentation is appropriate.
+2022-06-05 09:43:57 - [INFO] - {{STEP UPDATE}} Executing Check 5 of 13: Consistency
+2022-06-05 09:43:59 - [INFO] - HIERARCHY CHECK PASSED: Module user_analog_project_wrapper is instantiated in caravan. 
+2022-06-05 09:43:59 - [INFO] - COMPLEXITY CHECK PASSED: Netlist caravan contains at least 8 instances (68 instances). 
+2022-06-05 09:43:59 - [INFO] - MODELING CHECK PASSED: Netlist caravan is structural.
+2022-06-05 09:43:59 - [INFO] - SUBMODULE HOOKS CHECK PASSED: All module ports for user_analog_project_wrapper are correctly connected in the top level netlist caravan.
+2022-06-05 09:43:59 - [INFO] - {{NETLIST CONSISTENCY CHECK PASSED}} caravan netlist passed all consistency checks.
+2022-06-05 09:43:59 - [INFO] - PORTS CHECK PASSED: Netlist user_analog_project_wrapper ports match the golden wrapper ports
+2022-06-05 09:43:59 - [INFO] - COMPLEXITY CHECK PASSED: Netlist user_analog_project_wrapper contains at least 1 instances (19 instances). 
+2022-06-05 09:43:59 - [INFO] - MODELING CHECK PASSED: Netlist user_analog_project_wrapper is structural.
+2022-06-05 09:43:59 - [WARNING] - LAYOUT CHECK FAILED: The GDS layout for user_analog_project_wrapper doesn't match the provided structural netlist. Mismatching modules are: ['sky130_fd_pr__diode_pw2nd_05v5_3P6M5Y']
+2022-06-05 09:43:59 - [WARNING] - {{NETLIST CONSISTENCY CHECK FAILED}} user_analog_project_wrapper netlist failed 1 consistency check(s): ['LAYOUT'].
+2022-06-05 09:43:59 - [WARNING] - {{CONSISTENCY CHECK FAILED}} The user netlist and the top netlist are not valid.
+2022-06-05 09:43:59 - [INFO] - {{STEP UPDATE}} Executing Check 6 of 13: XOR
+2022-06-05 09:44:01 - [ERROR] - XOR CHECK FILE NOT FOUND in /home/krishna/Comparator_MPW6/precheck_results/05_JUN_2022___09_43_53/logs/xor_check.total
+2022-06-05 09:44:01 - [WARNING] - {{XOR CHECK FAILED}} The GDS file has non-conforming geometries.
+2022-06-05 09:44:01 - [INFO] - {{STEP UPDATE}} Executing Check 7 of 13: Magic DRC
+2022-06-05 09:44:01 - [INFO] - 0 DRC violations
+2022-06-05 09:44:01 - [INFO] - {{MAGIC DRC CHECK PASSED}} The GDS file, user_analog_project_wrapper.gds, has no DRC violations.
+2022-06-05 09:44:01 - [INFO] - {{STEP UPDATE}} Executing Check 8 of 13: Klayout FEOL
+2022-06-05 09:44:03 - [INFO] - No DRC Violations found
+2022-06-05 09:44:03 - [INFO] - {{Klayout FEOL CHECK PASSED}} The GDS file, user_analog_project_wrapper.gds, has no DRC violations.
+2022-06-05 09:44:03 - [INFO] - {{STEP UPDATE}} Executing Check 9 of 13: Klayout BEOL
+2022-06-05 09:44:08 - [INFO] - No DRC Violations found
+2022-06-05 09:44:08 - [INFO] - {{Klayout BEOL CHECK PASSED}} The GDS file, user_analog_project_wrapper.gds, has no DRC violations.
+2022-06-05 09:44:08 - [INFO] - {{STEP UPDATE}} Executing Check 10 of 13: Klayout Offgrid
+2022-06-05 09:44:10 - [INFO] - No DRC Violations found
+2022-06-05 09:44:10 - [INFO] - {{Klayout Offgrid CHECK PASSED}} The GDS file, user_analog_project_wrapper.gds, has no DRC violations.
+2022-06-05 09:44:10 - [INFO] - {{STEP UPDATE}} Executing Check 11 of 13: Klayout Metal Minimum Clear Area Density
+2022-06-05 09:44:11 - [INFO] - No DRC Violations found
+2022-06-05 09:44:11 - [INFO] - {{Klayout Metal Minimum Clear Area Density CHECK PASSED}} The GDS file, user_analog_project_wrapper.gds, has no DRC violations.
+2022-06-05 09:44:11 - [INFO] - {{STEP UPDATE}} Executing Check 12 of 13: Klayout Pin Label Purposes Overlapping Drawing
+2022-06-05 09:44:12 - [INFO] - No DRC Violations found
+2022-06-05 09:44:12 - [INFO] - {{Klayout Pin Label Purposes Overlapping Drawing CHECK PASSED}} The GDS file, user_analog_project_wrapper.gds, has no DRC violations.
+2022-06-05 09:44:12 - [INFO] - {{STEP UPDATE}} Executing Check 13 of 13: Klayout ZeroArea
+2022-06-05 09:44:13 - [INFO] - No DRC Violations found
+2022-06-05 09:44:13 - [INFO] - {{Klayout ZeroArea CHECK PASSED}} The GDS file, user_analog_project_wrapper.gds, has no DRC violations.
+2022-06-05 09:44:13 - [INFO] - {{FINISH}} Executing Finished, the full log 'precheck.log' can be found in '/home/krishna/Comparator_MPW6/precheck_results/05_JUN_2022___09_43_53/logs'
+2022-06-05 09:44:13 - [CRITICAL] - {{FAILURE}} 2 Check(s) Failed: ['Consistency', 'XOR'] !!!
diff --git a/precheck_results/05_JUN_2022___09_43_53/logs/spdx_compliance_report.log b/precheck_results/05_JUN_2022___09_43_53/logs/spdx_compliance_report.log
new file mode 100644
index 0000000..0b128c9
--- /dev/null
+++ b/precheck_results/05_JUN_2022___09_43_53/logs/spdx_compliance_report.log
@@ -0,0 +1,155 @@
+/home/krishna/Comparator_MPW6/Makefile
+/home/krishna/Comparator_MPW6/.spiceinit
+/home/krishna/Comparator_MPW6/verilog/dv/Makefile
+/home/krishna/Comparator_MPW6/verilog/dv/mprj_por/mprj_por.c
+/home/krishna/Comparator_MPW6/verilog/dv/mprj_por/mprj_por_tb.v
+/home/krishna/Comparator_MPW6/verilog/dv/mprj_por/Makefile
+/home/krishna/Comparator_MPW6/verilog/rtl/uprj_analog_netlists.v
+/home/krishna/Comparator_MPW6/verilog/rtl/example_por.v
+/home/krishna/Comparator_MPW6/verilog/rtl/user_analog_proj_example.v
+/home/krishna/Comparator_MPW6/verilog/rtl/user_analog_project_wrapper.v
+/home/krishna/Comparator_MPW6/verilog/rtl/comparator.v
+/home/krishna/Comparator_MPW6/precheck_results/04_JUN_2022___09_24_39/outputs/reports/magic_drc_check.drc.report
+/home/krishna/Comparator_MPW6/precheck_results/04_JUN_2022___09_24_39/logs/klayout_zeroarea_check.total
+/home/krishna/Comparator_MPW6/precheck_results/04_JUN_2022___09_24_39/logs/tools.info
+/home/krishna/Comparator_MPW6/precheck_results/04_JUN_2022___09_24_39/logs/klayout_beol_check.total
+/home/krishna/Comparator_MPW6/precheck_results/04_JUN_2022___09_24_39/logs/klayout_pin_label_purposes_overlapping_drawing_check.total
+/home/krishna/Comparator_MPW6/precheck_results/04_JUN_2022___09_24_39/logs/klayout_feol_check.total
+/home/krishna/Comparator_MPW6/precheck_results/04_JUN_2022___09_24_39/logs/gds.info
+/home/krishna/Comparator_MPW6/precheck_results/04_JUN_2022___09_24_39/logs/pdks.info
+/home/krishna/Comparator_MPW6/precheck_results/04_JUN_2022___09_24_39/logs/magic_drc_check.total
+/home/krishna/Comparator_MPW6/precheck_results/04_JUN_2022___09_24_39/logs/klayout_offgrid_check.total
+/home/krishna/Comparator_MPW6/precheck_results/04_JUN_2022___09_24_39/logs/klayout_met_min_ca_density_check.total
+/home/krishna/Comparator_MPW6/precheck_results/04_JUN_2022___14_38_44/outputs/reports/magic_drc_check.drc.report
+/home/krishna/Comparator_MPW6/precheck_results/04_JUN_2022___14_38_44/logs/klayout_zeroarea_check.total
+/home/krishna/Comparator_MPW6/precheck_results/04_JUN_2022___14_38_44/logs/tools.info
+/home/krishna/Comparator_MPW6/precheck_results/04_JUN_2022___14_38_44/logs/klayout_beol_check.total
+/home/krishna/Comparator_MPW6/precheck_results/04_JUN_2022___14_38_44/logs/klayout_pin_label_purposes_overlapping_drawing_check.total
+/home/krishna/Comparator_MPW6/precheck_results/04_JUN_2022___14_38_44/logs/klayout_feol_check.total
+/home/krishna/Comparator_MPW6/precheck_results/04_JUN_2022___14_38_44/logs/gds.info
+/home/krishna/Comparator_MPW6/precheck_results/04_JUN_2022___14_38_44/logs/pdks.info
+/home/krishna/Comparator_MPW6/precheck_results/04_JUN_2022___14_38_44/logs/magic_drc_check.total
+/home/krishna/Comparator_MPW6/precheck_results/04_JUN_2022___14_38_44/logs/klayout_offgrid_check.total
+/home/krishna/Comparator_MPW6/precheck_results/04_JUN_2022___14_38_44/logs/klayout_met_min_ca_density_check.total
+/home/krishna/Comparator_MPW6/precheck_results/04_JUN_2022___11_00_41/outputs/reports/magic_drc_check.drc.report
+/home/krishna/Comparator_MPW6/precheck_results/04_JUN_2022___11_00_41/logs/klayout_zeroarea_check.total
+/home/krishna/Comparator_MPW6/precheck_results/04_JUN_2022___11_00_41/logs/tools.info
+/home/krishna/Comparator_MPW6/precheck_results/04_JUN_2022___11_00_41/logs/klayout_beol_check.total
+/home/krishna/Comparator_MPW6/precheck_results/04_JUN_2022___11_00_41/logs/klayout_pin_label_purposes_overlapping_drawing_check.total
+/home/krishna/Comparator_MPW6/precheck_results/04_JUN_2022___11_00_41/logs/klayout_feol_check.total
+/home/krishna/Comparator_MPW6/precheck_results/04_JUN_2022___11_00_41/logs/gds.info
+/home/krishna/Comparator_MPW6/precheck_results/04_JUN_2022___11_00_41/logs/pdks.info
+/home/krishna/Comparator_MPW6/precheck_results/04_JUN_2022___11_00_41/logs/magic_drc_check.total
+/home/krishna/Comparator_MPW6/precheck_results/04_JUN_2022___11_00_41/logs/klayout_offgrid_check.total
+/home/krishna/Comparator_MPW6/precheck_results/04_JUN_2022___11_00_41/logs/klayout_met_min_ca_density_check.total
+/home/krishna/Comparator_MPW6/precheck_results/04_JUN_2022___09_00_52/outputs/reports/magic_drc_check.drc.report
+/home/krishna/Comparator_MPW6/precheck_results/04_JUN_2022___09_00_52/logs/klayout_zeroarea_check.total
+/home/krishna/Comparator_MPW6/precheck_results/04_JUN_2022___09_00_52/logs/tools.info
+/home/krishna/Comparator_MPW6/precheck_results/04_JUN_2022___09_00_52/logs/klayout_beol_check.total
+/home/krishna/Comparator_MPW6/precheck_results/04_JUN_2022___09_00_52/logs/klayout_pin_label_purposes_overlapping_drawing_check.total
+/home/krishna/Comparator_MPW6/precheck_results/04_JUN_2022___09_00_52/logs/klayout_feol_check.total
+/home/krishna/Comparator_MPW6/precheck_results/04_JUN_2022___09_00_52/logs/gds.info
+/home/krishna/Comparator_MPW6/precheck_results/04_JUN_2022___09_00_52/logs/pdks.info
+/home/krishna/Comparator_MPW6/precheck_results/04_JUN_2022___09_00_52/logs/magic_drc_check.total
+/home/krishna/Comparator_MPW6/precheck_results/04_JUN_2022___09_00_52/logs/klayout_offgrid_check.total
+/home/krishna/Comparator_MPW6/precheck_results/04_JUN_2022___09_00_52/logs/klayout_met_min_ca_density_check.total
+/home/krishna/Comparator_MPW6/precheck_results/04_JUN_2022___10_33_37/outputs/reports/magic_drc_check.drc.report
+/home/krishna/Comparator_MPW6/precheck_results/04_JUN_2022___10_33_37/logs/klayout_zeroarea_check.total
+/home/krishna/Comparator_MPW6/precheck_results/04_JUN_2022___10_33_37/logs/tools.info
+/home/krishna/Comparator_MPW6/precheck_results/04_JUN_2022___10_33_37/logs/klayout_beol_check.total
+/home/krishna/Comparator_MPW6/precheck_results/04_JUN_2022___10_33_37/logs/klayout_pin_label_purposes_overlapping_drawing_check.total
+/home/krishna/Comparator_MPW6/precheck_results/04_JUN_2022___10_33_37/logs/klayout_feol_check.total
+/home/krishna/Comparator_MPW6/precheck_results/04_JUN_2022___10_33_37/logs/gds.info
+/home/krishna/Comparator_MPW6/precheck_results/04_JUN_2022___10_33_37/logs/pdks.info
+/home/krishna/Comparator_MPW6/precheck_results/04_JUN_2022___10_33_37/logs/magic_drc_check.total
+/home/krishna/Comparator_MPW6/precheck_results/04_JUN_2022___10_33_37/logs/klayout_offgrid_check.total
+/home/krishna/Comparator_MPW6/precheck_results/04_JUN_2022___10_33_37/logs/klayout_met_min_ca_density_check.total
+/home/krishna/Comparator_MPW6/precheck_results/04_JUN_2022___10_10_56/outputs/reports/magic_drc_check.drc.report
+/home/krishna/Comparator_MPW6/precheck_results/04_JUN_2022___10_10_56/logs/klayout_zeroarea_check.total
+/home/krishna/Comparator_MPW6/precheck_results/04_JUN_2022___10_10_56/logs/tools.info
+/home/krishna/Comparator_MPW6/precheck_results/04_JUN_2022___10_10_56/logs/klayout_beol_check.total
+/home/krishna/Comparator_MPW6/precheck_results/04_JUN_2022___10_10_56/logs/klayout_pin_label_purposes_overlapping_drawing_check.total
+/home/krishna/Comparator_MPW6/precheck_results/04_JUN_2022___10_10_56/logs/klayout_feol_check.total
+/home/krishna/Comparator_MPW6/precheck_results/04_JUN_2022___10_10_56/logs/gds.info
+/home/krishna/Comparator_MPW6/precheck_results/04_JUN_2022___10_10_56/logs/pdks.info
+/home/krishna/Comparator_MPW6/precheck_results/04_JUN_2022___10_10_56/logs/magic_drc_check.total
+/home/krishna/Comparator_MPW6/precheck_results/04_JUN_2022___10_10_56/logs/klayout_offgrid_check.total
+/home/krishna/Comparator_MPW6/precheck_results/04_JUN_2022___10_10_56/logs/klayout_met_min_ca_density_check.total
+/home/krishna/Comparator_MPW6/precheck_results/04_JUN_2022___10_00_20/outputs/reports/magic_drc_check.drc.report
+/home/krishna/Comparator_MPW6/precheck_results/04_JUN_2022___10_00_20/logs/klayout_zeroarea_check.total
+/home/krishna/Comparator_MPW6/precheck_results/04_JUN_2022___10_00_20/logs/tools.info
+/home/krishna/Comparator_MPW6/precheck_results/04_JUN_2022___10_00_20/logs/klayout_beol_check.total
+/home/krishna/Comparator_MPW6/precheck_results/04_JUN_2022___10_00_20/logs/klayout_pin_label_purposes_overlapping_drawing_check.total
+/home/krishna/Comparator_MPW6/precheck_results/04_JUN_2022___10_00_20/logs/klayout_feol_check.total
+/home/krishna/Comparator_MPW6/precheck_results/04_JUN_2022___10_00_20/logs/gds.info
+/home/krishna/Comparator_MPW6/precheck_results/04_JUN_2022___10_00_20/logs/pdks.info
+/home/krishna/Comparator_MPW6/precheck_results/04_JUN_2022___10_00_20/logs/magic_drc_check.total
+/home/krishna/Comparator_MPW6/precheck_results/04_JUN_2022___10_00_20/logs/klayout_offgrid_check.total
+/home/krishna/Comparator_MPW6/precheck_results/04_JUN_2022___10_00_20/logs/klayout_met_min_ca_density_check.total
+/home/krishna/Comparator_MPW6/precheck_results/05_JUN_2022___09_43_53/logs/tools.info
+/home/krishna/Comparator_MPW6/precheck_results/05_JUN_2022___09_43_53/logs/gds.info
+/home/krishna/Comparator_MPW6/precheck_results/05_JUN_2022___09_43_53/logs/pdks.info
+/home/krishna/Comparator_MPW6/mag/sky130_fd_pr__pfet_01v8_AC5Z8B#0.ext
+/home/krishna/Comparator_MPW6/mag/latch_3.ext
+/home/krishna/Comparator_MPW6/mag/sky130_fd_pr__diode_pw2nd_05v5_GT7E3L.ext
+/home/krishna/Comparator_MPW6/mag/inv_W2.ext
+/home/krishna/Comparator_MPW6/mag/sky130_fd_pr__diode_pw2nd_05v5_KLAK3C.ext
+/home/krishna/Comparator_MPW6/mag/sky130_fd_pr__diode_pw2nd_05v5_FT7GK8.ext
+/home/krishna/Comparator_MPW6/mag/sky130_fd_pr__diode_pw2nd_05v5_3P6M5Y.ext
+/home/krishna/Comparator_MPW6/mag/sky130_fd_pr__pfet_01v8_5SM9EE.ext
+/home/krishna/Comparator_MPW6/mag/latch_22.ext
+/home/krishna/Comparator_MPW6/mag/sky130_fd_pr__diode_pw2nd_05v5_GT7G3L.ext
+/home/krishna/Comparator_MPW6/mag/inv_W2#0.ext
+/home/krishna/Comparator_MPW6/mag/.magicrc
+/home/krishna/Comparator_MPW6/mag/sky130_fd_pr__diode_pd2nw_05v5_G4XDRY.ext
+/home/krishna/Comparator_MPW6/mag/sky130_fd_pr__nfet_01v8_XJTKXQ#1.ext
+/home/krishna/Comparator_MPW6/mag/sky130_fd_pr__nfet_01v8_XJTKXQ.ext
+/home/krishna/Comparator_MPW6/mag/sky130_fd_pr__pfet_01v8_AC5Z8B.ext
+/home/krishna/Comparator_MPW6/mag/buffer_2#1.ext
+/home/krishna/Comparator_MPW6/mag/comparator_v6.ext
+/home/krishna/Comparator_MPW6/mag/buffer_1#0.ext
+/home/krishna/Comparator_MPW6/mag/latch_2.ext
+/home/krishna/Comparator_MPW6/mag/user_analog_project_wrapper.ext
+/home/krishna/Comparator_MPW6/mag/buffer_2#0.ext
+/home/krishna/Comparator_MPW6/mag/sky130_fd_pr__diode_pd2nw_05v5_RT56W3.ext
+/home/krishna/Comparator_MPW6/mag/latch/SR_latch.ext
+/home/krishna/Comparator_MPW6/mag/latch/sky130_fd_pr__pfet_01v8_AC5Z8B#0.ext
+/home/krishna/Comparator_MPW6/mag/latch/sky130A.magicrc
+/home/krishna/Comparator_MPW6/mag/latch/latch_22.ext
+/home/krishna/Comparator_MPW6/mag/latch/sky130_fd_pr__pfet_01v8_AC5E9B.ext
+/home/krishna/Comparator_MPW6/mag/latch/.magicrc
+/home/krishna/Comparator_MPW6/mag/latch/sky130_fd_pr__nfet_01v8_XJTKXQ#1.ext
+/home/krishna/Comparator_MPW6/mag/latch/sky130_fd_pr__nfet_01v8_F5U58G.ext
+/home/krishna/Comparator_MPW6/mag/latch/sky130_fd_pr__pfet_01v8_GJYUB2.ext
+/home/krishna/Comparator_MPW6/mag/latch/latch_2.ext
+/home/krishna/Comparator_MPW6/mag/latch/sky130_fd_pr__pfet_01v8_5SVZDE.ext
+/home/krishna/Comparator_MPW6/mag/latch/latch.ext
+/home/krishna/Comparator_MPW6/mag/preamp/sky130_fd_pr__nfet_01v8_G6PLX8.ext
+/home/krishna/Comparator_MPW6/mag/preamp/preamp_part1.ext
+/home/krishna/Comparator_MPW6/mag/preamp/preamp_part2.ext
+/home/krishna/Comparator_MPW6/mag/preamp/sky130_fd_pr__nfet_01v8_XJTKXQ#0.ext
+/home/krishna/Comparator_MPW6/mag/preamp/sky130_fd_pr__nfet_01v8_F5U58G#1.ext
+/home/krishna/Comparator_MPW6/mag/preamp/.magicrc
+/home/krishna/Comparator_MPW6/mag/preamp/sky130_fd_pr__pfet_01v8_RFM3CD.ext
+/home/krishna/Comparator_MPW6/mag/preamp/sky130_fd_pr__nfet_01v8_8FHE5N.ext
+/home/krishna/Comparator_MPW6/mag/preamp/sky130_fd_pr__nfet_01v8_F5U58G#0.ext
+/home/krishna/Comparator_MPW6/xschem/xschemrc
+/home/krishna/Comparator_MPW6/xschem/buffer.sch
+/home/krishna/Comparator_MPW6/xschem/user_analog_project_wrapper.sym
+/home/krishna/Comparator_MPW6/xschem/SR_latch.sym
+/home/krishna/Comparator_MPW6/xschem/comparator.sch
+/home/krishna/Comparator_MPW6/xschem/comparator.sym
+/home/krishna/Comparator_MPW6/xschem/analog_wrapper_tb.sch
+/home/krishna/Comparator_MPW6/xschem/user_analog_project_wrapper.sch
+/home/krishna/Comparator_MPW6/xschem/.spiceinit
+/home/krishna/Comparator_MPW6/xschem/comparator_tb.sch
+/home/krishna/Comparator_MPW6/xschem/SR_latch.sch
+/home/krishna/Comparator_MPW6/xschem/buffer.sym
+/home/krishna/Comparator_MPW6/docs/Makefile
+/home/krishna/Comparator_MPW6/docs/environment.yml
+/home/krishna/Comparator_MPW6/docs/source/conf.py
+/home/krishna/Comparator_MPW6/docs/source/index.rst
+/home/krishna/Comparator_MPW6/netgen/run_lvs_wrapper_verilog.sh
+/home/krishna/Comparator_MPW6/netgen/xtra
+/home/krishna/Comparator_MPW6/netgen/run_lvs_por.sh
+/home/krishna/Comparator_MPW6/netgen/run_lvs_wrapper_xschem.sh
diff --git a/precheck_results/05_JUN_2022___09_43_53/logs/tools.info b/precheck_results/05_JUN_2022___09_43_53/logs/tools.info
new file mode 100644
index 0000000..9b2230a
--- /dev/null
+++ b/precheck_results/05_JUN_2022___09_43_53/logs/tools.info
@@ -0,0 +1,2 @@
+KLayout: 0.27.8
+Magic: 8.3.274
\ No newline at end of file
diff --git a/precheck_results/05_JUN_2022___09_43_53/logs/xor_check.log b/precheck_results/05_JUN_2022___09_43_53/logs/xor_check.log
new file mode 100644
index 0000000..4565c88
--- /dev/null
+++ b/precheck_results/05_JUN_2022___09_43_53/logs/xor_check.log
@@ -0,0 +1,179 @@
+Reading file /home/krishna/Comparator_MPW6/gds/user_analog_project_wrapper.gds for cell user_analog_project_wrapper
+dbu=0.001
+cell user_analog_project_wrapper dbu-bbox(ll;ur)=(-4000,-4000;2924000,3524000)
+cell user_analog_project_wrapper dbu-bbox(left,bottom,right,top)=(-4000,-4000,2924000,3524000)
+cell user_analog_project_wrapper dbu-size(width,height)=(2928000,3528000)
+cell user_analog_project_wrapper micron-bbox(left,bottom,right,top)=(-4.0,-4.0,2924.0,3524.0)
+cell user_analog_project_wrapper micron-size(width,height)=(2928.0,3528.0)
+Done.
+
+Magic 8.3 revision 274 - Compiled on Fri Mar  4 22:54:15 UTC 2022.
+Starting magic under Tcl interpreter
+Using the terminal as the console.
+Using NULL graphics device.
+Processing system .magicrc file
+Loading "/home/krishna/mpw_precheckmpw6/checks/xor_check/erase_box.tcl" from command line.
+"sky130(vendor)" is not one of the CIF input styles Magic knows.
+Error: No style is set
+The CIF input styles are: .
+Don't know how to read GDS-II:
+Nothing in "cifinput" section of tech file.
+File user_analog_project_wrapper.mag couldn't be read
+No such file or directory
+Creating new cell
+Root cell box:
+           width x height  (   llx,  lly  ), (   urx,  ury  )  area (units^2)
+
+microns:  43.000 x 3520.000  (-43.000,  0.000), ( 0.000,  3520.000)  151360.000
+lambda:       43 x 3520    (   -43,  0    ), (     0,  3520 )  151360    
+Root cell box:
+           width x height  (   llx,  lly  ), (   urx,  ury  )  area (units^2)
+
+microns:  43.000 x 3520.000  ( 2920.000,  0.000), ( 2963.000,  3520.000)  151360.000
+lambda:       43 x 3520    (  2920,  0    ), (  2963,  3520 )  151360    
+Root cell box:
+           width x height  (   llx,  lly  ), (   urx,  ury  )  area (units^2)
+
+microns:  3006.000 x 38.000  (-43.000, -38.000), ( 2963.000,  0.000)  114228.000
+lambda:     3006 x 38      (   -43, -38   ), (  2963,  0    )  114228    
+Root cell box:
+           width x height  (   llx,  lly  ), (   urx,  ury  )  area (units^2)
+
+microns:  3006.000 x 37.000  (-43.000,  3520.000), ( 2963.000,  3557.000)  111222.000
+lambda:     3006 x 37      (   -43,  3520 ), (  2963,  3557 )  111222    
+Unrecognized layer: metal5
+Layer names are:
+    mag or magnet
+    f or fence
+    r or rotate
+    $
+    *
+    errors
+    labels
+    subcell
+    connect
+Unrecognized layer: metal4
+Layer names are:
+    mag or magnet
+    f or fence
+    r or rotate
+    $
+    *
+    errors
+    labels
+    subcell
+    connect
+Unrecognized layer: metal5
+Layer names are:
+    mag or magnet
+    f or fence
+    r or rotate
+    $
+    *
+    errors
+    labels
+    subcell
+    connect
+Unrecognized layer: metal4
+Layer names are:
+    mag or magnet
+    f or fence
+    r or rotate
+    $
+    *
+    errors
+    labels
+    subcell
+    connect
+No CIF/GDS output style set!
+I/O error in writing file /home/krishna/Comparator_MPW6/precheck_results/05_JUN_2022___09_43_53/outputs/user_analog_project_wrapper_erased.gds.
+File may be incompletely written.
+
+Magic 8.3 revision 274 - Compiled on Fri Mar  4 22:54:15 UTC 2022.
+Starting magic under Tcl interpreter
+Using the terminal as the console.
+Using NULL graphics device.
+Processing system .magicrc file
+Loading "/home/krishna/mpw_precheckmpw6/checks/xor_check/erase_box.tcl" from command line.
+"sky130(vendor)" is not one of the CIF input styles Magic knows.
+Error: No style is set
+The CIF input styles are: .
+Don't know how to read GDS-II:
+Nothing in "cifinput" section of tech file.
+File user_analog_project_wrapper.mag couldn't be read
+No such file or directory
+Creating new cell
+Root cell box:
+           width x height  (   llx,  lly  ), (   urx,  ury  )  area (units^2)
+
+microns:  43.000 x 3520.000  (-43.000,  0.000), ( 0.000,  3520.000)  151360.000
+lambda:       43 x 3520    (   -43,  0    ), (     0,  3520 )  151360    
+Root cell box:
+           width x height  (   llx,  lly  ), (   urx,  ury  )  area (units^2)
+
+microns:  43.000 x 3520.000  ( 2920.000,  0.000), ( 2963.000,  3520.000)  151360.000
+lambda:       43 x 3520    (  2920,  0    ), (  2963,  3520 )  151360    
+Root cell box:
+           width x height  (   llx,  lly  ), (   urx,  ury  )  area (units^2)
+
+microns:  3006.000 x 38.000  (-43.000, -38.000), ( 2963.000,  0.000)  114228.000
+lambda:     3006 x 38      (   -43, -38   ), (  2963,  0    )  114228    
+Root cell box:
+           width x height  (   llx,  lly  ), (   urx,  ury  )  area (units^2)
+
+microns:  3006.000 x 37.000  (-43.000,  3520.000), ( 2963.000,  3557.000)  111222.000
+lambda:     3006 x 37      (   -43,  3520 ), (  2963,  3557 )  111222    
+Unrecognized layer: metal5
+Layer names are:
+    mag or magnet
+    f or fence
+    r or rotate
+    $
+    *
+    errors
+    labels
+    subcell
+    connect
+Unrecognized layer: metal4
+Layer names are:
+    mag or magnet
+    f or fence
+    r or rotate
+    $
+    *
+    errors
+    labels
+    subcell
+    connect
+Unrecognized layer: metal5
+Layer names are:
+    mag or magnet
+    f or fence
+    r or rotate
+    $
+    *
+    errors
+    labels
+    subcell
+    connect
+Unrecognized layer: metal4
+Layer names are:
+    mag or magnet
+    f or fence
+    r or rotate
+    $
+    *
+    errors
+    labels
+    subcell
+    connect
+No CIF/GDS output style set!
+I/O error in writing file /home/krishna/Comparator_MPW6/precheck_results/05_JUN_2022___09_43_53/outputs/user_analog_project_wrapper_empty_erased.gds.
+File may be incompletely written.
+Reading /home/krishna/Comparator_MPW6/precheck_results/05_JUN_2022___09_43_53/outputs/user_analog_project_wrapper_erased.gds ..
+ERROR: In /home/krishna/mpw_precheckmpw6/checks/xor_check/xor.rb.drc: 'source': Stream has unknown format: /home/krishna/Comparator_MPW6/precheck_results/05_JUN_2022___09_43_53/outputs/user_analog_project_wrapper_erased.gds in Layout::read
+Total elapsed: 0.000s  Memory: 521.00M
+ERROR: 'source': Stream has unknown format: /home/krishna/Comparator_MPW6/precheck_results/05_JUN_2022___09_43_53/outputs/user_analog_project_wrapper_erased.gds in Layout::read in Executable::execute
+  /home/krishna/mpw_precheckmpw6/checks/xor_check/xor.rb.drc:15:in `execute'
+  :/built-in-macros/drc_interpreters.lym:27:in `instance_eval'
+  :/built-in-macros/drc_interpreters.lym:27:in `execute'
diff --git a/precheck_results/05_JUN_2022___09_43_53/outputs/reports/klayout_beol_check.xml b/precheck_results/05_JUN_2022___09_43_53/outputs/reports/klayout_beol_check.xml
new file mode 100644
index 0000000..9ad4ffd
--- /dev/null
+++ b/precheck_results/05_JUN_2022___09_43_53/outputs/reports/klayout_beol_check.xml
@@ -0,0 +1,447 @@
+<?xml version="1.0" encoding="utf-8"?>
+<report-database>
+ <description>SKY130 DRC runset</description>
+ <original-file/>
+ <generator>drc: script='/home/krishna/mpw_precheckmpw6/checks/tech-files/sky130A_mr.drc'</generator>
+ <top-cell>user_analog_project_wrapper</top-cell>
+ <tags>
+ </tags>
+ <categories>
+  <category>
+   <name>li.1</name>
+   <description>li.1 : min. li width : 0.17um</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>li.3</name>
+   <description>li.3 : min. li spacing : 0.17um</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>li.5</name>
+   <description>li.5 : min. li enclosure of licon of 2 adjacent edges : 0.08um</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>li.6</name>
+   <description>li.6 : min. li area : 0.0561um²</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>ct.1</name>
+   <description>ct.1: non-ring mcon should be rectangular</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>ct.1_a</name>
+   <description>ct.1_a : minimum width of mcon : 0.17um</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>ct.1_b</name>
+   <description>ct.1_b : maximum length of mcon : 0.17um</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>ct.2</name>
+   <description>ct.2 : min. mcon spacing : 0.19um</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>ct.4</name>
+   <description>ct.4 : mcon should covered by li</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>m1.1</name>
+   <description>m1.1 : min. m1 width : 0.14um</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>m1.2</name>
+   <description>m1.2 : min. m1 spacing : 0.14um</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>m1.3ab</name>
+   <description>m1.3ab : min. 3um.m1 spacing m1 : 0.28um</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>791_m1.4</name>
+   <description>791_m1.4 : min. m1 enclosure of mcon : 0.03um</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>m1.4</name>
+   <description>m1.4 : mcon periphery must be enclosed by m1</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>m1.4a</name>
+   <description>m1.4a : min. m1 enclosure of mcon for specific cells : 0.005um</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>m1.4a_a</name>
+   <description>m1.4a_a : mcon periph must be enclosed by met1 for specific cells</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>m1.6</name>
+   <description>m1.6 : min. m1 area : 0.083um²</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>m1.7</name>
+   <description>m1.7 : min. m1 with holes area : 0.14um²</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>m1.5</name>
+   <description>m1.5 : min. m1 enclosure of mcon of 2 adjacent edges : 0.06um</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>via.1a</name>
+   <description>via.1a : via outside of moduleCut should be rectangular</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>via.1a_a</name>
+   <description>via.1a_a : min. width of via outside of moduleCut : 0.15um</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>via.1a_b</name>
+   <description>via.1a_b : maximum length of via : 0.15um</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>via.2</name>
+   <description>via.2 : min. via spacing : 0.17um</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>via.4a</name>
+   <description>via.4a : min. m1 enclosure of 0.15um via : 0.055um</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>via.4a_a</name>
+   <description>via.4a_a : 0.15um via must be enclosed by met1</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>via.5a</name>
+   <description>via.5a : min. m1 enclosure of 0.15um via of 2 adjacent edges : 0.085um</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>m2.1</name>
+   <description>m2.1 : min. m2 width : 0.14um</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>m2.2</name>
+   <description>m2.2 : min. m2 spacing : 0.14um</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>m2.3ab</name>
+   <description>m2.3ab : min. 3um.m2 spacing m2 : 0.28um</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>m2.6</name>
+   <description>m2.6 : min. m2 area : 0.0676um²</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>m2.7</name>
+   <description>m2.7 : min. m2 holes area : 0.14um²</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>m2.4</name>
+   <description>m2.4 : min. m2 enclosure of via : 0.055um</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>m2.4_a</name>
+   <description>m2.4_a : via in periphery must be enclosed by met2</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>m2.5</name>
+   <description>m2.5 : min. m2 enclosure of via of 2 adjacent edges : 0.085um</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>via2.1a</name>
+   <description>via2.1a : via2 outside of moduleCut should be rectangular</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>via2.1a_a</name>
+   <description>via2.1a_a : min. width of via2 outside of moduleCut : 0.2um</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>via2.1a_b</name>
+   <description>via2.1a_b : maximum length of via2 : 0.2um</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>via2.2</name>
+   <description>via2.2 : min. via2 spacing : 0.2um</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>via2.4</name>
+   <description>via2.4 : min. m2 enclosure of via2 : 0.04um</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>via2.4_a</name>
+   <description>via2.4_a : via must be enclosed by met2</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>via2.5</name>
+   <description>via2.5 : min. m3 enclosure of via2 of 2 adjacent edges : 0.085um</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>m3.1</name>
+   <description>m3.1 : min. m3 width : 0.3um</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>m3.2</name>
+   <description>m3.2 : min. m3 spacing : 0.3um</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>m3.3cd</name>
+   <description>m3.3cd : min. 3um.m3 spacing m3 : 0.4um</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>m3.4</name>
+   <description>m3.4 : min. m3 enclosure of via2 : 0.065um</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>m3.4_a</name>
+   <description>m3.4_a : via2 must be enclosed by met3</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>via3.1</name>
+   <description>via3.1 : via3 outside of moduleCut should be rectangular</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>via3.1_a</name>
+   <description>via3.1_a : min. width of via3 outside of moduleCut : 0.2um</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>via3.1_b</name>
+   <description>via3.1_b : maximum length of via3 : 0.2um</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>via3.2</name>
+   <description>via3.2 : min. via3 spacing : 0.2um</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>via3.4</name>
+   <description>via3.4 : min. m3 enclosure of via3 : 0.06um</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>via3.4_a</name>
+   <description>via3.4_a : non-ring via3 must be enclosed by met3</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>via3.5</name>
+   <description>via3.5 : min. m3 enclosure of via3 of 2 adjacent edges : 0.09um</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>m4.1</name>
+   <description>m4.1 : min. m4 width : 0.3um</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>m4.2</name>
+   <description>m4.2 : min. m4 spacing : 0.3um</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>m4.4a</name>
+   <description>m4.4a : min. m4 area : 0.240um²</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>m4.5ab</name>
+   <description>m4.5ab : min. 3um.m4 spacing m4 : 0.4um</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>m4.3</name>
+   <description>m4.3 : min. m4 enclosure of via3 : 0.065um</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>m4.3_a</name>
+   <description>m4.3_a : via3 must be enclosed by met4</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>via4.1</name>
+   <description>via4.1 : via4 outside of moduleCut should be rectangular</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>via4.1_a</name>
+   <description>via4.1_a : min. width of via4 outside of moduleCut : 0.8um</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>via4.1_b</name>
+   <description>via4.1_b : maximum length of via4 : 0.8um</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>via4.2</name>
+   <description>via4.2 : min. via4 spacing : 0.8um</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>via4.4</name>
+   <description>via4.4 : min. m4 enclosure of via4 : 0.19um</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>via4.4_a</name>
+   <description>via4.4_a : m4 must enclose all via4</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>m5.1</name>
+   <description>m5.1 : min. m5 width : 1.6um</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>m5.2</name>
+   <description>m5.2 : min. m5 spacing : 1.6um</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>m5.3</name>
+   <description>m5.3 : min. m5 enclosure of via4 : 0.31um</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>m5.3_a</name>
+   <description>m5.3_a : via must be enclosed by m5</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>m5.4</name>
+   <description>m5.4 : min. m5 area : 4.0um²</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>pad.2</name>
+   <description>pad.2 : min. pad spacing : 1.27um</description>
+   <categories>
+   </categories>
+  </category>
+ </categories>
+ <cells>
+  <cell>
+   <name>user_analog_project_wrapper</name>
+   <variant/>
+   <references>
+   </references>
+  </cell>
+ </cells>
+ <items>
+ </items>
+</report-database>
diff --git a/precheck_results/05_JUN_2022___09_43_53/outputs/reports/klayout_feol_check.xml b/precheck_results/05_JUN_2022___09_43_53/outputs/reports/klayout_feol_check.xml
new file mode 100644
index 0000000..5c0c69c
--- /dev/null
+++ b/precheck_results/05_JUN_2022___09_43_53/outputs/reports/klayout_feol_check.xml
@@ -0,0 +1,363 @@
+<?xml version="1.0" encoding="utf-8"?>
+<report-database>
+ <description>SKY130 DRC runset</description>
+ <original-file/>
+ <generator>drc: script='/home/krishna/mpw_precheckmpw6/checks/tech-files/sky130A_mr.drc'</generator>
+ <top-cell>user_analog_project_wrapper</top-cell>
+ <tags>
+ </tags>
+ <categories>
+  <category>
+   <name>dnwell.2</name>
+   <description>dnwell.2 : min. dnwell width : 3.0um</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>nwell.1</name>
+   <description>nwell.1 : min. nwell width : 0.84um</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>nwell.2a</name>
+   <description>nwell.2a : min. nwell spacing (merged if less) : 1.27um</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>nwell.6</name>
+   <description>nwell.6 : min enclosure of nwellHole by dnwell : 1.03um</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>hvtp.1</name>
+   <description>hvtp.1 : min. hvtp width : 0.38um</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>hvtp.2</name>
+   <description>hvtp.2 : min. hvtp spacing : 0.38um</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>hvtr.1</name>
+   <description>hvtr.1 : min. hvtr width : 0.38um</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>hvtr.2</name>
+   <description>hvtr.2 : min. hvtr spacing : 0.38um</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>hvtr.2_a</name>
+   <description>hvtr.2_a : hvtr must not overlap hvtp</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>lvtn.1a</name>
+   <description>lvtn.1a : min. lvtn width : 0.38um</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>lvtn.2</name>
+   <description>lvtn.2 : min. lvtn spacing : 0.38um</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>ncm.1</name>
+   <description>ncm.1 : min. ncm width : 0.38um</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>ncm.2a</name>
+   <description>ncm.2a : min. ncm spacing : 0.38um</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>difftap.1</name>
+   <description>difftap.1 : min. diff width across areaid:ce : 0.15um</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>difftap.1_a</name>
+   <description>difftap.1_a : min. diff width in periphery : 0.15um</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>difftap.1_b</name>
+   <description>difftap.1_b : min. tap width across areaid:ce : 0.15um</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>difftap.1_c</name>
+   <description>difftap.1_c : min. tap width in periphery : 0.15um</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>difftap.3</name>
+   <description>difftap.3 : min. difftap spacing : 0.27um</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>tunm.1</name>
+   <description>tunm.1 : min. tunm width : 0.41um</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>tunm.2</name>
+   <description>tunm.2 : min. tunm spacing : 0.5um</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>poly.1a</name>
+   <description>poly.1a : min. poly width : 0.15um</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>poly.2</name>
+   <description>poly.2 : min. poly spacing : 0.21um</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>rpm.1a</name>
+   <description>rpm.1a : min. rpm width : 1.27um</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>rpm.2</name>
+   <description>rpm.2 : min. rpm spacing : 0.84um</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>urpm.1a</name>
+   <description>urpm.1a : min. rpm width : 1.27um</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>urpm.2</name>
+   <description>urpm.2 : min. rpm spacing : 0.84um</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>npc.1</name>
+   <description>npc.1 : min. npc width : 0.27um</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>npc.2</name>
+   <description>npc.2 : min. npc spacing, should be manually merged if less than : 0.27um</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>nsd.1</name>
+   <description>nsd.1 : min. nsdm width : 0.38um</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>nsd.2</name>
+   <description>nsd.2 : min. nsdm spacing, should be manually merged if less than : 0.38um</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>psd.1</name>
+   <description>psd.1 : min. psdm width : 0.38um</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>psd.2</name>
+   <description>psd.2 : min. psdm spacing, should be manually merged if less than : 0.38um</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>licon.1</name>
+   <description>licon.1 : licon should be rectangle</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>licon.1_a/b</name>
+   <description>licon.1_a/b : minimum/maximum width of licon : 0.17um</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>licon.13</name>
+   <description>licon.13 : min. difftap licon spacing to npc : 0.09um</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>licon.13_a</name>
+   <description>licon.13_a : licon of diffTap in periphery must not overlap npc</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>licon.17</name>
+   <description>licon.17 : Licons may not overlap both poly and (diff or tap)</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>capm.1</name>
+   <description>capm.1 : min. capm width : 1.0um</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>capm.2a</name>
+   <description>capm.2a : min. capm spacing : 0.84um</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>capm.2b</name>
+   <description>capm.2b : min. capm spacing : 1.2um</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>capm.2b_a</name>
+   <description>capm.2b_a : min. spacing of m3_bot_plate : 1.2um</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>capm.3</name>
+   <description>capm.3 : min. capm and m3 enclosure of m3 : 0.14um</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>capm.3_a</name>
+   <description>capm.3_a : min. m3 enclosure of capm : 0.14um</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>capm.4</name>
+   <description>capm.4 : min. capm enclosure of via3 : 0.14um</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>capm.5</name>
+   <description>capm.5 : min. capm spacing to via3 : 0.14um</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>cap2m.1</name>
+   <description>cap2m.1 : min. cap2m width : 1.0um</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>cap2m.2a</name>
+   <description>cap2m.2a : min. cap2m spacing : 0.84um</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>cap2m.2b</name>
+   <description>cap2m.2b : min. cap2m spacing : 1.2um</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>cap2m.2b_a</name>
+   <description>cap2m.2b_a : min. spacing of m4_bot_plate : 1.2um</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>cap2m.3</name>
+   <description>cap2m.3 : min. m4 enclosure of cap2m : 0.14um</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>cap2m.3_a</name>
+   <description>cap2m.3_a : min. m4 enclosure of cap2m : 0.14um</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>cap2m.4</name>
+   <description>cap2m.4 : min. cap2m enclosure of via4 : 0.14um</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>cap2m.5</name>
+   <description>cap2m.5 : min. cap2m spacing to via4 : 0.14um</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>hvi.1</name>
+   <description>hvi.1 : min. hvi width : 0.6um</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>hvi.2a</name>
+   <description>hvi.2a : min. hvi spacing : 0.7um</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>hvntm.1</name>
+   <description>hvntm.1 : min. hvntm width : 0.7um</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>hvntm.2</name>
+   <description>hvntm.2 : min. hvntm spacing : 0.7um</description>
+   <categories>
+   </categories>
+  </category>
+ </categories>
+ <cells>
+  <cell>
+   <name>user_analog_project_wrapper</name>
+   <variant/>
+   <references>
+   </references>
+  </cell>
+ </cells>
+ <items>
+ </items>
+</report-database>
diff --git a/precheck_results/05_JUN_2022___09_43_53/outputs/reports/klayout_met_min_ca_density_check.xml b/precheck_results/05_JUN_2022___09_43_53/outputs/reports/klayout_met_min_ca_density_check.xml
new file mode 100644
index 0000000..dc650ad
--- /dev/null
+++ b/precheck_results/05_JUN_2022___09_43_53/outputs/reports/klayout_met_min_ca_density_check.xml
@@ -0,0 +1,21 @@
+<?xml version="1.0" encoding="utf-8"?>
+<report-database>
+ <description>Density Checks</description>
+ <original-file/>
+ <generator>drc: script='/home/krishna/mpw_precheckmpw6/checks/drc_checks/klayout/met_min_ca_density.lydrc'</generator>
+ <top-cell>user_analog_project_wrapper</top-cell>
+ <tags>
+ </tags>
+ <categories>
+ </categories>
+ <cells>
+  <cell>
+   <name>user_analog_project_wrapper</name>
+   <variant/>
+   <references>
+   </references>
+  </cell>
+ </cells>
+ <items>
+ </items>
+</report-database>
diff --git a/precheck_results/05_JUN_2022___09_43_53/outputs/reports/klayout_offgrid_check.xml b/precheck_results/05_JUN_2022___09_43_53/outputs/reports/klayout_offgrid_check.xml
new file mode 100644
index 0000000..80a7de2
--- /dev/null
+++ b/precheck_results/05_JUN_2022___09_43_53/outputs/reports/klayout_offgrid_check.xml
@@ -0,0 +1,483 @@
+<?xml version="1.0" encoding="utf-8"?>
+<report-database>
+ <description>SKY130 DRC runset</description>
+ <original-file/>
+ <generator>drc: script='/home/krishna/mpw_precheckmpw6/checks/tech-files/sky130A_mr.drc'</generator>
+ <top-cell>user_analog_project_wrapper</top-cell>
+ <tags>
+ </tags>
+ <categories>
+  <category>
+   <name>dnwell_OFFGRID</name>
+   <description>x.1b : OFFGRID vertex on dnwell</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>dnwell_angle</name>
+   <description>x.3a : non 45 degree angle dnwell</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>nwell_OFFGRID</name>
+   <description>x.1b : OFFGRID vertex on nwell</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>nwell_angle</name>
+   <description>x.3a : non 45 degree angle nwell</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>pwbm_OFFGRID</name>
+   <description>x.1b : OFFGRID vertex on pwbm</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>pwbm_angle</name>
+   <description>x.3a : non 45 degree angle pwbm</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>pwde_OFFGRID</name>
+   <description>x.1b : OFFGRID vertex on pwde</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>pwde_angle</name>
+   <description>x.3a : non 45 degree angle pwde</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>hvtp_OFFGRID</name>
+   <description>x.1b : OFFGRID vertex on hvtp</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>hvtp_angle</name>
+   <description>x.3a : non 45 degree angle hvtp</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>hvtr_OFFGRID</name>
+   <description>x.1b : OFFGRID vertex on hvtr</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>hvtr_angle</name>
+   <description>x.3a : non 45 degree angle hvtr</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>lvtn_OFFGRID</name>
+   <description>x.1b : OFFGRID vertex on lvtn</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>lvtn_angle</name>
+   <description>x.3a : non 45 degree angle lvtn</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>ncm_OFFGRID</name>
+   <description>x.1b : OFFGRID vertex on ncm</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>ncm_angle</name>
+   <description>x.3a : non 45 degree angle ncm</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>diff_OFFGRID</name>
+   <description>x.1b : OFFGRID vertex on diff</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>tap_OFFGRID</name>
+   <description>x.1b : OFFGRID vertex on tap</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>diff_angle</name>
+   <description>x.2 : non 90 degree angle diff</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>diff_angle</name>
+   <description>x.2c : non 45 degree angle diff</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>tap_angle</name>
+   <description>x.2 : non 90 degree angle tap</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>tap_angle</name>
+   <description>x.2c : non 45 degree angle tap</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>tunm_OFFGRID</name>
+   <description>x.1b : OFFGRID vertex on tunm</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>tunm_angle</name>
+   <description>x.3a : non 45 degree angle tunm</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>poly_OFFGRID</name>
+   <description>x.1b : OFFGRID vertex on poly</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>poly_angle</name>
+   <description>x.2 : non 90 degree angle poly</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>rpm_OFFGRID</name>
+   <description>x.1b : OFFGRID vertex on rpm</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>rpm_angle</name>
+   <description>x.3a : non 45 degree angle rpm</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>npc_OFFGRID</name>
+   <description>x.1b : OFFGRID vertex on npc</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>npc_angle</name>
+   <description>x.3a : non 45 degree angle npc</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>nsdm_OFFGRID</name>
+   <description>x.1b : OFFGRID vertex on nsdm</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>nsdm_angle</name>
+   <description>x.3a : non 45 degree angle nsdm</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>psdm_OFFGRID</name>
+   <description>x.1b : OFFGRID vertex on psdm</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>psdm_angle</name>
+   <description>x.3a : non 45 degree angle psdm</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>licon_OFFGRID</name>
+   <description>x.1b : OFFGRID vertex on licon</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>licon_angle</name>
+   <description>x.2 : non 90 degree angle licon</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>li_OFFGRID</name>
+   <description>x.1b : OFFGRID vertex on li</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>li_angle</name>
+   <description>x.3a : non 45 degree angle li</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>ct_OFFGRID</name>
+   <description>x.1b : OFFGRID vertex on mcon</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>ct_angle</name>
+   <description>x.2 : non 90 degree angle mcon</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>vpp_OFFGRID</name>
+   <description>x.1b : OFFGRID vertex on vpp</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>vpp_angle</name>
+   <description>x.3a : non 45 degree angle vpp</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>m1_OFFGRID</name>
+   <description>x.1b : OFFGRID vertex on m1</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>m1_angle</name>
+   <description>x.3a : non 45 degree angle m1</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>via_OFFGRID</name>
+   <description>x.1b : OFFGRID vertex on via</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>via_angle</name>
+   <description>x.2 : non 90 degree angle via</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>m2_OFFGRID</name>
+   <description>x.1b : OFFGRID vertex on m2</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>m2_angle</name>
+   <description>x.3a : non 45 degree angle m2</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>via2_OFFGRID</name>
+   <description>x.1b : OFFGRID vertex on via2</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>via2_angle</name>
+   <description>x.2 : non 90 degree angle via2</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>m3_OFFGRID</name>
+   <description>x.1b : OFFGRID vertex on m3</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>m3_angle</name>
+   <description>x.3a : non 45 degree angle m3</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>via3_OFFGRID</name>
+   <description>x.1b : OFFGRID vertex on via3</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>via3_angle</name>
+   <description>x.2 : non 90 degree angle via3</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>nsm_OFFGRID</name>
+   <description>x.1b : OFFGRID vertex on nsm</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>nsm_angle</name>
+   <description>x.3a : non 45 degree angle nsm</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>m4_OFFGRID</name>
+   <description>x.1b : OFFGRID vertex on m4</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>m4_angle</name>
+   <description>x.3a : non 45 degree angle m4</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>via4_OFFGRID</name>
+   <description>x.1b : OFFGRID vertex on via4</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>via4_angle</name>
+   <description>x.2 : non 90 degree angle via4</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>m5_OFFGRID</name>
+   <description>x.1b : OFFGRID vertex on m5</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>m5_angle</name>
+   <description>x.3a : non 45 degree angle m5</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>pad_OFFGRID</name>
+   <description>x.1b : OFFGRID vertex on pad</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>pad_angle</name>
+   <description>x.3a : non 45 degree angle pad</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>mf_OFFGRID</name>
+   <description>x.1b : OFFGRID vertex on mf</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>mf_angle</name>
+   <description>x.2 : non 90 degree angle mf</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>hvi_OFFGRID</name>
+   <description>x.1b : OFFGRID vertex on hvi</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>hvi_angle</name>
+   <description>x.3a : non 45 degree angle hvi</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>hvntm_OFFGRID</name>
+   <description>x.1b : OFFGRID vertex on hvntm</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>hvntm_angle</name>
+   <description>x.3a : non 45 degree angle hvntm</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>vhvi_OFFGRID</name>
+   <description>x.1b : OFFGRID vertex on vhvi</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>vhvi_angle</name>
+   <description>x.3a : non 45 degree angle vhvi</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>uhvi_OFFGRID</name>
+   <description>x.1b : OFFGRID vertex on uhvi</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>uhvi_angle</name>
+   <description>x.3a : non 45 degree angle uhvi</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>pwell_rs_OFFGRID</name>
+   <description>x.1b : OFFGRID vertex on pwell_rs</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>pwell_rs_angle</name>
+   <description>x.3a : non 45 degree angle pwell_rs</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>areaid_re_OFFGRID</name>
+   <description>x.1b : OFFGRID vertex on areaid.re</description>
+   <categories>
+   </categories>
+  </category>
+ </categories>
+ <cells>
+  <cell>
+   <name>user_analog_project_wrapper</name>
+   <variant/>
+   <references>
+   </references>
+  </cell>
+ </cells>
+ <items>
+ </items>
+</report-database>
diff --git a/precheck_results/05_JUN_2022___09_43_53/outputs/reports/klayout_pin_label_purposes_overlapping_drawing_check.xml b/precheck_results/05_JUN_2022___09_43_53/outputs/reports/klayout_pin_label_purposes_overlapping_drawing_check.xml
new file mode 100644
index 0000000..95d0489
--- /dev/null
+++ b/precheck_results/05_JUN_2022___09_43_53/outputs/reports/klayout_pin_label_purposes_overlapping_drawing_check.xml
@@ -0,0 +1,21 @@
+<?xml version="1.0" encoding="utf-8"?>
+<report-database>
+ <description>pin_label_purposes_overlapping_drawing.rb.drc, input=/home/krishna/Comparator_MPW6/gds/user_analog_project_wrapper.gds, topcell=user_analog_project_wrapper</description>
+ <original-file/>
+ <generator>drc: script='/home/krishna/mpw_precheckmpw6/checks/drc_checks/klayout/pin_label_purposes_overlapping_drawing.rb.drc'</generator>
+ <top-cell>user_analog_project_wrapper</top-cell>
+ <tags>
+ </tags>
+ <categories>
+ </categories>
+ <cells>
+  <cell>
+   <name>user_analog_project_wrapper</name>
+   <variant/>
+   <references>
+   </references>
+  </cell>
+ </cells>
+ <items>
+ </items>
+</report-database>
diff --git a/precheck_results/05_JUN_2022___09_43_53/outputs/reports/klayout_zeroarea_check.xml b/precheck_results/05_JUN_2022___09_43_53/outputs/reports/klayout_zeroarea_check.xml
new file mode 100644
index 0000000..c90408a
--- /dev/null
+++ b/precheck_results/05_JUN_2022___09_43_53/outputs/reports/klayout_zeroarea_check.xml
@@ -0,0 +1,21 @@
+<?xml version="1.0" encoding="utf-8"?>
+<report-database>
+ <description>zero area check</description>
+ <original-file/>
+ <generator>drc: script='/home/krishna/mpw_precheckmpw6/checks/drc_checks/klayout/zeroarea.rb.drc'</generator>
+ <top-cell>user_analog_project_wrapper</top-cell>
+ <tags>
+ </tags>
+ <categories>
+ </categories>
+ <cells>
+  <cell>
+   <name>user_analog_project_wrapper</name>
+   <variant/>
+   <references>
+   </references>
+  </cell>
+ </cells>
+ <items>
+ </items>
+</report-database>
diff --git a/precheck_results/05_JUN_2022___09_43_53/outputs/reports/magic_drc_check.drc.report b/precheck_results/05_JUN_2022___09_43_53/outputs/reports/magic_drc_check.drc.report
new file mode 100644
index 0000000..829b9d5
--- /dev/null
+++ b/precheck_results/05_JUN_2022___09_43_53/outputs/reports/magic_drc_check.drc.report
@@ -0,0 +1,5 @@
+user_analog_project_wrapper
+----------------------------------------
+[INFO]: COUNT: 0
+[INFO]: Should be divided by 3 or 4
+
diff --git a/precheck_results/05_JUN_2022___09_43_53/outputs/reports/magic_drc_check.rdb b/precheck_results/05_JUN_2022___09_43_53/outputs/reports/magic_drc_check.rdb
new file mode 100644
index 0000000..8a882f3
--- /dev/null
+++ b/precheck_results/05_JUN_2022___09_43_53/outputs/reports/magic_drc_check.rdb
@@ -0,0 +1,2 @@
+$user_analog_project_wrapper
+ 100
diff --git a/precheck_results/05_JUN_2022___09_43_53/outputs/reports/magic_drc_check.tcl b/precheck_results/05_JUN_2022___09_43_53/outputs/reports/magic_drc_check.tcl
new file mode 100644
index 0000000..e69de29
--- /dev/null
+++ b/precheck_results/05_JUN_2022___09_43_53/outputs/reports/magic_drc_check.tcl
diff --git a/precheck_results/05_JUN_2022___09_43_53/outputs/reports/magic_drc_check.tr b/precheck_results/05_JUN_2022___09_43_53/outputs/reports/magic_drc_check.tr
new file mode 100644
index 0000000..e69de29
--- /dev/null
+++ b/precheck_results/05_JUN_2022___09_43_53/outputs/reports/magic_drc_check.tr
diff --git a/precheck_results/05_JUN_2022___09_43_53/outputs/reports/magic_drc_check.xml b/precheck_results/05_JUN_2022___09_43_53/outputs/reports/magic_drc_check.xml
new file mode 100644
index 0000000..7161d7c
--- /dev/null
+++ b/precheck_results/05_JUN_2022___09_43_53/outputs/reports/magic_drc_check.xml
@@ -0,0 +1,10 @@
+<?xml version="1.0" ?>
+<report-database>
+    <categories/>
+    <cells>
+        <cell>
+            <name>user_analog_project_wrapper</name>
+        </cell>
+    </cells>
+    <items/>
+</report-database>
diff --git a/precheck_results/05_JUN_2022___09_43_53/outputs/user_analog_project_wrapper.magic.drc.mag b/precheck_results/05_JUN_2022___09_43_53/outputs/user_analog_project_wrapper.magic.drc.mag
new file mode 100644
index 0000000..bc7865b
--- /dev/null
+++ b/precheck_results/05_JUN_2022___09_43_53/outputs/user_analog_project_wrapper.magic.drc.mag
@@ -0,0 +1,7 @@
+magic
+tech minimum
+magscale 1 2
+timestamp 0
+<< checkpaint >>
+rect 0 0 1 1
+<< end >>
diff --git a/precheck_results/05_JUN_2022___09_43_53/outputs/user_analog_project_wrapper_empty_erased.gds b/precheck_results/05_JUN_2022___09_43_53/outputs/user_analog_project_wrapper_empty_erased.gds
new file mode 100644
index 0000000..e69de29
--- /dev/null
+++ b/precheck_results/05_JUN_2022___09_43_53/outputs/user_analog_project_wrapper_empty_erased.gds
diff --git a/precheck_results/05_JUN_2022___09_43_53/outputs/user_analog_project_wrapper_erased.gds b/precheck_results/05_JUN_2022___09_43_53/outputs/user_analog_project_wrapper_erased.gds
new file mode 100644
index 0000000..e69de29
--- /dev/null
+++ b/precheck_results/05_JUN_2022___09_43_53/outputs/user_analog_project_wrapper_erased.gds
diff --git a/precheck_results/05_JUN_2022___09_43_53/outputs/user_analog_project_wrapper_no_zero_areas.gds b/precheck_results/05_JUN_2022___09_43_53/outputs/user_analog_project_wrapper_no_zero_areas.gds
new file mode 100644
index 0000000..9aaa2e3
--- /dev/null
+++ b/precheck_results/05_JUN_2022___09_43_53/outputs/user_analog_project_wrapper_no_zero_areas.gds
Binary files differ
diff --git a/precheck_results/05_JUN_2022___09_44_41/logs/gds.info b/precheck_results/05_JUN_2022___09_44_41/logs/gds.info
new file mode 100644
index 0000000..b7c140c
--- /dev/null
+++ b/precheck_results/05_JUN_2022___09_44_41/logs/gds.info
@@ -0,0 +1 @@
+user_analog_project_wrapper.gds: ae0fa47643350b69cd220b34f587bb2314ffc265
\ No newline at end of file
diff --git a/precheck_results/05_JUN_2022___09_44_41/logs/klayout_beol_check.log b/precheck_results/05_JUN_2022___09_44_41/logs/klayout_beol_check.log
new file mode 100644
index 0000000..fb9ad0d
--- /dev/null
+++ b/precheck_results/05_JUN_2022___09_44_41/logs/klayout_beol_check.log
@@ -0,0 +1,992 @@
+/home/krishna/mpw_precheckmpw6/checks/tech-files/sky130A_mr.drc:38: warning: already initialized constant DRC::DRCEngine::FEOL
+/home/krishna/mpw_precheckmpw6/checks/tech-files/sky130A_mr.drc:28: warning: previous definition of FEOL was here
+/home/krishna/mpw_precheckmpw6/checks/tech-files/sky130A_mr.drc:42: warning: already initialized constant DRC::DRCEngine::BEOL
+/home/krishna/mpw_precheckmpw6/checks/tech-files/sky130A_mr.drc:29: warning: previous definition of BEOL was here
+/home/krishna/mpw_precheckmpw6/checks/tech-files/sky130A_mr.drc:50: warning: already initialized constant DRC::DRCEngine::OFFGRID
+/home/krishna/mpw_precheckmpw6/checks/tech-files/sky130A_mr.drc:30: warning: previous definition of OFFGRID was here
+/home/krishna/mpw_precheckmpw6/checks/tech-files/sky130A_mr.drc:56: warning: already initialized constant DRC::DRCEngine::SEAL
+/home/krishna/mpw_precheckmpw6/checks/tech-files/sky130A_mr.drc:31: warning: previous definition of SEAL was here
+/home/krishna/mpw_precheckmpw6/checks/tech-files/sky130A_mr.drc:62: warning: already initialized constant DRC::DRCEngine::FLOATING_MET
+/home/krishna/mpw_precheckmpw6/checks/tech-files/sky130A_mr.drc:32: warning: previous definition of FLOATING_MET was here
+"input" in: sky130A_mr.drc:112
+    Polygons (raw): 62 (flat)  25 (hierarchical)
+    Elapsed: 0.010s  Memory: 522.00M
+"polygons" in: sky130A_mr.drc:113
+    Polygons (raw): 37 (flat)  29 (hierarchical)
+    Elapsed: 0.000s  Memory: 522.00M
+"polygons" in: sky130A_mr.drc:114
+    Polygons (raw): 82 (flat)  62 (hierarchical)
+    Elapsed: 0.010s  Memory: 522.00M
+"polygons" in: sky130A_mr.drc:115
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 522.00M
+"polygons" in: sky130A_mr.drc:116
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 522.00M
+"polygons" in: sky130A_mr.drc:117
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 522.00M
+"polygons" in: sky130A_mr.drc:118
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 522.00M
+"polygons" in: sky130A_mr.drc:119
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 522.00M
+"polygons" in: sky130A_mr.drc:120
+    Polygons (raw): 10 (flat)  2 (hierarchical)
+    Elapsed: 0.010s  Memory: 522.00M
+"polygons" in: sky130A_mr.drc:121
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 522.00M
+"polygons" in: sky130A_mr.drc:122
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 522.00M
+"polygons" in: sky130A_mr.drc:123
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 522.00M
+"polygons" in: sky130A_mr.drc:124
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 522.00M
+"polygons" in: sky130A_mr.drc:125
+    Polygons (raw): 202 (flat)  116 (hierarchical)
+    Elapsed: 0.000s  Memory: 522.00M
+"polygons" in: sky130A_mr.drc:126
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 522.00M
+"polygons" in: sky130A_mr.drc:127
+    Polygons (raw): 64 (flat)  45 (hierarchical)
+    Elapsed: 0.000s  Memory: 522.00M
+"polygons" in: sky130A_mr.drc:128
+    Polygons (raw): 52 (flat)  26 (hierarchical)
+    Elapsed: 0.010s  Memory: 522.00M
+"polygons" in: sky130A_mr.drc:129
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 522.00M
+"polygons" in: sky130A_mr.drc:130
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 522.00M
+"polygons" in: sky130A_mr.drc:131
+    Polygons (raw): 33 (flat)  24 (hierarchical)
+    Elapsed: 0.010s  Memory: 522.00M
+"polygons" in: sky130A_mr.drc:132
+    Polygons (raw): 894 (flat)  278 (hierarchical)
+    Elapsed: 0.000s  Memory: 522.00M
+"polygons" in: sky130A_mr.drc:134
+    Polygons (raw): 600 (flat)  428 (hierarchical)
+    Elapsed: 0.010s  Memory: 522.00M
+"polygons" in: sky130A_mr.drc:135
+    Polygons (raw): 2049 (flat)  1773 (hierarchical)
+    Elapsed: 0.010s  Memory: 522.00M
+"polygons" in: sky130A_mr.drc:137
+    Polygons (raw): 315 (flat)  265 (hierarchical)
+    Elapsed: 0.000s  Memory: 522.00M
+"polygons" in: sky130A_mr.drc:138
+    Polygons (raw): 4015 (flat)  4015 (hierarchical)
+    Elapsed: 0.010s  Memory: 522.00M
+"polygons" in: sky130A_mr.drc:140
+    Polygons (raw): 602 (flat)  602 (hierarchical)
+    Elapsed: 0.000s  Memory: 522.00M
+"polygons" in: sky130A_mr.drc:141
+    Polygons (raw): 3269 (flat)  3269 (hierarchical)
+    Elapsed: 0.010s  Memory: 522.00M
+"polygons" in: sky130A_mr.drc:143
+    Polygons (raw): 410 (flat)  410 (hierarchical)
+    Elapsed: 0.000s  Memory: 522.00M
+"polygons" in: sky130A_mr.drc:144
+    Polygons (raw): 27036 (flat)  27036 (hierarchical)
+    Elapsed: 0.020s  Memory: 523.00M
+"polygons" in: sky130A_mr.drc:146
+    Polygons (raw): 139 (flat)  139 (hierarchical)
+    Elapsed: 0.010s  Memory: 523.00M
+"polygons" in: sky130A_mr.drc:147
+    Polygons (raw): 586 (flat)  586 (hierarchical)
+    Elapsed: 0.000s  Memory: 523.00M
+"polygons" in: sky130A_mr.drc:149
+    Polygons (raw): 137 (flat)  137 (hierarchical)
+    Elapsed: 0.010s  Memory: 523.00M
+"polygons" in: sky130A_mr.drc:151
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 523.00M
+"polygons" in: sky130A_mr.drc:152
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 523.00M
+"polygons" in: sky130A_mr.drc:153
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 523.00M
+"polygons" in: sky130A_mr.drc:154
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 523.00M
+"polygons" in: sky130A_mr.drc:155
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 523.00M
+"polygons" in: sky130A_mr.drc:156
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 523.00M
+"polygons" in: sky130A_mr.drc:157
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 523.00M
+"polygons" in: sky130A_mr.drc:158
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 523.00M
+"polygons" in: sky130A_mr.drc:159
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 523.00M
+"polygons" in: sky130A_mr.drc:160
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 523.00M
+"polygons" in: sky130A_mr.drc:161
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 523.00M
+"polygons" in: sky130A_mr.drc:162
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 523.00M
+"polygons" in: sky130A_mr.drc:163
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 523.00M
+"polygons" in: sky130A_mr.drc:164
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 523.00M
+"polygons" in: sky130A_mr.drc:165
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 523.00M
+"polygons" in: sky130A_mr.drc:166
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 523.00M
+"polygons" in: sky130A_mr.drc:167
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 523.00M
+"polygons" in: sky130A_mr.drc:168
+    Polygons (raw): 10 (flat)  2 (hierarchical)
+    Elapsed: 0.000s  Memory: 523.00M
+"polygons" in: sky130A_mr.drc:169
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 523.00M
+"polygons" in: sky130A_mr.drc:170
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 523.00M
+"polygons" in: sky130A_mr.drc:171
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 523.00M
+"polygons" in: sky130A_mr.drc:172
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 523.00M
+"polygons" in: sky130A_mr.drc:173
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 523.00M
+"polygons" in: sky130A_mr.drc:174
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 523.00M
+"polygons" in: sky130A_mr.drc:175
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 523.00M
+"polygons" in: sky130A_mr.drc:176
+    Polygons (raw): 1 (flat)  1 (hierarchical)
+    Elapsed: 0.000s  Memory: 523.00M
+"polygons" in: sky130A_mr.drc:177
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 523.00M
+"polygons" in: sky130A_mr.drc:178
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 523.00M
+"polygons" in: sky130A_mr.drc:179
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 523.00M
+"polygons" in: sky130A_mr.drc:180
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 523.00M
+"polygons" in: sky130A_mr.drc:181
+    Polygons (raw): 4 (flat)  3 (hierarchical)
+    Elapsed: 0.010s  Memory: 523.00M
+"polygons" in: sky130A_mr.drc:182
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 523.00M
+"polygons" in: sky130A_mr.drc:183
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 523.00M
+"polygons" in: sky130A_mr.drc:184
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 523.00M
+"polygons" in: sky130A_mr.drc:185
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 523.00M
+"polygons" in: sky130A_mr.drc:186
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 523.00M
+"polygons" in: sky130A_mr.drc:187
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 523.00M
+"polygons" in: sky130A_mr.drc:188
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 523.00M
+"polygons" in: sky130A_mr.drc:189
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 523.00M
+"polygons" in: sky130A_mr.drc:190
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 523.00M
+"polygons" in: sky130A_mr.drc:191
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 523.00M
+"polygons" in: sky130A_mr.drc:192
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 523.00M
+"polygons" in: sky130A_mr.drc:193
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 523.00M
+"polygons" in: sky130A_mr.drc:194
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 523.00M
+"polygons" in: sky130A_mr.drc:195
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 523.00M
+"polygons" in: sky130A_mr.drc:196
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 523.00M
+"polygons" in: sky130A_mr.drc:197
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 523.00M
+"polygons" in: sky130A_mr.drc:198
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 523.00M
+"polygons" in: sky130A_mr.drc:199
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 523.00M
+"polygons" in: sky130A_mr.drc:200
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 523.00M
+"polygons" in: sky130A_mr.drc:201
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 523.00M
+"polygons" in: sky130A_mr.drc:202
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 523.00M
+"polygons" in: sky130A_mr.drc:203
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 523.00M
+DRC section
+BEOL section
+START: 67/20 (li)
+"not" in: sky130A_mr.drc:381
+    Polygons (raw): 600 (flat)  428 (hierarchical)
+    Elapsed: 0.000s  Memory: 523.00M
+"width" in: sky130A_mr.drc:382
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 523.00M
+"output" in: sky130A_mr.drc:382
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 523.00M
+"edges" in: sky130A_mr.drc:384
+    Edges: 2949 (flat)  1710 (hierarchical)
+    Elapsed: 0.020s  Memory: 1067.00M
+"space" in: sky130A_mr.drc:384
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.020s  Memory: 1067.00M
+"output" in: sky130A_mr.drc:384
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 1067.00M
+"not" in: sky130A_mr.drc:385
+    Polygons (raw): 894 (flat)  278 (hierarchical)
+    Elapsed: 0.000s  Memory: 1067.00M
+"enclosing" in: sky130A_mr.drc:386
+    Edge pairs: 1183 (flat)  963 (hierarchical)
+    Elapsed: 0.030s  Memory: 1067.00M
+"second_edges" in: sky130A_mr.drc:386
+    Edges: 1183 (flat)  963 (hierarchical)
+    Elapsed: 0.000s  Memory: 1067.00M
+"width" in: sky130A_mr.drc:387
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 1067.00M
+"polygons" in: sky130A_mr.drc:388
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 1067.00M
+"interacting" in: sky130A_mr.drc:388
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 1067.00M
+"output" in: sky130A_mr.drc:389
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 1067.00M
+"with_area" in: sky130A_mr.drc:390
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 1067.00M
+"output" in: sky130A_mr.drc:390
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 1067.00M
+END: 67/20 (li)
+START: 67/44 (mcon)
+"not" in: sky130A_mr.drc:395
+    Polygons (raw): 2049 (flat)  1773 (hierarchical)
+    Elapsed: 0.000s  Memory: 1067.00M
+"not" in: sky130A_mr.drc:402
+    Polygons (raw): 2049 (flat)  1773 (hierarchical)
+    Elapsed: 0.010s  Memory: 1067.00M
+"non_rectangles" in: sky130A_mr.drc:403
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 1068.00M
+"output" in: sky130A_mr.drc:403
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 1068.00M
+"drc" in: sky130A_mr.drc:405
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 1068.00M
+"output" in: sky130A_mr.drc:405
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 1068.00M
+"drc" in: sky130A_mr.drc:406
+    Edges: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 1068.00M
+"output" in: sky130A_mr.drc:406
+    Edges: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 1068.00M
+"space" in: sky130A_mr.drc:407
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 1068.00M
+"output" in: sky130A_mr.drc:407
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 1068.00M
+"not" in: sky130A_mr.drc:413
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.020s  Memory: 1068.00M
+"output" in: sky130A_mr.drc:413
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 1068.00M
+END: 67/44 (mcon)
+START: 68/20 (m1)
+"width" in: sky130A_mr.drc:418
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 1068.00M
+"output" in: sky130A_mr.drc:418
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 1068.00M
+"sized" in: sky130A_mr.drc:419
+    Polygons (raw): 21 (flat)  21 (hierarchical)
+    Elapsed: 0.000s  Memory: 1068.00M
+"sized" in: sky130A_mr.drc:419
+    Polygons (raw): 21 (flat)  21 (hierarchical)
+    Elapsed: 0.010s  Memory: 1068.00M
+"snap" in: sky130A_mr.drc:419
+    Polygons (raw): 21 (flat)  21 (hierarchical)
+    Elapsed: 0.000s  Memory: 1068.00M
+"&" in: sky130A_mr.drc:419
+    Polygons (raw): 21 (flat)  21 (hierarchical)
+    Elapsed: 0.010s  Memory: 1068.00M
+"edges" in: sky130A_mr.drc:420
+    Edges: 1142 (flat)  993 (hierarchical)
+    Elapsed: 0.010s  Memory: 1068.00M
+"-" in: sky130A_mr.drc:420
+    Edges: 1003 (flat)  860 (hierarchical)
+    Elapsed: 0.020s  Memory: 1068.00M
+"edges" in: sky130A_mr.drc:421
+    Edges: 114 (flat)  114 (hierarchical)
+    Elapsed: 0.000s  Memory: 1068.00M
+"merged" in: sky130A_mr.drc:421
+    Polygons (raw): 133 (flat)  121 (hierarchical)
+    Elapsed: 0.010s  Memory: 1068.00M
+"outside_part" in: sky130A_mr.drc:421
+    Edges: 127 (flat)  127 (hierarchical)
+    Elapsed: 0.010s  Memory: 1068.00M
+"space" in: sky130A_mr.drc:423
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 1068.00M
+"output" in: sky130A_mr.drc:423
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 1068.00M
+"separation" in: sky130A_mr.drc:425
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 1068.00M
+"space" in: sky130A_mr.drc:425
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 1068.00M
+"+" in: sky130A_mr.drc:425
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 1068.00M
+"output" in: sky130A_mr.drc:425
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 1068.00M
+"input" in: sky130A_mr.drc:429
+    Polygons (raw): 315 (flat)  269 (hierarchical)
+    Elapsed: 0.000s  Memory: 1068.00M
+"enclosing" in: sky130A_mr.drc:431
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.030s  Memory: 1068.00M
+"output" in: sky130A_mr.drc:431
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 1068.00M
+"not" in: sky130A_mr.drc:432
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.020s  Memory: 1068.00M
+"output" in: sky130A_mr.drc:432
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 1068.00M
+"input" in: sky130A_mr.drc:434
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 1068.00M
+"enclosing" in: sky130A_mr.drc:435
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 1068.00M
+"output" in: sky130A_mr.drc:435
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 1068.00M
+"not" in: sky130A_mr.drc:437
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 1068.00M
+"output" in: sky130A_mr.drc:437
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 1068.00M
+"with_area" in: sky130A_mr.drc:439
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 1068.00M
+"output" in: sky130A_mr.drc:439
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 1068.00M
+"holes" in: sky130A_mr.drc:441
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 1068.00M
+"with_area" in: sky130A_mr.drc:441
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 1068.00M
+"output" in: sky130A_mr.drc:441
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 1068.00M
+"enclosing" in: sky130A_mr.drc:448
+    Edge pairs: 246 (flat)  198 (hierarchical)
+    Elapsed: 0.020s  Memory: 1068.00M
+"second_edges" in: sky130A_mr.drc:448
+    Edges: 246 (flat)  198 (hierarchical)
+    Elapsed: 0.000s  Memory: 1068.00M
+"width" in: sky130A_mr.drc:449
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 1068.00M
+"polygons" in: sky130A_mr.drc:450
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 1068.00M
+"interacting" in: sky130A_mr.drc:450
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 1067.00M
+"output" in: sky130A_mr.drc:451
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 1067.00M
+END: 68/20 (m1)
+START: 68/44 (via)
+"not" in: sky130A_mr.drc:465
+    Polygons (raw): 4015 (flat)  4015 (hierarchical)
+    Elapsed: 0.000s  Memory: 1067.00M
+"non_rectangles" in: sky130A_mr.drc:467
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.030s  Memory: 1069.00M
+"output" in: sky130A_mr.drc:467
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 1069.00M
+"width" in: sky130A_mr.drc:468
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 1069.00M
+"output" in: sky130A_mr.drc:468
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 1069.00M
+"drc" in: sky130A_mr.drc:470
+    Edges: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.020s  Memory: 1069.00M
+"output" in: sky130A_mr.drc:470
+    Edges: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 1069.00M
+"space" in: sky130A_mr.drc:472
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.030s  Memory: 1070.00M
+"output" in: sky130A_mr.drc:472
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 1070.00M
+"edges" in: sky130A_mr.drc:480
+    Edges: 1142 (flat)  993 (hierarchical)
+    Elapsed: 0.010s  Memory: 1070.00M
+"drc" in: sky130A_mr.drc:480
+    Edges: 16060 (flat)  16060 (hierarchical)
+    Elapsed: 0.050s  Memory: 1070.00M
+"enclosing" in: sky130A_mr.drc:480
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.020s  Memory: 1070.00M
+"output" in: sky130A_mr.drc:480
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 1070.00M
+"squares" in: sky130A_mr.drc:481
+    Polygons (raw): 4015 (flat)  4015 (hierarchical)
+    Elapsed: 0.010s  Memory: 1070.00M
+"drc" in: sky130A_mr.drc:481
+    Edges: 16060 (flat)  16060 (hierarchical)
+    Elapsed: 0.050s  Memory: 1070.00M
+"not" in: sky130A_mr.drc:481
+    Edges: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.040s  Memory: 1070.00M
+"output" in: sky130A_mr.drc:481
+    Edges: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 1070.00M
+"edges" in: sky130A_mr.drc:483
+    Edges: 1142 (flat)  993 (hierarchical)
+    Elapsed: 0.020s  Memory: 1070.00M
+"drc" in: sky130A_mr.drc:483
+    Edges: 16060 (flat)  16060 (hierarchical)
+    Elapsed: 0.050s  Memory: 1070.00M
+"enclosing" in: sky130A_mr.drc:483
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 1070.00M
+"second_edges" in: sky130A_mr.drc:483
+    Edges: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 1070.00M
+"width" in: sky130A_mr.drc:484
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 1070.00M
+"polygons" in: sky130A_mr.drc:485
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 1070.00M
+"interacting" in: sky130A_mr.drc:485
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 1070.00M
+"output" in: sky130A_mr.drc:486
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 1070.00M
+END: 68/44 (via)
+START: 69/20 (m2)
+"width" in: sky130A_mr.drc:493
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 1070.00M
+"output" in: sky130A_mr.drc:493
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 1070.00M
+"sized" in: sky130A_mr.drc:495
+    Polygons (raw): 20 (flat)  20 (hierarchical)
+    Elapsed: 0.000s  Memory: 1070.00M
+"sized" in: sky130A_mr.drc:495
+    Polygons (raw): 20 (flat)  20 (hierarchical)
+    Elapsed: 0.010s  Memory: 1070.00M
+"snap" in: sky130A_mr.drc:495
+    Polygons (raw): 20 (flat)  20 (hierarchical)
+    Elapsed: 0.000s  Memory: 1070.00M
+"&" in: sky130A_mr.drc:495
+    Polygons (raw): 20 (flat)  20 (hierarchical)
+    Elapsed: 0.010s  Memory: 1070.00M
+"edges" in: sky130A_mr.drc:496
+    Edges: 2362 (flat)  2362 (hierarchical)
+    Elapsed: 0.010s  Memory: 1070.00M
+"-" in: sky130A_mr.drc:496
+    Edges: 2263 (flat)  2263 (hierarchical)
+    Elapsed: 0.010s  Memory: 1070.00M
+"edges" in: sky130A_mr.drc:497
+    Edges: 92 (flat)  92 (hierarchical)
+    Elapsed: 0.010s  Memory: 1070.00M
+"merged" in: sky130A_mr.drc:497
+    Polygons (raw): 547 (flat)  547 (hierarchical)
+    Elapsed: 0.010s  Memory: 1070.00M
+"outside_part" in: sky130A_mr.drc:497
+    Edges: 93 (flat)  93 (hierarchical)
+    Elapsed: 0.000s  Memory: 1070.00M
+"not" in: sky130A_mr.drc:498
+    Polygons (raw): 4015 (flat)  4015 (hierarchical)
+    Elapsed: 0.010s  Memory: 1070.00M
+"space" in: sky130A_mr.drc:500
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 1069.00M
+"output" in: sky130A_mr.drc:500
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 1069.00M
+"separation" in: sky130A_mr.drc:502
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 1069.00M
+"space" in: sky130A_mr.drc:502
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 1069.00M
+"+" in: sky130A_mr.drc:502
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 1069.00M
+"output" in: sky130A_mr.drc:502
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 1069.00M
+"with_area" in: sky130A_mr.drc:504
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 1069.00M
+"output" in: sky130A_mr.drc:504
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 1069.00M
+"holes" in: sky130A_mr.drc:505
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 1069.00M
+"with_area" in: sky130A_mr.drc:505
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 1069.00M
+"output" in: sky130A_mr.drc:505
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 1069.00M
+"enclosing" in: sky130A_mr.drc:510
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.020s  Memory: 1069.00M
+"output" in: sky130A_mr.drc:510
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 1069.00M
+"not" in: sky130A_mr.drc:511
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.020s  Memory: 1069.00M
+"output" in: sky130A_mr.drc:511
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 1069.00M
+"enclosing" in: sky130A_mr.drc:512
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.020s  Memory: 1069.00M
+"second_edges" in: sky130A_mr.drc:512
+    Edges: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 1069.00M
+"width" in: sky130A_mr.drc:513
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 1069.00M
+"polygons" in: sky130A_mr.drc:514
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 1069.00M
+"interacting" in: sky130A_mr.drc:514
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 1069.00M
+"output" in: sky130A_mr.drc:515
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 1069.00M
+END: 69/20 (m2)
+START: 69/44 (via2)
+"not" in: sky130A_mr.drc:530
+    Polygons (raw): 3269 (flat)  3269 (hierarchical)
+    Elapsed: 0.000s  Memory: 1069.00M
+"non_rectangles" in: sky130A_mr.drc:531
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.030s  Memory: 1069.00M
+"output" in: sky130A_mr.drc:531
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 1069.00M
+"width" in: sky130A_mr.drc:532
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 1069.00M
+"output" in: sky130A_mr.drc:532
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 1069.00M
+"edges" in: sky130A_mr.drc:533
+    Edges: 13076 (flat)  13076 (hierarchical)
+    Elapsed: 0.020s  Memory: 1069.00M
+"without_length" in: sky130A_mr.drc:533
+    Edges: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.040s  Memory: 1073.00M
+"output" in: sky130A_mr.drc:533
+    Edges: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 1073.00M
+"space" in: sky130A_mr.drc:534
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.030s  Memory: 1073.00M
+"output" in: sky130A_mr.drc:534
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 1073.00M
+"enclosing" in: sky130A_mr.drc:542
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.020s  Memory: 1073.00M
+"output" in: sky130A_mr.drc:542
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 1073.00M
+"not" in: sky130A_mr.drc:543
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 1073.00M
+"output" in: sky130A_mr.drc:543
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 1073.00M
+"enclosing" in: sky130A_mr.drc:545
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.020s  Memory: 1073.00M
+"second_edges" in: sky130A_mr.drc:545
+    Edges: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 1073.00M
+"width" in: sky130A_mr.drc:546
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 1073.00M
+"polygons" in: sky130A_mr.drc:547
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 1073.00M
+"interacting" in: sky130A_mr.drc:547
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 1073.00M
+"output" in: sky130A_mr.drc:548
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 1073.00M
+END: 69/44 (via2)
+START: 70/20 (m3)
+"width" in: sky130A_mr.drc:554
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 1073.00M
+"output" in: sky130A_mr.drc:554
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 1073.00M
+"sized" in: sky130A_mr.drc:556
+    Polygons (raw): 62 (flat)  62 (hierarchical)
+    Elapsed: 0.010s  Memory: 1073.00M
+"sized" in: sky130A_mr.drc:556
+    Polygons (raw): 62 (flat)  62 (hierarchical)
+    Elapsed: 0.010s  Memory: 1073.00M
+"snap" in: sky130A_mr.drc:556
+    Polygons (raw): 62 (flat)  62 (hierarchical)
+    Elapsed: 0.010s  Memory: 1073.00M
+"&" in: sky130A_mr.drc:556
+    Polygons (raw): 82 (flat)  82 (hierarchical)
+    Elapsed: 0.010s  Memory: 1073.00M
+"edges" in: sky130A_mr.drc:557
+    Edges: 1511 (flat)  1511 (hierarchical)
+    Elapsed: 0.010s  Memory: 1073.00M
+"-" in: sky130A_mr.drc:557
+    Edges: 881 (flat)  881 (hierarchical)
+    Elapsed: 0.020s  Memory: 1073.00M
+"edges" in: sky130A_mr.drc:558
+    Edges: 536 (flat)  536 (hierarchical)
+    Elapsed: 0.000s  Memory: 1073.00M
+"merged" in: sky130A_mr.drc:558
+    Polygons (raw): 226 (flat)  226 (hierarchical)
+    Elapsed: 0.010s  Memory: 1073.00M
+"outside_part" in: sky130A_mr.drc:558
+    Edges: 543 (flat)  543 (hierarchical)
+    Elapsed: 0.010s  Memory: 1073.00M
+"space" in: sky130A_mr.drc:560
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.020s  Memory: 1073.00M
+"output" in: sky130A_mr.drc:560
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 1073.00M
+"separation" in: sky130A_mr.drc:562
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 1073.00M
+"space" in: sky130A_mr.drc:562
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 1073.00M
+"+" in: sky130A_mr.drc:562
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 1073.00M
+"output" in: sky130A_mr.drc:562
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 1073.00M
+"enclosing" in: sky130A_mr.drc:567
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.030s  Memory: 1073.00M
+"output" in: sky130A_mr.drc:567
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 1073.00M
+"not" in: sky130A_mr.drc:568
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 1073.00M
+"output" in: sky130A_mr.drc:568
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 1073.00M
+END: 70/20 (m3)
+START: 70/44 (via3)
+"not" in: sky130A_mr.drc:582
+    Polygons (raw): 27036 (flat)  27036 (hierarchical)
+    Elapsed: 0.010s  Memory: 1073.00M
+"non_rectangles" in: sky130A_mr.drc:583
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.130s  Memory: 1081.00M
+"output" in: sky130A_mr.drc:583
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 1081.00M
+"width" in: sky130A_mr.drc:584
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.020s  Memory: 1081.00M
+"output" in: sky130A_mr.drc:584
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 1081.00M
+"edges" in: sky130A_mr.drc:585
+    Edges: 108144 (flat)  108144 (hierarchical)
+    Elapsed: 0.100s  Memory: 1081.00M
+"without_length" in: sky130A_mr.drc:585
+    Edges: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.260s  Memory: 1107.00M
+"output" in: sky130A_mr.drc:585
+    Edges: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 1107.00M
+"space" in: sky130A_mr.drc:587
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.190s  Memory: 1107.00M
+"output" in: sky130A_mr.drc:587
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 1107.00M
+"enclosing" in: sky130A_mr.drc:588
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.260s  Memory: 1107.00M
+"output" in: sky130A_mr.drc:588
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 1107.00M
+"not" in: sky130A_mr.drc:589
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.050s  Memory: 1107.00M
+"output" in: sky130A_mr.drc:589
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 1107.00M
+"enclosing" in: sky130A_mr.drc:591
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.260s  Memory: 1107.00M
+"second_edges" in: sky130A_mr.drc:591
+    Edges: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 1107.00M
+"width" in: sky130A_mr.drc:592
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 1107.00M
+"polygons" in: sky130A_mr.drc:593
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 1107.00M
+"interacting" in: sky130A_mr.drc:593
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 1107.00M
+"output" in: sky130A_mr.drc:594
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 1107.00M
+END: 70/44 (via3)
+START: 71/20 (m4)
+"width" in: sky130A_mr.drc:600
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 1107.00M
+"output" in: sky130A_mr.drc:600
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 1107.00M
+"sized" in: sky130A_mr.drc:602
+    Polygons (raw): 18 (flat)  18 (hierarchical)
+    Elapsed: 0.010s  Memory: 1107.00M
+"sized" in: sky130A_mr.drc:602
+    Polygons (raw): 18 (flat)  18 (hierarchical)
+    Elapsed: 0.010s  Memory: 1107.00M
+"snap" in: sky130A_mr.drc:602
+    Polygons (raw): 18 (flat)  18 (hierarchical)
+    Elapsed: 0.000s  Memory: 1107.00M
+"&" in: sky130A_mr.drc:602
+    Polygons (raw): 55 (flat)  55 (hierarchical)
+    Elapsed: 0.010s  Memory: 1107.00M
+"edges" in: sky130A_mr.drc:603
+    Edges: 461 (flat)  461 (hierarchical)
+    Elapsed: 0.010s  Memory: 1107.00M
+"-" in: sky130A_mr.drc:603
+    Edges: 46 (flat)  46 (hierarchical)
+    Elapsed: 0.010s  Memory: 1107.00M
+"edges" in: sky130A_mr.drc:604
+    Edges: 359 (flat)  359 (hierarchical)
+    Elapsed: 0.010s  Memory: 1107.00M
+"merged" in: sky130A_mr.drc:604
+    Polygons (raw): 25 (flat)  25 (hierarchical)
+    Elapsed: 0.000s  Memory: 1107.00M
+"outside_part" in: sky130A_mr.drc:604
+    Edges: 359 (flat)  359 (hierarchical)
+    Elapsed: 0.010s  Memory: 1107.00M
+"space" in: sky130A_mr.drc:606
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 1107.00M
+"output" in: sky130A_mr.drc:606
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 1107.00M
+"with_area" in: sky130A_mr.drc:608
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 1107.00M
+"output" in: sky130A_mr.drc:608
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 1107.00M
+"separation" in: sky130A_mr.drc:610
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 1107.00M
+"space" in: sky130A_mr.drc:610
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 1107.00M
+"+" in: sky130A_mr.drc:610
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 1107.00M
+"output" in: sky130A_mr.drc:610
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 1107.00M
+"enclosing" in: sky130A_mr.drc:615
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.190s  Memory: 1107.00M
+"output" in: sky130A_mr.drc:615
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 1107.00M
+"not" in: sky130A_mr.drc:616
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.050s  Memory: 1107.00M
+"output" in: sky130A_mr.drc:616
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 1107.00M
+END: 71/20 (m4)
+START: 71/44 (via4)
+"not" in: sky130A_mr.drc:629
+    Polygons (raw): 586 (flat)  586 (hierarchical)
+    Elapsed: 0.000s  Memory: 1107.00M
+"non_rectangles" in: sky130A_mr.drc:630
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 1107.00M
+"output" in: sky130A_mr.drc:630
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 1107.00M
+"width" in: sky130A_mr.drc:631
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 1107.00M
+"output" in: sky130A_mr.drc:631
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 1107.00M
+"drc" in: sky130A_mr.drc:632
+    Edges: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 1107.00M
+"output" in: sky130A_mr.drc:632
+    Edges: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 1107.00M
+"space" in: sky130A_mr.drc:634
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 1107.00M
+"polygons" in: sky130A_mr.drc:634
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 1107.00M
+"output" in: sky130A_mr.drc:634
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 1107.00M
+"enclosing" in: sky130A_mr.drc:642
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 1107.00M
+"output" in: sky130A_mr.drc:642
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 1107.00M
+"not" in: sky130A_mr.drc:643
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 1107.00M
+"output" in: sky130A_mr.drc:643
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 1107.00M
+END: 71/44 (via4)
+START: 72/20 (m5)
+"width" in: sky130A_mr.drc:648
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 1107.00M
+"output" in: sky130A_mr.drc:648
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 1107.00M
+"space" in: sky130A_mr.drc:650
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 1107.00M
+"output" in: sky130A_mr.drc:650
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 1107.00M
+"enclosing" in: sky130A_mr.drc:652
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 1107.00M
+"output" in: sky130A_mr.drc:652
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 1107.00M
+"not" in: sky130A_mr.drc:653
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 1107.00M
+"output" in: sky130A_mr.drc:653
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 1107.00M
+"with_area" in: sky130A_mr.drc:657
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 1107.00M
+"output" in: sky130A_mr.drc:657
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 1107.00M
+END: 72/20 (m5)
+START: 76/20 (pad)
+"space" in: sky130A_mr.drc:662
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 1107.00M
+"output" in: sky130A_mr.drc:662
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 1107.00M
+END: 76/20 (pad)
+Writing report database: /home/krishna/Comparator_MPW6/precheck_results/05_JUN_2022___09_44_41/outputs/reports/klayout_beol_check.xml ..
+Total elapsed: 4.090s  Memory: 1107.00M
diff --git a/precheck_results/05_JUN_2022___09_44_41/logs/klayout_beol_check.total b/precheck_results/05_JUN_2022___09_44_41/logs/klayout_beol_check.total
new file mode 100644
index 0000000..c227083
--- /dev/null
+++ b/precheck_results/05_JUN_2022___09_44_41/logs/klayout_beol_check.total
@@ -0,0 +1 @@
+0
\ No newline at end of file
diff --git a/precheck_results/05_JUN_2022___09_44_41/logs/klayout_feol_check.log b/precheck_results/05_JUN_2022___09_44_41/logs/klayout_feol_check.log
new file mode 100644
index 0000000..7c55685
--- /dev/null
+++ b/precheck_results/05_JUN_2022___09_44_41/logs/klayout_feol_check.log
@@ -0,0 +1,789 @@
+/home/krishna/mpw_precheckmpw6/checks/tech-files/sky130A_mr.drc:36: warning: already initialized constant DRC::DRCEngine::FEOL
+/home/krishna/mpw_precheckmpw6/checks/tech-files/sky130A_mr.drc:28: warning: previous definition of FEOL was here
+/home/krishna/mpw_precheckmpw6/checks/tech-files/sky130A_mr.drc:44: warning: already initialized constant DRC::DRCEngine::BEOL
+/home/krishna/mpw_precheckmpw6/checks/tech-files/sky130A_mr.drc:29: warning: previous definition of BEOL was here
+/home/krishna/mpw_precheckmpw6/checks/tech-files/sky130A_mr.drc:50: warning: already initialized constant DRC::DRCEngine::OFFGRID
+/home/krishna/mpw_precheckmpw6/checks/tech-files/sky130A_mr.drc:30: warning: previous definition of OFFGRID was here
+/home/krishna/mpw_precheckmpw6/checks/tech-files/sky130A_mr.drc:56: warning: already initialized constant DRC::DRCEngine::SEAL
+/home/krishna/mpw_precheckmpw6/checks/tech-files/sky130A_mr.drc:31: warning: previous definition of SEAL was here
+/home/krishna/mpw_precheckmpw6/checks/tech-files/sky130A_mr.drc:62: warning: already initialized constant DRC::DRCEngine::FLOATING_MET
+/home/krishna/mpw_precheckmpw6/checks/tech-files/sky130A_mr.drc:32: warning: previous definition of FLOATING_MET was here
+"input" in: sky130A_mr.drc:112
+    Polygons (raw): 62 (flat)  25 (hierarchical)
+    Elapsed: 0.010s  Memory: 522.00M
+"polygons" in: sky130A_mr.drc:113
+    Polygons (raw): 37 (flat)  29 (hierarchical)
+    Elapsed: 0.000s  Memory: 522.00M
+"polygons" in: sky130A_mr.drc:114
+    Polygons (raw): 82 (flat)  62 (hierarchical)
+    Elapsed: 0.010s  Memory: 522.00M
+"polygons" in: sky130A_mr.drc:115
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 522.00M
+"polygons" in: sky130A_mr.drc:116
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 522.00M
+"polygons" in: sky130A_mr.drc:117
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 522.00M
+"polygons" in: sky130A_mr.drc:118
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 522.00M
+"polygons" in: sky130A_mr.drc:119
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 522.00M
+"polygons" in: sky130A_mr.drc:120
+    Polygons (raw): 10 (flat)  2 (hierarchical)
+    Elapsed: 0.010s  Memory: 522.00M
+"polygons" in: sky130A_mr.drc:121
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 522.00M
+"polygons" in: sky130A_mr.drc:122
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 522.00M
+"polygons" in: sky130A_mr.drc:123
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 522.00M
+"polygons" in: sky130A_mr.drc:124
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 522.00M
+"polygons" in: sky130A_mr.drc:125
+    Polygons (raw): 202 (flat)  116 (hierarchical)
+    Elapsed: 0.000s  Memory: 522.00M
+"polygons" in: sky130A_mr.drc:126
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 522.00M
+"polygons" in: sky130A_mr.drc:127
+    Polygons (raw): 64 (flat)  45 (hierarchical)
+    Elapsed: 0.000s  Memory: 522.00M
+"polygons" in: sky130A_mr.drc:128
+    Polygons (raw): 52 (flat)  26 (hierarchical)
+    Elapsed: 0.010s  Memory: 522.00M
+"polygons" in: sky130A_mr.drc:129
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 522.00M
+"polygons" in: sky130A_mr.drc:130
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 522.00M
+"polygons" in: sky130A_mr.drc:131
+    Polygons (raw): 33 (flat)  24 (hierarchical)
+    Elapsed: 0.000s  Memory: 522.00M
+"polygons" in: sky130A_mr.drc:132
+    Polygons (raw): 894 (flat)  278 (hierarchical)
+    Elapsed: 0.010s  Memory: 522.00M
+"polygons" in: sky130A_mr.drc:134
+    Polygons (raw): 600 (flat)  428 (hierarchical)
+    Elapsed: 0.000s  Memory: 522.00M
+"polygons" in: sky130A_mr.drc:135
+    Polygons (raw): 2049 (flat)  1773 (hierarchical)
+    Elapsed: 0.010s  Memory: 522.00M
+"polygons" in: sky130A_mr.drc:137
+    Polygons (raw): 315 (flat)  265 (hierarchical)
+    Elapsed: 0.000s  Memory: 522.00M
+"polygons" in: sky130A_mr.drc:138
+    Polygons (raw): 4015 (flat)  4015 (hierarchical)
+    Elapsed: 0.010s  Memory: 522.00M
+"polygons" in: sky130A_mr.drc:140
+    Polygons (raw): 602 (flat)  602 (hierarchical)
+    Elapsed: 0.010s  Memory: 522.00M
+"polygons" in: sky130A_mr.drc:141
+    Polygons (raw): 3269 (flat)  3269 (hierarchical)
+    Elapsed: 0.000s  Memory: 522.00M
+"polygons" in: sky130A_mr.drc:143
+    Polygons (raw): 410 (flat)  410 (hierarchical)
+    Elapsed: 0.010s  Memory: 522.00M
+"polygons" in: sky130A_mr.drc:144
+    Polygons (raw): 27036 (flat)  27036 (hierarchical)
+    Elapsed: 0.010s  Memory: 523.00M
+"polygons" in: sky130A_mr.drc:146
+    Polygons (raw): 139 (flat)  139 (hierarchical)
+    Elapsed: 0.010s  Memory: 523.00M
+"polygons" in: sky130A_mr.drc:147
+    Polygons (raw): 586 (flat)  586 (hierarchical)
+    Elapsed: 0.000s  Memory: 523.00M
+"polygons" in: sky130A_mr.drc:149
+    Polygons (raw): 137 (flat)  137 (hierarchical)
+    Elapsed: 0.010s  Memory: 523.00M
+"polygons" in: sky130A_mr.drc:151
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 523.00M
+"polygons" in: sky130A_mr.drc:152
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 523.00M
+"polygons" in: sky130A_mr.drc:153
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 523.00M
+"polygons" in: sky130A_mr.drc:154
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 523.00M
+"polygons" in: sky130A_mr.drc:155
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 523.00M
+"polygons" in: sky130A_mr.drc:156
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 523.00M
+"polygons" in: sky130A_mr.drc:157
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 523.00M
+"polygons" in: sky130A_mr.drc:158
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 523.00M
+"polygons" in: sky130A_mr.drc:159
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 523.00M
+"polygons" in: sky130A_mr.drc:160
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 523.00M
+"polygons" in: sky130A_mr.drc:161
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 523.00M
+"polygons" in: sky130A_mr.drc:162
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 523.00M
+"polygons" in: sky130A_mr.drc:163
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 523.00M
+"polygons" in: sky130A_mr.drc:164
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 523.00M
+"polygons" in: sky130A_mr.drc:165
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 523.00M
+"polygons" in: sky130A_mr.drc:166
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 523.00M
+"polygons" in: sky130A_mr.drc:167
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 523.00M
+"polygons" in: sky130A_mr.drc:168
+    Polygons (raw): 10 (flat)  2 (hierarchical)
+    Elapsed: 0.010s  Memory: 523.00M
+"polygons" in: sky130A_mr.drc:169
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 523.00M
+"polygons" in: sky130A_mr.drc:170
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 523.00M
+"polygons" in: sky130A_mr.drc:171
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 523.00M
+"polygons" in: sky130A_mr.drc:172
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 523.00M
+"polygons" in: sky130A_mr.drc:173
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 523.00M
+"polygons" in: sky130A_mr.drc:174
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 523.00M
+"polygons" in: sky130A_mr.drc:175
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 523.00M
+"polygons" in: sky130A_mr.drc:176
+    Polygons (raw): 1 (flat)  1 (hierarchical)
+    Elapsed: 0.010s  Memory: 523.00M
+"polygons" in: sky130A_mr.drc:177
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 523.00M
+"polygons" in: sky130A_mr.drc:178
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 523.00M
+"polygons" in: sky130A_mr.drc:179
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 523.00M
+"polygons" in: sky130A_mr.drc:180
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 523.00M
+"polygons" in: sky130A_mr.drc:181
+    Polygons (raw): 4 (flat)  3 (hierarchical)
+    Elapsed: 0.010s  Memory: 523.00M
+"polygons" in: sky130A_mr.drc:182
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 523.00M
+"polygons" in: sky130A_mr.drc:183
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 523.00M
+"polygons" in: sky130A_mr.drc:184
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 523.00M
+"polygons" in: sky130A_mr.drc:185
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 523.00M
+"polygons" in: sky130A_mr.drc:186
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 523.00M
+"polygons" in: sky130A_mr.drc:187
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 523.00M
+"polygons" in: sky130A_mr.drc:188
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 523.00M
+"polygons" in: sky130A_mr.drc:189
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 523.00M
+"polygons" in: sky130A_mr.drc:190
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 523.00M
+"polygons" in: sky130A_mr.drc:191
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 523.00M
+"polygons" in: sky130A_mr.drc:192
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 523.00M
+"polygons" in: sky130A_mr.drc:193
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 523.00M
+"polygons" in: sky130A_mr.drc:194
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 523.00M
+"polygons" in: sky130A_mr.drc:195
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 523.00M
+"polygons" in: sky130A_mr.drc:196
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 523.00M
+"polygons" in: sky130A_mr.drc:197
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 523.00M
+"polygons" in: sky130A_mr.drc:198
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 523.00M
+"polygons" in: sky130A_mr.drc:199
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 523.00M
+"polygons" in: sky130A_mr.drc:200
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 523.00M
+"polygons" in: sky130A_mr.drc:201
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 523.00M
+"polygons" in: sky130A_mr.drc:202
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 523.00M
+"polygons" in: sky130A_mr.drc:203
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 523.00M
+DRC section
+FEOL section
+START: 64/18 (dnwell)
+"width" in: sky130A_mr.drc:229
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 523.00M
+"output" in: sky130A_mr.drc:229
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 523.00M
+END: 64/18 (dnwell)
+"input" in: sky130A_mr.drc:233
+    Polygons (raw): 64 (flat)  45 (hierarchical)
+    Elapsed: 0.010s  Memory: 523.00M
+"input" in: sky130A_mr.drc:234
+    Polygons (raw): 52 (flat)  26 (hierarchical)
+    Elapsed: 0.000s  Memory: 523.00M
+"input" in: sky130A_mr.drc:235
+    Polygons (raw): 82 (flat)  62 (hierarchical)
+    Elapsed: 0.000s  Memory: 523.00M
+START: 64/20 (nwell)
+"width" in: sky130A_mr.drc:239
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 523.00M
+"output" in: sky130A_mr.drc:239
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 523.00M
+"space" in: sky130A_mr.drc:240
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 1067.00M
+"output" in: sky130A_mr.drc:240
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 1067.00M
+"merge" in: sky130A_mr.drc:241
+    Polygons (raw): 14 (flat)  13 (hierarchical)
+    Elapsed: 0.010s  Memory: 1067.00M
+"holes" in: sky130A_mr.drc:242
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 1067.00M
+"enclosing" in: sky130A_mr.drc:242
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 1067.00M
+"output" in: sky130A_mr.drc:242
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 1067.00M
+END: 64/20 (nwell)
+START: 78/44 (hvtp)
+"width" in: sky130A_mr.drc:247
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 1067.00M
+"output" in: sky130A_mr.drc:247
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 1067.00M
+"space" in: sky130A_mr.drc:248
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 1067.00M
+"output" in: sky130A_mr.drc:248
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 1067.00M
+END: 78/44 (hvtp)
+START: 18/20 (htvr)
+"width" in: sky130A_mr.drc:253
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 1067.00M
+"output" in: sky130A_mr.drc:253
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 1067.00M
+"separation" in: sky130A_mr.drc:254
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 1067.00M
+"output" in: sky130A_mr.drc:254
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 1067.00M
+"and" in: sky130A_mr.drc:255
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 1067.00M
+"output" in: sky130A_mr.drc:255
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 1067.00M
+END: 18/20 (htvr)
+START: 25/44 (lvtn)
+"width" in: sky130A_mr.drc:260
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 1067.00M
+"output" in: sky130A_mr.drc:260
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 1067.00M
+"space" in: sky130A_mr.drc:261
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 1067.00M
+"output" in: sky130A_mr.drc:261
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 1067.00M
+END: 25/44 (lvtn)
+START: 92/44 (ncm)
+"width" in: sky130A_mr.drc:266
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 1067.00M
+"output" in: sky130A_mr.drc:266
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 1067.00M
+"space" in: sky130A_mr.drc:267
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 1067.00M
+"output" in: sky130A_mr.drc:267
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 1067.00M
+END: 92/44 (ncm)
+START: 65/20 (diff)
+"or" in: sky130A_mr.drc:272
+    Polygons (raw): 67 (flat)  34 (hierarchical)
+    Elapsed: 0.000s  Memory: 1067.00M
+"rectangles" in: sky130A_mr.drc:273
+    Polygons (raw): 31 (flat)  11 (hierarchical)
+    Elapsed: 0.010s  Memory: 1067.00M
+"width" in: sky130A_mr.drc:273
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 1067.00M
+"polygons" in: sky130A_mr.drc:273
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 1067.00M
+"edges" in: sky130A_mr.drc:274
+    Edges: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 1067.00M
+"outside_part" in: sky130A_mr.drc:274
+    Edges: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 1067.00M
+"outside" in: sky130A_mr.drc:274
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 1067.00M
+"edges" in: sky130A_mr.drc:274
+    Edges: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 1067.00M
+"not" in: sky130A_mr.drc:274
+    Edges: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 1067.00M
+"output" in: sky130A_mr.drc:275
+    Edges: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 1067.00M
+"outside" in: sky130A_mr.drc:276
+    Polygons (raw): 48 (flat)  17 (hierarchical)
+    Elapsed: 0.000s  Memory: 1067.00M
+"width" in: sky130A_mr.drc:276
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 1067.00M
+"output" in: sky130A_mr.drc:276
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 1067.00M
+END: 65/20 (diff)
+START: 65/44 (tap)
+"rectangles" in: sky130A_mr.drc:280
+    Polygons (raw): 13 (flat)  13 (hierarchical)
+    Elapsed: 0.000s  Memory: 1067.00M
+"width" in: sky130A_mr.drc:280
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 1067.00M
+"polygons" in: sky130A_mr.drc:280
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 1067.00M
+"edges" in: sky130A_mr.drc:281
+    Edges: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 1067.00M
+"outside_part" in: sky130A_mr.drc:281
+    Edges: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 1067.00M
+"outside" in: sky130A_mr.drc:281
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 1067.00M
+"edges" in: sky130A_mr.drc:281
+    Edges: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 1067.00M
+"not" in: sky130A_mr.drc:281
+    Edges: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 1067.00M
+"output" in: sky130A_mr.drc:282
+    Edges: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 1067.00M
+"not" in: sky130A_mr.drc:283
+    Polygons (raw): 37 (flat)  29 (hierarchical)
+    Elapsed: 0.000s  Memory: 1067.00M
+"width" in: sky130A_mr.drc:283
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 1067.00M
+"output" in: sky130A_mr.drc:283
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 1067.00M
+END: 65/44 (tap)
+"space" in: sky130A_mr.drc:286
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 1067.00M
+"output" in: sky130A_mr.drc:286
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 1067.00M
+START: 80/20 (tunm)
+"width" in: sky130A_mr.drc:290
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 1067.00M
+"output" in: sky130A_mr.drc:290
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 1067.00M
+"space" in: sky130A_mr.drc:291
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 1067.00M
+"output" in: sky130A_mr.drc:291
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 1067.00M
+END: 80/20 (tunm)
+START: 66/20 (poly)
+"width" in: sky130A_mr.drc:296
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 1067.00M
+"output" in: sky130A_mr.drc:296
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 1067.00M
+"not" in: sky130A_mr.drc:297
+    Polygons (raw): 202 (flat)  116 (hierarchical)
+    Elapsed: 0.010s  Memory: 1067.00M
+"space" in: sky130A_mr.drc:297
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 1067.00M
+"output" in: sky130A_mr.drc:297
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 1067.00M
+START: 86/20 (rpm)
+"width" in: sky130A_mr.drc:302
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 1067.00M
+"output" in: sky130A_mr.drc:302
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 1067.00M
+"space" in: sky130A_mr.drc:303
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 1067.00M
+"output" in: sky130A_mr.drc:303
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 1067.00M
+END: 86/20 (rpm)
+START: 79/20 (urpm)
+"width" in: sky130A_mr.drc:308
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 1067.00M
+"output" in: sky130A_mr.drc:308
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 1067.00M
+"space" in: sky130A_mr.drc:309
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 1067.00M
+"output" in: sky130A_mr.drc:309
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 1067.00M
+END: 79/20 (urpm)
+START: 95/20 (npc)
+"width" in: sky130A_mr.drc:314
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 1067.00M
+"output" in: sky130A_mr.drc:314
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 1067.00M
+"space" in: sky130A_mr.drc:315
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 1067.00M
+"output" in: sky130A_mr.drc:315
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 1067.00M
+END: 95/20 (npc)
+START: 93/44 (nsdm)
+"outside" in: sky130A_mr.drc:320
+    Polygons (raw): 32 (flat)  24 (hierarchical)
+    Elapsed: 0.010s  Memory: 1067.00M
+"width" in: sky130A_mr.drc:320
+    Edge pairs: 2 (flat)  2 (hierarchical)
+    Elapsed: 0.010s  Memory: 1067.00M
+"output" in: sky130A_mr.drc:320
+    Edge pairs: 2 (flat)  2 (hierarchical)
+    Elapsed: 0.000s  Memory: 1067.00M
+"not" in: sky130A_mr.drc:321
+    Polygons (raw): 64 (flat)  45 (hierarchical)
+    Elapsed: 0.010s  Memory: 1067.00M
+"space" in: sky130A_mr.drc:321
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 1067.00M
+"output" in: sky130A_mr.drc:321
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 1067.00M
+END: 93/44 (nsdm)
+START: 94/20 (psdm)
+"outside" in: sky130A_mr.drc:326
+    Polygons (raw): 28 (flat)  16 (hierarchical)
+    Elapsed: 0.010s  Memory: 1067.00M
+"width" in: sky130A_mr.drc:326
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 1067.00M
+"output" in: sky130A_mr.drc:326
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 1067.00M
+"not" in: sky130A_mr.drc:327
+    Polygons (raw): 52 (flat)  26 (hierarchical)
+    Elapsed: 0.010s  Memory: 1067.00M
+"space" in: sky130A_mr.drc:327
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 1067.00M
+"output" in: sky130A_mr.drc:327
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 1067.00M
+END: 94/20 (psdm)
+START: 66/44 (licon)
+"not" in: sky130A_mr.drc:338
+    Polygons (raw): 358 (flat)  105 (hierarchical)
+    Elapsed: 0.010s  Memory: 1067.00M
+"and" in: sky130A_mr.drc:339
+    Polygons (raw): 730 (flat)  223 (hierarchical)
+    Elapsed: 0.000s  Memory: 1067.00M
+"interacting" in: sky130A_mr.drc:339
+    Polygons (raw): 730 (flat)  223 (hierarchical)
+    Elapsed: 0.010s  Memory: 1067.00M
+"not" in: sky130A_mr.drc:340
+    Polygons (raw): 730 (flat)  223 (hierarchical)
+    Elapsed: 0.010s  Memory: 1067.00M
+"non_rectangles" in: sky130A_mr.drc:341
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 1067.00M
+"output" in: sky130A_mr.drc:341
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 1067.00M
+"or" in: sky130A_mr.drc:342
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 1067.00M
+"not" in: sky130A_mr.drc:342
+    Polygons (raw): 894 (flat)  278 (hierarchical)
+    Elapsed: 0.000s  Memory: 1067.00M
+"edges" in: sky130A_mr.drc:342
+    Edges: 3576 (flat)  1112 (hierarchical)
+    Elapsed: 0.010s  Memory: 1067.00M
+"without_length" in: sky130A_mr.drc:342
+    Edges: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 1067.00M
+"output" in: sky130A_mr.drc:342
+    Edges: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 1067.00M
+"separation" in: sky130A_mr.drc:343
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 1067.00M
+"output" in: sky130A_mr.drc:343
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 1067.00M
+"and" in: sky130A_mr.drc:344
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 1067.00M
+"output" in: sky130A_mr.drc:344
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 1067.00M
+"interacting" in: sky130A_mr.drc:345
+    Polygons (raw): 164 (flat)  55 (hierarchical)
+    Elapsed: 0.010s  Memory: 1067.00M
+"interacting" in: sky130A_mr.drc:345
+    Polygons (raw): 730 (flat)  223 (hierarchical)
+    Elapsed: 0.010s  Memory: 1067.00M
+"and" in: sky130A_mr.drc:345
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 1067.00M
+"output" in: sky130A_mr.drc:345
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.020s  Memory: 1067.00M
+END: 66/44 (licon)
+START: 89/44 (capm)
+"and" in: sky130A_mr.drc:350
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 1067.00M
+"sized" in: sky130A_mr.drc:350
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 1067.00M
+"width" in: sky130A_mr.drc:351
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 1067.00M
+"output" in: sky130A_mr.drc:351
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 1067.00M
+"space" in: sky130A_mr.drc:352
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 1067.00M
+"output" in: sky130A_mr.drc:352
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 1067.00M
+"interacting" in: sky130A_mr.drc:353
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 1067.00M
+"isolated" in: sky130A_mr.drc:353
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 1067.00M
+"output" in: sky130A_mr.drc:353
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 1067.00M
+"isolated" in: sky130A_mr.drc:354
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 1067.00M
+"output" in: sky130A_mr.drc:354
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 1067.00M
+"and" in: sky130A_mr.drc:355
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 1067.00M
+"enclosing" in: sky130A_mr.drc:355
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 1067.00M
+"output" in: sky130A_mr.drc:355
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 1067.00M
+"enclosing" in: sky130A_mr.drc:356
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 1067.00M
+"output" in: sky130A_mr.drc:356
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 1067.00M
+"enclosing" in: sky130A_mr.drc:357
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 1067.00M
+"output" in: sky130A_mr.drc:357
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 1067.00M
+"separation" in: sky130A_mr.drc:358
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 1067.00M
+"output" in: sky130A_mr.drc:358
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 1067.00M
+END: 89/44 (capm)
+START: 97/44 (cap2m)
+"and" in: sky130A_mr.drc:363
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 1067.00M
+"sized" in: sky130A_mr.drc:363
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 1067.00M
+"width" in: sky130A_mr.drc:364
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 1067.00M
+"output" in: sky130A_mr.drc:364
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 1067.00M
+"space" in: sky130A_mr.drc:365
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 1067.00M
+"output" in: sky130A_mr.drc:365
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 1067.00M
+"interacting" in: sky130A_mr.drc:366
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 1067.00M
+"isolated" in: sky130A_mr.drc:366
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 1067.00M
+"output" in: sky130A_mr.drc:366
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 1067.00M
+"isolated" in: sky130A_mr.drc:368
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 1067.00M
+"output" in: sky130A_mr.drc:368
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 1067.00M
+"and" in: sky130A_mr.drc:369
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 1067.00M
+"enclosing" in: sky130A_mr.drc:369
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 1067.00M
+"output" in: sky130A_mr.drc:369
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 1067.00M
+"enclosing" in: sky130A_mr.drc:370
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 1067.00M
+"output" in: sky130A_mr.drc:370
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 1067.00M
+"enclosing" in: sky130A_mr.drc:371
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 1067.00M
+"output" in: sky130A_mr.drc:371
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 1067.00M
+"separation" in: sky130A_mr.drc:372
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 1067.00M
+"output" in: sky130A_mr.drc:372
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 1067.00M
+END: 97/44 (cap2m)
+FEOL section
+START: 75/20 (hvi)
+"not" in: sky130A_mr.drc:672
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 1067.00M
+"width" in: sky130A_mr.drc:673
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 1067.00M
+"output" in: sky130A_mr.drc:673
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 1067.00M
+"space" in: sky130A_mr.drc:674
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 1067.00M
+"output" in: sky130A_mr.drc:674
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 1067.00M
+END: 75/20 (hvi)
+START: 125/20 (hvntm)
+"not" in: sky130A_mr.drc:679
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 1067.00M
+"width" in: sky130A_mr.drc:680
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 1067.00M
+"output" in: sky130A_mr.drc:680
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 1067.00M
+"space" in: sky130A_mr.drc:681
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 1067.00M
+"output" in: sky130A_mr.drc:681
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 1067.00M
+END: 125/20 (hvntm)
+Writing report database: /home/krishna/Comparator_MPW6/precheck_results/05_JUN_2022___09_44_41/outputs/reports/klayout_feol_check.xml ..
+Total elapsed: 1.430s  Memory: 1067.00M
diff --git a/precheck_results/05_JUN_2022___09_44_41/logs/klayout_feol_check.total b/precheck_results/05_JUN_2022___09_44_41/logs/klayout_feol_check.total
new file mode 100644
index 0000000..d8263ee
--- /dev/null
+++ b/precheck_results/05_JUN_2022___09_44_41/logs/klayout_feol_check.total
@@ -0,0 +1 @@
+2
\ No newline at end of file
diff --git a/precheck_results/05_JUN_2022___09_44_41/logs/klayout_met_min_ca_density_check.log b/precheck_results/05_JUN_2022___09_44_41/logs/klayout_met_min_ca_density_check.log
new file mode 100644
index 0000000..51d5cea
--- /dev/null
+++ b/precheck_results/05_JUN_2022___09_44_41/logs/klayout_met_min_ca_density_check.log
@@ -0,0 +1,79 @@
+"polygons" in: met_min_ca_density.lydrc:35
+    Polygons (raw): 650 (flat)  438 (hierarchical)
+    Elapsed: 0.000s  Memory: 522.00M
+"polygons" in: met_min_ca_density.lydrc:36
+    Polygons (raw): 2049 (flat)  1773 (hierarchical)
+    Elapsed: 0.000s  Memory: 522.00M
+"polygons" in: met_min_ca_density.lydrc:38
+    Polygons (raw): 335 (flat)  269 (hierarchical)
+    Elapsed: 0.010s  Memory: 522.00M
+"polygons" in: met_min_ca_density.lydrc:39
+    Polygons (raw): 4015 (flat)  4015 (hierarchical)
+    Elapsed: 0.010s  Memory: 522.00M
+"polygons" in: met_min_ca_density.lydrc:41
+    Polygons (raw): 1096 (flat)  1096 (hierarchical)
+    Elapsed: 0.000s  Memory: 522.00M
+"polygons" in: met_min_ca_density.lydrc:42
+    Polygons (raw): 3269 (flat)  3269 (hierarchical)
+    Elapsed: 0.010s  Memory: 522.00M
+"polygons" in: met_min_ca_density.lydrc:44
+    Polygons (raw): 594 (flat)  594 (hierarchical)
+    Elapsed: 0.000s  Memory: 522.00M
+"polygons" in: met_min_ca_density.lydrc:45
+    Polygons (raw): 27036 (flat)  27036 (hierarchical)
+    Elapsed: 0.020s  Memory: 523.00M
+"polygons" in: met_min_ca_density.lydrc:47
+    Polygons (raw): 145 (flat)  145 (hierarchical)
+    Elapsed: 0.010s  Memory: 523.00M
+"polygons" in: met_min_ca_density.lydrc:48
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 523.00M
+"polygons" in: met_min_ca_density.lydrc:49
+    Polygons (raw): 586 (flat)  586 (hierarchical)
+    Elapsed: 0.010s  Memory: 523.00M
+"polygons" in: met_min_ca_density.lydrc:51
+    Polygons (raw): 143 (flat)  143 (hierarchical)
+    Elapsed: 0.000s  Memory: 523.00M
+"input" in: met_min_ca_density.lydrc:53
+    Polygons (raw): 5 (flat)  4 (hierarchical)
+    Elapsed: 0.010s  Memory: 523.00M
+"area" in: met_min_ca_density.lydrc:55
+    Elapsed: 0.000s  Memory: 523.00M
+"polygons" in: met_min_ca_density.lydrc:59
+    Polygons (raw): 650 (flat)  438 (hierarchical)
+    Elapsed: 0.010s  Memory: 523.00M
+"area" in: met_min_ca_density.lydrc:59
+    Elapsed: 0.010s  Memory: 523.00M
+li1_ca_density is 0.9998119850973887
+"polygons" in: met_min_ca_density.lydrc:69
+    Polygons (raw): 335 (flat)  269 (hierarchical)
+    Elapsed: 0.010s  Memory: 523.00M
+"area" in: met_min_ca_density.lydrc:69
+    Elapsed: 0.000s  Memory: 523.00M
+m1_ca_density is 0.9997018727185165
+"polygons" in: met_min_ca_density.lydrc:79
+    Polygons (raw): 1096 (flat)  1096 (hierarchical)
+    Elapsed: 0.010s  Memory: 523.00M
+"area" in: met_min_ca_density.lydrc:79
+    Elapsed: 0.010s  Memory: 523.00M
+m2_ca_density is 0.9996028952852584
+"polygons" in: met_min_ca_density.lydrc:89
+    Polygons (raw): 594 (flat)  594 (hierarchical)
+    Elapsed: 0.000s  Memory: 523.00M
+"area" in: met_min_ca_density.lydrc:89
+    Elapsed: 0.010s  Memory: 523.00M
+m3_ca_density is 0.9835833451607254
+"polygons" in: met_min_ca_density.lydrc:99
+    Polygons (raw): 145 (flat)  145 (hierarchical)
+    Elapsed: 0.000s  Memory: 523.00M
+"area" in: met_min_ca_density.lydrc:99
+    Elapsed: 0.010s  Memory: 523.00M
+m4_ca_density is 0.9776061267901619
+"polygons" in: met_min_ca_density.lydrc:109
+    Polygons (raw): 143 (flat)  143 (hierarchical)
+    Elapsed: 0.000s  Memory: 523.00M
+"area" in: met_min_ca_density.lydrc:109
+    Elapsed: 0.000s  Memory: 523.00M
+m5_ca_density is 0.9696277271073319
+Writing report database: /home/krishna/Comparator_MPW6/precheck_results/05_JUN_2022___09_44_41/outputs/reports/klayout_met_min_ca_density_check.xml ..
+Total elapsed: 0.170s  Memory: 522.00M
diff --git a/precheck_results/05_JUN_2022___09_44_41/logs/klayout_met_min_ca_density_check.total b/precheck_results/05_JUN_2022___09_44_41/logs/klayout_met_min_ca_density_check.total
new file mode 100644
index 0000000..c227083
--- /dev/null
+++ b/precheck_results/05_JUN_2022___09_44_41/logs/klayout_met_min_ca_density_check.total
@@ -0,0 +1 @@
+0
\ No newline at end of file
diff --git a/precheck_results/05_JUN_2022___09_44_41/logs/klayout_offgrid_check.log b/precheck_results/05_JUN_2022___09_44_41/logs/klayout_offgrid_check.log
new file mode 100644
index 0000000..766f99f
--- /dev/null
+++ b/precheck_results/05_JUN_2022___09_44_41/logs/klayout_offgrid_check.log
@@ -0,0 +1,755 @@
+/home/krishna/mpw_precheckmpw6/checks/tech-files/sky130A_mr.drc:38: warning: already initialized constant DRC::DRCEngine::FEOL
+/home/krishna/mpw_precheckmpw6/checks/tech-files/sky130A_mr.drc:28: warning: previous definition of FEOL was here
+/home/krishna/mpw_precheckmpw6/checks/tech-files/sky130A_mr.drc:44: warning: already initialized constant DRC::DRCEngine::BEOL
+/home/krishna/mpw_precheckmpw6/checks/tech-files/sky130A_mr.drc:29: warning: previous definition of BEOL was here
+/home/krishna/mpw_precheckmpw6/checks/tech-files/sky130A_mr.drc:48: warning: already initialized constant DRC::DRCEngine::OFFGRID
+/home/krishna/mpw_precheckmpw6/checks/tech-files/sky130A_mr.drc:30: warning: previous definition of OFFGRID was here
+/home/krishna/mpw_precheckmpw6/checks/tech-files/sky130A_mr.drc:56: warning: already initialized constant DRC::DRCEngine::SEAL
+/home/krishna/mpw_precheckmpw6/checks/tech-files/sky130A_mr.drc:31: warning: previous definition of SEAL was here
+/home/krishna/mpw_precheckmpw6/checks/tech-files/sky130A_mr.drc:62: warning: already initialized constant DRC::DRCEngine::FLOATING_MET
+/home/krishna/mpw_precheckmpw6/checks/tech-files/sky130A_mr.drc:32: warning: previous definition of FLOATING_MET was here
+"input" in: sky130A_mr.drc:112
+    Polygons (raw): 62 (flat)  25 (hierarchical)
+    Elapsed: 0.010s  Memory: 522.00M
+"polygons" in: sky130A_mr.drc:113
+    Polygons (raw): 37 (flat)  29 (hierarchical)
+    Elapsed: 0.000s  Memory: 522.00M
+"polygons" in: sky130A_mr.drc:114
+    Polygons (raw): 82 (flat)  62 (hierarchical)
+    Elapsed: 0.010s  Memory: 522.00M
+"polygons" in: sky130A_mr.drc:115
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 522.00M
+"polygons" in: sky130A_mr.drc:116
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 522.00M
+"polygons" in: sky130A_mr.drc:117
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 522.00M
+"polygons" in: sky130A_mr.drc:118
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 522.00M
+"polygons" in: sky130A_mr.drc:119
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 522.00M
+"polygons" in: sky130A_mr.drc:120
+    Polygons (raw): 10 (flat)  2 (hierarchical)
+    Elapsed: 0.000s  Memory: 522.00M
+"polygons" in: sky130A_mr.drc:121
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 522.00M
+"polygons" in: sky130A_mr.drc:122
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 522.00M
+"polygons" in: sky130A_mr.drc:123
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 522.00M
+"polygons" in: sky130A_mr.drc:124
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 522.00M
+"polygons" in: sky130A_mr.drc:125
+    Polygons (raw): 202 (flat)  116 (hierarchical)
+    Elapsed: 0.010s  Memory: 522.00M
+"polygons" in: sky130A_mr.drc:126
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 522.00M
+"polygons" in: sky130A_mr.drc:127
+    Polygons (raw): 64 (flat)  45 (hierarchical)
+    Elapsed: 0.010s  Memory: 522.00M
+"polygons" in: sky130A_mr.drc:128
+    Polygons (raw): 52 (flat)  26 (hierarchical)
+    Elapsed: 0.000s  Memory: 522.00M
+"polygons" in: sky130A_mr.drc:129
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 522.00M
+"polygons" in: sky130A_mr.drc:130
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 522.00M
+"polygons" in: sky130A_mr.drc:131
+    Polygons (raw): 33 (flat)  24 (hierarchical)
+    Elapsed: 0.010s  Memory: 522.00M
+"polygons" in: sky130A_mr.drc:132
+    Polygons (raw): 894 (flat)  278 (hierarchical)
+    Elapsed: 0.000s  Memory: 522.00M
+"polygons" in: sky130A_mr.drc:134
+    Polygons (raw): 600 (flat)  428 (hierarchical)
+    Elapsed: 0.010s  Memory: 522.00M
+"polygons" in: sky130A_mr.drc:135
+    Polygons (raw): 2049 (flat)  1773 (hierarchical)
+    Elapsed: 0.000s  Memory: 522.00M
+"polygons" in: sky130A_mr.drc:137
+    Polygons (raw): 315 (flat)  265 (hierarchical)
+    Elapsed: 0.010s  Memory: 522.00M
+"polygons" in: sky130A_mr.drc:138
+    Polygons (raw): 4015 (flat)  4015 (hierarchical)
+    Elapsed: 0.010s  Memory: 522.00M
+"polygons" in: sky130A_mr.drc:140
+    Polygons (raw): 602 (flat)  602 (hierarchical)
+    Elapsed: 0.000s  Memory: 522.00M
+"polygons" in: sky130A_mr.drc:141
+    Polygons (raw): 3269 (flat)  3269 (hierarchical)
+    Elapsed: 0.010s  Memory: 522.00M
+"polygons" in: sky130A_mr.drc:143
+    Polygons (raw): 410 (flat)  410 (hierarchical)
+    Elapsed: 0.000s  Memory: 522.00M
+"polygons" in: sky130A_mr.drc:144
+    Polygons (raw): 27036 (flat)  27036 (hierarchical)
+    Elapsed: 0.010s  Memory: 523.00M
+"polygons" in: sky130A_mr.drc:146
+    Polygons (raw): 139 (flat)  139 (hierarchical)
+    Elapsed: 0.010s  Memory: 523.00M
+"polygons" in: sky130A_mr.drc:147
+    Polygons (raw): 586 (flat)  586 (hierarchical)
+    Elapsed: 0.000s  Memory: 523.00M
+"polygons" in: sky130A_mr.drc:149
+    Polygons (raw): 137 (flat)  137 (hierarchical)
+    Elapsed: 0.010s  Memory: 523.00M
+"polygons" in: sky130A_mr.drc:151
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 523.00M
+"polygons" in: sky130A_mr.drc:152
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 523.00M
+"polygons" in: sky130A_mr.drc:153
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 523.00M
+"polygons" in: sky130A_mr.drc:154
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 523.00M
+"polygons" in: sky130A_mr.drc:155
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 523.00M
+"polygons" in: sky130A_mr.drc:156
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 523.00M
+"polygons" in: sky130A_mr.drc:157
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 523.00M
+"polygons" in: sky130A_mr.drc:158
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 523.00M
+"polygons" in: sky130A_mr.drc:159
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 523.00M
+"polygons" in: sky130A_mr.drc:160
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 523.00M
+"polygons" in: sky130A_mr.drc:161
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 523.00M
+"polygons" in: sky130A_mr.drc:162
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 523.00M
+"polygons" in: sky130A_mr.drc:163
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 523.00M
+"polygons" in: sky130A_mr.drc:164
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 523.00M
+"polygons" in: sky130A_mr.drc:165
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 523.00M
+"polygons" in: sky130A_mr.drc:166
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 523.00M
+"polygons" in: sky130A_mr.drc:167
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 523.00M
+"polygons" in: sky130A_mr.drc:168
+    Polygons (raw): 10 (flat)  2 (hierarchical)
+    Elapsed: 0.010s  Memory: 523.00M
+"polygons" in: sky130A_mr.drc:169
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 523.00M
+"polygons" in: sky130A_mr.drc:170
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 523.00M
+"polygons" in: sky130A_mr.drc:171
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 523.00M
+"polygons" in: sky130A_mr.drc:172
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 523.00M
+"polygons" in: sky130A_mr.drc:173
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 523.00M
+"polygons" in: sky130A_mr.drc:174
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 523.00M
+"polygons" in: sky130A_mr.drc:175
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 523.00M
+"polygons" in: sky130A_mr.drc:176
+    Polygons (raw): 1 (flat)  1 (hierarchical)
+    Elapsed: 0.000s  Memory: 523.00M
+"polygons" in: sky130A_mr.drc:177
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 523.00M
+"polygons" in: sky130A_mr.drc:178
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 523.00M
+"polygons" in: sky130A_mr.drc:179
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 523.00M
+"polygons" in: sky130A_mr.drc:180
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 523.00M
+"polygons" in: sky130A_mr.drc:181
+    Polygons (raw): 4 (flat)  3 (hierarchical)
+    Elapsed: 0.010s  Memory: 523.00M
+"polygons" in: sky130A_mr.drc:182
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 523.00M
+"polygons" in: sky130A_mr.drc:183
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 523.00M
+"polygons" in: sky130A_mr.drc:184
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 523.00M
+"polygons" in: sky130A_mr.drc:185
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 523.00M
+"polygons" in: sky130A_mr.drc:186
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 523.00M
+"polygons" in: sky130A_mr.drc:187
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 523.00M
+"polygons" in: sky130A_mr.drc:188
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 523.00M
+"polygons" in: sky130A_mr.drc:189
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 523.00M
+"polygons" in: sky130A_mr.drc:190
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 523.00M
+"polygons" in: sky130A_mr.drc:191
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 523.00M
+"polygons" in: sky130A_mr.drc:192
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 523.00M
+"polygons" in: sky130A_mr.drc:193
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 523.00M
+"polygons" in: sky130A_mr.drc:194
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 523.00M
+"polygons" in: sky130A_mr.drc:195
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 523.00M
+"polygons" in: sky130A_mr.drc:196
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 523.00M
+"polygons" in: sky130A_mr.drc:197
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 523.00M
+"polygons" in: sky130A_mr.drc:198
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 523.00M
+"polygons" in: sky130A_mr.drc:199
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 523.00M
+"polygons" in: sky130A_mr.drc:200
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 523.00M
+"polygons" in: sky130A_mr.drc:201
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 523.00M
+"polygons" in: sky130A_mr.drc:202
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 523.00M
+"polygons" in: sky130A_mr.drc:203
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 523.00M
+DRC section
+OFFGRID-ANGLES section
+"ongrid" in: sky130A_mr.drc:690
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 523.00M
+"output" in: sky130A_mr.drc:690
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 523.00M
+"with_angle" in: sky130A_mr.drc:691
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 523.00M
+"output" in: sky130A_mr.drc:691
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 523.00M
+"ongrid" in: sky130A_mr.drc:692
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 523.00M
+"output" in: sky130A_mr.drc:692
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 523.00M
+"with_angle" in: sky130A_mr.drc:693
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 523.00M
+"output" in: sky130A_mr.drc:693
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 523.00M
+"ongrid" in: sky130A_mr.drc:694
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 523.00M
+"output" in: sky130A_mr.drc:694
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 523.00M
+"with_angle" in: sky130A_mr.drc:695
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 523.00M
+"output" in: sky130A_mr.drc:695
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 523.00M
+"ongrid" in: sky130A_mr.drc:696
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 523.00M
+"output" in: sky130A_mr.drc:696
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 523.00M
+"with_angle" in: sky130A_mr.drc:697
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 523.00M
+"output" in: sky130A_mr.drc:697
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 523.00M
+"ongrid" in: sky130A_mr.drc:698
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 523.00M
+"output" in: sky130A_mr.drc:698
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 523.00M
+"with_angle" in: sky130A_mr.drc:699
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 523.00M
+"output" in: sky130A_mr.drc:699
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 523.00M
+"ongrid" in: sky130A_mr.drc:700
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 523.00M
+"output" in: sky130A_mr.drc:700
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 523.00M
+"with_angle" in: sky130A_mr.drc:701
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 523.00M
+"output" in: sky130A_mr.drc:701
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 523.00M
+"ongrid" in: sky130A_mr.drc:702
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 523.00M
+"output" in: sky130A_mr.drc:702
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 523.00M
+"with_angle" in: sky130A_mr.drc:703
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 523.00M
+"output" in: sky130A_mr.drc:703
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 523.00M
+"ongrid" in: sky130A_mr.drc:704
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 523.00M
+"output" in: sky130A_mr.drc:704
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 523.00M
+"with_angle" in: sky130A_mr.drc:705
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 523.00M
+"output" in: sky130A_mr.drc:705
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 523.00M
+"ongrid" in: sky130A_mr.drc:706
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 523.00M
+"output" in: sky130A_mr.drc:706
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 523.00M
+"ongrid" in: sky130A_mr.drc:707
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 523.00M
+"output" in: sky130A_mr.drc:707
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 523.00M
+"and" in: sky130A_mr.drc:708
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 523.00M
+"not" in: sky130A_mr.drc:708
+    Polygons (raw): 62 (flat)  25 (hierarchical)
+    Elapsed: 0.000s  Memory: 523.00M
+"with_angle" in: sky130A_mr.drc:708
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 523.00M
+"output" in: sky130A_mr.drc:708
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 523.00M
+"and" in: sky130A_mr.drc:709
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 523.00M
+"and" in: sky130A_mr.drc:709
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 523.00M
+"with_angle" in: sky130A_mr.drc:709
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 523.00M
+"output" in: sky130A_mr.drc:709
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 523.00M
+"and" in: sky130A_mr.drc:710
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 523.00M
+"not" in: sky130A_mr.drc:710
+    Polygons (raw): 37 (flat)  29 (hierarchical)
+    Elapsed: 0.010s  Memory: 523.00M
+"with_angle" in: sky130A_mr.drc:710
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 523.00M
+"output" in: sky130A_mr.drc:710
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 523.00M
+"and" in: sky130A_mr.drc:711
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 523.00M
+"and" in: sky130A_mr.drc:711
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 523.00M
+"with_angle" in: sky130A_mr.drc:711
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 523.00M
+"output" in: sky130A_mr.drc:711
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 523.00M
+"ongrid" in: sky130A_mr.drc:712
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 523.00M
+"output" in: sky130A_mr.drc:712
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 523.00M
+"with_angle" in: sky130A_mr.drc:713
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 523.00M
+"output" in: sky130A_mr.drc:713
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 523.00M
+"ongrid" in: sky130A_mr.drc:714
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 523.00M
+"output" in: sky130A_mr.drc:714
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 523.00M
+"with_angle" in: sky130A_mr.drc:715
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 523.00M
+"output" in: sky130A_mr.drc:715
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 523.00M
+"ongrid" in: sky130A_mr.drc:716
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 523.00M
+"output" in: sky130A_mr.drc:716
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 523.00M
+"with_angle" in: sky130A_mr.drc:717
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 523.00M
+"output" in: sky130A_mr.drc:717
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 523.00M
+"ongrid" in: sky130A_mr.drc:718
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 523.00M
+"output" in: sky130A_mr.drc:718
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 523.00M
+"with_angle" in: sky130A_mr.drc:719
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 523.00M
+"output" in: sky130A_mr.drc:719
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 523.00M
+"ongrid" in: sky130A_mr.drc:720
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 523.00M
+"output" in: sky130A_mr.drc:720
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 523.00M
+"with_angle" in: sky130A_mr.drc:721
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 523.00M
+"output" in: sky130A_mr.drc:721
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 523.00M
+"ongrid" in: sky130A_mr.drc:722
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 523.00M
+"output" in: sky130A_mr.drc:722
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 523.00M
+"with_angle" in: sky130A_mr.drc:723
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 523.00M
+"output" in: sky130A_mr.drc:723
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 523.00M
+"ongrid" in: sky130A_mr.drc:724
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 523.00M
+"output" in: sky130A_mr.drc:724
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 523.00M
+"with_angle" in: sky130A_mr.drc:725
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 523.00M
+"output" in: sky130A_mr.drc:725
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 523.00M
+"ongrid" in: sky130A_mr.drc:726
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 523.00M
+"output" in: sky130A_mr.drc:726
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 523.00M
+"with_angle" in: sky130A_mr.drc:727
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 523.00M
+"output" in: sky130A_mr.drc:727
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 523.00M
+"ongrid" in: sky130A_mr.drc:728
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 523.00M
+"output" in: sky130A_mr.drc:728
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 523.00M
+"with_angle" in: sky130A_mr.drc:729
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 523.00M
+"output" in: sky130A_mr.drc:729
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 523.00M
+"ongrid" in: sky130A_mr.drc:730
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 523.00M
+"output" in: sky130A_mr.drc:730
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 523.00M
+"with_angle" in: sky130A_mr.drc:731
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 523.00M
+"output" in: sky130A_mr.drc:731
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 523.00M
+"ongrid" in: sky130A_mr.drc:732
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 523.00M
+"output" in: sky130A_mr.drc:732
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 523.00M
+"with_angle" in: sky130A_mr.drc:733
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 523.00M
+"output" in: sky130A_mr.drc:733
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 523.00M
+"ongrid" in: sky130A_mr.drc:734
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.020s  Memory: 524.00M
+"output" in: sky130A_mr.drc:734
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 524.00M
+"with_angle" in: sky130A_mr.drc:735
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 524.00M
+"output" in: sky130A_mr.drc:735
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 524.00M
+"ongrid" in: sky130A_mr.drc:736
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 524.00M
+"output" in: sky130A_mr.drc:736
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 524.00M
+"with_angle" in: sky130A_mr.drc:737
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 524.00M
+"output" in: sky130A_mr.drc:737
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 524.00M
+"ongrid" in: sky130A_mr.drc:738
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.020s  Memory: 525.00M
+"output" in: sky130A_mr.drc:738
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 525.00M
+"with_angle" in: sky130A_mr.drc:739
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 525.00M
+"output" in: sky130A_mr.drc:739
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 525.00M
+"ongrid" in: sky130A_mr.drc:740
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 525.00M
+"output" in: sky130A_mr.drc:740
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 525.00M
+"with_angle" in: sky130A_mr.drc:741
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 525.00M
+"output" in: sky130A_mr.drc:741
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 525.00M
+"ongrid" in: sky130A_mr.drc:742
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.140s  Memory: 531.00M
+"output" in: sky130A_mr.drc:742
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 531.00M
+"with_angle" in: sky130A_mr.drc:743
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.020s  Memory: 531.00M
+"output" in: sky130A_mr.drc:743
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 531.00M
+"ongrid" in: sky130A_mr.drc:744
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 531.00M
+"output" in: sky130A_mr.drc:744
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 531.00M
+"with_angle" in: sky130A_mr.drc:745
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 531.00M
+"output" in: sky130A_mr.drc:745
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 531.00M
+"ongrid" in: sky130A_mr.drc:746
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 531.00M
+"output" in: sky130A_mr.drc:746
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 531.00M
+"with_angle" in: sky130A_mr.drc:747
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 531.00M
+"output" in: sky130A_mr.drc:747
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 531.00M
+"ongrid" in: sky130A_mr.drc:748
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 531.00M
+"output" in: sky130A_mr.drc:748
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 531.00M
+"with_angle" in: sky130A_mr.drc:749
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 531.00M
+"output" in: sky130A_mr.drc:749
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 531.00M
+"ongrid" in: sky130A_mr.drc:750
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 531.00M
+"output" in: sky130A_mr.drc:750
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 531.00M
+"with_angle" in: sky130A_mr.drc:751
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 531.00M
+"output" in: sky130A_mr.drc:751
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 531.00M
+"ongrid" in: sky130A_mr.drc:752
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 531.00M
+"output" in: sky130A_mr.drc:752
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 531.00M
+"with_angle" in: sky130A_mr.drc:753
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 531.00M
+"output" in: sky130A_mr.drc:753
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 531.00M
+"ongrid" in: sky130A_mr.drc:754
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 531.00M
+"output" in: sky130A_mr.drc:754
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 531.00M
+"with_angle" in: sky130A_mr.drc:755
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 531.00M
+"output" in: sky130A_mr.drc:755
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 531.00M
+"ongrid" in: sky130A_mr.drc:756
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 531.00M
+"output" in: sky130A_mr.drc:756
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 531.00M
+"with_angle" in: sky130A_mr.drc:757
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 531.00M
+"output" in: sky130A_mr.drc:757
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 531.00M
+"ongrid" in: sky130A_mr.drc:758
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 531.00M
+"output" in: sky130A_mr.drc:758
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 531.00M
+"with_angle" in: sky130A_mr.drc:759
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 531.00M
+"output" in: sky130A_mr.drc:759
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 531.00M
+"ongrid" in: sky130A_mr.drc:760
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 531.00M
+"output" in: sky130A_mr.drc:760
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 531.00M
+"with_angle" in: sky130A_mr.drc:761
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 531.00M
+"output" in: sky130A_mr.drc:761
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 531.00M
+"ongrid" in: sky130A_mr.drc:762
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 531.00M
+"output" in: sky130A_mr.drc:762
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 531.00M
+"with_angle" in: sky130A_mr.drc:763
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 531.00M
+"output" in: sky130A_mr.drc:763
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 531.00M
+"ongrid" in: sky130A_mr.drc:764
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 531.00M
+"output" in: sky130A_mr.drc:764
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 531.00M
+"with_angle" in: sky130A_mr.drc:765
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 531.00M
+"output" in: sky130A_mr.drc:765
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.020s  Memory: 531.00M
+"ongrid" in: sky130A_mr.drc:766
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 531.00M
+"output" in: sky130A_mr.drc:766
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 531.00M
+Writing report database: /home/krishna/Comparator_MPW6/precheck_results/05_JUN_2022___09_44_41/outputs/reports/klayout_offgrid_check.xml ..
+Total elapsed: 1.530s  Memory: 531.00M
diff --git a/precheck_results/05_JUN_2022___09_44_41/logs/klayout_offgrid_check.total b/precheck_results/05_JUN_2022___09_44_41/logs/klayout_offgrid_check.total
new file mode 100644
index 0000000..c227083
--- /dev/null
+++ b/precheck_results/05_JUN_2022___09_44_41/logs/klayout_offgrid_check.total
@@ -0,0 +1 @@
+0
\ No newline at end of file
diff --git a/precheck_results/05_JUN_2022___09_44_41/logs/klayout_pin_label_purposes_overlapping_drawing_check.log b/precheck_results/05_JUN_2022___09_44_41/logs/klayout_pin_label_purposes_overlapping_drawing_check.log
new file mode 100644
index 0000000..581fb5f
--- /dev/null
+++ b/precheck_results/05_JUN_2022___09_44_41/logs/klayout_pin_label_purposes_overlapping_drawing_check.log
@@ -0,0 +1,29 @@
+Running pin_label_purposes_overlapping_drawing.rb.drc on file=/home/krishna/Comparator_MPW6/gds/user_analog_project_wrapper.gds, topcell=user_analog_project_wrapper, output to /home/krishna/Comparator_MPW6/precheck_results/05_JUN_2022___09_44_41/outputs/reports/klayout_pin_label_purposes_overlapping_drawing_check.xml
+  deep:true tiled:false threads:12
+--- #err|description, table for cell: user_analog_project_wrapper
+NO-Check ----        pwell:64/44/EMP   122/16/dat    64/59/EMP    44/16/EMP     44/5/EMP
+         ----        nwell:64/20/dat    64/16/dat     64/5/EMP
+         ----         diff:65/20/dat    65/16/EMP     65/6/EMP
+         ----          tap:65/44/dat    65/48/EMP     65/5/EMP
+         ----         poly:66/20/dat    66/16/EMP     66/5/EMP
+         ----       licon1:66/44/dat    66/58/EMP
+         ----          li1:67/20/dat    67/16/dat     67/5/EMP
+         ----         mcon:67/44/dat    67/48/EMP
+         ----         met1:68/20/dat    68/16/dat     68/5/EMP
+         ----          via:68/44/dat    68/58/EMP
+         ----         met2:69/20/dat    69/16/dat     69/5/EMP
+         ----         via2:69/44/dat    69/58/EMP
+         ----         met3:70/20/dat    70/16/dat     70/5/EMP
+         ----         via3:70/44/dat    70/48/EMP
+         ----         met4:71/20/dat    71/16/dat     71/5/EMP
+         ----         via4:71/44/dat    71/48/EMP
+         ----         met5:72/20/dat    72/16/dat     72/5/EMP
+         ----          pad:76/20/EMP     76/5/EMP    76/16/EMP
+         ----          pnp:82/44/EMP    82/59/EMP
+         ----          npn:82/20/EMP     82/5/EMP
+         ----          rdl:74/20/EMP    74/16/EMP     74/5/EMP
+         ----     inductor:82/24/EMP    82/25/EMP
+       0 total error(s) among 0 error type(s), 33 checks, cell: user_analog_project_wrapper
+Writing report...
+VmPeak:	 2369916 kB
+VmHWM:	  258316 kB
diff --git a/precheck_results/05_JUN_2022___09_44_41/logs/klayout_pin_label_purposes_overlapping_drawing_check.total b/precheck_results/05_JUN_2022___09_44_41/logs/klayout_pin_label_purposes_overlapping_drawing_check.total
new file mode 100644
index 0000000..c227083
--- /dev/null
+++ b/precheck_results/05_JUN_2022___09_44_41/logs/klayout_pin_label_purposes_overlapping_drawing_check.total
@@ -0,0 +1 @@
+0
\ No newline at end of file
diff --git a/precheck_results/05_JUN_2022___09_44_41/logs/klayout_zeroarea_check.log b/precheck_results/05_JUN_2022___09_44_41/logs/klayout_zeroarea_check.log
new file mode 100644
index 0000000..db78e7b
--- /dev/null
+++ b/precheck_results/05_JUN_2022___09_44_41/logs/klayout_zeroarea_check.log
@@ -0,0 +1,4 @@
+0 zero-area shapes
+writing to /home/krishna/Comparator_MPW6/precheck_results/05_JUN_2022___09_44_41/outputs/user_analog_project_wrapper_no_zero_areas.gds
+VmPeak:	  542216 kB
+VmHWM:	  260576 kB
diff --git a/precheck_results/05_JUN_2022___09_44_41/logs/klayout_zeroarea_check.total b/precheck_results/05_JUN_2022___09_44_41/logs/klayout_zeroarea_check.total
new file mode 100644
index 0000000..c227083
--- /dev/null
+++ b/precheck_results/05_JUN_2022___09_44_41/logs/klayout_zeroarea_check.total
@@ -0,0 +1 @@
+0
\ No newline at end of file
diff --git a/precheck_results/05_JUN_2022___09_44_41/logs/magic_drc_check.log b/precheck_results/05_JUN_2022___09_44_41/logs/magic_drc_check.log
new file mode 100644
index 0000000..691c75e
--- /dev/null
+++ b/precheck_results/05_JUN_2022___09_44_41/logs/magic_drc_check.log
@@ -0,0 +1,30 @@
+
+Magic 8.3 revision 274 - Compiled on Fri Mar  4 22:54:15 UTC 2022.
+Starting magic under Tcl interpreter
+Using the terminal as the console.
+Using NULL graphics device.
+Processing system .magicrc file
+Sourcing design .magicrc for technology sky130A ...
+2 Magic internal units = 1 Lambda
+Could not find file '/usr/local/share/pdk/sky130A/sky130A/libs.tech/magic/sky130A.tech' in any of these directories:
+         . $CAD_ROOT/magic/sys $CAD_ROOT/magic/sys/current
+Error parsing "/home/krishna/mpw_precheckmpw6/checks/tech-files/sky130A.magicrc": couldn't read file "/usr/local/share/pdk/sky130A/sky130A/libs.tech/magic/sky130A.tcl": no such file or directory
+Bad local startup file "/home/krishna/mpw_precheckmpw6/checks/tech-files/sky130A.magicrc", continuing without.
+Scaled tech values by 2 / 1 to match internal grid scaling
+Loading "/home/krishna/mpw_precheckmpw6/checks/drc_checks/magic/magic_drc_check.tcl" from command line.
+Don't know how to read GDS-II:
+Nothing in "cifinput" section of tech file.
+[INFO]: Loading user_analog_project_wrapper
+
+File user_analog_project_wrapper.mag couldn't be read
+No such file or directory
+Creating new cell
+"drc(full)" is not one of the DRC styles Magic knows.
+The current style is "default".
+The DRC styles are: default.
+No errors found.
+[INFO]: COUNT: 0
+[INFO]: Should be divided by 3 or 4
+[INFO]: DRC Checking DONE (/home/krishna/Comparator_MPW6/precheck_results/05_JUN_2022___09_44_41/outputs/reports/magic_drc_check.drc.report)
+[INFO]: Saving mag view with DRC errors(/home/krishna/Comparator_MPW6/precheck_results/05_JUN_2022___09_44_41/outputs/user_analog_project_wrapper.magic.drc.mag)
+[INFO]: Saved
diff --git a/precheck_results/05_JUN_2022___09_44_41/logs/magic_drc_check.total b/precheck_results/05_JUN_2022___09_44_41/logs/magic_drc_check.total
new file mode 100644
index 0000000..c227083
--- /dev/null
+++ b/precheck_results/05_JUN_2022___09_44_41/logs/magic_drc_check.total
@@ -0,0 +1 @@
+0
\ No newline at end of file
diff --git a/precheck_results/05_JUN_2022___09_44_41/logs/pdks.info b/precheck_results/05_JUN_2022___09_44_41/logs/pdks.info
new file mode 100644
index 0000000..3072015
--- /dev/null
+++ b/precheck_results/05_JUN_2022___09_44_41/logs/pdks.info
@@ -0,0 +1,2 @@
+Open PDKs a3d6ffea8022332b859daa454cef7ee7131c5181
+Skywater PDK f70d8ca46961ff92719d8870a18a076370b85f6c
\ No newline at end of file
diff --git a/precheck_results/05_JUN_2022___09_44_41/logs/precheck.log b/precheck_results/05_JUN_2022___09_44_41/logs/precheck.log
new file mode 100644
index 0000000..4a2f387
--- /dev/null
+++ b/precheck_results/05_JUN_2022___09_44_41/logs/precheck.log
@@ -0,0 +1,60 @@
+2022-06-05 09:44:41 - [INFO] - {{EXTRACTING FILES}} Extracting compressed files in: /home/krishna/Comparator_MPW6
+2022-06-05 09:44:41 - [INFO] - {{Project Type Info}} analog
+2022-06-05 09:44:41 - [INFO] - {{Project GDS Info}} user_analog_project_wrapper: ae0fa47643350b69cd220b34f587bb2314ffc265
+2022-06-05 09:44:41 - [INFO] - {{Tools Info}} KLayout: v0.27.8 | Magic: v8.3.274
+2022-06-05 09:44:41 - [INFO] - {{PDKs Info}} Open PDKs: a3d6ffea8022332b859daa454cef7ee7131c5181 | Skywater PDK: f70d8ca46961ff92719d8870a18a076370b85f6c
+2022-06-05 09:44:41 - [INFO] - {{START}} Precheck Started, the full log 'precheck.log' will be located in '/home/krishna/Comparator_MPW6/precheck_results/05_JUN_2022___09_44_41/logs'
+2022-06-05 09:44:41 - [INFO] - {{PRECHECK SEQUENCE}} Precheck will run the following checks: [License, Makefile, Default, Documentation, Consistency, XOR, Magic DRC, Klayout FEOL, Klayout BEOL, Klayout Offgrid, Klayout Metal Minimum Clear Area Density, Klayout Pin Label Purposes Overlapping Drawing, Klayout ZeroArea]
+2022-06-05 09:44:41 - [INFO] - {{STEP UPDATE}} Executing Check 1 of 13: License
+2022-06-05 09:44:42 - [INFO] - An approved LICENSE (Apache-2.0) was found in /home/krishna/Comparator_MPW6.
+2022-06-05 09:44:42 - [INFO] - {{MAIN LICENSE CHECK PASSED}} An approved LICENSE was found in project root.
+2022-06-05 09:44:43 - [INFO] - An approved LICENSE (Apache-2.0) was found in /home/krishna/Comparator_MPW6.
+2022-06-05 09:44:43 - [INFO] - {{SUBMODULES LICENSE CHECK PASSED}} No prohibited LICENSE file(s) was found in project submodules
+2022-06-05 09:44:43 - [WARNING] - {{SPDX COMPLIANCE CHECK FAILED}} Found 166 non-compliant file(s) with the SPDX Standard.
+2022-06-05 09:44:43 - [INFO] - SPDX COMPLIANCE: NON-COMPLIANT FILE(S) PREVIEW: ['/home/krishna/Comparator_MPW6/Makefile', '/home/krishna/Comparator_MPW6/.spiceinit', '/home/krishna/Comparator_MPW6/verilog/dv/Makefile', '/home/krishna/Comparator_MPW6/verilog/dv/mprj_por/mprj_por.c', '/home/krishna/Comparator_MPW6/verilog/dv/mprj_por/mprj_por_tb.v', '/home/krishna/Comparator_MPW6/verilog/dv/mprj_por/Makefile', '/home/krishna/Comparator_MPW6/verilog/rtl/uprj_analog_netlists.v', '/home/krishna/Comparator_MPW6/verilog/rtl/example_por.v', '/home/krishna/Comparator_MPW6/verilog/rtl/user_analog_proj_example.v', '/home/krishna/Comparator_MPW6/verilog/rtl/user_analog_project_wrapper.v', '/home/krishna/Comparator_MPW6/verilog/rtl/comparator.v', '/home/krishna/Comparator_MPW6/precheck_results/04_JUN_2022___09_24_39/outputs/reports/magic_drc_check.drc.report', '/home/krishna/Comparator_MPW6/precheck_results/04_JUN_2022___09_24_39/logs/klayout_zeroarea_check.total', '/home/krishna/Comparator_MPW6/precheck_results/04_JUN_2022___09_24_39/logs/tools.info', '/home/krishna/Comparator_MPW6/precheck_results/04_JUN_2022___09_24_39/logs/klayout_beol_check.total']
+2022-06-05 09:44:43 - [INFO] - For the full SPDX compliance report check: /home/krishna/Comparator_MPW6/precheck_results/05_JUN_2022___09_44_41/logs/spdx_compliance_report.log
+2022-06-05 09:44:43 - [INFO] - {{STEP UPDATE}} Executing Check 2 of 13: Makefile
+2022-06-05 09:44:43 - [INFO] - {{MAKEFILE CHECK PASSED}} Makefile valid.
+2022-06-05 09:44:43 - [INFO] - {{STEP UPDATE}} Executing Check 3 of 13: Default
+2022-06-05 09:44:43 - [INFO] - {{README DEFAULT CHECK PASSED}} Project 'README.md' was modified and is not identical to the default 'README.md'
+2022-06-05 09:44:43 - [INFO] - {{CONTENT DEFAULT CHECK PASSED}} Project 'gds' was modified and is not identical to the default 'gds'
+2022-06-05 09:44:43 - [INFO] - {{STEP UPDATE}} Executing Check 4 of 13: Documentation
+2022-06-05 09:44:43 - [INFO] - {{DOCUMENTATION CHECK PASSED}} Project documentation is appropriate.
+2022-06-05 09:44:43 - [INFO] - {{STEP UPDATE}} Executing Check 5 of 13: Consistency
+2022-06-05 09:44:45 - [INFO] - HIERARCHY CHECK PASSED: Module user_analog_project_wrapper is instantiated in caravan. 
+2022-06-05 09:44:45 - [INFO] - COMPLEXITY CHECK PASSED: Netlist caravan contains at least 8 instances (68 instances). 
+2022-06-05 09:44:45 - [INFO] - MODELING CHECK PASSED: Netlist caravan is structural.
+2022-06-05 09:44:45 - [INFO] - SUBMODULE HOOKS CHECK PASSED: All module ports for user_analog_project_wrapper are correctly connected in the top level netlist caravan.
+2022-06-05 09:44:45 - [INFO] - {{NETLIST CONSISTENCY CHECK PASSED}} caravan netlist passed all consistency checks.
+2022-06-05 09:44:45 - [INFO] - PORTS CHECK PASSED: Netlist user_analog_project_wrapper ports match the golden wrapper ports
+2022-06-05 09:44:45 - [INFO] - COMPLEXITY CHECK PASSED: Netlist user_analog_project_wrapper contains at least 1 instances (19 instances). 
+2022-06-05 09:44:45 - [INFO] - MODELING CHECK PASSED: Netlist user_analog_project_wrapper is structural.
+2022-06-05 09:44:45 - [INFO] - LAYOUT CHECK PASSED: The GDS layout for user_analog_project_wrapper matches the provided structural netlist.
+2022-06-05 09:44:45 - [INFO] - {{NETLIST CONSISTENCY CHECK PASSED}} user_analog_project_wrapper netlist passed all consistency checks.
+2022-06-05 09:44:45 - [INFO] - {{CONSISTENCY CHECK PASSED}} The user netlist and the top netlist are valid.
+2022-06-05 09:44:45 - [INFO] - {{STEP UPDATE}} Executing Check 6 of 13: XOR
+2022-06-05 09:44:46 - [ERROR] - XOR CHECK FILE NOT FOUND in /home/krishna/Comparator_MPW6/precheck_results/05_JUN_2022___09_44_41/logs/xor_check.total
+2022-06-05 09:44:46 - [WARNING] - {{XOR CHECK FAILED}} The GDS file has non-conforming geometries.
+2022-06-05 09:44:46 - [INFO] - {{STEP UPDATE}} Executing Check 7 of 13: Magic DRC
+2022-06-05 09:44:46 - [INFO] - 0 DRC violations
+2022-06-05 09:44:46 - [INFO] - {{MAGIC DRC CHECK PASSED}} The GDS file, user_analog_project_wrapper.gds, has no DRC violations.
+2022-06-05 09:44:46 - [INFO] - {{STEP UPDATE}} Executing Check 8 of 13: Klayout FEOL
+2022-06-05 09:44:48 - [ERROR] - Total # of DRC violations is 2 Please check /home/krishna/Comparator_MPW6/precheck_results/05_JUN_2022___09_44_41/outputs/reports/klayout_feol_check.xml For more details
+2022-06-05 09:44:48 - [WARNING] - {{Klayout FEOL CHECK FAILED}} The GDS file, user_analog_project_wrapper.gds, has DRC violations.
+2022-06-05 09:44:48 - [INFO] - {{STEP UPDATE}} Executing Check 9 of 13: Klayout BEOL
+2022-06-05 09:44:53 - [INFO] - No DRC Violations found
+2022-06-05 09:44:53 - [INFO] - {{Klayout BEOL CHECK PASSED}} The GDS file, user_analog_project_wrapper.gds, has no DRC violations.
+2022-06-05 09:44:53 - [INFO] - {{STEP UPDATE}} Executing Check 10 of 13: Klayout Offgrid
+2022-06-05 09:44:55 - [INFO] - No DRC Violations found
+2022-06-05 09:44:55 - [INFO] - {{Klayout Offgrid CHECK PASSED}} The GDS file, user_analog_project_wrapper.gds, has no DRC violations.
+2022-06-05 09:44:55 - [INFO] - {{STEP UPDATE}} Executing Check 11 of 13: Klayout Metal Minimum Clear Area Density
+2022-06-05 09:44:56 - [INFO] - No DRC Violations found
+2022-06-05 09:44:56 - [INFO] - {{Klayout Metal Minimum Clear Area Density CHECK PASSED}} The GDS file, user_analog_project_wrapper.gds, has no DRC violations.
+2022-06-05 09:44:56 - [INFO] - {{STEP UPDATE}} Executing Check 12 of 13: Klayout Pin Label Purposes Overlapping Drawing
+2022-06-05 09:44:58 - [INFO] - No DRC Violations found
+2022-06-05 09:44:58 - [INFO] - {{Klayout Pin Label Purposes Overlapping Drawing CHECK PASSED}} The GDS file, user_analog_project_wrapper.gds, has no DRC violations.
+2022-06-05 09:44:58 - [INFO] - {{STEP UPDATE}} Executing Check 13 of 13: Klayout ZeroArea
+2022-06-05 09:44:58 - [INFO] - No DRC Violations found
+2022-06-05 09:44:58 - [INFO] - {{Klayout ZeroArea CHECK PASSED}} The GDS file, user_analog_project_wrapper.gds, has no DRC violations.
+2022-06-05 09:44:58 - [INFO] - {{FINISH}} Executing Finished, the full log 'precheck.log' can be found in '/home/krishna/Comparator_MPW6/precheck_results/05_JUN_2022___09_44_41/logs'
+2022-06-05 09:44:58 - [CRITICAL] - {{FAILURE}} 2 Check(s) Failed: ['XOR', 'Klayout FEOL'] !!!
diff --git a/precheck_results/05_JUN_2022___09_44_41/logs/spdx_compliance_report.log b/precheck_results/05_JUN_2022___09_44_41/logs/spdx_compliance_report.log
new file mode 100644
index 0000000..5bba219
--- /dev/null
+++ b/precheck_results/05_JUN_2022___09_44_41/logs/spdx_compliance_report.log
@@ -0,0 +1,166 @@
+/home/krishna/Comparator_MPW6/Makefile
+/home/krishna/Comparator_MPW6/.spiceinit
+/home/krishna/Comparator_MPW6/verilog/dv/Makefile
+/home/krishna/Comparator_MPW6/verilog/dv/mprj_por/mprj_por.c
+/home/krishna/Comparator_MPW6/verilog/dv/mprj_por/mprj_por_tb.v
+/home/krishna/Comparator_MPW6/verilog/dv/mprj_por/Makefile
+/home/krishna/Comparator_MPW6/verilog/rtl/uprj_analog_netlists.v
+/home/krishna/Comparator_MPW6/verilog/rtl/example_por.v
+/home/krishna/Comparator_MPW6/verilog/rtl/user_analog_proj_example.v
+/home/krishna/Comparator_MPW6/verilog/rtl/user_analog_project_wrapper.v
+/home/krishna/Comparator_MPW6/verilog/rtl/comparator.v
+/home/krishna/Comparator_MPW6/precheck_results/04_JUN_2022___09_24_39/outputs/reports/magic_drc_check.drc.report
+/home/krishna/Comparator_MPW6/precheck_results/04_JUN_2022___09_24_39/logs/klayout_zeroarea_check.total
+/home/krishna/Comparator_MPW6/precheck_results/04_JUN_2022___09_24_39/logs/tools.info
+/home/krishna/Comparator_MPW6/precheck_results/04_JUN_2022___09_24_39/logs/klayout_beol_check.total
+/home/krishna/Comparator_MPW6/precheck_results/04_JUN_2022___09_24_39/logs/klayout_pin_label_purposes_overlapping_drawing_check.total
+/home/krishna/Comparator_MPW6/precheck_results/04_JUN_2022___09_24_39/logs/klayout_feol_check.total
+/home/krishna/Comparator_MPW6/precheck_results/04_JUN_2022___09_24_39/logs/gds.info
+/home/krishna/Comparator_MPW6/precheck_results/04_JUN_2022___09_24_39/logs/pdks.info
+/home/krishna/Comparator_MPW6/precheck_results/04_JUN_2022___09_24_39/logs/magic_drc_check.total
+/home/krishna/Comparator_MPW6/precheck_results/04_JUN_2022___09_24_39/logs/klayout_offgrid_check.total
+/home/krishna/Comparator_MPW6/precheck_results/04_JUN_2022___09_24_39/logs/klayout_met_min_ca_density_check.total
+/home/krishna/Comparator_MPW6/precheck_results/04_JUN_2022___14_38_44/outputs/reports/magic_drc_check.drc.report
+/home/krishna/Comparator_MPW6/precheck_results/04_JUN_2022___14_38_44/logs/klayout_zeroarea_check.total
+/home/krishna/Comparator_MPW6/precheck_results/04_JUN_2022___14_38_44/logs/tools.info
+/home/krishna/Comparator_MPW6/precheck_results/04_JUN_2022___14_38_44/logs/klayout_beol_check.total
+/home/krishna/Comparator_MPW6/precheck_results/04_JUN_2022___14_38_44/logs/klayout_pin_label_purposes_overlapping_drawing_check.total
+/home/krishna/Comparator_MPW6/precheck_results/04_JUN_2022___14_38_44/logs/klayout_feol_check.total
+/home/krishna/Comparator_MPW6/precheck_results/04_JUN_2022___14_38_44/logs/gds.info
+/home/krishna/Comparator_MPW6/precheck_results/04_JUN_2022___14_38_44/logs/pdks.info
+/home/krishna/Comparator_MPW6/precheck_results/04_JUN_2022___14_38_44/logs/magic_drc_check.total
+/home/krishna/Comparator_MPW6/precheck_results/04_JUN_2022___14_38_44/logs/klayout_offgrid_check.total
+/home/krishna/Comparator_MPW6/precheck_results/04_JUN_2022___14_38_44/logs/klayout_met_min_ca_density_check.total
+/home/krishna/Comparator_MPW6/precheck_results/04_JUN_2022___11_00_41/outputs/reports/magic_drc_check.drc.report
+/home/krishna/Comparator_MPW6/precheck_results/04_JUN_2022___11_00_41/logs/klayout_zeroarea_check.total
+/home/krishna/Comparator_MPW6/precheck_results/04_JUN_2022___11_00_41/logs/tools.info
+/home/krishna/Comparator_MPW6/precheck_results/04_JUN_2022___11_00_41/logs/klayout_beol_check.total
+/home/krishna/Comparator_MPW6/precheck_results/04_JUN_2022___11_00_41/logs/klayout_pin_label_purposes_overlapping_drawing_check.total
+/home/krishna/Comparator_MPW6/precheck_results/04_JUN_2022___11_00_41/logs/klayout_feol_check.total
+/home/krishna/Comparator_MPW6/precheck_results/04_JUN_2022___11_00_41/logs/gds.info
+/home/krishna/Comparator_MPW6/precheck_results/04_JUN_2022___11_00_41/logs/pdks.info
+/home/krishna/Comparator_MPW6/precheck_results/04_JUN_2022___11_00_41/logs/magic_drc_check.total
+/home/krishna/Comparator_MPW6/precheck_results/04_JUN_2022___11_00_41/logs/klayout_offgrid_check.total
+/home/krishna/Comparator_MPW6/precheck_results/04_JUN_2022___11_00_41/logs/klayout_met_min_ca_density_check.total
+/home/krishna/Comparator_MPW6/precheck_results/04_JUN_2022___09_00_52/outputs/reports/magic_drc_check.drc.report
+/home/krishna/Comparator_MPW6/precheck_results/04_JUN_2022___09_00_52/logs/klayout_zeroarea_check.total
+/home/krishna/Comparator_MPW6/precheck_results/04_JUN_2022___09_00_52/logs/tools.info
+/home/krishna/Comparator_MPW6/precheck_results/04_JUN_2022___09_00_52/logs/klayout_beol_check.total
+/home/krishna/Comparator_MPW6/precheck_results/04_JUN_2022___09_00_52/logs/klayout_pin_label_purposes_overlapping_drawing_check.total
+/home/krishna/Comparator_MPW6/precheck_results/04_JUN_2022___09_00_52/logs/klayout_feol_check.total
+/home/krishna/Comparator_MPW6/precheck_results/04_JUN_2022___09_00_52/logs/gds.info
+/home/krishna/Comparator_MPW6/precheck_results/04_JUN_2022___09_00_52/logs/pdks.info
+/home/krishna/Comparator_MPW6/precheck_results/04_JUN_2022___09_00_52/logs/magic_drc_check.total
+/home/krishna/Comparator_MPW6/precheck_results/04_JUN_2022___09_00_52/logs/klayout_offgrid_check.total
+/home/krishna/Comparator_MPW6/precheck_results/04_JUN_2022___09_00_52/logs/klayout_met_min_ca_density_check.total
+/home/krishna/Comparator_MPW6/precheck_results/04_JUN_2022___10_33_37/outputs/reports/magic_drc_check.drc.report
+/home/krishna/Comparator_MPW6/precheck_results/04_JUN_2022___10_33_37/logs/klayout_zeroarea_check.total
+/home/krishna/Comparator_MPW6/precheck_results/04_JUN_2022___10_33_37/logs/tools.info
+/home/krishna/Comparator_MPW6/precheck_results/04_JUN_2022___10_33_37/logs/klayout_beol_check.total
+/home/krishna/Comparator_MPW6/precheck_results/04_JUN_2022___10_33_37/logs/klayout_pin_label_purposes_overlapping_drawing_check.total
+/home/krishna/Comparator_MPW6/precheck_results/04_JUN_2022___10_33_37/logs/klayout_feol_check.total
+/home/krishna/Comparator_MPW6/precheck_results/04_JUN_2022___10_33_37/logs/gds.info
+/home/krishna/Comparator_MPW6/precheck_results/04_JUN_2022___10_33_37/logs/pdks.info
+/home/krishna/Comparator_MPW6/precheck_results/04_JUN_2022___10_33_37/logs/magic_drc_check.total
+/home/krishna/Comparator_MPW6/precheck_results/04_JUN_2022___10_33_37/logs/klayout_offgrid_check.total
+/home/krishna/Comparator_MPW6/precheck_results/04_JUN_2022___10_33_37/logs/klayout_met_min_ca_density_check.total
+/home/krishna/Comparator_MPW6/precheck_results/04_JUN_2022___10_10_56/outputs/reports/magic_drc_check.drc.report
+/home/krishna/Comparator_MPW6/precheck_results/04_JUN_2022___10_10_56/logs/klayout_zeroarea_check.total
+/home/krishna/Comparator_MPW6/precheck_results/04_JUN_2022___10_10_56/logs/tools.info
+/home/krishna/Comparator_MPW6/precheck_results/04_JUN_2022___10_10_56/logs/klayout_beol_check.total
+/home/krishna/Comparator_MPW6/precheck_results/04_JUN_2022___10_10_56/logs/klayout_pin_label_purposes_overlapping_drawing_check.total
+/home/krishna/Comparator_MPW6/precheck_results/04_JUN_2022___10_10_56/logs/klayout_feol_check.total
+/home/krishna/Comparator_MPW6/precheck_results/04_JUN_2022___10_10_56/logs/gds.info
+/home/krishna/Comparator_MPW6/precheck_results/04_JUN_2022___10_10_56/logs/pdks.info
+/home/krishna/Comparator_MPW6/precheck_results/04_JUN_2022___10_10_56/logs/magic_drc_check.total
+/home/krishna/Comparator_MPW6/precheck_results/04_JUN_2022___10_10_56/logs/klayout_offgrid_check.total
+/home/krishna/Comparator_MPW6/precheck_results/04_JUN_2022___10_10_56/logs/klayout_met_min_ca_density_check.total
+/home/krishna/Comparator_MPW6/precheck_results/05_JUN_2022___09_44_41/logs/tools.info
+/home/krishna/Comparator_MPW6/precheck_results/05_JUN_2022___09_44_41/logs/gds.info
+/home/krishna/Comparator_MPW6/precheck_results/05_JUN_2022___09_44_41/logs/pdks.info
+/home/krishna/Comparator_MPW6/precheck_results/04_JUN_2022___10_00_20/outputs/reports/magic_drc_check.drc.report
+/home/krishna/Comparator_MPW6/precheck_results/04_JUN_2022___10_00_20/logs/klayout_zeroarea_check.total
+/home/krishna/Comparator_MPW6/precheck_results/04_JUN_2022___10_00_20/logs/tools.info
+/home/krishna/Comparator_MPW6/precheck_results/04_JUN_2022___10_00_20/logs/klayout_beol_check.total
+/home/krishna/Comparator_MPW6/precheck_results/04_JUN_2022___10_00_20/logs/klayout_pin_label_purposes_overlapping_drawing_check.total
+/home/krishna/Comparator_MPW6/precheck_results/04_JUN_2022___10_00_20/logs/klayout_feol_check.total
+/home/krishna/Comparator_MPW6/precheck_results/04_JUN_2022___10_00_20/logs/gds.info
+/home/krishna/Comparator_MPW6/precheck_results/04_JUN_2022___10_00_20/logs/pdks.info
+/home/krishna/Comparator_MPW6/precheck_results/04_JUN_2022___10_00_20/logs/magic_drc_check.total
+/home/krishna/Comparator_MPW6/precheck_results/04_JUN_2022___10_00_20/logs/klayout_offgrid_check.total
+/home/krishna/Comparator_MPW6/precheck_results/04_JUN_2022___10_00_20/logs/klayout_met_min_ca_density_check.total
+/home/krishna/Comparator_MPW6/precheck_results/05_JUN_2022___09_43_53/outputs/reports/magic_drc_check.drc.report
+/home/krishna/Comparator_MPW6/precheck_results/05_JUN_2022___09_43_53/logs/klayout_zeroarea_check.total
+/home/krishna/Comparator_MPW6/precheck_results/05_JUN_2022___09_43_53/logs/tools.info
+/home/krishna/Comparator_MPW6/precheck_results/05_JUN_2022___09_43_53/logs/klayout_beol_check.total
+/home/krishna/Comparator_MPW6/precheck_results/05_JUN_2022___09_43_53/logs/klayout_pin_label_purposes_overlapping_drawing_check.total
+/home/krishna/Comparator_MPW6/precheck_results/05_JUN_2022___09_43_53/logs/klayout_feol_check.total
+/home/krishna/Comparator_MPW6/precheck_results/05_JUN_2022___09_43_53/logs/gds.info
+/home/krishna/Comparator_MPW6/precheck_results/05_JUN_2022___09_43_53/logs/pdks.info
+/home/krishna/Comparator_MPW6/precheck_results/05_JUN_2022___09_43_53/logs/magic_drc_check.total
+/home/krishna/Comparator_MPW6/precheck_results/05_JUN_2022___09_43_53/logs/klayout_offgrid_check.total
+/home/krishna/Comparator_MPW6/precheck_results/05_JUN_2022___09_43_53/logs/klayout_met_min_ca_density_check.total
+/home/krishna/Comparator_MPW6/mag/sky130_fd_pr__pfet_01v8_AC5Z8B#0.ext
+/home/krishna/Comparator_MPW6/mag/latch_3.ext
+/home/krishna/Comparator_MPW6/mag/sky130_fd_pr__diode_pw2nd_05v5_GT7E3L.ext
+/home/krishna/Comparator_MPW6/mag/inv_W2.ext
+/home/krishna/Comparator_MPW6/mag/sky130_fd_pr__diode_pw2nd_05v5_KLAK3C.ext
+/home/krishna/Comparator_MPW6/mag/sky130_fd_pr__diode_pw2nd_05v5_FT7GK8.ext
+/home/krishna/Comparator_MPW6/mag/sky130_fd_pr__diode_pw2nd_05v5_3P6M5Y.ext
+/home/krishna/Comparator_MPW6/mag/sky130_fd_pr__pfet_01v8_5SM9EE.ext
+/home/krishna/Comparator_MPW6/mag/latch_22.ext
+/home/krishna/Comparator_MPW6/mag/sky130_fd_pr__diode_pw2nd_05v5_GT7G3L.ext
+/home/krishna/Comparator_MPW6/mag/inv_W2#0.ext
+/home/krishna/Comparator_MPW6/mag/.magicrc
+/home/krishna/Comparator_MPW6/mag/sky130_fd_pr__diode_pd2nw_05v5_G4XDRY.ext
+/home/krishna/Comparator_MPW6/mag/sky130_fd_pr__nfet_01v8_XJTKXQ#1.ext
+/home/krishna/Comparator_MPW6/mag/sky130_fd_pr__nfet_01v8_XJTKXQ.ext
+/home/krishna/Comparator_MPW6/mag/sky130_fd_pr__pfet_01v8_AC5Z8B.ext
+/home/krishna/Comparator_MPW6/mag/buffer_2#1.ext
+/home/krishna/Comparator_MPW6/mag/comparator_v6.ext
+/home/krishna/Comparator_MPW6/mag/buffer_1#0.ext
+/home/krishna/Comparator_MPW6/mag/latch_2.ext
+/home/krishna/Comparator_MPW6/mag/user_analog_project_wrapper.ext
+/home/krishna/Comparator_MPW6/mag/buffer_2#0.ext
+/home/krishna/Comparator_MPW6/mag/sky130_fd_pr__diode_pd2nw_05v5_RT56W3.ext
+/home/krishna/Comparator_MPW6/mag/latch/SR_latch.ext
+/home/krishna/Comparator_MPW6/mag/latch/sky130_fd_pr__pfet_01v8_AC5Z8B#0.ext
+/home/krishna/Comparator_MPW6/mag/latch/sky130A.magicrc
+/home/krishna/Comparator_MPW6/mag/latch/latch_22.ext
+/home/krishna/Comparator_MPW6/mag/latch/sky130_fd_pr__pfet_01v8_AC5E9B.ext
+/home/krishna/Comparator_MPW6/mag/latch/.magicrc
+/home/krishna/Comparator_MPW6/mag/latch/sky130_fd_pr__nfet_01v8_XJTKXQ#1.ext
+/home/krishna/Comparator_MPW6/mag/latch/sky130_fd_pr__nfet_01v8_F5U58G.ext
+/home/krishna/Comparator_MPW6/mag/latch/sky130_fd_pr__pfet_01v8_GJYUB2.ext
+/home/krishna/Comparator_MPW6/mag/latch/latch_2.ext
+/home/krishna/Comparator_MPW6/mag/latch/sky130_fd_pr__pfet_01v8_5SVZDE.ext
+/home/krishna/Comparator_MPW6/mag/latch/latch.ext
+/home/krishna/Comparator_MPW6/mag/preamp/sky130_fd_pr__nfet_01v8_G6PLX8.ext
+/home/krishna/Comparator_MPW6/mag/preamp/preamp_part1.ext
+/home/krishna/Comparator_MPW6/mag/preamp/preamp_part2.ext
+/home/krishna/Comparator_MPW6/mag/preamp/sky130_fd_pr__nfet_01v8_XJTKXQ#0.ext
+/home/krishna/Comparator_MPW6/mag/preamp/sky130_fd_pr__nfet_01v8_F5U58G#1.ext
+/home/krishna/Comparator_MPW6/mag/preamp/.magicrc
+/home/krishna/Comparator_MPW6/mag/preamp/sky130_fd_pr__pfet_01v8_RFM3CD.ext
+/home/krishna/Comparator_MPW6/mag/preamp/sky130_fd_pr__nfet_01v8_8FHE5N.ext
+/home/krishna/Comparator_MPW6/mag/preamp/sky130_fd_pr__nfet_01v8_F5U58G#0.ext
+/home/krishna/Comparator_MPW6/xschem/xschemrc
+/home/krishna/Comparator_MPW6/xschem/buffer.sch
+/home/krishna/Comparator_MPW6/xschem/user_analog_project_wrapper.sym
+/home/krishna/Comparator_MPW6/xschem/SR_latch.sym
+/home/krishna/Comparator_MPW6/xschem/comparator.sch
+/home/krishna/Comparator_MPW6/xschem/comparator.sym
+/home/krishna/Comparator_MPW6/xschem/analog_wrapper_tb.sch
+/home/krishna/Comparator_MPW6/xschem/user_analog_project_wrapper.sch
+/home/krishna/Comparator_MPW6/xschem/.spiceinit
+/home/krishna/Comparator_MPW6/xschem/comparator_tb.sch
+/home/krishna/Comparator_MPW6/xschem/SR_latch.sch
+/home/krishna/Comparator_MPW6/xschem/buffer.sym
+/home/krishna/Comparator_MPW6/docs/Makefile
+/home/krishna/Comparator_MPW6/docs/environment.yml
+/home/krishna/Comparator_MPW6/docs/source/conf.py
+/home/krishna/Comparator_MPW6/docs/source/index.rst
+/home/krishna/Comparator_MPW6/netgen/run_lvs_wrapper_verilog.sh
+/home/krishna/Comparator_MPW6/netgen/xtra
+/home/krishna/Comparator_MPW6/netgen/run_lvs_por.sh
+/home/krishna/Comparator_MPW6/netgen/run_lvs_wrapper_xschem.sh
diff --git a/precheck_results/05_JUN_2022___09_44_41/logs/tools.info b/precheck_results/05_JUN_2022___09_44_41/logs/tools.info
new file mode 100644
index 0000000..9b2230a
--- /dev/null
+++ b/precheck_results/05_JUN_2022___09_44_41/logs/tools.info
@@ -0,0 +1,2 @@
+KLayout: 0.27.8
+Magic: 8.3.274
\ No newline at end of file
diff --git a/precheck_results/05_JUN_2022___09_44_41/logs/xor_check.log b/precheck_results/05_JUN_2022___09_44_41/logs/xor_check.log
new file mode 100644
index 0000000..78f90ca
--- /dev/null
+++ b/precheck_results/05_JUN_2022___09_44_41/logs/xor_check.log
@@ -0,0 +1,179 @@
+Reading file /home/krishna/Comparator_MPW6/gds/user_analog_project_wrapper.gds for cell user_analog_project_wrapper
+dbu=0.001
+cell user_analog_project_wrapper dbu-bbox(ll;ur)=(-4000,-4000;2924000,3528910)
+cell user_analog_project_wrapper dbu-bbox(left,bottom,right,top)=(-4000,-4000,2924000,3528910)
+cell user_analog_project_wrapper dbu-size(width,height)=(2928000,3532910)
+cell user_analog_project_wrapper micron-bbox(left,bottom,right,top)=(-4.0,-4.0,2924.0,3528.91)
+cell user_analog_project_wrapper micron-size(width,height)=(2928.0,3532.91)
+Done.
+
+Magic 8.3 revision 274 - Compiled on Fri Mar  4 22:54:15 UTC 2022.
+Starting magic under Tcl interpreter
+Using the terminal as the console.
+Using NULL graphics device.
+Processing system .magicrc file
+Loading "/home/krishna/mpw_precheckmpw6/checks/xor_check/erase_box.tcl" from command line.
+"sky130(vendor)" is not one of the CIF input styles Magic knows.
+Error: No style is set
+The CIF input styles are: .
+Don't know how to read GDS-II:
+Nothing in "cifinput" section of tech file.
+File user_analog_project_wrapper.mag couldn't be read
+No such file or directory
+Creating new cell
+Root cell box:
+           width x height  (   llx,  lly  ), (   urx,  ury  )  area (units^2)
+
+microns:  43.000 x 3520.000  (-43.000,  0.000), ( 0.000,  3520.000)  151360.000
+lambda:       43 x 3520    (   -43,  0    ), (     0,  3520 )  151360    
+Root cell box:
+           width x height  (   llx,  lly  ), (   urx,  ury  )  area (units^2)
+
+microns:  43.000 x 3520.000  ( 2920.000,  0.000), ( 2963.000,  3520.000)  151360.000
+lambda:       43 x 3520    (  2920,  0    ), (  2963,  3520 )  151360    
+Root cell box:
+           width x height  (   llx,  lly  ), (   urx,  ury  )  area (units^2)
+
+microns:  3006.000 x 38.000  (-43.000, -38.000), ( 2963.000,  0.000)  114228.000
+lambda:     3006 x 38      (   -43, -38   ), (  2963,  0    )  114228    
+Root cell box:
+           width x height  (   llx,  lly  ), (   urx,  ury  )  area (units^2)
+
+microns:  3006.000 x 37.000  (-43.000,  3520.000), ( 2963.000,  3557.000)  111222.000
+lambda:     3006 x 37      (   -43,  3520 ), (  2963,  3557 )  111222    
+Unrecognized layer: metal5
+Layer names are:
+    mag or magnet
+    f or fence
+    r or rotate
+    $
+    *
+    errors
+    labels
+    subcell
+    connect
+Unrecognized layer: metal4
+Layer names are:
+    mag or magnet
+    f or fence
+    r or rotate
+    $
+    *
+    errors
+    labels
+    subcell
+    connect
+Unrecognized layer: metal5
+Layer names are:
+    mag or magnet
+    f or fence
+    r or rotate
+    $
+    *
+    errors
+    labels
+    subcell
+    connect
+Unrecognized layer: metal4
+Layer names are:
+    mag or magnet
+    f or fence
+    r or rotate
+    $
+    *
+    errors
+    labels
+    subcell
+    connect
+No CIF/GDS output style set!
+I/O error in writing file /home/krishna/Comparator_MPW6/precheck_results/05_JUN_2022___09_44_41/outputs/user_analog_project_wrapper_erased.gds.
+File may be incompletely written.
+
+Magic 8.3 revision 274 - Compiled on Fri Mar  4 22:54:15 UTC 2022.
+Starting magic under Tcl interpreter
+Using the terminal as the console.
+Using NULL graphics device.
+Processing system .magicrc file
+Loading "/home/krishna/mpw_precheckmpw6/checks/xor_check/erase_box.tcl" from command line.
+"sky130(vendor)" is not one of the CIF input styles Magic knows.
+Error: No style is set
+The CIF input styles are: .
+Don't know how to read GDS-II:
+Nothing in "cifinput" section of tech file.
+File user_analog_project_wrapper.mag couldn't be read
+No such file or directory
+Creating new cell
+Root cell box:
+           width x height  (   llx,  lly  ), (   urx,  ury  )  area (units^2)
+
+microns:  43.000 x 3520.000  (-43.000,  0.000), ( 0.000,  3520.000)  151360.000
+lambda:       43 x 3520    (   -43,  0    ), (     0,  3520 )  151360    
+Root cell box:
+           width x height  (   llx,  lly  ), (   urx,  ury  )  area (units^2)
+
+microns:  43.000 x 3520.000  ( 2920.000,  0.000), ( 2963.000,  3520.000)  151360.000
+lambda:       43 x 3520    (  2920,  0    ), (  2963,  3520 )  151360    
+Root cell box:
+           width x height  (   llx,  lly  ), (   urx,  ury  )  area (units^2)
+
+microns:  3006.000 x 38.000  (-43.000, -38.000), ( 2963.000,  0.000)  114228.000
+lambda:     3006 x 38      (   -43, -38   ), (  2963,  0    )  114228    
+Root cell box:
+           width x height  (   llx,  lly  ), (   urx,  ury  )  area (units^2)
+
+microns:  3006.000 x 37.000  (-43.000,  3520.000), ( 2963.000,  3557.000)  111222.000
+lambda:     3006 x 37      (   -43,  3520 ), (  2963,  3557 )  111222    
+Unrecognized layer: metal5
+Layer names are:
+    mag or magnet
+    f or fence
+    r or rotate
+    $
+    *
+    errors
+    labels
+    subcell
+    connect
+Unrecognized layer: metal4
+Layer names are:
+    mag or magnet
+    f or fence
+    r or rotate
+    $
+    *
+    errors
+    labels
+    subcell
+    connect
+Unrecognized layer: metal5
+Layer names are:
+    mag or magnet
+    f or fence
+    r or rotate
+    $
+    *
+    errors
+    labels
+    subcell
+    connect
+Unrecognized layer: metal4
+Layer names are:
+    mag or magnet
+    f or fence
+    r or rotate
+    $
+    *
+    errors
+    labels
+    subcell
+    connect
+No CIF/GDS output style set!
+I/O error in writing file /home/krishna/Comparator_MPW6/precheck_results/05_JUN_2022___09_44_41/outputs/user_analog_project_wrapper_empty_erased.gds.
+File may be incompletely written.
+Reading /home/krishna/Comparator_MPW6/precheck_results/05_JUN_2022___09_44_41/outputs/user_analog_project_wrapper_erased.gds ..
+ERROR: In /home/krishna/mpw_precheckmpw6/checks/xor_check/xor.rb.drc: 'source': Stream has unknown format: /home/krishna/Comparator_MPW6/precheck_results/05_JUN_2022___09_44_41/outputs/user_analog_project_wrapper_erased.gds in Layout::read
+Total elapsed: 0.000s  Memory: 521.00M
+ERROR: 'source': Stream has unknown format: /home/krishna/Comparator_MPW6/precheck_results/05_JUN_2022___09_44_41/outputs/user_analog_project_wrapper_erased.gds in Layout::read in Executable::execute
+  /home/krishna/mpw_precheckmpw6/checks/xor_check/xor.rb.drc:15:in `execute'
+  :/built-in-macros/drc_interpreters.lym:27:in `instance_eval'
+  :/built-in-macros/drc_interpreters.lym:27:in `execute'
diff --git a/precheck_results/05_JUN_2022___09_44_41/outputs/reports/klayout_beol_check.xml b/precheck_results/05_JUN_2022___09_44_41/outputs/reports/klayout_beol_check.xml
new file mode 100644
index 0000000..9ad4ffd
--- /dev/null
+++ b/precheck_results/05_JUN_2022___09_44_41/outputs/reports/klayout_beol_check.xml
@@ -0,0 +1,447 @@
+<?xml version="1.0" encoding="utf-8"?>
+<report-database>
+ <description>SKY130 DRC runset</description>
+ <original-file/>
+ <generator>drc: script='/home/krishna/mpw_precheckmpw6/checks/tech-files/sky130A_mr.drc'</generator>
+ <top-cell>user_analog_project_wrapper</top-cell>
+ <tags>
+ </tags>
+ <categories>
+  <category>
+   <name>li.1</name>
+   <description>li.1 : min. li width : 0.17um</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>li.3</name>
+   <description>li.3 : min. li spacing : 0.17um</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>li.5</name>
+   <description>li.5 : min. li enclosure of licon of 2 adjacent edges : 0.08um</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>li.6</name>
+   <description>li.6 : min. li area : 0.0561um²</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>ct.1</name>
+   <description>ct.1: non-ring mcon should be rectangular</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>ct.1_a</name>
+   <description>ct.1_a : minimum width of mcon : 0.17um</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>ct.1_b</name>
+   <description>ct.1_b : maximum length of mcon : 0.17um</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>ct.2</name>
+   <description>ct.2 : min. mcon spacing : 0.19um</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>ct.4</name>
+   <description>ct.4 : mcon should covered by li</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>m1.1</name>
+   <description>m1.1 : min. m1 width : 0.14um</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>m1.2</name>
+   <description>m1.2 : min. m1 spacing : 0.14um</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>m1.3ab</name>
+   <description>m1.3ab : min. 3um.m1 spacing m1 : 0.28um</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>791_m1.4</name>
+   <description>791_m1.4 : min. m1 enclosure of mcon : 0.03um</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>m1.4</name>
+   <description>m1.4 : mcon periphery must be enclosed by m1</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>m1.4a</name>
+   <description>m1.4a : min. m1 enclosure of mcon for specific cells : 0.005um</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>m1.4a_a</name>
+   <description>m1.4a_a : mcon periph must be enclosed by met1 for specific cells</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>m1.6</name>
+   <description>m1.6 : min. m1 area : 0.083um²</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>m1.7</name>
+   <description>m1.7 : min. m1 with holes area : 0.14um²</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>m1.5</name>
+   <description>m1.5 : min. m1 enclosure of mcon of 2 adjacent edges : 0.06um</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>via.1a</name>
+   <description>via.1a : via outside of moduleCut should be rectangular</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>via.1a_a</name>
+   <description>via.1a_a : min. width of via outside of moduleCut : 0.15um</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>via.1a_b</name>
+   <description>via.1a_b : maximum length of via : 0.15um</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>via.2</name>
+   <description>via.2 : min. via spacing : 0.17um</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>via.4a</name>
+   <description>via.4a : min. m1 enclosure of 0.15um via : 0.055um</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>via.4a_a</name>
+   <description>via.4a_a : 0.15um via must be enclosed by met1</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>via.5a</name>
+   <description>via.5a : min. m1 enclosure of 0.15um via of 2 adjacent edges : 0.085um</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>m2.1</name>
+   <description>m2.1 : min. m2 width : 0.14um</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>m2.2</name>
+   <description>m2.2 : min. m2 spacing : 0.14um</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>m2.3ab</name>
+   <description>m2.3ab : min. 3um.m2 spacing m2 : 0.28um</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>m2.6</name>
+   <description>m2.6 : min. m2 area : 0.0676um²</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>m2.7</name>
+   <description>m2.7 : min. m2 holes area : 0.14um²</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>m2.4</name>
+   <description>m2.4 : min. m2 enclosure of via : 0.055um</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>m2.4_a</name>
+   <description>m2.4_a : via in periphery must be enclosed by met2</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>m2.5</name>
+   <description>m2.5 : min. m2 enclosure of via of 2 adjacent edges : 0.085um</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>via2.1a</name>
+   <description>via2.1a : via2 outside of moduleCut should be rectangular</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>via2.1a_a</name>
+   <description>via2.1a_a : min. width of via2 outside of moduleCut : 0.2um</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>via2.1a_b</name>
+   <description>via2.1a_b : maximum length of via2 : 0.2um</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>via2.2</name>
+   <description>via2.2 : min. via2 spacing : 0.2um</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>via2.4</name>
+   <description>via2.4 : min. m2 enclosure of via2 : 0.04um</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>via2.4_a</name>
+   <description>via2.4_a : via must be enclosed by met2</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>via2.5</name>
+   <description>via2.5 : min. m3 enclosure of via2 of 2 adjacent edges : 0.085um</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>m3.1</name>
+   <description>m3.1 : min. m3 width : 0.3um</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>m3.2</name>
+   <description>m3.2 : min. m3 spacing : 0.3um</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>m3.3cd</name>
+   <description>m3.3cd : min. 3um.m3 spacing m3 : 0.4um</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>m3.4</name>
+   <description>m3.4 : min. m3 enclosure of via2 : 0.065um</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>m3.4_a</name>
+   <description>m3.4_a : via2 must be enclosed by met3</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>via3.1</name>
+   <description>via3.1 : via3 outside of moduleCut should be rectangular</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>via3.1_a</name>
+   <description>via3.1_a : min. width of via3 outside of moduleCut : 0.2um</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>via3.1_b</name>
+   <description>via3.1_b : maximum length of via3 : 0.2um</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>via3.2</name>
+   <description>via3.2 : min. via3 spacing : 0.2um</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>via3.4</name>
+   <description>via3.4 : min. m3 enclosure of via3 : 0.06um</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>via3.4_a</name>
+   <description>via3.4_a : non-ring via3 must be enclosed by met3</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>via3.5</name>
+   <description>via3.5 : min. m3 enclosure of via3 of 2 adjacent edges : 0.09um</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>m4.1</name>
+   <description>m4.1 : min. m4 width : 0.3um</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>m4.2</name>
+   <description>m4.2 : min. m4 spacing : 0.3um</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>m4.4a</name>
+   <description>m4.4a : min. m4 area : 0.240um²</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>m4.5ab</name>
+   <description>m4.5ab : min. 3um.m4 spacing m4 : 0.4um</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>m4.3</name>
+   <description>m4.3 : min. m4 enclosure of via3 : 0.065um</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>m4.3_a</name>
+   <description>m4.3_a : via3 must be enclosed by met4</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>via4.1</name>
+   <description>via4.1 : via4 outside of moduleCut should be rectangular</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>via4.1_a</name>
+   <description>via4.1_a : min. width of via4 outside of moduleCut : 0.8um</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>via4.1_b</name>
+   <description>via4.1_b : maximum length of via4 : 0.8um</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>via4.2</name>
+   <description>via4.2 : min. via4 spacing : 0.8um</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>via4.4</name>
+   <description>via4.4 : min. m4 enclosure of via4 : 0.19um</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>via4.4_a</name>
+   <description>via4.4_a : m4 must enclose all via4</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>m5.1</name>
+   <description>m5.1 : min. m5 width : 1.6um</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>m5.2</name>
+   <description>m5.2 : min. m5 spacing : 1.6um</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>m5.3</name>
+   <description>m5.3 : min. m5 enclosure of via4 : 0.31um</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>m5.3_a</name>
+   <description>m5.3_a : via must be enclosed by m5</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>m5.4</name>
+   <description>m5.4 : min. m5 area : 4.0um²</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>pad.2</name>
+   <description>pad.2 : min. pad spacing : 1.27um</description>
+   <categories>
+   </categories>
+  </category>
+ </categories>
+ <cells>
+  <cell>
+   <name>user_analog_project_wrapper</name>
+   <variant/>
+   <references>
+   </references>
+  </cell>
+ </cells>
+ <items>
+ </items>
+</report-database>
diff --git a/precheck_results/05_JUN_2022___09_44_41/outputs/reports/klayout_feol_check.xml b/precheck_results/05_JUN_2022___09_44_41/outputs/reports/klayout_feol_check.xml
new file mode 100644
index 0000000..b23e5a1
--- /dev/null
+++ b/precheck_results/05_JUN_2022___09_44_41/outputs/reports/klayout_feol_check.xml
@@ -0,0 +1,385 @@
+<?xml version="1.0" encoding="utf-8"?>
+<report-database>
+ <description>SKY130 DRC runset</description>
+ <original-file/>
+ <generator>drc: script='/home/krishna/mpw_precheckmpw6/checks/tech-files/sky130A_mr.drc'</generator>
+ <top-cell>user_analog_project_wrapper</top-cell>
+ <tags>
+ </tags>
+ <categories>
+  <category>
+   <name>dnwell.2</name>
+   <description>dnwell.2 : min. dnwell width : 3.0um</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>nwell.1</name>
+   <description>nwell.1 : min. nwell width : 0.84um</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>nwell.2a</name>
+   <description>nwell.2a : min. nwell spacing (merged if less) : 1.27um</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>nwell.6</name>
+   <description>nwell.6 : min enclosure of nwellHole by dnwell : 1.03um</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>hvtp.1</name>
+   <description>hvtp.1 : min. hvtp width : 0.38um</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>hvtp.2</name>
+   <description>hvtp.2 : min. hvtp spacing : 0.38um</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>hvtr.1</name>
+   <description>hvtr.1 : min. hvtr width : 0.38um</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>hvtr.2</name>
+   <description>hvtr.2 : min. hvtr spacing : 0.38um</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>hvtr.2_a</name>
+   <description>hvtr.2_a : hvtr must not overlap hvtp</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>lvtn.1a</name>
+   <description>lvtn.1a : min. lvtn width : 0.38um</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>lvtn.2</name>
+   <description>lvtn.2 : min. lvtn spacing : 0.38um</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>ncm.1</name>
+   <description>ncm.1 : min. ncm width : 0.38um</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>ncm.2a</name>
+   <description>ncm.2a : min. ncm spacing : 0.38um</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>difftap.1</name>
+   <description>difftap.1 : min. diff width across areaid:ce : 0.15um</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>difftap.1_a</name>
+   <description>difftap.1_a : min. diff width in periphery : 0.15um</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>difftap.1_b</name>
+   <description>difftap.1_b : min. tap width across areaid:ce : 0.15um</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>difftap.1_c</name>
+   <description>difftap.1_c : min. tap width in periphery : 0.15um</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>difftap.3</name>
+   <description>difftap.3 : min. difftap spacing : 0.27um</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>tunm.1</name>
+   <description>tunm.1 : min. tunm width : 0.41um</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>tunm.2</name>
+   <description>tunm.2 : min. tunm spacing : 0.5um</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>poly.1a</name>
+   <description>poly.1a : min. poly width : 0.15um</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>poly.2</name>
+   <description>poly.2 : min. poly spacing : 0.21um</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>rpm.1a</name>
+   <description>rpm.1a : min. rpm width : 1.27um</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>rpm.2</name>
+   <description>rpm.2 : min. rpm spacing : 0.84um</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>urpm.1a</name>
+   <description>urpm.1a : min. rpm width : 1.27um</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>urpm.2</name>
+   <description>urpm.2 : min. rpm spacing : 0.84um</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>npc.1</name>
+   <description>npc.1 : min. npc width : 0.27um</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>npc.2</name>
+   <description>npc.2 : min. npc spacing, should be manually merged if less than : 0.27um</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>nsd.1</name>
+   <description>nsd.1 : min. nsdm width : 0.38um</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>nsd.2</name>
+   <description>nsd.2 : min. nsdm spacing, should be manually merged if less than : 0.38um</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>psd.1</name>
+   <description>psd.1 : min. psdm width : 0.38um</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>psd.2</name>
+   <description>psd.2 : min. psdm spacing, should be manually merged if less than : 0.38um</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>licon.1</name>
+   <description>licon.1 : licon should be rectangle</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>licon.1_a/b</name>
+   <description>licon.1_a/b : minimum/maximum width of licon : 0.17um</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>licon.13</name>
+   <description>licon.13 : min. difftap licon spacing to npc : 0.09um</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>licon.13_a</name>
+   <description>licon.13_a : licon of diffTap in periphery must not overlap npc</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>licon.17</name>
+   <description>licon.17 : Licons may not overlap both poly and (diff or tap)</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>capm.1</name>
+   <description>capm.1 : min. capm width : 1.0um</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>capm.2a</name>
+   <description>capm.2a : min. capm spacing : 0.84um</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>capm.2b</name>
+   <description>capm.2b : min. capm spacing : 1.2um</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>capm.2b_a</name>
+   <description>capm.2b_a : min. spacing of m3_bot_plate : 1.2um</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>capm.3</name>
+   <description>capm.3 : min. capm and m3 enclosure of m3 : 0.14um</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>capm.3_a</name>
+   <description>capm.3_a : min. m3 enclosure of capm : 0.14um</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>capm.4</name>
+   <description>capm.4 : min. capm enclosure of via3 : 0.14um</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>capm.5</name>
+   <description>capm.5 : min. capm spacing to via3 : 0.14um</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>cap2m.1</name>
+   <description>cap2m.1 : min. cap2m width : 1.0um</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>cap2m.2a</name>
+   <description>cap2m.2a : min. cap2m spacing : 0.84um</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>cap2m.2b</name>
+   <description>cap2m.2b : min. cap2m spacing : 1.2um</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>cap2m.2b_a</name>
+   <description>cap2m.2b_a : min. spacing of m4_bot_plate : 1.2um</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>cap2m.3</name>
+   <description>cap2m.3 : min. m4 enclosure of cap2m : 0.14um</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>cap2m.3_a</name>
+   <description>cap2m.3_a : min. m4 enclosure of cap2m : 0.14um</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>cap2m.4</name>
+   <description>cap2m.4 : min. cap2m enclosure of via4 : 0.14um</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>cap2m.5</name>
+   <description>cap2m.5 : min. cap2m spacing to via4 : 0.14um</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>hvi.1</name>
+   <description>hvi.1 : min. hvi width : 0.6um</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>hvi.2a</name>
+   <description>hvi.2a : min. hvi spacing : 0.7um</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>hvntm.1</name>
+   <description>hvntm.1 : min. hvntm width : 0.7um</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>hvntm.2</name>
+   <description>hvntm.2 : min. hvntm spacing : 0.7um</description>
+   <categories>
+   </categories>
+  </category>
+ </categories>
+ <cells>
+  <cell>
+   <name>user_analog_project_wrapper</name>
+   <variant/>
+   <references>
+   </references>
+  </cell>
+ </cells>
+ <items>
+  <item>
+   <tags/>
+   <category>'nsd.1'</category>
+   <cell>user_analog_project_wrapper</cell>
+   <visited>false</visited>
+   <multiplicity>1</multiplicity>
+   <image/>
+   <values>
+    <value>edge-pair: (2077.83,3434.43;2077.805,3434.43)|(2077.425,3434.44;2077.45,3434.44)</value>
+   </values>
+  </item>
+  <item>
+   <tags/>
+   <category>'nsd.1'</category>
+   <cell>user_analog_project_wrapper</cell>
+   <visited>false</visited>
+   <multiplicity>1</multiplicity>
+   <image/>
+   <values>
+    <value>edge-pair: (2077.805,3434.43;2077.805,3434.304)|(2077.45,3434.44;2077.45,3434.566)</value>
+   </values>
+  </item>
+ </items>
+</report-database>
diff --git a/precheck_results/05_JUN_2022___09_44_41/outputs/reports/klayout_met_min_ca_density_check.xml b/precheck_results/05_JUN_2022___09_44_41/outputs/reports/klayout_met_min_ca_density_check.xml
new file mode 100644
index 0000000..dc650ad
--- /dev/null
+++ b/precheck_results/05_JUN_2022___09_44_41/outputs/reports/klayout_met_min_ca_density_check.xml
@@ -0,0 +1,21 @@
+<?xml version="1.0" encoding="utf-8"?>
+<report-database>
+ <description>Density Checks</description>
+ <original-file/>
+ <generator>drc: script='/home/krishna/mpw_precheckmpw6/checks/drc_checks/klayout/met_min_ca_density.lydrc'</generator>
+ <top-cell>user_analog_project_wrapper</top-cell>
+ <tags>
+ </tags>
+ <categories>
+ </categories>
+ <cells>
+  <cell>
+   <name>user_analog_project_wrapper</name>
+   <variant/>
+   <references>
+   </references>
+  </cell>
+ </cells>
+ <items>
+ </items>
+</report-database>
diff --git a/precheck_results/05_JUN_2022___09_44_41/outputs/reports/klayout_offgrid_check.xml b/precheck_results/05_JUN_2022___09_44_41/outputs/reports/klayout_offgrid_check.xml
new file mode 100644
index 0000000..80a7de2
--- /dev/null
+++ b/precheck_results/05_JUN_2022___09_44_41/outputs/reports/klayout_offgrid_check.xml
@@ -0,0 +1,483 @@
+<?xml version="1.0" encoding="utf-8"?>
+<report-database>
+ <description>SKY130 DRC runset</description>
+ <original-file/>
+ <generator>drc: script='/home/krishna/mpw_precheckmpw6/checks/tech-files/sky130A_mr.drc'</generator>
+ <top-cell>user_analog_project_wrapper</top-cell>
+ <tags>
+ </tags>
+ <categories>
+  <category>
+   <name>dnwell_OFFGRID</name>
+   <description>x.1b : OFFGRID vertex on dnwell</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>dnwell_angle</name>
+   <description>x.3a : non 45 degree angle dnwell</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>nwell_OFFGRID</name>
+   <description>x.1b : OFFGRID vertex on nwell</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>nwell_angle</name>
+   <description>x.3a : non 45 degree angle nwell</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>pwbm_OFFGRID</name>
+   <description>x.1b : OFFGRID vertex on pwbm</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>pwbm_angle</name>
+   <description>x.3a : non 45 degree angle pwbm</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>pwde_OFFGRID</name>
+   <description>x.1b : OFFGRID vertex on pwde</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>pwde_angle</name>
+   <description>x.3a : non 45 degree angle pwde</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>hvtp_OFFGRID</name>
+   <description>x.1b : OFFGRID vertex on hvtp</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>hvtp_angle</name>
+   <description>x.3a : non 45 degree angle hvtp</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>hvtr_OFFGRID</name>
+   <description>x.1b : OFFGRID vertex on hvtr</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>hvtr_angle</name>
+   <description>x.3a : non 45 degree angle hvtr</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>lvtn_OFFGRID</name>
+   <description>x.1b : OFFGRID vertex on lvtn</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>lvtn_angle</name>
+   <description>x.3a : non 45 degree angle lvtn</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>ncm_OFFGRID</name>
+   <description>x.1b : OFFGRID vertex on ncm</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>ncm_angle</name>
+   <description>x.3a : non 45 degree angle ncm</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>diff_OFFGRID</name>
+   <description>x.1b : OFFGRID vertex on diff</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>tap_OFFGRID</name>
+   <description>x.1b : OFFGRID vertex on tap</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>diff_angle</name>
+   <description>x.2 : non 90 degree angle diff</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>diff_angle</name>
+   <description>x.2c : non 45 degree angle diff</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>tap_angle</name>
+   <description>x.2 : non 90 degree angle tap</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>tap_angle</name>
+   <description>x.2c : non 45 degree angle tap</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>tunm_OFFGRID</name>
+   <description>x.1b : OFFGRID vertex on tunm</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>tunm_angle</name>
+   <description>x.3a : non 45 degree angle tunm</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>poly_OFFGRID</name>
+   <description>x.1b : OFFGRID vertex on poly</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>poly_angle</name>
+   <description>x.2 : non 90 degree angle poly</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>rpm_OFFGRID</name>
+   <description>x.1b : OFFGRID vertex on rpm</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>rpm_angle</name>
+   <description>x.3a : non 45 degree angle rpm</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>npc_OFFGRID</name>
+   <description>x.1b : OFFGRID vertex on npc</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>npc_angle</name>
+   <description>x.3a : non 45 degree angle npc</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>nsdm_OFFGRID</name>
+   <description>x.1b : OFFGRID vertex on nsdm</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>nsdm_angle</name>
+   <description>x.3a : non 45 degree angle nsdm</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>psdm_OFFGRID</name>
+   <description>x.1b : OFFGRID vertex on psdm</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>psdm_angle</name>
+   <description>x.3a : non 45 degree angle psdm</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>licon_OFFGRID</name>
+   <description>x.1b : OFFGRID vertex on licon</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>licon_angle</name>
+   <description>x.2 : non 90 degree angle licon</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>li_OFFGRID</name>
+   <description>x.1b : OFFGRID vertex on li</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>li_angle</name>
+   <description>x.3a : non 45 degree angle li</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>ct_OFFGRID</name>
+   <description>x.1b : OFFGRID vertex on mcon</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>ct_angle</name>
+   <description>x.2 : non 90 degree angle mcon</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>vpp_OFFGRID</name>
+   <description>x.1b : OFFGRID vertex on vpp</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>vpp_angle</name>
+   <description>x.3a : non 45 degree angle vpp</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>m1_OFFGRID</name>
+   <description>x.1b : OFFGRID vertex on m1</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>m1_angle</name>
+   <description>x.3a : non 45 degree angle m1</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>via_OFFGRID</name>
+   <description>x.1b : OFFGRID vertex on via</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>via_angle</name>
+   <description>x.2 : non 90 degree angle via</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>m2_OFFGRID</name>
+   <description>x.1b : OFFGRID vertex on m2</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>m2_angle</name>
+   <description>x.3a : non 45 degree angle m2</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>via2_OFFGRID</name>
+   <description>x.1b : OFFGRID vertex on via2</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>via2_angle</name>
+   <description>x.2 : non 90 degree angle via2</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>m3_OFFGRID</name>
+   <description>x.1b : OFFGRID vertex on m3</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>m3_angle</name>
+   <description>x.3a : non 45 degree angle m3</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>via3_OFFGRID</name>
+   <description>x.1b : OFFGRID vertex on via3</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>via3_angle</name>
+   <description>x.2 : non 90 degree angle via3</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>nsm_OFFGRID</name>
+   <description>x.1b : OFFGRID vertex on nsm</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>nsm_angle</name>
+   <description>x.3a : non 45 degree angle nsm</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>m4_OFFGRID</name>
+   <description>x.1b : OFFGRID vertex on m4</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>m4_angle</name>
+   <description>x.3a : non 45 degree angle m4</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>via4_OFFGRID</name>
+   <description>x.1b : OFFGRID vertex on via4</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>via4_angle</name>
+   <description>x.2 : non 90 degree angle via4</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>m5_OFFGRID</name>
+   <description>x.1b : OFFGRID vertex on m5</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>m5_angle</name>
+   <description>x.3a : non 45 degree angle m5</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>pad_OFFGRID</name>
+   <description>x.1b : OFFGRID vertex on pad</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>pad_angle</name>
+   <description>x.3a : non 45 degree angle pad</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>mf_OFFGRID</name>
+   <description>x.1b : OFFGRID vertex on mf</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>mf_angle</name>
+   <description>x.2 : non 90 degree angle mf</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>hvi_OFFGRID</name>
+   <description>x.1b : OFFGRID vertex on hvi</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>hvi_angle</name>
+   <description>x.3a : non 45 degree angle hvi</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>hvntm_OFFGRID</name>
+   <description>x.1b : OFFGRID vertex on hvntm</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>hvntm_angle</name>
+   <description>x.3a : non 45 degree angle hvntm</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>vhvi_OFFGRID</name>
+   <description>x.1b : OFFGRID vertex on vhvi</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>vhvi_angle</name>
+   <description>x.3a : non 45 degree angle vhvi</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>uhvi_OFFGRID</name>
+   <description>x.1b : OFFGRID vertex on uhvi</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>uhvi_angle</name>
+   <description>x.3a : non 45 degree angle uhvi</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>pwell_rs_OFFGRID</name>
+   <description>x.1b : OFFGRID vertex on pwell_rs</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>pwell_rs_angle</name>
+   <description>x.3a : non 45 degree angle pwell_rs</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>areaid_re_OFFGRID</name>
+   <description>x.1b : OFFGRID vertex on areaid.re</description>
+   <categories>
+   </categories>
+  </category>
+ </categories>
+ <cells>
+  <cell>
+   <name>user_analog_project_wrapper</name>
+   <variant/>
+   <references>
+   </references>
+  </cell>
+ </cells>
+ <items>
+ </items>
+</report-database>
diff --git a/precheck_results/05_JUN_2022___09_44_41/outputs/reports/klayout_pin_label_purposes_overlapping_drawing_check.xml b/precheck_results/05_JUN_2022___09_44_41/outputs/reports/klayout_pin_label_purposes_overlapping_drawing_check.xml
new file mode 100644
index 0000000..95d0489
--- /dev/null
+++ b/precheck_results/05_JUN_2022___09_44_41/outputs/reports/klayout_pin_label_purposes_overlapping_drawing_check.xml
@@ -0,0 +1,21 @@
+<?xml version="1.0" encoding="utf-8"?>
+<report-database>
+ <description>pin_label_purposes_overlapping_drawing.rb.drc, input=/home/krishna/Comparator_MPW6/gds/user_analog_project_wrapper.gds, topcell=user_analog_project_wrapper</description>
+ <original-file/>
+ <generator>drc: script='/home/krishna/mpw_precheckmpw6/checks/drc_checks/klayout/pin_label_purposes_overlapping_drawing.rb.drc'</generator>
+ <top-cell>user_analog_project_wrapper</top-cell>
+ <tags>
+ </tags>
+ <categories>
+ </categories>
+ <cells>
+  <cell>
+   <name>user_analog_project_wrapper</name>
+   <variant/>
+   <references>
+   </references>
+  </cell>
+ </cells>
+ <items>
+ </items>
+</report-database>
diff --git a/precheck_results/05_JUN_2022___09_44_41/outputs/reports/klayout_zeroarea_check.xml b/precheck_results/05_JUN_2022___09_44_41/outputs/reports/klayout_zeroarea_check.xml
new file mode 100644
index 0000000..c90408a
--- /dev/null
+++ b/precheck_results/05_JUN_2022___09_44_41/outputs/reports/klayout_zeroarea_check.xml
@@ -0,0 +1,21 @@
+<?xml version="1.0" encoding="utf-8"?>
+<report-database>
+ <description>zero area check</description>
+ <original-file/>
+ <generator>drc: script='/home/krishna/mpw_precheckmpw6/checks/drc_checks/klayout/zeroarea.rb.drc'</generator>
+ <top-cell>user_analog_project_wrapper</top-cell>
+ <tags>
+ </tags>
+ <categories>
+ </categories>
+ <cells>
+  <cell>
+   <name>user_analog_project_wrapper</name>
+   <variant/>
+   <references>
+   </references>
+  </cell>
+ </cells>
+ <items>
+ </items>
+</report-database>
diff --git a/precheck_results/05_JUN_2022___09_44_41/outputs/reports/magic_drc_check.drc.report b/precheck_results/05_JUN_2022___09_44_41/outputs/reports/magic_drc_check.drc.report
new file mode 100644
index 0000000..829b9d5
--- /dev/null
+++ b/precheck_results/05_JUN_2022___09_44_41/outputs/reports/magic_drc_check.drc.report
@@ -0,0 +1,5 @@
+user_analog_project_wrapper
+----------------------------------------
+[INFO]: COUNT: 0
+[INFO]: Should be divided by 3 or 4
+
diff --git a/precheck_results/05_JUN_2022___09_44_41/outputs/reports/magic_drc_check.rdb b/precheck_results/05_JUN_2022___09_44_41/outputs/reports/magic_drc_check.rdb
new file mode 100644
index 0000000..8a882f3
--- /dev/null
+++ b/precheck_results/05_JUN_2022___09_44_41/outputs/reports/magic_drc_check.rdb
@@ -0,0 +1,2 @@
+$user_analog_project_wrapper
+ 100
diff --git a/precheck_results/05_JUN_2022___09_44_41/outputs/reports/magic_drc_check.tcl b/precheck_results/05_JUN_2022___09_44_41/outputs/reports/magic_drc_check.tcl
new file mode 100644
index 0000000..e69de29
--- /dev/null
+++ b/precheck_results/05_JUN_2022___09_44_41/outputs/reports/magic_drc_check.tcl
diff --git a/precheck_results/05_JUN_2022___09_44_41/outputs/reports/magic_drc_check.tr b/precheck_results/05_JUN_2022___09_44_41/outputs/reports/magic_drc_check.tr
new file mode 100644
index 0000000..e69de29
--- /dev/null
+++ b/precheck_results/05_JUN_2022___09_44_41/outputs/reports/magic_drc_check.tr
diff --git a/precheck_results/05_JUN_2022___09_44_41/outputs/reports/magic_drc_check.xml b/precheck_results/05_JUN_2022___09_44_41/outputs/reports/magic_drc_check.xml
new file mode 100644
index 0000000..7161d7c
--- /dev/null
+++ b/precheck_results/05_JUN_2022___09_44_41/outputs/reports/magic_drc_check.xml
@@ -0,0 +1,10 @@
+<?xml version="1.0" ?>
+<report-database>
+    <categories/>
+    <cells>
+        <cell>
+            <name>user_analog_project_wrapper</name>
+        </cell>
+    </cells>
+    <items/>
+</report-database>
diff --git a/precheck_results/05_JUN_2022___09_44_41/outputs/user_analog_project_wrapper.magic.drc.mag b/precheck_results/05_JUN_2022___09_44_41/outputs/user_analog_project_wrapper.magic.drc.mag
new file mode 100644
index 0000000..bc7865b
--- /dev/null
+++ b/precheck_results/05_JUN_2022___09_44_41/outputs/user_analog_project_wrapper.magic.drc.mag
@@ -0,0 +1,7 @@
+magic
+tech minimum
+magscale 1 2
+timestamp 0
+<< checkpaint >>
+rect 0 0 1 1
+<< end >>
diff --git a/precheck_results/05_JUN_2022___09_44_41/outputs/user_analog_project_wrapper_empty_erased.gds b/precheck_results/05_JUN_2022___09_44_41/outputs/user_analog_project_wrapper_empty_erased.gds
new file mode 100644
index 0000000..e69de29
--- /dev/null
+++ b/precheck_results/05_JUN_2022___09_44_41/outputs/user_analog_project_wrapper_empty_erased.gds
diff --git a/precheck_results/05_JUN_2022___09_44_41/outputs/user_analog_project_wrapper_erased.gds b/precheck_results/05_JUN_2022___09_44_41/outputs/user_analog_project_wrapper_erased.gds
new file mode 100644
index 0000000..e69de29
--- /dev/null
+++ b/precheck_results/05_JUN_2022___09_44_41/outputs/user_analog_project_wrapper_erased.gds
diff --git a/precheck_results/05_JUN_2022___09_44_41/outputs/user_analog_project_wrapper_no_zero_areas.gds b/precheck_results/05_JUN_2022___09_44_41/outputs/user_analog_project_wrapper_no_zero_areas.gds
new file mode 100644
index 0000000..c2d713c
--- /dev/null
+++ b/precheck_results/05_JUN_2022___09_44_41/outputs/user_analog_project_wrapper_no_zero_areas.gds
Binary files differ
diff --git a/precheck_results/05_JUN_2022___09_50_48/logs/gds.info b/precheck_results/05_JUN_2022___09_50_48/logs/gds.info
new file mode 100644
index 0000000..14487da
--- /dev/null
+++ b/precheck_results/05_JUN_2022___09_50_48/logs/gds.info
@@ -0,0 +1 @@
+user_analog_project_wrapper.gds: f645e365a8f70e96fd2dc020b81b6d777ea3e792
\ No newline at end of file
diff --git a/precheck_results/05_JUN_2022___09_50_48/logs/klayout_beol_check.log b/precheck_results/05_JUN_2022___09_50_48/logs/klayout_beol_check.log
new file mode 100644
index 0000000..2a3afec
--- /dev/null
+++ b/precheck_results/05_JUN_2022___09_50_48/logs/klayout_beol_check.log
@@ -0,0 +1,992 @@
+/home/krishna/mpw_precheckmpw6/checks/tech-files/sky130A_mr.drc:38: warning: already initialized constant DRC::DRCEngine::FEOL
+/home/krishna/mpw_precheckmpw6/checks/tech-files/sky130A_mr.drc:28: warning: previous definition of FEOL was here
+/home/krishna/mpw_precheckmpw6/checks/tech-files/sky130A_mr.drc:42: warning: already initialized constant DRC::DRCEngine::BEOL
+/home/krishna/mpw_precheckmpw6/checks/tech-files/sky130A_mr.drc:29: warning: previous definition of BEOL was here
+/home/krishna/mpw_precheckmpw6/checks/tech-files/sky130A_mr.drc:50: warning: already initialized constant DRC::DRCEngine::OFFGRID
+/home/krishna/mpw_precheckmpw6/checks/tech-files/sky130A_mr.drc:30: warning: previous definition of OFFGRID was here
+/home/krishna/mpw_precheckmpw6/checks/tech-files/sky130A_mr.drc:56: warning: already initialized constant DRC::DRCEngine::SEAL
+/home/krishna/mpw_precheckmpw6/checks/tech-files/sky130A_mr.drc:31: warning: previous definition of SEAL was here
+/home/krishna/mpw_precheckmpw6/checks/tech-files/sky130A_mr.drc:62: warning: already initialized constant DRC::DRCEngine::FLOATING_MET
+/home/krishna/mpw_precheckmpw6/checks/tech-files/sky130A_mr.drc:32: warning: previous definition of FLOATING_MET was here
+"input" in: sky130A_mr.drc:112
+    Polygons (raw): 62 (flat)  25 (hierarchical)
+    Elapsed: 0.010s  Memory: 522.00M
+"polygons" in: sky130A_mr.drc:113
+    Polygons (raw): 37 (flat)  29 (hierarchical)
+    Elapsed: 0.000s  Memory: 522.00M
+"polygons" in: sky130A_mr.drc:114
+    Polygons (raw): 82 (flat)  62 (hierarchical)
+    Elapsed: 0.010s  Memory: 522.00M
+"polygons" in: sky130A_mr.drc:115
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 522.00M
+"polygons" in: sky130A_mr.drc:116
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 522.00M
+"polygons" in: sky130A_mr.drc:117
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 522.00M
+"polygons" in: sky130A_mr.drc:118
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 522.00M
+"polygons" in: sky130A_mr.drc:119
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 522.00M
+"polygons" in: sky130A_mr.drc:120
+    Polygons (raw): 10 (flat)  2 (hierarchical)
+    Elapsed: 0.010s  Memory: 522.00M
+"polygons" in: sky130A_mr.drc:121
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 522.00M
+"polygons" in: sky130A_mr.drc:122
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 522.00M
+"polygons" in: sky130A_mr.drc:123
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 522.00M
+"polygons" in: sky130A_mr.drc:124
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 522.00M
+"polygons" in: sky130A_mr.drc:125
+    Polygons (raw): 202 (flat)  116 (hierarchical)
+    Elapsed: 0.000s  Memory: 522.00M
+"polygons" in: sky130A_mr.drc:126
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 522.00M
+"polygons" in: sky130A_mr.drc:127
+    Polygons (raw): 61 (flat)  42 (hierarchical)
+    Elapsed: 0.000s  Memory: 522.00M
+"polygons" in: sky130A_mr.drc:128
+    Polygons (raw): 52 (flat)  26 (hierarchical)
+    Elapsed: 0.010s  Memory: 522.00M
+"polygons" in: sky130A_mr.drc:129
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 522.00M
+"polygons" in: sky130A_mr.drc:130
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 522.00M
+"polygons" in: sky130A_mr.drc:131
+    Polygons (raw): 33 (flat)  24 (hierarchical)
+    Elapsed: 0.000s  Memory: 522.00M
+"polygons" in: sky130A_mr.drc:132
+    Polygons (raw): 893 (flat)  277 (hierarchical)
+    Elapsed: 0.010s  Memory: 522.00M
+"polygons" in: sky130A_mr.drc:134
+    Polygons (raw): 601 (flat)  429 (hierarchical)
+    Elapsed: 0.000s  Memory: 522.00M
+"polygons" in: sky130A_mr.drc:135
+    Polygons (raw): 2049 (flat)  1773 (hierarchical)
+    Elapsed: 0.010s  Memory: 522.00M
+"polygons" in: sky130A_mr.drc:137
+    Polygons (raw): 315 (flat)  265 (hierarchical)
+    Elapsed: 0.000s  Memory: 522.00M
+"polygons" in: sky130A_mr.drc:138
+    Polygons (raw): 4015 (flat)  4015 (hierarchical)
+    Elapsed: 0.010s  Memory: 522.00M
+"polygons" in: sky130A_mr.drc:140
+    Polygons (raw): 602 (flat)  602 (hierarchical)
+    Elapsed: 0.000s  Memory: 522.00M
+"polygons" in: sky130A_mr.drc:141
+    Polygons (raw): 3269 (flat)  3269 (hierarchical)
+    Elapsed: 0.010s  Memory: 522.00M
+"polygons" in: sky130A_mr.drc:143
+    Polygons (raw): 410 (flat)  410 (hierarchical)
+    Elapsed: 0.000s  Memory: 522.00M
+"polygons" in: sky130A_mr.drc:144
+    Polygons (raw): 27036 (flat)  27036 (hierarchical)
+    Elapsed: 0.020s  Memory: 523.00M
+"polygons" in: sky130A_mr.drc:146
+    Polygons (raw): 139 (flat)  139 (hierarchical)
+    Elapsed: 0.000s  Memory: 523.00M
+"polygons" in: sky130A_mr.drc:147
+    Polygons (raw): 586 (flat)  586 (hierarchical)
+    Elapsed: 0.010s  Memory: 523.00M
+"polygons" in: sky130A_mr.drc:149
+    Polygons (raw): 137 (flat)  137 (hierarchical)
+    Elapsed: 0.000s  Memory: 523.00M
+"polygons" in: sky130A_mr.drc:151
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 523.00M
+"polygons" in: sky130A_mr.drc:152
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 523.00M
+"polygons" in: sky130A_mr.drc:153
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 523.00M
+"polygons" in: sky130A_mr.drc:154
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 523.00M
+"polygons" in: sky130A_mr.drc:155
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 523.00M
+"polygons" in: sky130A_mr.drc:156
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 523.00M
+"polygons" in: sky130A_mr.drc:157
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 523.00M
+"polygons" in: sky130A_mr.drc:158
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 523.00M
+"polygons" in: sky130A_mr.drc:159
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 523.00M
+"polygons" in: sky130A_mr.drc:160
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 523.00M
+"polygons" in: sky130A_mr.drc:161
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 523.00M
+"polygons" in: sky130A_mr.drc:162
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 523.00M
+"polygons" in: sky130A_mr.drc:163
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 523.00M
+"polygons" in: sky130A_mr.drc:164
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 523.00M
+"polygons" in: sky130A_mr.drc:165
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 523.00M
+"polygons" in: sky130A_mr.drc:166
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 523.00M
+"polygons" in: sky130A_mr.drc:167
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 523.00M
+"polygons" in: sky130A_mr.drc:168
+    Polygons (raw): 10 (flat)  2 (hierarchical)
+    Elapsed: 0.010s  Memory: 523.00M
+"polygons" in: sky130A_mr.drc:169
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 523.00M
+"polygons" in: sky130A_mr.drc:170
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 523.00M
+"polygons" in: sky130A_mr.drc:171
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 523.00M
+"polygons" in: sky130A_mr.drc:172
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 523.00M
+"polygons" in: sky130A_mr.drc:173
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 523.00M
+"polygons" in: sky130A_mr.drc:174
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 523.00M
+"polygons" in: sky130A_mr.drc:175
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 523.00M
+"polygons" in: sky130A_mr.drc:176
+    Polygons (raw): 1 (flat)  1 (hierarchical)
+    Elapsed: 0.010s  Memory: 523.00M
+"polygons" in: sky130A_mr.drc:177
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 523.00M
+"polygons" in: sky130A_mr.drc:178
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 523.00M
+"polygons" in: sky130A_mr.drc:179
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 523.00M
+"polygons" in: sky130A_mr.drc:180
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 523.00M
+"polygons" in: sky130A_mr.drc:181
+    Polygons (raw): 4 (flat)  3 (hierarchical)
+    Elapsed: 0.010s  Memory: 523.00M
+"polygons" in: sky130A_mr.drc:182
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 523.00M
+"polygons" in: sky130A_mr.drc:183
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 523.00M
+"polygons" in: sky130A_mr.drc:184
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 523.00M
+"polygons" in: sky130A_mr.drc:185
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 523.00M
+"polygons" in: sky130A_mr.drc:186
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 523.00M
+"polygons" in: sky130A_mr.drc:187
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 523.00M
+"polygons" in: sky130A_mr.drc:188
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 523.00M
+"polygons" in: sky130A_mr.drc:189
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 523.00M
+"polygons" in: sky130A_mr.drc:190
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 523.00M
+"polygons" in: sky130A_mr.drc:191
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 523.00M
+"polygons" in: sky130A_mr.drc:192
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 523.00M
+"polygons" in: sky130A_mr.drc:193
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 523.00M
+"polygons" in: sky130A_mr.drc:194
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 523.00M
+"polygons" in: sky130A_mr.drc:195
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 523.00M
+"polygons" in: sky130A_mr.drc:196
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 523.00M
+"polygons" in: sky130A_mr.drc:197
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 523.00M
+"polygons" in: sky130A_mr.drc:198
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 523.00M
+"polygons" in: sky130A_mr.drc:199
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 523.00M
+"polygons" in: sky130A_mr.drc:200
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 523.00M
+"polygons" in: sky130A_mr.drc:201
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 523.00M
+"polygons" in: sky130A_mr.drc:202
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 523.00M
+"polygons" in: sky130A_mr.drc:203
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 523.00M
+DRC section
+BEOL section
+START: 67/20 (li)
+"not" in: sky130A_mr.drc:381
+    Polygons (raw): 601 (flat)  429 (hierarchical)
+    Elapsed: 0.000s  Memory: 523.00M
+"width" in: sky130A_mr.drc:382
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 523.00M
+"output" in: sky130A_mr.drc:382
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 523.00M
+"edges" in: sky130A_mr.drc:384
+    Edges: 2951 (flat)  1712 (hierarchical)
+    Elapsed: 0.030s  Memory: 1067.00M
+"space" in: sky130A_mr.drc:384
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.020s  Memory: 1067.00M
+"output" in: sky130A_mr.drc:384
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 1067.00M
+"not" in: sky130A_mr.drc:385
+    Polygons (raw): 893 (flat)  277 (hierarchical)
+    Elapsed: 0.010s  Memory: 1067.00M
+"enclosing" in: sky130A_mr.drc:386
+    Edge pairs: 1184 (flat)  964 (hierarchical)
+    Elapsed: 0.030s  Memory: 1067.00M
+"second_edges" in: sky130A_mr.drc:386
+    Edges: 1184 (flat)  964 (hierarchical)
+    Elapsed: 0.010s  Memory: 1067.00M
+"width" in: sky130A_mr.drc:387
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 1067.00M
+"polygons" in: sky130A_mr.drc:388
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 1067.00M
+"interacting" in: sky130A_mr.drc:388
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 1067.00M
+"output" in: sky130A_mr.drc:389
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 1067.00M
+"with_area" in: sky130A_mr.drc:390
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 1067.00M
+"output" in: sky130A_mr.drc:390
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 1067.00M
+END: 67/20 (li)
+START: 67/44 (mcon)
+"not" in: sky130A_mr.drc:395
+    Polygons (raw): 2049 (flat)  1773 (hierarchical)
+    Elapsed: 0.000s  Memory: 1067.00M
+"not" in: sky130A_mr.drc:402
+    Polygons (raw): 2049 (flat)  1773 (hierarchical)
+    Elapsed: 0.010s  Memory: 1067.00M
+"non_rectangles" in: sky130A_mr.drc:403
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 1068.00M
+"output" in: sky130A_mr.drc:403
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 1068.00M
+"drc" in: sky130A_mr.drc:405
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.020s  Memory: 1068.00M
+"output" in: sky130A_mr.drc:405
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 1068.00M
+"drc" in: sky130A_mr.drc:406
+    Edges: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 1068.00M
+"output" in: sky130A_mr.drc:406
+    Edges: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 1068.00M
+"space" in: sky130A_mr.drc:407
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 1068.00M
+"output" in: sky130A_mr.drc:407
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 1068.00M
+"not" in: sky130A_mr.drc:413
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 1068.00M
+"output" in: sky130A_mr.drc:413
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 1068.00M
+END: 67/44 (mcon)
+START: 68/20 (m1)
+"width" in: sky130A_mr.drc:418
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 1068.00M
+"output" in: sky130A_mr.drc:418
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 1068.00M
+"sized" in: sky130A_mr.drc:419
+    Polygons (raw): 21 (flat)  21 (hierarchical)
+    Elapsed: 0.010s  Memory: 1068.00M
+"sized" in: sky130A_mr.drc:419
+    Polygons (raw): 21 (flat)  21 (hierarchical)
+    Elapsed: 0.010s  Memory: 1068.00M
+"snap" in: sky130A_mr.drc:419
+    Polygons (raw): 21 (flat)  21 (hierarchical)
+    Elapsed: 0.000s  Memory: 1068.00M
+"&" in: sky130A_mr.drc:419
+    Polygons (raw): 21 (flat)  21 (hierarchical)
+    Elapsed: 0.010s  Memory: 1068.00M
+"edges" in: sky130A_mr.drc:420
+    Edges: 1142 (flat)  993 (hierarchical)
+    Elapsed: 0.010s  Memory: 1068.00M
+"-" in: sky130A_mr.drc:420
+    Edges: 1003 (flat)  860 (hierarchical)
+    Elapsed: 0.010s  Memory: 1068.00M
+"edges" in: sky130A_mr.drc:421
+    Edges: 114 (flat)  114 (hierarchical)
+    Elapsed: 0.010s  Memory: 1068.00M
+"merged" in: sky130A_mr.drc:421
+    Polygons (raw): 133 (flat)  121 (hierarchical)
+    Elapsed: 0.010s  Memory: 1068.00M
+"outside_part" in: sky130A_mr.drc:421
+    Edges: 127 (flat)  127 (hierarchical)
+    Elapsed: 0.000s  Memory: 1068.00M
+"space" in: sky130A_mr.drc:423
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.020s  Memory: 1068.00M
+"output" in: sky130A_mr.drc:423
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 1068.00M
+"separation" in: sky130A_mr.drc:425
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 1068.00M
+"space" in: sky130A_mr.drc:425
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 1068.00M
+"+" in: sky130A_mr.drc:425
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 1068.00M
+"output" in: sky130A_mr.drc:425
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 1068.00M
+"input" in: sky130A_mr.drc:429
+    Polygons (raw): 315 (flat)  269 (hierarchical)
+    Elapsed: 0.000s  Memory: 1068.00M
+"enclosing" in: sky130A_mr.drc:431
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.020s  Memory: 1068.00M
+"output" in: sky130A_mr.drc:431
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 1068.00M
+"not" in: sky130A_mr.drc:432
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 1068.00M
+"output" in: sky130A_mr.drc:432
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 1068.00M
+"input" in: sky130A_mr.drc:434
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 1068.00M
+"enclosing" in: sky130A_mr.drc:435
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 1068.00M
+"output" in: sky130A_mr.drc:435
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 1068.00M
+"not" in: sky130A_mr.drc:437
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 1068.00M
+"output" in: sky130A_mr.drc:437
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 1068.00M
+"with_area" in: sky130A_mr.drc:439
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 1068.00M
+"output" in: sky130A_mr.drc:439
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 1068.00M
+"holes" in: sky130A_mr.drc:441
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 1068.00M
+"with_area" in: sky130A_mr.drc:441
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 1068.00M
+"output" in: sky130A_mr.drc:441
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 1068.00M
+"enclosing" in: sky130A_mr.drc:448
+    Edge pairs: 246 (flat)  198 (hierarchical)
+    Elapsed: 0.020s  Memory: 1068.00M
+"second_edges" in: sky130A_mr.drc:448
+    Edges: 246 (flat)  198 (hierarchical)
+    Elapsed: 0.010s  Memory: 1068.00M
+"width" in: sky130A_mr.drc:449
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 1076.00M
+"polygons" in: sky130A_mr.drc:450
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 1068.00M
+"interacting" in: sky130A_mr.drc:450
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.020s  Memory: 1067.00M
+"output" in: sky130A_mr.drc:451
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 1067.00M
+END: 68/20 (m1)
+START: 68/44 (via)
+"not" in: sky130A_mr.drc:465
+    Polygons (raw): 4015 (flat)  4015 (hierarchical)
+    Elapsed: 0.000s  Memory: 1067.00M
+"non_rectangles" in: sky130A_mr.drc:467
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.030s  Memory: 1069.00M
+"output" in: sky130A_mr.drc:467
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 1069.00M
+"width" in: sky130A_mr.drc:468
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 1069.00M
+"output" in: sky130A_mr.drc:468
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 1069.00M
+"drc" in: sky130A_mr.drc:470
+    Edges: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 1069.00M
+"output" in: sky130A_mr.drc:470
+    Edges: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 1069.00M
+"space" in: sky130A_mr.drc:472
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.040s  Memory: 1070.00M
+"output" in: sky130A_mr.drc:472
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 1070.00M
+"edges" in: sky130A_mr.drc:480
+    Edges: 1142 (flat)  993 (hierarchical)
+    Elapsed: 0.010s  Memory: 1070.00M
+"drc" in: sky130A_mr.drc:480
+    Edges: 16060 (flat)  16060 (hierarchical)
+    Elapsed: 0.070s  Memory: 1070.00M
+"enclosing" in: sky130A_mr.drc:480
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.020s  Memory: 1070.00M
+"output" in: sky130A_mr.drc:480
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 1070.00M
+"squares" in: sky130A_mr.drc:481
+    Polygons (raw): 4015 (flat)  4015 (hierarchical)
+    Elapsed: 0.010s  Memory: 1070.00M
+"drc" in: sky130A_mr.drc:481
+    Edges: 16060 (flat)  16060 (hierarchical)
+    Elapsed: 0.060s  Memory: 1070.00M
+"not" in: sky130A_mr.drc:481
+    Edges: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.040s  Memory: 1070.00M
+"output" in: sky130A_mr.drc:481
+    Edges: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 1070.00M
+"edges" in: sky130A_mr.drc:483
+    Edges: 1142 (flat)  993 (hierarchical)
+    Elapsed: 0.010s  Memory: 1070.00M
+"drc" in: sky130A_mr.drc:483
+    Edges: 16060 (flat)  16060 (hierarchical)
+    Elapsed: 0.050s  Memory: 1070.00M
+"enclosing" in: sky130A_mr.drc:483
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 1070.00M
+"second_edges" in: sky130A_mr.drc:483
+    Edges: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 1070.00M
+"width" in: sky130A_mr.drc:484
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 1070.00M
+"polygons" in: sky130A_mr.drc:485
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 1070.00M
+"interacting" in: sky130A_mr.drc:485
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 1070.00M
+"output" in: sky130A_mr.drc:486
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 1070.00M
+END: 68/44 (via)
+START: 69/20 (m2)
+"width" in: sky130A_mr.drc:493
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 1070.00M
+"output" in: sky130A_mr.drc:493
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 1070.00M
+"sized" in: sky130A_mr.drc:495
+    Polygons (raw): 20 (flat)  20 (hierarchical)
+    Elapsed: 0.010s  Memory: 1070.00M
+"sized" in: sky130A_mr.drc:495
+    Polygons (raw): 20 (flat)  20 (hierarchical)
+    Elapsed: 0.010s  Memory: 1070.00M
+"snap" in: sky130A_mr.drc:495
+    Polygons (raw): 20 (flat)  20 (hierarchical)
+    Elapsed: 0.000s  Memory: 1070.00M
+"&" in: sky130A_mr.drc:495
+    Polygons (raw): 20 (flat)  20 (hierarchical)
+    Elapsed: 0.010s  Memory: 1070.00M
+"edges" in: sky130A_mr.drc:496
+    Edges: 2362 (flat)  2362 (hierarchical)
+    Elapsed: 0.010s  Memory: 1070.00M
+"-" in: sky130A_mr.drc:496
+    Edges: 2263 (flat)  2263 (hierarchical)
+    Elapsed: 0.010s  Memory: 1070.00M
+"edges" in: sky130A_mr.drc:497
+    Edges: 92 (flat)  92 (hierarchical)
+    Elapsed: 0.010s  Memory: 1070.00M
+"merged" in: sky130A_mr.drc:497
+    Polygons (raw): 547 (flat)  547 (hierarchical)
+    Elapsed: 0.000s  Memory: 1070.00M
+"outside_part" in: sky130A_mr.drc:497
+    Edges: 93 (flat)  93 (hierarchical)
+    Elapsed: 0.010s  Memory: 1070.00M
+"not" in: sky130A_mr.drc:498
+    Polygons (raw): 4015 (flat)  4015 (hierarchical)
+    Elapsed: 0.010s  Memory: 1070.00M
+"space" in: sky130A_mr.drc:500
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 1069.00M
+"output" in: sky130A_mr.drc:500
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 1069.00M
+"separation" in: sky130A_mr.drc:502
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 1069.00M
+"space" in: sky130A_mr.drc:502
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 1069.00M
+"+" in: sky130A_mr.drc:502
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 1069.00M
+"output" in: sky130A_mr.drc:502
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 1069.00M
+"with_area" in: sky130A_mr.drc:504
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 1069.00M
+"output" in: sky130A_mr.drc:504
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 1069.00M
+"holes" in: sky130A_mr.drc:505
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 1069.00M
+"with_area" in: sky130A_mr.drc:505
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 1069.00M
+"output" in: sky130A_mr.drc:505
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 1069.00M
+"enclosing" in: sky130A_mr.drc:510
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.020s  Memory: 1069.00M
+"output" in: sky130A_mr.drc:510
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 1069.00M
+"not" in: sky130A_mr.drc:511
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 1069.00M
+"output" in: sky130A_mr.drc:511
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 1069.00M
+"enclosing" in: sky130A_mr.drc:512
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.020s  Memory: 1069.00M
+"second_edges" in: sky130A_mr.drc:512
+    Edges: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 1069.00M
+"width" in: sky130A_mr.drc:513
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 1069.00M
+"polygons" in: sky130A_mr.drc:514
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 1069.00M
+"interacting" in: sky130A_mr.drc:514
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 1069.00M
+"output" in: sky130A_mr.drc:515
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 1069.00M
+END: 69/20 (m2)
+START: 69/44 (via2)
+"not" in: sky130A_mr.drc:530
+    Polygons (raw): 3269 (flat)  3269 (hierarchical)
+    Elapsed: 0.000s  Memory: 1069.00M
+"non_rectangles" in: sky130A_mr.drc:531
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.020s  Memory: 1069.00M
+"output" in: sky130A_mr.drc:531
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 1069.00M
+"width" in: sky130A_mr.drc:532
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 1069.00M
+"output" in: sky130A_mr.drc:532
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 1069.00M
+"edges" in: sky130A_mr.drc:533
+    Edges: 13076 (flat)  13076 (hierarchical)
+    Elapsed: 0.020s  Memory: 1069.00M
+"without_length" in: sky130A_mr.drc:533
+    Edges: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.030s  Memory: 1073.00M
+"output" in: sky130A_mr.drc:533
+    Edges: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 1073.00M
+"space" in: sky130A_mr.drc:534
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.030s  Memory: 1073.00M
+"output" in: sky130A_mr.drc:534
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 1073.00M
+"enclosing" in: sky130A_mr.drc:542
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.020s  Memory: 1073.00M
+"output" in: sky130A_mr.drc:542
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 1073.00M
+"not" in: sky130A_mr.drc:543
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 1073.00M
+"output" in: sky130A_mr.drc:543
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 1073.00M
+"enclosing" in: sky130A_mr.drc:545
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.030s  Memory: 1073.00M
+"second_edges" in: sky130A_mr.drc:545
+    Edges: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 1073.00M
+"width" in: sky130A_mr.drc:546
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 1073.00M
+"polygons" in: sky130A_mr.drc:547
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 1073.00M
+"interacting" in: sky130A_mr.drc:547
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 1073.00M
+"output" in: sky130A_mr.drc:548
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 1073.00M
+END: 69/44 (via2)
+START: 70/20 (m3)
+"width" in: sky130A_mr.drc:554
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 1073.00M
+"output" in: sky130A_mr.drc:554
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 1073.00M
+"sized" in: sky130A_mr.drc:556
+    Polygons (raw): 62 (flat)  62 (hierarchical)
+    Elapsed: 0.010s  Memory: 1073.00M
+"sized" in: sky130A_mr.drc:556
+    Polygons (raw): 62 (flat)  62 (hierarchical)
+    Elapsed: 0.010s  Memory: 1073.00M
+"snap" in: sky130A_mr.drc:556
+    Polygons (raw): 62 (flat)  62 (hierarchical)
+    Elapsed: 0.000s  Memory: 1073.00M
+"&" in: sky130A_mr.drc:556
+    Polygons (raw): 82 (flat)  82 (hierarchical)
+    Elapsed: 0.010s  Memory: 1073.00M
+"edges" in: sky130A_mr.drc:557
+    Edges: 1511 (flat)  1511 (hierarchical)
+    Elapsed: 0.010s  Memory: 1073.00M
+"-" in: sky130A_mr.drc:557
+    Edges: 881 (flat)  881 (hierarchical)
+    Elapsed: 0.010s  Memory: 1073.00M
+"edges" in: sky130A_mr.drc:558
+    Edges: 536 (flat)  536 (hierarchical)
+    Elapsed: 0.010s  Memory: 1073.00M
+"merged" in: sky130A_mr.drc:558
+    Polygons (raw): 226 (flat)  226 (hierarchical)
+    Elapsed: 0.010s  Memory: 1073.00M
+"outside_part" in: sky130A_mr.drc:558
+    Edges: 543 (flat)  543 (hierarchical)
+    Elapsed: 0.000s  Memory: 1073.00M
+"space" in: sky130A_mr.drc:560
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 1073.00M
+"output" in: sky130A_mr.drc:560
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 1073.00M
+"separation" in: sky130A_mr.drc:562
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 1073.00M
+"space" in: sky130A_mr.drc:562
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 1073.00M
+"+" in: sky130A_mr.drc:562
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 1073.00M
+"output" in: sky130A_mr.drc:562
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 1073.00M
+"enclosing" in: sky130A_mr.drc:567
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.040s  Memory: 1073.00M
+"output" in: sky130A_mr.drc:567
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 1073.00M
+"not" in: sky130A_mr.drc:568
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.020s  Memory: 1073.00M
+"output" in: sky130A_mr.drc:568
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 1073.00M
+END: 70/20 (m3)
+START: 70/44 (via3)
+"not" in: sky130A_mr.drc:582
+    Polygons (raw): 27036 (flat)  27036 (hierarchical)
+    Elapsed: 0.010s  Memory: 1073.00M
+"non_rectangles" in: sky130A_mr.drc:583
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.140s  Memory: 1081.00M
+"output" in: sky130A_mr.drc:583
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 1081.00M
+"width" in: sky130A_mr.drc:584
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.020s  Memory: 1081.00M
+"output" in: sky130A_mr.drc:584
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 1081.00M
+"edges" in: sky130A_mr.drc:585
+    Edges: 108144 (flat)  108144 (hierarchical)
+    Elapsed: 0.110s  Memory: 1081.00M
+"without_length" in: sky130A_mr.drc:585
+    Edges: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.260s  Memory: 1107.00M
+"output" in: sky130A_mr.drc:585
+    Edges: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 1107.00M
+"space" in: sky130A_mr.drc:587
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.190s  Memory: 1107.00M
+"output" in: sky130A_mr.drc:587
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 1107.00M
+"enclosing" in: sky130A_mr.drc:588
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.260s  Memory: 1107.00M
+"output" in: sky130A_mr.drc:588
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 1107.00M
+"not" in: sky130A_mr.drc:589
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.060s  Memory: 1107.00M
+"output" in: sky130A_mr.drc:589
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 1107.00M
+"enclosing" in: sky130A_mr.drc:591
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.260s  Memory: 1107.00M
+"second_edges" in: sky130A_mr.drc:591
+    Edges: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 1107.00M
+"width" in: sky130A_mr.drc:592
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 1107.00M
+"polygons" in: sky130A_mr.drc:593
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 1107.00M
+"interacting" in: sky130A_mr.drc:593
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 1107.00M
+"output" in: sky130A_mr.drc:594
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 1107.00M
+END: 70/44 (via3)
+START: 71/20 (m4)
+"width" in: sky130A_mr.drc:600
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 1107.00M
+"output" in: sky130A_mr.drc:600
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 1107.00M
+"sized" in: sky130A_mr.drc:602
+    Polygons (raw): 18 (flat)  18 (hierarchical)
+    Elapsed: 0.010s  Memory: 1107.00M
+"sized" in: sky130A_mr.drc:602
+    Polygons (raw): 18 (flat)  18 (hierarchical)
+    Elapsed: 0.000s  Memory: 1107.00M
+"snap" in: sky130A_mr.drc:602
+    Polygons (raw): 18 (flat)  18 (hierarchical)
+    Elapsed: 0.010s  Memory: 1107.00M
+"&" in: sky130A_mr.drc:602
+    Polygons (raw): 55 (flat)  55 (hierarchical)
+    Elapsed: 0.000s  Memory: 1107.00M
+"edges" in: sky130A_mr.drc:603
+    Edges: 461 (flat)  461 (hierarchical)
+    Elapsed: 0.010s  Memory: 1107.00M
+"-" in: sky130A_mr.drc:603
+    Edges: 46 (flat)  46 (hierarchical)
+    Elapsed: 0.010s  Memory: 1107.00M
+"edges" in: sky130A_mr.drc:604
+    Edges: 359 (flat)  359 (hierarchical)
+    Elapsed: 0.010s  Memory: 1107.00M
+"merged" in: sky130A_mr.drc:604
+    Polygons (raw): 25 (flat)  25 (hierarchical)
+    Elapsed: 0.010s  Memory: 1107.00M
+"outside_part" in: sky130A_mr.drc:604
+    Edges: 359 (flat)  359 (hierarchical)
+    Elapsed: 0.010s  Memory: 1107.00M
+"space" in: sky130A_mr.drc:606
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 1107.00M
+"output" in: sky130A_mr.drc:606
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 1107.00M
+"with_area" in: sky130A_mr.drc:608
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 1107.00M
+"output" in: sky130A_mr.drc:608
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 1107.00M
+"separation" in: sky130A_mr.drc:610
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 1107.00M
+"space" in: sky130A_mr.drc:610
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 1107.00M
+"+" in: sky130A_mr.drc:610
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 1107.00M
+"output" in: sky130A_mr.drc:610
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 1107.00M
+"enclosing" in: sky130A_mr.drc:615
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.190s  Memory: 1107.00M
+"output" in: sky130A_mr.drc:615
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 1107.00M
+"not" in: sky130A_mr.drc:616
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.060s  Memory: 1107.00M
+"output" in: sky130A_mr.drc:616
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 1107.00M
+END: 71/20 (m4)
+START: 71/44 (via4)
+"not" in: sky130A_mr.drc:629
+    Polygons (raw): 586 (flat)  586 (hierarchical)
+    Elapsed: 0.000s  Memory: 1107.00M
+"non_rectangles" in: sky130A_mr.drc:630
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 1107.00M
+"output" in: sky130A_mr.drc:630
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 1107.00M
+"width" in: sky130A_mr.drc:631
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 1107.00M
+"output" in: sky130A_mr.drc:631
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 1107.00M
+"drc" in: sky130A_mr.drc:632
+    Edges: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 1107.00M
+"output" in: sky130A_mr.drc:632
+    Edges: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 1107.00M
+"space" in: sky130A_mr.drc:634
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 1107.00M
+"polygons" in: sky130A_mr.drc:634
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 1107.00M
+"output" in: sky130A_mr.drc:634
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 1107.00M
+"enclosing" in: sky130A_mr.drc:642
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 1107.00M
+"output" in: sky130A_mr.drc:642
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 1107.00M
+"not" in: sky130A_mr.drc:643
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 1107.00M
+"output" in: sky130A_mr.drc:643
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 1107.00M
+END: 71/44 (via4)
+START: 72/20 (m5)
+"width" in: sky130A_mr.drc:648
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 1107.00M
+"output" in: sky130A_mr.drc:648
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 1107.00M
+"space" in: sky130A_mr.drc:650
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 1107.00M
+"output" in: sky130A_mr.drc:650
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 1107.00M
+"enclosing" in: sky130A_mr.drc:652
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 1107.00M
+"output" in: sky130A_mr.drc:652
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 1107.00M
+"not" in: sky130A_mr.drc:653
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 1107.00M
+"output" in: sky130A_mr.drc:653
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 1107.00M
+"with_area" in: sky130A_mr.drc:657
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 1107.00M
+"output" in: sky130A_mr.drc:657
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 1107.00M
+END: 72/20 (m5)
+START: 76/20 (pad)
+"space" in: sky130A_mr.drc:662
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 1107.00M
+"output" in: sky130A_mr.drc:662
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 1107.00M
+END: 76/20 (pad)
+Writing report database: /home/krishna/Comparator_MPW6/precheck_results/05_JUN_2022___09_50_48/outputs/reports/klayout_beol_check.xml ..
+Total elapsed: 4.120s  Memory: 1107.00M
diff --git a/precheck_results/05_JUN_2022___09_50_48/logs/klayout_beol_check.total b/precheck_results/05_JUN_2022___09_50_48/logs/klayout_beol_check.total
new file mode 100644
index 0000000..c227083
--- /dev/null
+++ b/precheck_results/05_JUN_2022___09_50_48/logs/klayout_beol_check.total
@@ -0,0 +1 @@
+0
\ No newline at end of file
diff --git a/precheck_results/05_JUN_2022___09_50_48/logs/klayout_feol_check.log b/precheck_results/05_JUN_2022___09_50_48/logs/klayout_feol_check.log
new file mode 100644
index 0000000..54fafb7
--- /dev/null
+++ b/precheck_results/05_JUN_2022___09_50_48/logs/klayout_feol_check.log
@@ -0,0 +1,789 @@
+/home/krishna/mpw_precheckmpw6/checks/tech-files/sky130A_mr.drc:36: warning: already initialized constant DRC::DRCEngine::FEOL
+/home/krishna/mpw_precheckmpw6/checks/tech-files/sky130A_mr.drc:28: warning: previous definition of FEOL was here
+/home/krishna/mpw_precheckmpw6/checks/tech-files/sky130A_mr.drc:44: warning: already initialized constant DRC::DRCEngine::BEOL
+/home/krishna/mpw_precheckmpw6/checks/tech-files/sky130A_mr.drc:29: warning: previous definition of BEOL was here
+/home/krishna/mpw_precheckmpw6/checks/tech-files/sky130A_mr.drc:50: warning: already initialized constant DRC::DRCEngine::OFFGRID
+/home/krishna/mpw_precheckmpw6/checks/tech-files/sky130A_mr.drc:30: warning: previous definition of OFFGRID was here
+/home/krishna/mpw_precheckmpw6/checks/tech-files/sky130A_mr.drc:56: warning: already initialized constant DRC::DRCEngine::SEAL
+/home/krishna/mpw_precheckmpw6/checks/tech-files/sky130A_mr.drc:31: warning: previous definition of SEAL was here
+/home/krishna/mpw_precheckmpw6/checks/tech-files/sky130A_mr.drc:62: warning: already initialized constant DRC::DRCEngine::FLOATING_MET
+/home/krishna/mpw_precheckmpw6/checks/tech-files/sky130A_mr.drc:32: warning: previous definition of FLOATING_MET was here
+"input" in: sky130A_mr.drc:112
+    Polygons (raw): 62 (flat)  25 (hierarchical)
+    Elapsed: 0.000s  Memory: 522.00M
+"polygons" in: sky130A_mr.drc:113
+    Polygons (raw): 37 (flat)  29 (hierarchical)
+    Elapsed: 0.010s  Memory: 522.00M
+"polygons" in: sky130A_mr.drc:114
+    Polygons (raw): 82 (flat)  62 (hierarchical)
+    Elapsed: 0.000s  Memory: 522.00M
+"polygons" in: sky130A_mr.drc:115
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 522.00M
+"polygons" in: sky130A_mr.drc:116
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 522.00M
+"polygons" in: sky130A_mr.drc:117
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 522.00M
+"polygons" in: sky130A_mr.drc:118
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 522.00M
+"polygons" in: sky130A_mr.drc:119
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 522.00M
+"polygons" in: sky130A_mr.drc:120
+    Polygons (raw): 10 (flat)  2 (hierarchical)
+    Elapsed: 0.000s  Memory: 522.00M
+"polygons" in: sky130A_mr.drc:121
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 522.00M
+"polygons" in: sky130A_mr.drc:122
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 522.00M
+"polygons" in: sky130A_mr.drc:123
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 522.00M
+"polygons" in: sky130A_mr.drc:124
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 522.00M
+"polygons" in: sky130A_mr.drc:125
+    Polygons (raw): 202 (flat)  116 (hierarchical)
+    Elapsed: 0.010s  Memory: 522.00M
+"polygons" in: sky130A_mr.drc:126
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 522.00M
+"polygons" in: sky130A_mr.drc:127
+    Polygons (raw): 61 (flat)  42 (hierarchical)
+    Elapsed: 0.010s  Memory: 522.00M
+"polygons" in: sky130A_mr.drc:128
+    Polygons (raw): 52 (flat)  26 (hierarchical)
+    Elapsed: 0.000s  Memory: 522.00M
+"polygons" in: sky130A_mr.drc:129
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 522.00M
+"polygons" in: sky130A_mr.drc:130
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 522.00M
+"polygons" in: sky130A_mr.drc:131
+    Polygons (raw): 33 (flat)  24 (hierarchical)
+    Elapsed: 0.010s  Memory: 522.00M
+"polygons" in: sky130A_mr.drc:132
+    Polygons (raw): 893 (flat)  277 (hierarchical)
+    Elapsed: 0.000s  Memory: 522.00M
+"polygons" in: sky130A_mr.drc:134
+    Polygons (raw): 601 (flat)  429 (hierarchical)
+    Elapsed: 0.010s  Memory: 522.00M
+"polygons" in: sky130A_mr.drc:135
+    Polygons (raw): 2049 (flat)  1773 (hierarchical)
+    Elapsed: 0.010s  Memory: 522.00M
+"polygons" in: sky130A_mr.drc:137
+    Polygons (raw): 315 (flat)  265 (hierarchical)
+    Elapsed: 0.000s  Memory: 522.00M
+"polygons" in: sky130A_mr.drc:138
+    Polygons (raw): 4015 (flat)  4015 (hierarchical)
+    Elapsed: 0.010s  Memory: 522.00M
+"polygons" in: sky130A_mr.drc:140
+    Polygons (raw): 602 (flat)  602 (hierarchical)
+    Elapsed: 0.000s  Memory: 522.00M
+"polygons" in: sky130A_mr.drc:141
+    Polygons (raw): 3269 (flat)  3269 (hierarchical)
+    Elapsed: 0.010s  Memory: 522.00M
+"polygons" in: sky130A_mr.drc:143
+    Polygons (raw): 410 (flat)  410 (hierarchical)
+    Elapsed: 0.010s  Memory: 522.00M
+"polygons" in: sky130A_mr.drc:144
+    Polygons (raw): 27036 (flat)  27036 (hierarchical)
+    Elapsed: 0.010s  Memory: 523.00M
+"polygons" in: sky130A_mr.drc:146
+    Polygons (raw): 139 (flat)  139 (hierarchical)
+    Elapsed: 0.010s  Memory: 523.00M
+"polygons" in: sky130A_mr.drc:147
+    Polygons (raw): 586 (flat)  586 (hierarchical)
+    Elapsed: 0.000s  Memory: 523.00M
+"polygons" in: sky130A_mr.drc:149
+    Polygons (raw): 137 (flat)  137 (hierarchical)
+    Elapsed: 0.000s  Memory: 523.00M
+"polygons" in: sky130A_mr.drc:151
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 523.00M
+"polygons" in: sky130A_mr.drc:152
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 523.00M
+"polygons" in: sky130A_mr.drc:153
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.020s  Memory: 523.00M
+"polygons" in: sky130A_mr.drc:154
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 523.00M
+"polygons" in: sky130A_mr.drc:155
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 523.00M
+"polygons" in: sky130A_mr.drc:156
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 523.00M
+"polygons" in: sky130A_mr.drc:157
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 523.00M
+"polygons" in: sky130A_mr.drc:158
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 523.00M
+"polygons" in: sky130A_mr.drc:159
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 523.00M
+"polygons" in: sky130A_mr.drc:160
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 523.00M
+"polygons" in: sky130A_mr.drc:161
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 523.00M
+"polygons" in: sky130A_mr.drc:162
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 523.00M
+"polygons" in: sky130A_mr.drc:163
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 523.00M
+"polygons" in: sky130A_mr.drc:164
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 523.00M
+"polygons" in: sky130A_mr.drc:165
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 523.00M
+"polygons" in: sky130A_mr.drc:166
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 523.00M
+"polygons" in: sky130A_mr.drc:167
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 523.00M
+"polygons" in: sky130A_mr.drc:168
+    Polygons (raw): 10 (flat)  2 (hierarchical)
+    Elapsed: 0.000s  Memory: 523.00M
+"polygons" in: sky130A_mr.drc:169
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 523.00M
+"polygons" in: sky130A_mr.drc:170
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 523.00M
+"polygons" in: sky130A_mr.drc:171
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 523.00M
+"polygons" in: sky130A_mr.drc:172
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 523.00M
+"polygons" in: sky130A_mr.drc:173
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 523.00M
+"polygons" in: sky130A_mr.drc:174
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 523.00M
+"polygons" in: sky130A_mr.drc:175
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 523.00M
+"polygons" in: sky130A_mr.drc:176
+    Polygons (raw): 1 (flat)  1 (hierarchical)
+    Elapsed: 0.000s  Memory: 523.00M
+"polygons" in: sky130A_mr.drc:177
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 523.00M
+"polygons" in: sky130A_mr.drc:178
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 523.00M
+"polygons" in: sky130A_mr.drc:179
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 523.00M
+"polygons" in: sky130A_mr.drc:180
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 523.00M
+"polygons" in: sky130A_mr.drc:181
+    Polygons (raw): 4 (flat)  3 (hierarchical)
+    Elapsed: 0.010s  Memory: 523.00M
+"polygons" in: sky130A_mr.drc:182
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 523.00M
+"polygons" in: sky130A_mr.drc:183
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 523.00M
+"polygons" in: sky130A_mr.drc:184
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 523.00M
+"polygons" in: sky130A_mr.drc:185
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 523.00M
+"polygons" in: sky130A_mr.drc:186
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 523.00M
+"polygons" in: sky130A_mr.drc:187
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 523.00M
+"polygons" in: sky130A_mr.drc:188
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 523.00M
+"polygons" in: sky130A_mr.drc:189
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 523.00M
+"polygons" in: sky130A_mr.drc:190
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 523.00M
+"polygons" in: sky130A_mr.drc:191
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 523.00M
+"polygons" in: sky130A_mr.drc:192
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 523.00M
+"polygons" in: sky130A_mr.drc:193
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 523.00M
+"polygons" in: sky130A_mr.drc:194
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 523.00M
+"polygons" in: sky130A_mr.drc:195
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 523.00M
+"polygons" in: sky130A_mr.drc:196
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 523.00M
+"polygons" in: sky130A_mr.drc:197
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 523.00M
+"polygons" in: sky130A_mr.drc:198
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 523.00M
+"polygons" in: sky130A_mr.drc:199
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 523.00M
+"polygons" in: sky130A_mr.drc:200
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 523.00M
+"polygons" in: sky130A_mr.drc:201
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 523.00M
+"polygons" in: sky130A_mr.drc:202
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 523.00M
+"polygons" in: sky130A_mr.drc:203
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 523.00M
+DRC section
+FEOL section
+START: 64/18 (dnwell)
+"width" in: sky130A_mr.drc:229
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 523.00M
+"output" in: sky130A_mr.drc:229
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 523.00M
+END: 64/18 (dnwell)
+"input" in: sky130A_mr.drc:233
+    Polygons (raw): 61 (flat)  42 (hierarchical)
+    Elapsed: 0.000s  Memory: 523.00M
+"input" in: sky130A_mr.drc:234
+    Polygons (raw): 52 (flat)  26 (hierarchical)
+    Elapsed: 0.010s  Memory: 523.00M
+"input" in: sky130A_mr.drc:235
+    Polygons (raw): 82 (flat)  62 (hierarchical)
+    Elapsed: 0.000s  Memory: 523.00M
+START: 64/20 (nwell)
+"width" in: sky130A_mr.drc:239
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 523.00M
+"output" in: sky130A_mr.drc:239
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 523.00M
+"space" in: sky130A_mr.drc:240
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 1067.00M
+"output" in: sky130A_mr.drc:240
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 1067.00M
+"merge" in: sky130A_mr.drc:241
+    Polygons (raw): 14 (flat)  13 (hierarchical)
+    Elapsed: 0.010s  Memory: 1067.00M
+"holes" in: sky130A_mr.drc:242
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 1067.00M
+"enclosing" in: sky130A_mr.drc:242
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 1067.00M
+"output" in: sky130A_mr.drc:242
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 1067.00M
+END: 64/20 (nwell)
+START: 78/44 (hvtp)
+"width" in: sky130A_mr.drc:247
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 1067.00M
+"output" in: sky130A_mr.drc:247
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 1067.00M
+"space" in: sky130A_mr.drc:248
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 1067.00M
+"output" in: sky130A_mr.drc:248
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 1067.00M
+END: 78/44 (hvtp)
+START: 18/20 (htvr)
+"width" in: sky130A_mr.drc:253
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 1067.00M
+"output" in: sky130A_mr.drc:253
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 1067.00M
+"separation" in: sky130A_mr.drc:254
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 1067.00M
+"output" in: sky130A_mr.drc:254
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 1067.00M
+"and" in: sky130A_mr.drc:255
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 1067.00M
+"output" in: sky130A_mr.drc:255
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 1067.00M
+END: 18/20 (htvr)
+START: 25/44 (lvtn)
+"width" in: sky130A_mr.drc:260
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 1067.00M
+"output" in: sky130A_mr.drc:260
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 1067.00M
+"space" in: sky130A_mr.drc:261
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 1067.00M
+"output" in: sky130A_mr.drc:261
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 1067.00M
+END: 25/44 (lvtn)
+START: 92/44 (ncm)
+"width" in: sky130A_mr.drc:266
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 1067.00M
+"output" in: sky130A_mr.drc:266
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 1067.00M
+"space" in: sky130A_mr.drc:267
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 1067.00M
+"output" in: sky130A_mr.drc:267
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 1067.00M
+END: 92/44 (ncm)
+START: 65/20 (diff)
+"or" in: sky130A_mr.drc:272
+    Polygons (raw): 67 (flat)  34 (hierarchical)
+    Elapsed: 0.000s  Memory: 1067.00M
+"rectangles" in: sky130A_mr.drc:273
+    Polygons (raw): 31 (flat)  11 (hierarchical)
+    Elapsed: 0.010s  Memory: 1067.00M
+"width" in: sky130A_mr.drc:273
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 1067.00M
+"polygons" in: sky130A_mr.drc:273
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 1067.00M
+"edges" in: sky130A_mr.drc:274
+    Edges: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 1067.00M
+"outside_part" in: sky130A_mr.drc:274
+    Edges: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 1067.00M
+"outside" in: sky130A_mr.drc:274
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 1067.00M
+"edges" in: sky130A_mr.drc:274
+    Edges: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 1067.00M
+"not" in: sky130A_mr.drc:274
+    Edges: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 1067.00M
+"output" in: sky130A_mr.drc:275
+    Edges: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 1067.00M
+"outside" in: sky130A_mr.drc:276
+    Polygons (raw): 48 (flat)  17 (hierarchical)
+    Elapsed: 0.010s  Memory: 1067.00M
+"width" in: sky130A_mr.drc:276
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 1067.00M
+"output" in: sky130A_mr.drc:276
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 1067.00M
+END: 65/20 (diff)
+START: 65/44 (tap)
+"rectangles" in: sky130A_mr.drc:280
+    Polygons (raw): 13 (flat)  13 (hierarchical)
+    Elapsed: 0.010s  Memory: 1067.00M
+"width" in: sky130A_mr.drc:280
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 1067.00M
+"polygons" in: sky130A_mr.drc:280
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 1067.00M
+"edges" in: sky130A_mr.drc:281
+    Edges: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 1067.00M
+"outside_part" in: sky130A_mr.drc:281
+    Edges: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 1067.00M
+"outside" in: sky130A_mr.drc:281
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 1067.00M
+"edges" in: sky130A_mr.drc:281
+    Edges: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 1075.00M
+"not" in: sky130A_mr.drc:281
+    Edges: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 1067.00M
+"output" in: sky130A_mr.drc:282
+    Edges: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 1067.00M
+"not" in: sky130A_mr.drc:283
+    Polygons (raw): 37 (flat)  29 (hierarchical)
+    Elapsed: 0.010s  Memory: 1067.00M
+"width" in: sky130A_mr.drc:283
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 1067.00M
+"output" in: sky130A_mr.drc:283
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 1067.00M
+END: 65/44 (tap)
+"space" in: sky130A_mr.drc:286
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 1067.00M
+"output" in: sky130A_mr.drc:286
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 1067.00M
+START: 80/20 (tunm)
+"width" in: sky130A_mr.drc:290
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 1067.00M
+"output" in: sky130A_mr.drc:290
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 1067.00M
+"space" in: sky130A_mr.drc:291
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 1067.00M
+"output" in: sky130A_mr.drc:291
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 1067.00M
+END: 80/20 (tunm)
+START: 66/20 (poly)
+"width" in: sky130A_mr.drc:296
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 1067.00M
+"output" in: sky130A_mr.drc:296
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 1067.00M
+"not" in: sky130A_mr.drc:297
+    Polygons (raw): 202 (flat)  116 (hierarchical)
+    Elapsed: 0.000s  Memory: 1067.00M
+"space" in: sky130A_mr.drc:297
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 1067.00M
+"output" in: sky130A_mr.drc:297
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 1067.00M
+START: 86/20 (rpm)
+"width" in: sky130A_mr.drc:302
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 1067.00M
+"output" in: sky130A_mr.drc:302
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 1067.00M
+"space" in: sky130A_mr.drc:303
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 1067.00M
+"output" in: sky130A_mr.drc:303
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 1067.00M
+END: 86/20 (rpm)
+START: 79/20 (urpm)
+"width" in: sky130A_mr.drc:308
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 1067.00M
+"output" in: sky130A_mr.drc:308
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 1067.00M
+"space" in: sky130A_mr.drc:309
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 1067.00M
+"output" in: sky130A_mr.drc:309
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 1067.00M
+END: 79/20 (urpm)
+START: 95/20 (npc)
+"width" in: sky130A_mr.drc:314
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 1067.00M
+"output" in: sky130A_mr.drc:314
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 1067.00M
+"space" in: sky130A_mr.drc:315
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 1067.00M
+"output" in: sky130A_mr.drc:315
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 1067.00M
+END: 95/20 (npc)
+START: 93/44 (nsdm)
+"outside" in: sky130A_mr.drc:320
+    Polygons (raw): 33 (flat)  21 (hierarchical)
+    Elapsed: 0.010s  Memory: 1067.00M
+"width" in: sky130A_mr.drc:320
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 1067.00M
+"output" in: sky130A_mr.drc:320
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 1067.00M
+"not" in: sky130A_mr.drc:321
+    Polygons (raw): 61 (flat)  42 (hierarchical)
+    Elapsed: 0.010s  Memory: 1067.00M
+"space" in: sky130A_mr.drc:321
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 1067.00M
+"output" in: sky130A_mr.drc:321
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 1067.00M
+END: 93/44 (nsdm)
+START: 94/20 (psdm)
+"outside" in: sky130A_mr.drc:326
+    Polygons (raw): 28 (flat)  16 (hierarchical)
+    Elapsed: 0.010s  Memory: 1067.00M
+"width" in: sky130A_mr.drc:326
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 1067.00M
+"output" in: sky130A_mr.drc:326
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 1067.00M
+"not" in: sky130A_mr.drc:327
+    Polygons (raw): 52 (flat)  26 (hierarchical)
+    Elapsed: 0.000s  Memory: 1067.00M
+"space" in: sky130A_mr.drc:327
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 1067.00M
+"output" in: sky130A_mr.drc:327
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 1067.00M
+END: 94/20 (psdm)
+START: 66/44 (licon)
+"not" in: sky130A_mr.drc:338
+    Polygons (raw): 358 (flat)  105 (hierarchical)
+    Elapsed: 0.010s  Memory: 1067.00M
+"and" in: sky130A_mr.drc:339
+    Polygons (raw): 729 (flat)  222 (hierarchical)
+    Elapsed: 0.010s  Memory: 1067.00M
+"interacting" in: sky130A_mr.drc:339
+    Polygons (raw): 729 (flat)  222 (hierarchical)
+    Elapsed: 0.010s  Memory: 1067.00M
+"not" in: sky130A_mr.drc:340
+    Polygons (raw): 729 (flat)  222 (hierarchical)
+    Elapsed: 0.010s  Memory: 1067.00M
+"non_rectangles" in: sky130A_mr.drc:341
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 1067.00M
+"output" in: sky130A_mr.drc:341
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 1067.00M
+"or" in: sky130A_mr.drc:342
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 1067.00M
+"not" in: sky130A_mr.drc:342
+    Polygons (raw): 893 (flat)  277 (hierarchical)
+    Elapsed: 0.000s  Memory: 1067.00M
+"edges" in: sky130A_mr.drc:342
+    Edges: 3572 (flat)  1108 (hierarchical)
+    Elapsed: 0.010s  Memory: 1067.00M
+"without_length" in: sky130A_mr.drc:342
+    Edges: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 1067.00M
+"output" in: sky130A_mr.drc:342
+    Edges: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 1067.00M
+"separation" in: sky130A_mr.drc:343
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 1067.00M
+"output" in: sky130A_mr.drc:343
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 1067.00M
+"and" in: sky130A_mr.drc:344
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 1067.00M
+"output" in: sky130A_mr.drc:344
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 1067.00M
+"interacting" in: sky130A_mr.drc:345
+    Polygons (raw): 164 (flat)  55 (hierarchical)
+    Elapsed: 0.000s  Memory: 1067.00M
+"interacting" in: sky130A_mr.drc:345
+    Polygons (raw): 729 (flat)  222 (hierarchical)
+    Elapsed: 0.010s  Memory: 1067.00M
+"and" in: sky130A_mr.drc:345
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 1067.00M
+"output" in: sky130A_mr.drc:345
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 1067.00M
+END: 66/44 (licon)
+START: 89/44 (capm)
+"and" in: sky130A_mr.drc:350
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 1067.00M
+"sized" in: sky130A_mr.drc:350
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 1067.00M
+"width" in: sky130A_mr.drc:351
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 1067.00M
+"output" in: sky130A_mr.drc:351
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 1067.00M
+"space" in: sky130A_mr.drc:352
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 1067.00M
+"output" in: sky130A_mr.drc:352
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 1067.00M
+"interacting" in: sky130A_mr.drc:353
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 1067.00M
+"isolated" in: sky130A_mr.drc:353
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 1067.00M
+"output" in: sky130A_mr.drc:353
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 1067.00M
+"isolated" in: sky130A_mr.drc:354
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 1067.00M
+"output" in: sky130A_mr.drc:354
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 1067.00M
+"and" in: sky130A_mr.drc:355
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 1067.00M
+"enclosing" in: sky130A_mr.drc:355
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 1067.00M
+"output" in: sky130A_mr.drc:355
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 1067.00M
+"enclosing" in: sky130A_mr.drc:356
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 1067.00M
+"output" in: sky130A_mr.drc:356
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 1067.00M
+"enclosing" in: sky130A_mr.drc:357
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 1067.00M
+"output" in: sky130A_mr.drc:357
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 1067.00M
+"separation" in: sky130A_mr.drc:358
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 1067.00M
+"output" in: sky130A_mr.drc:358
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 1067.00M
+END: 89/44 (capm)
+START: 97/44 (cap2m)
+"and" in: sky130A_mr.drc:363
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 1067.00M
+"sized" in: sky130A_mr.drc:363
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 1067.00M
+"width" in: sky130A_mr.drc:364
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 1067.00M
+"output" in: sky130A_mr.drc:364
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 1067.00M
+"space" in: sky130A_mr.drc:365
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 1067.00M
+"output" in: sky130A_mr.drc:365
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 1067.00M
+"interacting" in: sky130A_mr.drc:366
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 1067.00M
+"isolated" in: sky130A_mr.drc:366
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 1067.00M
+"output" in: sky130A_mr.drc:366
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 1067.00M
+"isolated" in: sky130A_mr.drc:368
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 1067.00M
+"output" in: sky130A_mr.drc:368
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 1067.00M
+"and" in: sky130A_mr.drc:369
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 1067.00M
+"enclosing" in: sky130A_mr.drc:369
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 1067.00M
+"output" in: sky130A_mr.drc:369
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 1067.00M
+"enclosing" in: sky130A_mr.drc:370
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 1067.00M
+"output" in: sky130A_mr.drc:370
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 1067.00M
+"enclosing" in: sky130A_mr.drc:371
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 1067.00M
+"output" in: sky130A_mr.drc:371
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 1067.00M
+"separation" in: sky130A_mr.drc:372
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 1067.00M
+"output" in: sky130A_mr.drc:372
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 1067.00M
+END: 97/44 (cap2m)
+FEOL section
+START: 75/20 (hvi)
+"not" in: sky130A_mr.drc:672
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 1067.00M
+"width" in: sky130A_mr.drc:673
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 1067.00M
+"output" in: sky130A_mr.drc:673
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 1067.00M
+"space" in: sky130A_mr.drc:674
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 1067.00M
+"output" in: sky130A_mr.drc:674
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 1067.00M
+END: 75/20 (hvi)
+START: 125/20 (hvntm)
+"not" in: sky130A_mr.drc:679
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 1067.00M
+"width" in: sky130A_mr.drc:680
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 1067.00M
+"output" in: sky130A_mr.drc:680
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 1067.00M
+"space" in: sky130A_mr.drc:681
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 1067.00M
+"output" in: sky130A_mr.drc:681
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 1067.00M
+END: 125/20 (hvntm)
+Writing report database: /home/krishna/Comparator_MPW6/precheck_results/05_JUN_2022___09_50_48/outputs/reports/klayout_feol_check.xml ..
+Total elapsed: 1.450s  Memory: 1067.00M
diff --git a/precheck_results/05_JUN_2022___09_50_48/logs/klayout_feol_check.total b/precheck_results/05_JUN_2022___09_50_48/logs/klayout_feol_check.total
new file mode 100644
index 0000000..c227083
--- /dev/null
+++ b/precheck_results/05_JUN_2022___09_50_48/logs/klayout_feol_check.total
@@ -0,0 +1 @@
+0
\ No newline at end of file
diff --git a/precheck_results/05_JUN_2022___09_50_48/logs/klayout_met_min_ca_density_check.log b/precheck_results/05_JUN_2022___09_50_48/logs/klayout_met_min_ca_density_check.log
new file mode 100644
index 0000000..1409290
--- /dev/null
+++ b/precheck_results/05_JUN_2022___09_50_48/logs/klayout_met_min_ca_density_check.log
@@ -0,0 +1,79 @@
+"polygons" in: met_min_ca_density.lydrc:35
+    Polygons (raw): 651 (flat)  439 (hierarchical)
+    Elapsed: 0.010s  Memory: 522.00M
+"polygons" in: met_min_ca_density.lydrc:36
+    Polygons (raw): 2049 (flat)  1773 (hierarchical)
+    Elapsed: 0.000s  Memory: 522.00M
+"polygons" in: met_min_ca_density.lydrc:38
+    Polygons (raw): 335 (flat)  269 (hierarchical)
+    Elapsed: 0.010s  Memory: 522.00M
+"polygons" in: met_min_ca_density.lydrc:39
+    Polygons (raw): 4015 (flat)  4015 (hierarchical)
+    Elapsed: 0.000s  Memory: 522.00M
+"polygons" in: met_min_ca_density.lydrc:41
+    Polygons (raw): 1096 (flat)  1096 (hierarchical)
+    Elapsed: 0.000s  Memory: 522.00M
+"polygons" in: met_min_ca_density.lydrc:42
+    Polygons (raw): 3269 (flat)  3269 (hierarchical)
+    Elapsed: 0.010s  Memory: 522.00M
+"polygons" in: met_min_ca_density.lydrc:44
+    Polygons (raw): 594 (flat)  594 (hierarchical)
+    Elapsed: 0.000s  Memory: 522.00M
+"polygons" in: met_min_ca_density.lydrc:45
+    Polygons (raw): 27036 (flat)  27036 (hierarchical)
+    Elapsed: 0.020s  Memory: 523.00M
+"polygons" in: met_min_ca_density.lydrc:47
+    Polygons (raw): 145 (flat)  145 (hierarchical)
+    Elapsed: 0.000s  Memory: 523.00M
+"polygons" in: met_min_ca_density.lydrc:48
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 523.00M
+"polygons" in: met_min_ca_density.lydrc:49
+    Polygons (raw): 586 (flat)  586 (hierarchical)
+    Elapsed: 0.000s  Memory: 523.00M
+"polygons" in: met_min_ca_density.lydrc:51
+    Polygons (raw): 143 (flat)  143 (hierarchical)
+    Elapsed: 0.010s  Memory: 523.00M
+"input" in: met_min_ca_density.lydrc:53
+    Polygons (raw): 5 (flat)  4 (hierarchical)
+    Elapsed: 0.000s  Memory: 523.00M
+"area" in: met_min_ca_density.lydrc:55
+    Elapsed: 0.010s  Memory: 523.00M
+"polygons" in: met_min_ca_density.lydrc:59
+    Polygons (raw): 651 (flat)  439 (hierarchical)
+    Elapsed: 0.010s  Memory: 523.00M
+"area" in: met_min_ca_density.lydrc:59
+    Elapsed: 0.000s  Memory: 523.00M
+li1_ca_density is 0.9998119899327716
+"polygons" in: met_min_ca_density.lydrc:69
+    Polygons (raw): 335 (flat)  269 (hierarchical)
+    Elapsed: 0.010s  Memory: 523.00M
+"area" in: met_min_ca_density.lydrc:69
+    Elapsed: 0.010s  Memory: 523.00M
+m1_ca_density is 0.9997018727185165
+"polygons" in: met_min_ca_density.lydrc:79
+    Polygons (raw): 1096 (flat)  1096 (hierarchical)
+    Elapsed: 0.000s  Memory: 523.00M
+"area" in: met_min_ca_density.lydrc:79
+    Elapsed: 0.010s  Memory: 523.00M
+m2_ca_density is 0.9996028952852584
+"polygons" in: met_min_ca_density.lydrc:89
+    Polygons (raw): 594 (flat)  594 (hierarchical)
+    Elapsed: 0.010s  Memory: 523.00M
+"area" in: met_min_ca_density.lydrc:89
+    Elapsed: 0.000s  Memory: 523.00M
+m3_ca_density is 0.9835833451607254
+"polygons" in: met_min_ca_density.lydrc:99
+    Polygons (raw): 145 (flat)  145 (hierarchical)
+    Elapsed: 0.010s  Memory: 523.00M
+"area" in: met_min_ca_density.lydrc:99
+    Elapsed: 0.010s  Memory: 523.00M
+m4_ca_density is 0.9776061267901619
+"polygons" in: met_min_ca_density.lydrc:109
+    Polygons (raw): 143 (flat)  143 (hierarchical)
+    Elapsed: 0.000s  Memory: 523.00M
+"area" in: met_min_ca_density.lydrc:109
+    Elapsed: 0.010s  Memory: 523.00M
+m5_ca_density is 0.9696277271073319
+Writing report database: /home/krishna/Comparator_MPW6/precheck_results/05_JUN_2022___09_50_48/outputs/reports/klayout_met_min_ca_density_check.xml ..
+Total elapsed: 0.180s  Memory: 522.00M
diff --git a/precheck_results/05_JUN_2022___09_50_48/logs/klayout_met_min_ca_density_check.total b/precheck_results/05_JUN_2022___09_50_48/logs/klayout_met_min_ca_density_check.total
new file mode 100644
index 0000000..c227083
--- /dev/null
+++ b/precheck_results/05_JUN_2022___09_50_48/logs/klayout_met_min_ca_density_check.total
@@ -0,0 +1 @@
+0
\ No newline at end of file
diff --git a/precheck_results/05_JUN_2022___09_50_48/logs/klayout_offgrid_check.log b/precheck_results/05_JUN_2022___09_50_48/logs/klayout_offgrid_check.log
new file mode 100644
index 0000000..697624b
--- /dev/null
+++ b/precheck_results/05_JUN_2022___09_50_48/logs/klayout_offgrid_check.log
@@ -0,0 +1,755 @@
+/home/krishna/mpw_precheckmpw6/checks/tech-files/sky130A_mr.drc:38: warning: already initialized constant DRC::DRCEngine::FEOL
+/home/krishna/mpw_precheckmpw6/checks/tech-files/sky130A_mr.drc:28: warning: previous definition of FEOL was here
+/home/krishna/mpw_precheckmpw6/checks/tech-files/sky130A_mr.drc:44: warning: already initialized constant DRC::DRCEngine::BEOL
+/home/krishna/mpw_precheckmpw6/checks/tech-files/sky130A_mr.drc:29: warning: previous definition of BEOL was here
+/home/krishna/mpw_precheckmpw6/checks/tech-files/sky130A_mr.drc:48: warning: already initialized constant DRC::DRCEngine::OFFGRID
+/home/krishna/mpw_precheckmpw6/checks/tech-files/sky130A_mr.drc:30: warning: previous definition of OFFGRID was here
+/home/krishna/mpw_precheckmpw6/checks/tech-files/sky130A_mr.drc:56: warning: already initialized constant DRC::DRCEngine::SEAL
+/home/krishna/mpw_precheckmpw6/checks/tech-files/sky130A_mr.drc:31: warning: previous definition of SEAL was here
+/home/krishna/mpw_precheckmpw6/checks/tech-files/sky130A_mr.drc:62: warning: already initialized constant DRC::DRCEngine::FLOATING_MET
+/home/krishna/mpw_precheckmpw6/checks/tech-files/sky130A_mr.drc:32: warning: previous definition of FLOATING_MET was here
+"input" in: sky130A_mr.drc:112
+    Polygons (raw): 62 (flat)  25 (hierarchical)
+    Elapsed: 0.010s  Memory: 522.00M
+"polygons" in: sky130A_mr.drc:113
+    Polygons (raw): 37 (flat)  29 (hierarchical)
+    Elapsed: 0.000s  Memory: 522.00M
+"polygons" in: sky130A_mr.drc:114
+    Polygons (raw): 82 (flat)  62 (hierarchical)
+    Elapsed: 0.010s  Memory: 522.00M
+"polygons" in: sky130A_mr.drc:115
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 522.00M
+"polygons" in: sky130A_mr.drc:116
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 522.00M
+"polygons" in: sky130A_mr.drc:117
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 522.00M
+"polygons" in: sky130A_mr.drc:118
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 522.00M
+"polygons" in: sky130A_mr.drc:119
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 522.00M
+"polygons" in: sky130A_mr.drc:120
+    Polygons (raw): 10 (flat)  2 (hierarchical)
+    Elapsed: 0.010s  Memory: 522.00M
+"polygons" in: sky130A_mr.drc:121
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 522.00M
+"polygons" in: sky130A_mr.drc:122
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 522.00M
+"polygons" in: sky130A_mr.drc:123
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 522.00M
+"polygons" in: sky130A_mr.drc:124
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 522.00M
+"polygons" in: sky130A_mr.drc:125
+    Polygons (raw): 202 (flat)  116 (hierarchical)
+    Elapsed: 0.000s  Memory: 522.00M
+"polygons" in: sky130A_mr.drc:126
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 522.00M
+"polygons" in: sky130A_mr.drc:127
+    Polygons (raw): 61 (flat)  42 (hierarchical)
+    Elapsed: 0.010s  Memory: 522.00M
+"polygons" in: sky130A_mr.drc:128
+    Polygons (raw): 52 (flat)  26 (hierarchical)
+    Elapsed: 0.000s  Memory: 522.00M
+"polygons" in: sky130A_mr.drc:129
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 522.00M
+"polygons" in: sky130A_mr.drc:130
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 522.00M
+"polygons" in: sky130A_mr.drc:131
+    Polygons (raw): 33 (flat)  24 (hierarchical)
+    Elapsed: 0.010s  Memory: 522.00M
+"polygons" in: sky130A_mr.drc:132
+    Polygons (raw): 893 (flat)  277 (hierarchical)
+    Elapsed: 0.000s  Memory: 522.00M
+"polygons" in: sky130A_mr.drc:134
+    Polygons (raw): 601 (flat)  429 (hierarchical)
+    Elapsed: 0.010s  Memory: 522.00M
+"polygons" in: sky130A_mr.drc:135
+    Polygons (raw): 2049 (flat)  1773 (hierarchical)
+    Elapsed: 0.000s  Memory: 522.00M
+"polygons" in: sky130A_mr.drc:137
+    Polygons (raw): 315 (flat)  265 (hierarchical)
+    Elapsed: 0.000s  Memory: 522.00M
+"polygons" in: sky130A_mr.drc:138
+    Polygons (raw): 4015 (flat)  4015 (hierarchical)
+    Elapsed: 0.010s  Memory: 522.00M
+"polygons" in: sky130A_mr.drc:140
+    Polygons (raw): 602 (flat)  602 (hierarchical)
+    Elapsed: 0.010s  Memory: 522.00M
+"polygons" in: sky130A_mr.drc:141
+    Polygons (raw): 3269 (flat)  3269 (hierarchical)
+    Elapsed: 0.000s  Memory: 522.00M
+"polygons" in: sky130A_mr.drc:143
+    Polygons (raw): 410 (flat)  410 (hierarchical)
+    Elapsed: 0.010s  Memory: 522.00M
+"polygons" in: sky130A_mr.drc:144
+    Polygons (raw): 27036 (flat)  27036 (hierarchical)
+    Elapsed: 0.010s  Memory: 523.00M
+"polygons" in: sky130A_mr.drc:146
+    Polygons (raw): 139 (flat)  139 (hierarchical)
+    Elapsed: 0.010s  Memory: 523.00M
+"polygons" in: sky130A_mr.drc:147
+    Polygons (raw): 586 (flat)  586 (hierarchical)
+    Elapsed: 0.010s  Memory: 523.00M
+"polygons" in: sky130A_mr.drc:149
+    Polygons (raw): 137 (flat)  137 (hierarchical)
+    Elapsed: 0.000s  Memory: 523.00M
+"polygons" in: sky130A_mr.drc:151
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 523.00M
+"polygons" in: sky130A_mr.drc:152
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 523.00M
+"polygons" in: sky130A_mr.drc:153
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 523.00M
+"polygons" in: sky130A_mr.drc:154
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 523.00M
+"polygons" in: sky130A_mr.drc:155
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 523.00M
+"polygons" in: sky130A_mr.drc:156
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 523.00M
+"polygons" in: sky130A_mr.drc:157
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 523.00M
+"polygons" in: sky130A_mr.drc:158
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 523.00M
+"polygons" in: sky130A_mr.drc:159
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 523.00M
+"polygons" in: sky130A_mr.drc:160
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 523.00M
+"polygons" in: sky130A_mr.drc:161
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 523.00M
+"polygons" in: sky130A_mr.drc:162
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 523.00M
+"polygons" in: sky130A_mr.drc:163
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 523.00M
+"polygons" in: sky130A_mr.drc:164
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 523.00M
+"polygons" in: sky130A_mr.drc:165
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 523.00M
+"polygons" in: sky130A_mr.drc:166
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 523.00M
+"polygons" in: sky130A_mr.drc:167
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 523.00M
+"polygons" in: sky130A_mr.drc:168
+    Polygons (raw): 10 (flat)  2 (hierarchical)
+    Elapsed: 0.000s  Memory: 523.00M
+"polygons" in: sky130A_mr.drc:169
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 523.00M
+"polygons" in: sky130A_mr.drc:170
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 523.00M
+"polygons" in: sky130A_mr.drc:171
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 523.00M
+"polygons" in: sky130A_mr.drc:172
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 523.00M
+"polygons" in: sky130A_mr.drc:173
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 523.00M
+"polygons" in: sky130A_mr.drc:174
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 523.00M
+"polygons" in: sky130A_mr.drc:175
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 523.00M
+"polygons" in: sky130A_mr.drc:176
+    Polygons (raw): 1 (flat)  1 (hierarchical)
+    Elapsed: 0.000s  Memory: 523.00M
+"polygons" in: sky130A_mr.drc:177
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 523.00M
+"polygons" in: sky130A_mr.drc:178
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 523.00M
+"polygons" in: sky130A_mr.drc:179
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 523.00M
+"polygons" in: sky130A_mr.drc:180
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 523.00M
+"polygons" in: sky130A_mr.drc:181
+    Polygons (raw): 4 (flat)  3 (hierarchical)
+    Elapsed: 0.010s  Memory: 523.00M
+"polygons" in: sky130A_mr.drc:182
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 523.00M
+"polygons" in: sky130A_mr.drc:183
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 523.00M
+"polygons" in: sky130A_mr.drc:184
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 523.00M
+"polygons" in: sky130A_mr.drc:185
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 523.00M
+"polygons" in: sky130A_mr.drc:186
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 523.00M
+"polygons" in: sky130A_mr.drc:187
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 523.00M
+"polygons" in: sky130A_mr.drc:188
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 523.00M
+"polygons" in: sky130A_mr.drc:189
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 523.00M
+"polygons" in: sky130A_mr.drc:190
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 523.00M
+"polygons" in: sky130A_mr.drc:191
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 523.00M
+"polygons" in: sky130A_mr.drc:192
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 523.00M
+"polygons" in: sky130A_mr.drc:193
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 523.00M
+"polygons" in: sky130A_mr.drc:194
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 523.00M
+"polygons" in: sky130A_mr.drc:195
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 523.00M
+"polygons" in: sky130A_mr.drc:196
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 523.00M
+"polygons" in: sky130A_mr.drc:197
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 523.00M
+"polygons" in: sky130A_mr.drc:198
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 523.00M
+"polygons" in: sky130A_mr.drc:199
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 523.00M
+"polygons" in: sky130A_mr.drc:200
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 523.00M
+"polygons" in: sky130A_mr.drc:201
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 523.00M
+"polygons" in: sky130A_mr.drc:202
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 523.00M
+"polygons" in: sky130A_mr.drc:203
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 523.00M
+DRC section
+OFFGRID-ANGLES section
+"ongrid" in: sky130A_mr.drc:690
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 523.00M
+"output" in: sky130A_mr.drc:690
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 523.00M
+"with_angle" in: sky130A_mr.drc:691
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 523.00M
+"output" in: sky130A_mr.drc:691
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 523.00M
+"ongrid" in: sky130A_mr.drc:692
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 523.00M
+"output" in: sky130A_mr.drc:692
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 523.00M
+"with_angle" in: sky130A_mr.drc:693
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 523.00M
+"output" in: sky130A_mr.drc:693
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 523.00M
+"ongrid" in: sky130A_mr.drc:694
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 523.00M
+"output" in: sky130A_mr.drc:694
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 523.00M
+"with_angle" in: sky130A_mr.drc:695
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 523.00M
+"output" in: sky130A_mr.drc:695
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 523.00M
+"ongrid" in: sky130A_mr.drc:696
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 523.00M
+"output" in: sky130A_mr.drc:696
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 523.00M
+"with_angle" in: sky130A_mr.drc:697
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 523.00M
+"output" in: sky130A_mr.drc:697
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 523.00M
+"ongrid" in: sky130A_mr.drc:698
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 523.00M
+"output" in: sky130A_mr.drc:698
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 523.00M
+"with_angle" in: sky130A_mr.drc:699
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 523.00M
+"output" in: sky130A_mr.drc:699
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 523.00M
+"ongrid" in: sky130A_mr.drc:700
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 523.00M
+"output" in: sky130A_mr.drc:700
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 523.00M
+"with_angle" in: sky130A_mr.drc:701
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 523.00M
+"output" in: sky130A_mr.drc:701
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 523.00M
+"ongrid" in: sky130A_mr.drc:702
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 523.00M
+"output" in: sky130A_mr.drc:702
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 523.00M
+"with_angle" in: sky130A_mr.drc:703
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 523.00M
+"output" in: sky130A_mr.drc:703
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 523.00M
+"ongrid" in: sky130A_mr.drc:704
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 523.00M
+"output" in: sky130A_mr.drc:704
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 523.00M
+"with_angle" in: sky130A_mr.drc:705
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 523.00M
+"output" in: sky130A_mr.drc:705
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 523.00M
+"ongrid" in: sky130A_mr.drc:706
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 523.00M
+"output" in: sky130A_mr.drc:706
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 523.00M
+"ongrid" in: sky130A_mr.drc:707
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 523.00M
+"output" in: sky130A_mr.drc:707
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 523.00M
+"and" in: sky130A_mr.drc:708
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 523.00M
+"not" in: sky130A_mr.drc:708
+    Polygons (raw): 62 (flat)  25 (hierarchical)
+    Elapsed: 0.000s  Memory: 523.00M
+"with_angle" in: sky130A_mr.drc:708
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 523.00M
+"output" in: sky130A_mr.drc:708
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 523.00M
+"and" in: sky130A_mr.drc:709
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 523.00M
+"and" in: sky130A_mr.drc:709
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 523.00M
+"with_angle" in: sky130A_mr.drc:709
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 523.00M
+"output" in: sky130A_mr.drc:709
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 523.00M
+"and" in: sky130A_mr.drc:710
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 523.00M
+"not" in: sky130A_mr.drc:710
+    Polygons (raw): 37 (flat)  29 (hierarchical)
+    Elapsed: 0.000s  Memory: 523.00M
+"with_angle" in: sky130A_mr.drc:710
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 523.00M
+"output" in: sky130A_mr.drc:710
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 523.00M
+"and" in: sky130A_mr.drc:711
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 523.00M
+"and" in: sky130A_mr.drc:711
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 523.00M
+"with_angle" in: sky130A_mr.drc:711
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 523.00M
+"output" in: sky130A_mr.drc:711
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 523.00M
+"ongrid" in: sky130A_mr.drc:712
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 523.00M
+"output" in: sky130A_mr.drc:712
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 523.00M
+"with_angle" in: sky130A_mr.drc:713
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 523.00M
+"output" in: sky130A_mr.drc:713
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 523.00M
+"ongrid" in: sky130A_mr.drc:714
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 523.00M
+"output" in: sky130A_mr.drc:714
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 523.00M
+"with_angle" in: sky130A_mr.drc:715
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 523.00M
+"output" in: sky130A_mr.drc:715
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 523.00M
+"ongrid" in: sky130A_mr.drc:716
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 523.00M
+"output" in: sky130A_mr.drc:716
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 523.00M
+"with_angle" in: sky130A_mr.drc:717
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 523.00M
+"output" in: sky130A_mr.drc:717
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 523.00M
+"ongrid" in: sky130A_mr.drc:718
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 523.00M
+"output" in: sky130A_mr.drc:718
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 523.00M
+"with_angle" in: sky130A_mr.drc:719
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 523.00M
+"output" in: sky130A_mr.drc:719
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 523.00M
+"ongrid" in: sky130A_mr.drc:720
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 523.00M
+"output" in: sky130A_mr.drc:720
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 523.00M
+"with_angle" in: sky130A_mr.drc:721
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 523.00M
+"output" in: sky130A_mr.drc:721
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 523.00M
+"ongrid" in: sky130A_mr.drc:722
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 523.00M
+"output" in: sky130A_mr.drc:722
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 523.00M
+"with_angle" in: sky130A_mr.drc:723
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 523.00M
+"output" in: sky130A_mr.drc:723
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 523.00M
+"ongrid" in: sky130A_mr.drc:724
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 523.00M
+"output" in: sky130A_mr.drc:724
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 523.00M
+"with_angle" in: sky130A_mr.drc:725
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 523.00M
+"output" in: sky130A_mr.drc:725
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 523.00M
+"ongrid" in: sky130A_mr.drc:726
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 523.00M
+"output" in: sky130A_mr.drc:726
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 523.00M
+"with_angle" in: sky130A_mr.drc:727
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 523.00M
+"output" in: sky130A_mr.drc:727
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 523.00M
+"ongrid" in: sky130A_mr.drc:728
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.020s  Memory: 523.00M
+"output" in: sky130A_mr.drc:728
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 523.00M
+"with_angle" in: sky130A_mr.drc:729
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 523.00M
+"output" in: sky130A_mr.drc:729
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 523.00M
+"ongrid" in: sky130A_mr.drc:730
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 523.00M
+"output" in: sky130A_mr.drc:730
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 523.00M
+"with_angle" in: sky130A_mr.drc:731
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 523.00M
+"output" in: sky130A_mr.drc:731
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 523.00M
+"ongrid" in: sky130A_mr.drc:732
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 523.00M
+"output" in: sky130A_mr.drc:732
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 523.00M
+"with_angle" in: sky130A_mr.drc:733
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 523.00M
+"output" in: sky130A_mr.drc:733
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 523.00M
+"ongrid" in: sky130A_mr.drc:734
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.030s  Memory: 524.00M
+"output" in: sky130A_mr.drc:734
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 524.00M
+"with_angle" in: sky130A_mr.drc:735
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 524.00M
+"output" in: sky130A_mr.drc:735
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 524.00M
+"ongrid" in: sky130A_mr.drc:736
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 524.00M
+"output" in: sky130A_mr.drc:736
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 524.00M
+"with_angle" in: sky130A_mr.drc:737
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 524.00M
+"output" in: sky130A_mr.drc:737
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 524.00M
+"ongrid" in: sky130A_mr.drc:738
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.030s  Memory: 525.00M
+"output" in: sky130A_mr.drc:738
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 525.00M
+"with_angle" in: sky130A_mr.drc:739
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 525.00M
+"output" in: sky130A_mr.drc:739
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 525.00M
+"ongrid" in: sky130A_mr.drc:740
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 525.00M
+"output" in: sky130A_mr.drc:740
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 525.00M
+"with_angle" in: sky130A_mr.drc:741
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 525.00M
+"output" in: sky130A_mr.drc:741
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 525.00M
+"ongrid" in: sky130A_mr.drc:742
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.140s  Memory: 531.00M
+"output" in: sky130A_mr.drc:742
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 531.00M
+"with_angle" in: sky130A_mr.drc:743
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.020s  Memory: 531.00M
+"output" in: sky130A_mr.drc:743
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 531.00M
+"ongrid" in: sky130A_mr.drc:744
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 531.00M
+"output" in: sky130A_mr.drc:744
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 531.00M
+"with_angle" in: sky130A_mr.drc:745
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 531.00M
+"output" in: sky130A_mr.drc:745
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 531.00M
+"ongrid" in: sky130A_mr.drc:746
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 531.00M
+"output" in: sky130A_mr.drc:746
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 531.00M
+"with_angle" in: sky130A_mr.drc:747
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 531.00M
+"output" in: sky130A_mr.drc:747
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 531.00M
+"ongrid" in: sky130A_mr.drc:748
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 531.00M
+"output" in: sky130A_mr.drc:748
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 531.00M
+"with_angle" in: sky130A_mr.drc:749
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 531.00M
+"output" in: sky130A_mr.drc:749
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 531.00M
+"ongrid" in: sky130A_mr.drc:750
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 531.00M
+"output" in: sky130A_mr.drc:750
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 531.00M
+"with_angle" in: sky130A_mr.drc:751
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 531.00M
+"output" in: sky130A_mr.drc:751
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 531.00M
+"ongrid" in: sky130A_mr.drc:752
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 531.00M
+"output" in: sky130A_mr.drc:752
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 531.00M
+"with_angle" in: sky130A_mr.drc:753
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 531.00M
+"output" in: sky130A_mr.drc:753
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 531.00M
+"ongrid" in: sky130A_mr.drc:754
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 531.00M
+"output" in: sky130A_mr.drc:754
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 531.00M
+"with_angle" in: sky130A_mr.drc:755
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 531.00M
+"output" in: sky130A_mr.drc:755
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 531.00M
+"ongrid" in: sky130A_mr.drc:756
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 531.00M
+"output" in: sky130A_mr.drc:756
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 531.00M
+"with_angle" in: sky130A_mr.drc:757
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 531.00M
+"output" in: sky130A_mr.drc:757
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 531.00M
+"ongrid" in: sky130A_mr.drc:758
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 531.00M
+"output" in: sky130A_mr.drc:758
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 531.00M
+"with_angle" in: sky130A_mr.drc:759
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 531.00M
+"output" in: sky130A_mr.drc:759
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 531.00M
+"ongrid" in: sky130A_mr.drc:760
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 531.00M
+"output" in: sky130A_mr.drc:760
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 531.00M
+"with_angle" in: sky130A_mr.drc:761
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 531.00M
+"output" in: sky130A_mr.drc:761
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 531.00M
+"ongrid" in: sky130A_mr.drc:762
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 531.00M
+"output" in: sky130A_mr.drc:762
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 531.00M
+"with_angle" in: sky130A_mr.drc:763
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 531.00M
+"output" in: sky130A_mr.drc:763
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 531.00M
+"ongrid" in: sky130A_mr.drc:764
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 531.00M
+"output" in: sky130A_mr.drc:764
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 531.00M
+"with_angle" in: sky130A_mr.drc:765
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 531.00M
+"output" in: sky130A_mr.drc:765
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 531.00M
+"ongrid" in: sky130A_mr.drc:766
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 531.00M
+"output" in: sky130A_mr.drc:766
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 531.00M
+Writing report database: /home/krishna/Comparator_MPW6/precheck_results/05_JUN_2022___09_50_48/outputs/reports/klayout_offgrid_check.xml ..
+Total elapsed: 1.510s  Memory: 531.00M
diff --git a/precheck_results/05_JUN_2022___09_50_48/logs/klayout_offgrid_check.total b/precheck_results/05_JUN_2022___09_50_48/logs/klayout_offgrid_check.total
new file mode 100644
index 0000000..c227083
--- /dev/null
+++ b/precheck_results/05_JUN_2022___09_50_48/logs/klayout_offgrid_check.total
@@ -0,0 +1 @@
+0
\ No newline at end of file
diff --git a/precheck_results/05_JUN_2022___09_50_48/logs/klayout_pin_label_purposes_overlapping_drawing_check.log b/precheck_results/05_JUN_2022___09_50_48/logs/klayout_pin_label_purposes_overlapping_drawing_check.log
new file mode 100644
index 0000000..e85da53
--- /dev/null
+++ b/precheck_results/05_JUN_2022___09_50_48/logs/klayout_pin_label_purposes_overlapping_drawing_check.log
@@ -0,0 +1,29 @@
+Running pin_label_purposes_overlapping_drawing.rb.drc on file=/home/krishna/Comparator_MPW6/gds/user_analog_project_wrapper.gds, topcell=user_analog_project_wrapper, output to /home/krishna/Comparator_MPW6/precheck_results/05_JUN_2022___09_50_48/outputs/reports/klayout_pin_label_purposes_overlapping_drawing_check.xml
+  deep:true tiled:false threads:12
+--- #err|description, table for cell: user_analog_project_wrapper
+NO-Check ----        pwell:64/44/EMP   122/16/dat    64/59/EMP    44/16/EMP     44/5/EMP
+         ----        nwell:64/20/dat    64/16/dat     64/5/EMP
+         ----         diff:65/20/dat    65/16/EMP     65/6/EMP
+         ----          tap:65/44/dat    65/48/EMP     65/5/EMP
+         ----         poly:66/20/dat    66/16/EMP     66/5/EMP
+         ----       licon1:66/44/dat    66/58/EMP
+         ----          li1:67/20/dat    67/16/dat     67/5/EMP
+         ----         mcon:67/44/dat    67/48/EMP
+         ----         met1:68/20/dat    68/16/dat     68/5/EMP
+         ----          via:68/44/dat    68/58/EMP
+         ----         met2:69/20/dat    69/16/dat     69/5/EMP
+         ----         via2:69/44/dat    69/58/EMP
+         ----         met3:70/20/dat    70/16/dat     70/5/EMP
+         ----         via3:70/44/dat    70/48/EMP
+         ----         met4:71/20/dat    71/16/dat     71/5/EMP
+         ----         via4:71/44/dat    71/48/EMP
+         ----         met5:72/20/dat    72/16/dat     72/5/EMP
+         ----          pad:76/20/EMP     76/5/EMP    76/16/EMP
+         ----          pnp:82/44/EMP    82/59/EMP
+         ----          npn:82/20/EMP     82/5/EMP
+         ----          rdl:74/20/EMP    74/16/EMP     74/5/EMP
+         ----     inductor:82/24/EMP    82/25/EMP
+       0 total error(s) among 0 error type(s), 33 checks, cell: user_analog_project_wrapper
+Writing report...
+VmPeak:	 2305296 kB
+VmHWM:	  258208 kB
diff --git a/precheck_results/05_JUN_2022___09_50_48/logs/klayout_pin_label_purposes_overlapping_drawing_check.total b/precheck_results/05_JUN_2022___09_50_48/logs/klayout_pin_label_purposes_overlapping_drawing_check.total
new file mode 100644
index 0000000..c227083
--- /dev/null
+++ b/precheck_results/05_JUN_2022___09_50_48/logs/klayout_pin_label_purposes_overlapping_drawing_check.total
@@ -0,0 +1 @@
+0
\ No newline at end of file
diff --git a/precheck_results/05_JUN_2022___09_50_48/logs/klayout_zeroarea_check.log b/precheck_results/05_JUN_2022___09_50_48/logs/klayout_zeroarea_check.log
new file mode 100644
index 0000000..712c1bf
--- /dev/null
+++ b/precheck_results/05_JUN_2022___09_50_48/logs/klayout_zeroarea_check.log
@@ -0,0 +1,4 @@
+0 zero-area shapes
+writing to /home/krishna/Comparator_MPW6/precheck_results/05_JUN_2022___09_50_48/outputs/user_analog_project_wrapper_no_zero_areas.gds
+VmPeak:	  542212 kB
+VmHWM:	  261160 kB
diff --git a/precheck_results/05_JUN_2022___09_50_48/logs/klayout_zeroarea_check.total b/precheck_results/05_JUN_2022___09_50_48/logs/klayout_zeroarea_check.total
new file mode 100644
index 0000000..c227083
--- /dev/null
+++ b/precheck_results/05_JUN_2022___09_50_48/logs/klayout_zeroarea_check.total
@@ -0,0 +1 @@
+0
\ No newline at end of file
diff --git a/precheck_results/05_JUN_2022___09_50_48/logs/magic_drc_check.log b/precheck_results/05_JUN_2022___09_50_48/logs/magic_drc_check.log
new file mode 100644
index 0000000..5c3c268
--- /dev/null
+++ b/precheck_results/05_JUN_2022___09_50_48/logs/magic_drc_check.log
@@ -0,0 +1,30 @@
+
+Magic 8.3 revision 274 - Compiled on Fri Mar  4 22:54:15 UTC 2022.
+Starting magic under Tcl interpreter
+Using the terminal as the console.
+Using NULL graphics device.
+Processing system .magicrc file
+Sourcing design .magicrc for technology sky130A ...
+2 Magic internal units = 1 Lambda
+Could not find file '/usr/local/share/pdk/sky130A/sky130A/libs.tech/magic/sky130A.tech' in any of these directories:
+         . $CAD_ROOT/magic/sys $CAD_ROOT/magic/sys/current
+Error parsing "/home/krishna/mpw_precheckmpw6/checks/tech-files/sky130A.magicrc": couldn't read file "/usr/local/share/pdk/sky130A/sky130A/libs.tech/magic/sky130A.tcl": no such file or directory
+Bad local startup file "/home/krishna/mpw_precheckmpw6/checks/tech-files/sky130A.magicrc", continuing without.
+Scaled tech values by 2 / 1 to match internal grid scaling
+Loading "/home/krishna/mpw_precheckmpw6/checks/drc_checks/magic/magic_drc_check.tcl" from command line.
+Don't know how to read GDS-II:
+Nothing in "cifinput" section of tech file.
+[INFO]: Loading user_analog_project_wrapper
+
+File user_analog_project_wrapper.mag couldn't be read
+No such file or directory
+Creating new cell
+"drc(full)" is not one of the DRC styles Magic knows.
+The current style is "default".
+The DRC styles are: default.
+No errors found.
+[INFO]: COUNT: 0
+[INFO]: Should be divided by 3 or 4
+[INFO]: DRC Checking DONE (/home/krishna/Comparator_MPW6/precheck_results/05_JUN_2022___09_50_48/outputs/reports/magic_drc_check.drc.report)
+[INFO]: Saving mag view with DRC errors(/home/krishna/Comparator_MPW6/precheck_results/05_JUN_2022___09_50_48/outputs/user_analog_project_wrapper.magic.drc.mag)
+[INFO]: Saved
diff --git a/precheck_results/05_JUN_2022___09_50_48/logs/magic_drc_check.total b/precheck_results/05_JUN_2022___09_50_48/logs/magic_drc_check.total
new file mode 100644
index 0000000..c227083
--- /dev/null
+++ b/precheck_results/05_JUN_2022___09_50_48/logs/magic_drc_check.total
@@ -0,0 +1 @@
+0
\ No newline at end of file
diff --git a/precheck_results/05_JUN_2022___09_50_48/logs/pdks.info b/precheck_results/05_JUN_2022___09_50_48/logs/pdks.info
new file mode 100644
index 0000000..3072015
--- /dev/null
+++ b/precheck_results/05_JUN_2022___09_50_48/logs/pdks.info
@@ -0,0 +1,2 @@
+Open PDKs a3d6ffea8022332b859daa454cef7ee7131c5181
+Skywater PDK f70d8ca46961ff92719d8870a18a076370b85f6c
\ No newline at end of file
diff --git a/precheck_results/05_JUN_2022___09_50_48/logs/precheck.log b/precheck_results/05_JUN_2022___09_50_48/logs/precheck.log
new file mode 100644
index 0000000..766f03b
--- /dev/null
+++ b/precheck_results/05_JUN_2022___09_50_48/logs/precheck.log
@@ -0,0 +1,60 @@
+2022-06-05 09:50:48 - [INFO] - {{EXTRACTING FILES}} Extracting compressed files in: /home/krishna/Comparator_MPW6
+2022-06-05 09:50:48 - [INFO] - {{Project Type Info}} analog
+2022-06-05 09:50:48 - [INFO] - {{Project GDS Info}} user_analog_project_wrapper: f645e365a8f70e96fd2dc020b81b6d777ea3e792
+2022-06-05 09:50:48 - [INFO] - {{Tools Info}} KLayout: v0.27.8 | Magic: v8.3.274
+2022-06-05 09:50:48 - [INFO] - {{PDKs Info}} Open PDKs: a3d6ffea8022332b859daa454cef7ee7131c5181 | Skywater PDK: f70d8ca46961ff92719d8870a18a076370b85f6c
+2022-06-05 09:50:48 - [INFO] - {{START}} Precheck Started, the full log 'precheck.log' will be located in '/home/krishna/Comparator_MPW6/precheck_results/05_JUN_2022___09_50_48/logs'
+2022-06-05 09:50:48 - [INFO] - {{PRECHECK SEQUENCE}} Precheck will run the following checks: [License, Makefile, Default, Documentation, Consistency, XOR, Magic DRC, Klayout FEOL, Klayout BEOL, Klayout Offgrid, Klayout Metal Minimum Clear Area Density, Klayout Pin Label Purposes Overlapping Drawing, Klayout ZeroArea]
+2022-06-05 09:50:48 - [INFO] - {{STEP UPDATE}} Executing Check 1 of 13: License
+2022-06-05 09:50:49 - [INFO] - An approved LICENSE (Apache-2.0) was found in /home/krishna/Comparator_MPW6.
+2022-06-05 09:50:49 - [INFO] - {{MAIN LICENSE CHECK PASSED}} An approved LICENSE was found in project root.
+2022-06-05 09:50:50 - [INFO] - An approved LICENSE (Apache-2.0) was found in /home/krishna/Comparator_MPW6.
+2022-06-05 09:50:50 - [INFO] - {{SUBMODULES LICENSE CHECK PASSED}} No prohibited LICENSE file(s) was found in project submodules
+2022-06-05 09:50:50 - [WARNING] - {{SPDX COMPLIANCE CHECK FAILED}} Found 177 non-compliant file(s) with the SPDX Standard.
+2022-06-05 09:50:50 - [INFO] - SPDX COMPLIANCE: NON-COMPLIANT FILE(S) PREVIEW: ['/home/krishna/Comparator_MPW6/Makefile', '/home/krishna/Comparator_MPW6/.spiceinit', '/home/krishna/Comparator_MPW6/verilog/dv/Makefile', '/home/krishna/Comparator_MPW6/verilog/dv/mprj_por/mprj_por.c', '/home/krishna/Comparator_MPW6/verilog/dv/mprj_por/mprj_por_tb.v', '/home/krishna/Comparator_MPW6/verilog/dv/mprj_por/Makefile', '/home/krishna/Comparator_MPW6/verilog/rtl/uprj_analog_netlists.v', '/home/krishna/Comparator_MPW6/verilog/rtl/example_por.v', '/home/krishna/Comparator_MPW6/verilog/rtl/user_analog_proj_example.v', '/home/krishna/Comparator_MPW6/verilog/rtl/user_analog_project_wrapper.v', '/home/krishna/Comparator_MPW6/verilog/rtl/comparator.v', '/home/krishna/Comparator_MPW6/precheck_results/04_JUN_2022___09_24_39/outputs/reports/magic_drc_check.drc.report', '/home/krishna/Comparator_MPW6/precheck_results/04_JUN_2022___09_24_39/logs/klayout_zeroarea_check.total', '/home/krishna/Comparator_MPW6/precheck_results/04_JUN_2022___09_24_39/logs/tools.info', '/home/krishna/Comparator_MPW6/precheck_results/04_JUN_2022___09_24_39/logs/klayout_beol_check.total']
+2022-06-05 09:50:50 - [INFO] - For the full SPDX compliance report check: /home/krishna/Comparator_MPW6/precheck_results/05_JUN_2022___09_50_48/logs/spdx_compliance_report.log
+2022-06-05 09:50:50 - [INFO] - {{STEP UPDATE}} Executing Check 2 of 13: Makefile
+2022-06-05 09:50:50 - [INFO] - {{MAKEFILE CHECK PASSED}} Makefile valid.
+2022-06-05 09:50:50 - [INFO] - {{STEP UPDATE}} Executing Check 3 of 13: Default
+2022-06-05 09:50:50 - [INFO] - {{README DEFAULT CHECK PASSED}} Project 'README.md' was modified and is not identical to the default 'README.md'
+2022-06-05 09:50:50 - [INFO] - {{CONTENT DEFAULT CHECK PASSED}} Project 'gds' was modified and is not identical to the default 'gds'
+2022-06-05 09:50:50 - [INFO] - {{STEP UPDATE}} Executing Check 4 of 13: Documentation
+2022-06-05 09:50:51 - [INFO] - {{DOCUMENTATION CHECK PASSED}} Project documentation is appropriate.
+2022-06-05 09:50:51 - [INFO] - {{STEP UPDATE}} Executing Check 5 of 13: Consistency
+2022-06-05 09:50:52 - [INFO] - HIERARCHY CHECK PASSED: Module user_analog_project_wrapper is instantiated in caravan. 
+2022-06-05 09:50:52 - [INFO] - COMPLEXITY CHECK PASSED: Netlist caravan contains at least 8 instances (68 instances). 
+2022-06-05 09:50:52 - [INFO] - MODELING CHECK PASSED: Netlist caravan is structural.
+2022-06-05 09:50:52 - [INFO] - SUBMODULE HOOKS CHECK PASSED: All module ports for user_analog_project_wrapper are correctly connected in the top level netlist caravan.
+2022-06-05 09:50:52 - [INFO] - {{NETLIST CONSISTENCY CHECK PASSED}} caravan netlist passed all consistency checks.
+2022-06-05 09:50:52 - [INFO] - PORTS CHECK PASSED: Netlist user_analog_project_wrapper ports match the golden wrapper ports
+2022-06-05 09:50:52 - [INFO] - COMPLEXITY CHECK PASSED: Netlist user_analog_project_wrapper contains at least 1 instances (19 instances). 
+2022-06-05 09:50:52 - [INFO] - MODELING CHECK PASSED: Netlist user_analog_project_wrapper is structural.
+2022-06-05 09:50:52 - [INFO] - LAYOUT CHECK PASSED: The GDS layout for user_analog_project_wrapper matches the provided structural netlist.
+2022-06-05 09:50:52 - [INFO] - {{NETLIST CONSISTENCY CHECK PASSED}} user_analog_project_wrapper netlist passed all consistency checks.
+2022-06-05 09:50:52 - [INFO] - {{CONSISTENCY CHECK PASSED}} The user netlist and the top netlist are valid.
+2022-06-05 09:50:52 - [INFO] - {{STEP UPDATE}} Executing Check 6 of 13: XOR
+2022-06-05 09:50:54 - [ERROR] - XOR CHECK FILE NOT FOUND in /home/krishna/Comparator_MPW6/precheck_results/05_JUN_2022___09_50_48/logs/xor_check.total
+2022-06-05 09:50:54 - [WARNING] - {{XOR CHECK FAILED}} The GDS file has non-conforming geometries.
+2022-06-05 09:50:54 - [INFO] - {{STEP UPDATE}} Executing Check 7 of 13: Magic DRC
+2022-06-05 09:50:54 - [INFO] - 0 DRC violations
+2022-06-05 09:50:54 - [INFO] - {{MAGIC DRC CHECK PASSED}} The GDS file, user_analog_project_wrapper.gds, has no DRC violations.
+2022-06-05 09:50:54 - [INFO] - {{STEP UPDATE}} Executing Check 8 of 13: Klayout FEOL
+2022-06-05 09:50:56 - [INFO] - No DRC Violations found
+2022-06-05 09:50:56 - [INFO] - {{Klayout FEOL CHECK PASSED}} The GDS file, user_analog_project_wrapper.gds, has no DRC violations.
+2022-06-05 09:50:56 - [INFO] - {{STEP UPDATE}} Executing Check 9 of 13: Klayout BEOL
+2022-06-05 09:51:01 - [INFO] - No DRC Violations found
+2022-06-05 09:51:01 - [INFO] - {{Klayout BEOL CHECK PASSED}} The GDS file, user_analog_project_wrapper.gds, has no DRC violations.
+2022-06-05 09:51:01 - [INFO] - {{STEP UPDATE}} Executing Check 10 of 13: Klayout Offgrid
+2022-06-05 09:51:03 - [INFO] - No DRC Violations found
+2022-06-05 09:51:03 - [INFO] - {{Klayout Offgrid CHECK PASSED}} The GDS file, user_analog_project_wrapper.gds, has no DRC violations.
+2022-06-05 09:51:03 - [INFO] - {{STEP UPDATE}} Executing Check 11 of 13: Klayout Metal Minimum Clear Area Density
+2022-06-05 09:51:04 - [INFO] - No DRC Violations found
+2022-06-05 09:51:04 - [INFO] - {{Klayout Metal Minimum Clear Area Density CHECK PASSED}} The GDS file, user_analog_project_wrapper.gds, has no DRC violations.
+2022-06-05 09:51:04 - [INFO] - {{STEP UPDATE}} Executing Check 12 of 13: Klayout Pin Label Purposes Overlapping Drawing
+2022-06-05 09:51:05 - [INFO] - No DRC Violations found
+2022-06-05 09:51:05 - [INFO] - {{Klayout Pin Label Purposes Overlapping Drawing CHECK PASSED}} The GDS file, user_analog_project_wrapper.gds, has no DRC violations.
+2022-06-05 09:51:05 - [INFO] - {{STEP UPDATE}} Executing Check 13 of 13: Klayout ZeroArea
+2022-06-05 09:51:06 - [INFO] - No DRC Violations found
+2022-06-05 09:51:06 - [INFO] - {{Klayout ZeroArea CHECK PASSED}} The GDS file, user_analog_project_wrapper.gds, has no DRC violations.
+2022-06-05 09:51:06 - [INFO] - {{FINISH}} Executing Finished, the full log 'precheck.log' can be found in '/home/krishna/Comparator_MPW6/precheck_results/05_JUN_2022___09_50_48/logs'
+2022-06-05 09:51:06 - [CRITICAL] - {{FAILURE}} 1 Check(s) Failed: ['XOR'] !!!
diff --git a/precheck_results/05_JUN_2022___09_50_48/logs/spdx_compliance_report.log b/precheck_results/05_JUN_2022___09_50_48/logs/spdx_compliance_report.log
new file mode 100644
index 0000000..1f6cecf
--- /dev/null
+++ b/precheck_results/05_JUN_2022___09_50_48/logs/spdx_compliance_report.log
@@ -0,0 +1,177 @@
+/home/krishna/Comparator_MPW6/Makefile
+/home/krishna/Comparator_MPW6/.spiceinit
+/home/krishna/Comparator_MPW6/verilog/dv/Makefile
+/home/krishna/Comparator_MPW6/verilog/dv/mprj_por/mprj_por.c
+/home/krishna/Comparator_MPW6/verilog/dv/mprj_por/mprj_por_tb.v
+/home/krishna/Comparator_MPW6/verilog/dv/mprj_por/Makefile
+/home/krishna/Comparator_MPW6/verilog/rtl/uprj_analog_netlists.v
+/home/krishna/Comparator_MPW6/verilog/rtl/example_por.v
+/home/krishna/Comparator_MPW6/verilog/rtl/user_analog_proj_example.v
+/home/krishna/Comparator_MPW6/verilog/rtl/user_analog_project_wrapper.v
+/home/krishna/Comparator_MPW6/verilog/rtl/comparator.v
+/home/krishna/Comparator_MPW6/precheck_results/04_JUN_2022___09_24_39/outputs/reports/magic_drc_check.drc.report
+/home/krishna/Comparator_MPW6/precheck_results/04_JUN_2022___09_24_39/logs/klayout_zeroarea_check.total
+/home/krishna/Comparator_MPW6/precheck_results/04_JUN_2022___09_24_39/logs/tools.info
+/home/krishna/Comparator_MPW6/precheck_results/04_JUN_2022___09_24_39/logs/klayout_beol_check.total
+/home/krishna/Comparator_MPW6/precheck_results/04_JUN_2022___09_24_39/logs/klayout_pin_label_purposes_overlapping_drawing_check.total
+/home/krishna/Comparator_MPW6/precheck_results/04_JUN_2022___09_24_39/logs/klayout_feol_check.total
+/home/krishna/Comparator_MPW6/precheck_results/04_JUN_2022___09_24_39/logs/gds.info
+/home/krishna/Comparator_MPW6/precheck_results/04_JUN_2022___09_24_39/logs/pdks.info
+/home/krishna/Comparator_MPW6/precheck_results/04_JUN_2022___09_24_39/logs/magic_drc_check.total
+/home/krishna/Comparator_MPW6/precheck_results/04_JUN_2022___09_24_39/logs/klayout_offgrid_check.total
+/home/krishna/Comparator_MPW6/precheck_results/04_JUN_2022___09_24_39/logs/klayout_met_min_ca_density_check.total
+/home/krishna/Comparator_MPW6/precheck_results/04_JUN_2022___14_38_44/outputs/reports/magic_drc_check.drc.report
+/home/krishna/Comparator_MPW6/precheck_results/04_JUN_2022___14_38_44/logs/klayout_zeroarea_check.total
+/home/krishna/Comparator_MPW6/precheck_results/04_JUN_2022___14_38_44/logs/tools.info
+/home/krishna/Comparator_MPW6/precheck_results/04_JUN_2022___14_38_44/logs/klayout_beol_check.total
+/home/krishna/Comparator_MPW6/precheck_results/04_JUN_2022___14_38_44/logs/klayout_pin_label_purposes_overlapping_drawing_check.total
+/home/krishna/Comparator_MPW6/precheck_results/04_JUN_2022___14_38_44/logs/klayout_feol_check.total
+/home/krishna/Comparator_MPW6/precheck_results/04_JUN_2022___14_38_44/logs/gds.info
+/home/krishna/Comparator_MPW6/precheck_results/04_JUN_2022___14_38_44/logs/pdks.info
+/home/krishna/Comparator_MPW6/precheck_results/04_JUN_2022___14_38_44/logs/magic_drc_check.total
+/home/krishna/Comparator_MPW6/precheck_results/04_JUN_2022___14_38_44/logs/klayout_offgrid_check.total
+/home/krishna/Comparator_MPW6/precheck_results/04_JUN_2022___14_38_44/logs/klayout_met_min_ca_density_check.total
+/home/krishna/Comparator_MPW6/precheck_results/04_JUN_2022___11_00_41/outputs/reports/magic_drc_check.drc.report
+/home/krishna/Comparator_MPW6/precheck_results/04_JUN_2022___11_00_41/logs/klayout_zeroarea_check.total
+/home/krishna/Comparator_MPW6/precheck_results/04_JUN_2022___11_00_41/logs/tools.info
+/home/krishna/Comparator_MPW6/precheck_results/04_JUN_2022___11_00_41/logs/klayout_beol_check.total
+/home/krishna/Comparator_MPW6/precheck_results/04_JUN_2022___11_00_41/logs/klayout_pin_label_purposes_overlapping_drawing_check.total
+/home/krishna/Comparator_MPW6/precheck_results/04_JUN_2022___11_00_41/logs/klayout_feol_check.total
+/home/krishna/Comparator_MPW6/precheck_results/04_JUN_2022___11_00_41/logs/gds.info
+/home/krishna/Comparator_MPW6/precheck_results/04_JUN_2022___11_00_41/logs/pdks.info
+/home/krishna/Comparator_MPW6/precheck_results/04_JUN_2022___11_00_41/logs/magic_drc_check.total
+/home/krishna/Comparator_MPW6/precheck_results/04_JUN_2022___11_00_41/logs/klayout_offgrid_check.total
+/home/krishna/Comparator_MPW6/precheck_results/04_JUN_2022___11_00_41/logs/klayout_met_min_ca_density_check.total
+/home/krishna/Comparator_MPW6/precheck_results/05_JUN_2022___09_50_48/logs/tools.info
+/home/krishna/Comparator_MPW6/precheck_results/05_JUN_2022___09_50_48/logs/gds.info
+/home/krishna/Comparator_MPW6/precheck_results/05_JUN_2022___09_50_48/logs/pdks.info
+/home/krishna/Comparator_MPW6/precheck_results/04_JUN_2022___09_00_52/outputs/reports/magic_drc_check.drc.report
+/home/krishna/Comparator_MPW6/precheck_results/04_JUN_2022___09_00_52/logs/klayout_zeroarea_check.total
+/home/krishna/Comparator_MPW6/precheck_results/04_JUN_2022___09_00_52/logs/tools.info
+/home/krishna/Comparator_MPW6/precheck_results/04_JUN_2022___09_00_52/logs/klayout_beol_check.total
+/home/krishna/Comparator_MPW6/precheck_results/04_JUN_2022___09_00_52/logs/klayout_pin_label_purposes_overlapping_drawing_check.total
+/home/krishna/Comparator_MPW6/precheck_results/04_JUN_2022___09_00_52/logs/klayout_feol_check.total
+/home/krishna/Comparator_MPW6/precheck_results/04_JUN_2022___09_00_52/logs/gds.info
+/home/krishna/Comparator_MPW6/precheck_results/04_JUN_2022___09_00_52/logs/pdks.info
+/home/krishna/Comparator_MPW6/precheck_results/04_JUN_2022___09_00_52/logs/magic_drc_check.total
+/home/krishna/Comparator_MPW6/precheck_results/04_JUN_2022___09_00_52/logs/klayout_offgrid_check.total
+/home/krishna/Comparator_MPW6/precheck_results/04_JUN_2022___09_00_52/logs/klayout_met_min_ca_density_check.total
+/home/krishna/Comparator_MPW6/precheck_results/04_JUN_2022___10_33_37/outputs/reports/magic_drc_check.drc.report
+/home/krishna/Comparator_MPW6/precheck_results/04_JUN_2022___10_33_37/logs/klayout_zeroarea_check.total
+/home/krishna/Comparator_MPW6/precheck_results/04_JUN_2022___10_33_37/logs/tools.info
+/home/krishna/Comparator_MPW6/precheck_results/04_JUN_2022___10_33_37/logs/klayout_beol_check.total
+/home/krishna/Comparator_MPW6/precheck_results/04_JUN_2022___10_33_37/logs/klayout_pin_label_purposes_overlapping_drawing_check.total
+/home/krishna/Comparator_MPW6/precheck_results/04_JUN_2022___10_33_37/logs/klayout_feol_check.total
+/home/krishna/Comparator_MPW6/precheck_results/04_JUN_2022___10_33_37/logs/gds.info
+/home/krishna/Comparator_MPW6/precheck_results/04_JUN_2022___10_33_37/logs/pdks.info
+/home/krishna/Comparator_MPW6/precheck_results/04_JUN_2022___10_33_37/logs/magic_drc_check.total
+/home/krishna/Comparator_MPW6/precheck_results/04_JUN_2022___10_33_37/logs/klayout_offgrid_check.total
+/home/krishna/Comparator_MPW6/precheck_results/04_JUN_2022___10_33_37/logs/klayout_met_min_ca_density_check.total
+/home/krishna/Comparator_MPW6/precheck_results/04_JUN_2022___10_10_56/outputs/reports/magic_drc_check.drc.report
+/home/krishna/Comparator_MPW6/precheck_results/04_JUN_2022___10_10_56/logs/klayout_zeroarea_check.total
+/home/krishna/Comparator_MPW6/precheck_results/04_JUN_2022___10_10_56/logs/tools.info
+/home/krishna/Comparator_MPW6/precheck_results/04_JUN_2022___10_10_56/logs/klayout_beol_check.total
+/home/krishna/Comparator_MPW6/precheck_results/04_JUN_2022___10_10_56/logs/klayout_pin_label_purposes_overlapping_drawing_check.total
+/home/krishna/Comparator_MPW6/precheck_results/04_JUN_2022___10_10_56/logs/klayout_feol_check.total
+/home/krishna/Comparator_MPW6/precheck_results/04_JUN_2022___10_10_56/logs/gds.info
+/home/krishna/Comparator_MPW6/precheck_results/04_JUN_2022___10_10_56/logs/pdks.info
+/home/krishna/Comparator_MPW6/precheck_results/04_JUN_2022___10_10_56/logs/magic_drc_check.total
+/home/krishna/Comparator_MPW6/precheck_results/04_JUN_2022___10_10_56/logs/klayout_offgrid_check.total
+/home/krishna/Comparator_MPW6/precheck_results/04_JUN_2022___10_10_56/logs/klayout_met_min_ca_density_check.total
+/home/krishna/Comparator_MPW6/precheck_results/05_JUN_2022___09_44_41/outputs/reports/magic_drc_check.drc.report
+/home/krishna/Comparator_MPW6/precheck_results/05_JUN_2022___09_44_41/logs/klayout_zeroarea_check.total
+/home/krishna/Comparator_MPW6/precheck_results/05_JUN_2022___09_44_41/logs/tools.info
+/home/krishna/Comparator_MPW6/precheck_results/05_JUN_2022___09_44_41/logs/klayout_beol_check.total
+/home/krishna/Comparator_MPW6/precheck_results/05_JUN_2022___09_44_41/logs/klayout_pin_label_purposes_overlapping_drawing_check.total
+/home/krishna/Comparator_MPW6/precheck_results/05_JUN_2022___09_44_41/logs/klayout_feol_check.total
+/home/krishna/Comparator_MPW6/precheck_results/05_JUN_2022___09_44_41/logs/gds.info
+/home/krishna/Comparator_MPW6/precheck_results/05_JUN_2022___09_44_41/logs/pdks.info
+/home/krishna/Comparator_MPW6/precheck_results/05_JUN_2022___09_44_41/logs/magic_drc_check.total
+/home/krishna/Comparator_MPW6/precheck_results/05_JUN_2022___09_44_41/logs/klayout_offgrid_check.total
+/home/krishna/Comparator_MPW6/precheck_results/05_JUN_2022___09_44_41/logs/klayout_met_min_ca_density_check.total
+/home/krishna/Comparator_MPW6/precheck_results/04_JUN_2022___10_00_20/outputs/reports/magic_drc_check.drc.report
+/home/krishna/Comparator_MPW6/precheck_results/04_JUN_2022___10_00_20/logs/klayout_zeroarea_check.total
+/home/krishna/Comparator_MPW6/precheck_results/04_JUN_2022___10_00_20/logs/tools.info
+/home/krishna/Comparator_MPW6/precheck_results/04_JUN_2022___10_00_20/logs/klayout_beol_check.total
+/home/krishna/Comparator_MPW6/precheck_results/04_JUN_2022___10_00_20/logs/klayout_pin_label_purposes_overlapping_drawing_check.total
+/home/krishna/Comparator_MPW6/precheck_results/04_JUN_2022___10_00_20/logs/klayout_feol_check.total
+/home/krishna/Comparator_MPW6/precheck_results/04_JUN_2022___10_00_20/logs/gds.info
+/home/krishna/Comparator_MPW6/precheck_results/04_JUN_2022___10_00_20/logs/pdks.info
+/home/krishna/Comparator_MPW6/precheck_results/04_JUN_2022___10_00_20/logs/magic_drc_check.total
+/home/krishna/Comparator_MPW6/precheck_results/04_JUN_2022___10_00_20/logs/klayout_offgrid_check.total
+/home/krishna/Comparator_MPW6/precheck_results/04_JUN_2022___10_00_20/logs/klayout_met_min_ca_density_check.total
+/home/krishna/Comparator_MPW6/precheck_results/05_JUN_2022___09_43_53/outputs/reports/magic_drc_check.drc.report
+/home/krishna/Comparator_MPW6/precheck_results/05_JUN_2022___09_43_53/logs/klayout_zeroarea_check.total
+/home/krishna/Comparator_MPW6/precheck_results/05_JUN_2022___09_43_53/logs/tools.info
+/home/krishna/Comparator_MPW6/precheck_results/05_JUN_2022___09_43_53/logs/klayout_beol_check.total
+/home/krishna/Comparator_MPW6/precheck_results/05_JUN_2022___09_43_53/logs/klayout_pin_label_purposes_overlapping_drawing_check.total
+/home/krishna/Comparator_MPW6/precheck_results/05_JUN_2022___09_43_53/logs/klayout_feol_check.total
+/home/krishna/Comparator_MPW6/precheck_results/05_JUN_2022___09_43_53/logs/gds.info
+/home/krishna/Comparator_MPW6/precheck_results/05_JUN_2022___09_43_53/logs/pdks.info
+/home/krishna/Comparator_MPW6/precheck_results/05_JUN_2022___09_43_53/logs/magic_drc_check.total
+/home/krishna/Comparator_MPW6/precheck_results/05_JUN_2022___09_43_53/logs/klayout_offgrid_check.total
+/home/krishna/Comparator_MPW6/precheck_results/05_JUN_2022___09_43_53/logs/klayout_met_min_ca_density_check.total
+/home/krishna/Comparator_MPW6/mag/sky130_fd_pr__pfet_01v8_AC5Z8B#0.ext
+/home/krishna/Comparator_MPW6/mag/latch_3.ext
+/home/krishna/Comparator_MPW6/mag/sky130_fd_pr__diode_pw2nd_05v5_GT7E3L.ext
+/home/krishna/Comparator_MPW6/mag/inv_W2.ext
+/home/krishna/Comparator_MPW6/mag/sky130_fd_pr__diode_pw2nd_05v5_KLAK3C.ext
+/home/krishna/Comparator_MPW6/mag/sky130_fd_pr__diode_pw2nd_05v5_FT7GK8.ext
+/home/krishna/Comparator_MPW6/mag/sky130_fd_pr__diode_pw2nd_05v5_3P6M5Y.ext
+/home/krishna/Comparator_MPW6/mag/sky130_fd_pr__pfet_01v8_5SM9EE.ext
+/home/krishna/Comparator_MPW6/mag/latch_22.ext
+/home/krishna/Comparator_MPW6/mag/sky130_fd_pr__diode_pw2nd_05v5_GT7G3L.ext
+/home/krishna/Comparator_MPW6/mag/inv_W2#0.ext
+/home/krishna/Comparator_MPW6/mag/.magicrc
+/home/krishna/Comparator_MPW6/mag/sky130_fd_pr__diode_pd2nw_05v5_G4XDRY.ext
+/home/krishna/Comparator_MPW6/mag/sky130_fd_pr__nfet_01v8_XJTKXQ#1.ext
+/home/krishna/Comparator_MPW6/mag/sky130_fd_pr__nfet_01v8_XJTKXQ.ext
+/home/krishna/Comparator_MPW6/mag/sky130_fd_pr__pfet_01v8_AC5Z8B.ext
+/home/krishna/Comparator_MPW6/mag/buffer_2#1.ext
+/home/krishna/Comparator_MPW6/mag/comparator_v6.ext
+/home/krishna/Comparator_MPW6/mag/buffer_1#0.ext
+/home/krishna/Comparator_MPW6/mag/latch_2.ext
+/home/krishna/Comparator_MPW6/mag/user_analog_project_wrapper.ext
+/home/krishna/Comparator_MPW6/mag/buffer_2#0.ext
+/home/krishna/Comparator_MPW6/mag/sky130_fd_pr__diode_pd2nw_05v5_RT56W3.ext
+/home/krishna/Comparator_MPW6/mag/latch/SR_latch.ext
+/home/krishna/Comparator_MPW6/mag/latch/sky130_fd_pr__pfet_01v8_AC5Z8B#0.ext
+/home/krishna/Comparator_MPW6/mag/latch/sky130A.magicrc
+/home/krishna/Comparator_MPW6/mag/latch/latch_22.ext
+/home/krishna/Comparator_MPW6/mag/latch/sky130_fd_pr__pfet_01v8_AC5E9B.ext
+/home/krishna/Comparator_MPW6/mag/latch/.magicrc
+/home/krishna/Comparator_MPW6/mag/latch/sky130_fd_pr__nfet_01v8_XJTKXQ#1.ext
+/home/krishna/Comparator_MPW6/mag/latch/sky130_fd_pr__nfet_01v8_F5U58G.ext
+/home/krishna/Comparator_MPW6/mag/latch/sky130_fd_pr__pfet_01v8_GJYUB2.ext
+/home/krishna/Comparator_MPW6/mag/latch/latch_2.ext
+/home/krishna/Comparator_MPW6/mag/latch/sky130_fd_pr__pfet_01v8_5SVZDE.ext
+/home/krishna/Comparator_MPW6/mag/latch/latch.ext
+/home/krishna/Comparator_MPW6/mag/preamp/sky130_fd_pr__nfet_01v8_G6PLX8.ext
+/home/krishna/Comparator_MPW6/mag/preamp/preamp_part1.ext
+/home/krishna/Comparator_MPW6/mag/preamp/preamp_part2.ext
+/home/krishna/Comparator_MPW6/mag/preamp/sky130_fd_pr__nfet_01v8_XJTKXQ#0.ext
+/home/krishna/Comparator_MPW6/mag/preamp/sky130_fd_pr__nfet_01v8_F5U58G#1.ext
+/home/krishna/Comparator_MPW6/mag/preamp/.magicrc
+/home/krishna/Comparator_MPW6/mag/preamp/sky130_fd_pr__pfet_01v8_RFM3CD.ext
+/home/krishna/Comparator_MPW6/mag/preamp/sky130_fd_pr__nfet_01v8_8FHE5N.ext
+/home/krishna/Comparator_MPW6/mag/preamp/sky130_fd_pr__nfet_01v8_F5U58G#0.ext
+/home/krishna/Comparator_MPW6/xschem/xschemrc
+/home/krishna/Comparator_MPW6/xschem/buffer.sch
+/home/krishna/Comparator_MPW6/xschem/user_analog_project_wrapper.sym
+/home/krishna/Comparator_MPW6/xschem/SR_latch.sym
+/home/krishna/Comparator_MPW6/xschem/comparator.sch
+/home/krishna/Comparator_MPW6/xschem/comparator.sym
+/home/krishna/Comparator_MPW6/xschem/analog_wrapper_tb.sch
+/home/krishna/Comparator_MPW6/xschem/user_analog_project_wrapper.sch
+/home/krishna/Comparator_MPW6/xschem/.spiceinit
+/home/krishna/Comparator_MPW6/xschem/comparator_tb.sch
+/home/krishna/Comparator_MPW6/xschem/SR_latch.sch
+/home/krishna/Comparator_MPW6/xschem/buffer.sym
+/home/krishna/Comparator_MPW6/docs/Makefile
+/home/krishna/Comparator_MPW6/docs/environment.yml
+/home/krishna/Comparator_MPW6/docs/source/conf.py
+/home/krishna/Comparator_MPW6/docs/source/index.rst
+/home/krishna/Comparator_MPW6/netgen/run_lvs_wrapper_verilog.sh
+/home/krishna/Comparator_MPW6/netgen/xtra
+/home/krishna/Comparator_MPW6/netgen/run_lvs_por.sh
+/home/krishna/Comparator_MPW6/netgen/run_lvs_wrapper_xschem.sh
diff --git a/precheck_results/05_JUN_2022___09_50_48/logs/tools.info b/precheck_results/05_JUN_2022___09_50_48/logs/tools.info
new file mode 100644
index 0000000..9b2230a
--- /dev/null
+++ b/precheck_results/05_JUN_2022___09_50_48/logs/tools.info
@@ -0,0 +1,2 @@
+KLayout: 0.27.8
+Magic: 8.3.274
\ No newline at end of file
diff --git a/precheck_results/05_JUN_2022___09_50_48/logs/xor_check.log b/precheck_results/05_JUN_2022___09_50_48/logs/xor_check.log
new file mode 100644
index 0000000..12d2ca0
--- /dev/null
+++ b/precheck_results/05_JUN_2022___09_50_48/logs/xor_check.log
@@ -0,0 +1,179 @@
+Reading file /home/krishna/Comparator_MPW6/gds/user_analog_project_wrapper.gds for cell user_analog_project_wrapper
+dbu=0.001
+cell user_analog_project_wrapper dbu-bbox(ll;ur)=(-4000,-4000;2924000,3528910)
+cell user_analog_project_wrapper dbu-bbox(left,bottom,right,top)=(-4000,-4000,2924000,3528910)
+cell user_analog_project_wrapper dbu-size(width,height)=(2928000,3532910)
+cell user_analog_project_wrapper micron-bbox(left,bottom,right,top)=(-4.0,-4.0,2924.0,3528.91)
+cell user_analog_project_wrapper micron-size(width,height)=(2928.0,3532.91)
+Done.
+
+Magic 8.3 revision 274 - Compiled on Fri Mar  4 22:54:15 UTC 2022.
+Starting magic under Tcl interpreter
+Using the terminal as the console.
+Using NULL graphics device.
+Processing system .magicrc file
+Loading "/home/krishna/mpw_precheckmpw6/checks/xor_check/erase_box.tcl" from command line.
+"sky130(vendor)" is not one of the CIF input styles Magic knows.
+Error: No style is set
+The CIF input styles are: .
+Don't know how to read GDS-II:
+Nothing in "cifinput" section of tech file.
+File user_analog_project_wrapper.mag couldn't be read
+No such file or directory
+Creating new cell
+Root cell box:
+           width x height  (   llx,  lly  ), (   urx,  ury  )  area (units^2)
+
+microns:  43.000 x 3520.000  (-43.000,  0.000), ( 0.000,  3520.000)  151360.000
+lambda:       43 x 3520    (   -43,  0    ), (     0,  3520 )  151360    
+Root cell box:
+           width x height  (   llx,  lly  ), (   urx,  ury  )  area (units^2)
+
+microns:  43.000 x 3520.000  ( 2920.000,  0.000), ( 2963.000,  3520.000)  151360.000
+lambda:       43 x 3520    (  2920,  0    ), (  2963,  3520 )  151360    
+Root cell box:
+           width x height  (   llx,  lly  ), (   urx,  ury  )  area (units^2)
+
+microns:  3006.000 x 38.000  (-43.000, -38.000), ( 2963.000,  0.000)  114228.000
+lambda:     3006 x 38      (   -43, -38   ), (  2963,  0    )  114228    
+Root cell box:
+           width x height  (   llx,  lly  ), (   urx,  ury  )  area (units^2)
+
+microns:  3006.000 x 37.000  (-43.000,  3520.000), ( 2963.000,  3557.000)  111222.000
+lambda:     3006 x 37      (   -43,  3520 ), (  2963,  3557 )  111222    
+Unrecognized layer: metal5
+Layer names are:
+    mag or magnet
+    f or fence
+    r or rotate
+    $
+    *
+    errors
+    labels
+    subcell
+    connect
+Unrecognized layer: metal4
+Layer names are:
+    mag or magnet
+    f or fence
+    r or rotate
+    $
+    *
+    errors
+    labels
+    subcell
+    connect
+Unrecognized layer: metal5
+Layer names are:
+    mag or magnet
+    f or fence
+    r or rotate
+    $
+    *
+    errors
+    labels
+    subcell
+    connect
+Unrecognized layer: metal4
+Layer names are:
+    mag or magnet
+    f or fence
+    r or rotate
+    $
+    *
+    errors
+    labels
+    subcell
+    connect
+No CIF/GDS output style set!
+I/O error in writing file /home/krishna/Comparator_MPW6/precheck_results/05_JUN_2022___09_50_48/outputs/user_analog_project_wrapper_erased.gds.
+File may be incompletely written.
+
+Magic 8.3 revision 274 - Compiled on Fri Mar  4 22:54:15 UTC 2022.
+Starting magic under Tcl interpreter
+Using the terminal as the console.
+Using NULL graphics device.
+Processing system .magicrc file
+Loading "/home/krishna/mpw_precheckmpw6/checks/xor_check/erase_box.tcl" from command line.
+"sky130(vendor)" is not one of the CIF input styles Magic knows.
+Error: No style is set
+The CIF input styles are: .
+Don't know how to read GDS-II:
+Nothing in "cifinput" section of tech file.
+File user_analog_project_wrapper.mag couldn't be read
+No such file or directory
+Creating new cell
+Root cell box:
+           width x height  (   llx,  lly  ), (   urx,  ury  )  area (units^2)
+
+microns:  43.000 x 3520.000  (-43.000,  0.000), ( 0.000,  3520.000)  151360.000
+lambda:       43 x 3520    (   -43,  0    ), (     0,  3520 )  151360    
+Root cell box:
+           width x height  (   llx,  lly  ), (   urx,  ury  )  area (units^2)
+
+microns:  43.000 x 3520.000  ( 2920.000,  0.000), ( 2963.000,  3520.000)  151360.000
+lambda:       43 x 3520    (  2920,  0    ), (  2963,  3520 )  151360    
+Root cell box:
+           width x height  (   llx,  lly  ), (   urx,  ury  )  area (units^2)
+
+microns:  3006.000 x 38.000  (-43.000, -38.000), ( 2963.000,  0.000)  114228.000
+lambda:     3006 x 38      (   -43, -38   ), (  2963,  0    )  114228    
+Root cell box:
+           width x height  (   llx,  lly  ), (   urx,  ury  )  area (units^2)
+
+microns:  3006.000 x 37.000  (-43.000,  3520.000), ( 2963.000,  3557.000)  111222.000
+lambda:     3006 x 37      (   -43,  3520 ), (  2963,  3557 )  111222    
+Unrecognized layer: metal5
+Layer names are:
+    mag or magnet
+    f or fence
+    r or rotate
+    $
+    *
+    errors
+    labels
+    subcell
+    connect
+Unrecognized layer: metal4
+Layer names are:
+    mag or magnet
+    f or fence
+    r or rotate
+    $
+    *
+    errors
+    labels
+    subcell
+    connect
+Unrecognized layer: metal5
+Layer names are:
+    mag or magnet
+    f or fence
+    r or rotate
+    $
+    *
+    errors
+    labels
+    subcell
+    connect
+Unrecognized layer: metal4
+Layer names are:
+    mag or magnet
+    f or fence
+    r or rotate
+    $
+    *
+    errors
+    labels
+    subcell
+    connect
+No CIF/GDS output style set!
+I/O error in writing file /home/krishna/Comparator_MPW6/precheck_results/05_JUN_2022___09_50_48/outputs/user_analog_project_wrapper_empty_erased.gds.
+File may be incompletely written.
+Reading /home/krishna/Comparator_MPW6/precheck_results/05_JUN_2022___09_50_48/outputs/user_analog_project_wrapper_erased.gds ..
+ERROR: In /home/krishna/mpw_precheckmpw6/checks/xor_check/xor.rb.drc: 'source': Stream has unknown format: /home/krishna/Comparator_MPW6/precheck_results/05_JUN_2022___09_50_48/outputs/user_analog_project_wrapper_erased.gds in Layout::read
+Total elapsed: 0.000s  Memory: 521.00M
+ERROR: 'source': Stream has unknown format: /home/krishna/Comparator_MPW6/precheck_results/05_JUN_2022___09_50_48/outputs/user_analog_project_wrapper_erased.gds in Layout::read in Executable::execute
+  /home/krishna/mpw_precheckmpw6/checks/xor_check/xor.rb.drc:15:in `execute'
+  :/built-in-macros/drc_interpreters.lym:27:in `instance_eval'
+  :/built-in-macros/drc_interpreters.lym:27:in `execute'
diff --git a/precheck_results/05_JUN_2022___09_50_48/outputs/reports/klayout_beol_check.xml b/precheck_results/05_JUN_2022___09_50_48/outputs/reports/klayout_beol_check.xml
new file mode 100644
index 0000000..9ad4ffd
--- /dev/null
+++ b/precheck_results/05_JUN_2022___09_50_48/outputs/reports/klayout_beol_check.xml
@@ -0,0 +1,447 @@
+<?xml version="1.0" encoding="utf-8"?>
+<report-database>
+ <description>SKY130 DRC runset</description>
+ <original-file/>
+ <generator>drc: script='/home/krishna/mpw_precheckmpw6/checks/tech-files/sky130A_mr.drc'</generator>
+ <top-cell>user_analog_project_wrapper</top-cell>
+ <tags>
+ </tags>
+ <categories>
+  <category>
+   <name>li.1</name>
+   <description>li.1 : min. li width : 0.17um</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>li.3</name>
+   <description>li.3 : min. li spacing : 0.17um</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>li.5</name>
+   <description>li.5 : min. li enclosure of licon of 2 adjacent edges : 0.08um</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>li.6</name>
+   <description>li.6 : min. li area : 0.0561um²</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>ct.1</name>
+   <description>ct.1: non-ring mcon should be rectangular</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>ct.1_a</name>
+   <description>ct.1_a : minimum width of mcon : 0.17um</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>ct.1_b</name>
+   <description>ct.1_b : maximum length of mcon : 0.17um</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>ct.2</name>
+   <description>ct.2 : min. mcon spacing : 0.19um</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>ct.4</name>
+   <description>ct.4 : mcon should covered by li</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>m1.1</name>
+   <description>m1.1 : min. m1 width : 0.14um</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>m1.2</name>
+   <description>m1.2 : min. m1 spacing : 0.14um</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>m1.3ab</name>
+   <description>m1.3ab : min. 3um.m1 spacing m1 : 0.28um</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>791_m1.4</name>
+   <description>791_m1.4 : min. m1 enclosure of mcon : 0.03um</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>m1.4</name>
+   <description>m1.4 : mcon periphery must be enclosed by m1</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>m1.4a</name>
+   <description>m1.4a : min. m1 enclosure of mcon for specific cells : 0.005um</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>m1.4a_a</name>
+   <description>m1.4a_a : mcon periph must be enclosed by met1 for specific cells</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>m1.6</name>
+   <description>m1.6 : min. m1 area : 0.083um²</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>m1.7</name>
+   <description>m1.7 : min. m1 with holes area : 0.14um²</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>m1.5</name>
+   <description>m1.5 : min. m1 enclosure of mcon of 2 adjacent edges : 0.06um</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>via.1a</name>
+   <description>via.1a : via outside of moduleCut should be rectangular</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>via.1a_a</name>
+   <description>via.1a_a : min. width of via outside of moduleCut : 0.15um</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>via.1a_b</name>
+   <description>via.1a_b : maximum length of via : 0.15um</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>via.2</name>
+   <description>via.2 : min. via spacing : 0.17um</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>via.4a</name>
+   <description>via.4a : min. m1 enclosure of 0.15um via : 0.055um</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>via.4a_a</name>
+   <description>via.4a_a : 0.15um via must be enclosed by met1</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>via.5a</name>
+   <description>via.5a : min. m1 enclosure of 0.15um via of 2 adjacent edges : 0.085um</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>m2.1</name>
+   <description>m2.1 : min. m2 width : 0.14um</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>m2.2</name>
+   <description>m2.2 : min. m2 spacing : 0.14um</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>m2.3ab</name>
+   <description>m2.3ab : min. 3um.m2 spacing m2 : 0.28um</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>m2.6</name>
+   <description>m2.6 : min. m2 area : 0.0676um²</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>m2.7</name>
+   <description>m2.7 : min. m2 holes area : 0.14um²</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>m2.4</name>
+   <description>m2.4 : min. m2 enclosure of via : 0.055um</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>m2.4_a</name>
+   <description>m2.4_a : via in periphery must be enclosed by met2</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>m2.5</name>
+   <description>m2.5 : min. m2 enclosure of via of 2 adjacent edges : 0.085um</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>via2.1a</name>
+   <description>via2.1a : via2 outside of moduleCut should be rectangular</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>via2.1a_a</name>
+   <description>via2.1a_a : min. width of via2 outside of moduleCut : 0.2um</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>via2.1a_b</name>
+   <description>via2.1a_b : maximum length of via2 : 0.2um</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>via2.2</name>
+   <description>via2.2 : min. via2 spacing : 0.2um</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>via2.4</name>
+   <description>via2.4 : min. m2 enclosure of via2 : 0.04um</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>via2.4_a</name>
+   <description>via2.4_a : via must be enclosed by met2</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>via2.5</name>
+   <description>via2.5 : min. m3 enclosure of via2 of 2 adjacent edges : 0.085um</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>m3.1</name>
+   <description>m3.1 : min. m3 width : 0.3um</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>m3.2</name>
+   <description>m3.2 : min. m3 spacing : 0.3um</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>m3.3cd</name>
+   <description>m3.3cd : min. 3um.m3 spacing m3 : 0.4um</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>m3.4</name>
+   <description>m3.4 : min. m3 enclosure of via2 : 0.065um</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>m3.4_a</name>
+   <description>m3.4_a : via2 must be enclosed by met3</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>via3.1</name>
+   <description>via3.1 : via3 outside of moduleCut should be rectangular</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>via3.1_a</name>
+   <description>via3.1_a : min. width of via3 outside of moduleCut : 0.2um</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>via3.1_b</name>
+   <description>via3.1_b : maximum length of via3 : 0.2um</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>via3.2</name>
+   <description>via3.2 : min. via3 spacing : 0.2um</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>via3.4</name>
+   <description>via3.4 : min. m3 enclosure of via3 : 0.06um</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>via3.4_a</name>
+   <description>via3.4_a : non-ring via3 must be enclosed by met3</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>via3.5</name>
+   <description>via3.5 : min. m3 enclosure of via3 of 2 adjacent edges : 0.09um</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>m4.1</name>
+   <description>m4.1 : min. m4 width : 0.3um</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>m4.2</name>
+   <description>m4.2 : min. m4 spacing : 0.3um</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>m4.4a</name>
+   <description>m4.4a : min. m4 area : 0.240um²</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>m4.5ab</name>
+   <description>m4.5ab : min. 3um.m4 spacing m4 : 0.4um</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>m4.3</name>
+   <description>m4.3 : min. m4 enclosure of via3 : 0.065um</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>m4.3_a</name>
+   <description>m4.3_a : via3 must be enclosed by met4</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>via4.1</name>
+   <description>via4.1 : via4 outside of moduleCut should be rectangular</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>via4.1_a</name>
+   <description>via4.1_a : min. width of via4 outside of moduleCut : 0.8um</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>via4.1_b</name>
+   <description>via4.1_b : maximum length of via4 : 0.8um</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>via4.2</name>
+   <description>via4.2 : min. via4 spacing : 0.8um</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>via4.4</name>
+   <description>via4.4 : min. m4 enclosure of via4 : 0.19um</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>via4.4_a</name>
+   <description>via4.4_a : m4 must enclose all via4</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>m5.1</name>
+   <description>m5.1 : min. m5 width : 1.6um</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>m5.2</name>
+   <description>m5.2 : min. m5 spacing : 1.6um</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>m5.3</name>
+   <description>m5.3 : min. m5 enclosure of via4 : 0.31um</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>m5.3_a</name>
+   <description>m5.3_a : via must be enclosed by m5</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>m5.4</name>
+   <description>m5.4 : min. m5 area : 4.0um²</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>pad.2</name>
+   <description>pad.2 : min. pad spacing : 1.27um</description>
+   <categories>
+   </categories>
+  </category>
+ </categories>
+ <cells>
+  <cell>
+   <name>user_analog_project_wrapper</name>
+   <variant/>
+   <references>
+   </references>
+  </cell>
+ </cells>
+ <items>
+ </items>
+</report-database>
diff --git a/precheck_results/05_JUN_2022___09_50_48/outputs/reports/klayout_feol_check.xml b/precheck_results/05_JUN_2022___09_50_48/outputs/reports/klayout_feol_check.xml
new file mode 100644
index 0000000..5c0c69c
--- /dev/null
+++ b/precheck_results/05_JUN_2022___09_50_48/outputs/reports/klayout_feol_check.xml
@@ -0,0 +1,363 @@
+<?xml version="1.0" encoding="utf-8"?>
+<report-database>
+ <description>SKY130 DRC runset</description>
+ <original-file/>
+ <generator>drc: script='/home/krishna/mpw_precheckmpw6/checks/tech-files/sky130A_mr.drc'</generator>
+ <top-cell>user_analog_project_wrapper</top-cell>
+ <tags>
+ </tags>
+ <categories>
+  <category>
+   <name>dnwell.2</name>
+   <description>dnwell.2 : min. dnwell width : 3.0um</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>nwell.1</name>
+   <description>nwell.1 : min. nwell width : 0.84um</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>nwell.2a</name>
+   <description>nwell.2a : min. nwell spacing (merged if less) : 1.27um</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>nwell.6</name>
+   <description>nwell.6 : min enclosure of nwellHole by dnwell : 1.03um</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>hvtp.1</name>
+   <description>hvtp.1 : min. hvtp width : 0.38um</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>hvtp.2</name>
+   <description>hvtp.2 : min. hvtp spacing : 0.38um</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>hvtr.1</name>
+   <description>hvtr.1 : min. hvtr width : 0.38um</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>hvtr.2</name>
+   <description>hvtr.2 : min. hvtr spacing : 0.38um</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>hvtr.2_a</name>
+   <description>hvtr.2_a : hvtr must not overlap hvtp</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>lvtn.1a</name>
+   <description>lvtn.1a : min. lvtn width : 0.38um</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>lvtn.2</name>
+   <description>lvtn.2 : min. lvtn spacing : 0.38um</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>ncm.1</name>
+   <description>ncm.1 : min. ncm width : 0.38um</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>ncm.2a</name>
+   <description>ncm.2a : min. ncm spacing : 0.38um</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>difftap.1</name>
+   <description>difftap.1 : min. diff width across areaid:ce : 0.15um</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>difftap.1_a</name>
+   <description>difftap.1_a : min. diff width in periphery : 0.15um</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>difftap.1_b</name>
+   <description>difftap.1_b : min. tap width across areaid:ce : 0.15um</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>difftap.1_c</name>
+   <description>difftap.1_c : min. tap width in periphery : 0.15um</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>difftap.3</name>
+   <description>difftap.3 : min. difftap spacing : 0.27um</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>tunm.1</name>
+   <description>tunm.1 : min. tunm width : 0.41um</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>tunm.2</name>
+   <description>tunm.2 : min. tunm spacing : 0.5um</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>poly.1a</name>
+   <description>poly.1a : min. poly width : 0.15um</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>poly.2</name>
+   <description>poly.2 : min. poly spacing : 0.21um</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>rpm.1a</name>
+   <description>rpm.1a : min. rpm width : 1.27um</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>rpm.2</name>
+   <description>rpm.2 : min. rpm spacing : 0.84um</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>urpm.1a</name>
+   <description>urpm.1a : min. rpm width : 1.27um</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>urpm.2</name>
+   <description>urpm.2 : min. rpm spacing : 0.84um</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>npc.1</name>
+   <description>npc.1 : min. npc width : 0.27um</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>npc.2</name>
+   <description>npc.2 : min. npc spacing, should be manually merged if less than : 0.27um</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>nsd.1</name>
+   <description>nsd.1 : min. nsdm width : 0.38um</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>nsd.2</name>
+   <description>nsd.2 : min. nsdm spacing, should be manually merged if less than : 0.38um</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>psd.1</name>
+   <description>psd.1 : min. psdm width : 0.38um</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>psd.2</name>
+   <description>psd.2 : min. psdm spacing, should be manually merged if less than : 0.38um</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>licon.1</name>
+   <description>licon.1 : licon should be rectangle</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>licon.1_a/b</name>
+   <description>licon.1_a/b : minimum/maximum width of licon : 0.17um</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>licon.13</name>
+   <description>licon.13 : min. difftap licon spacing to npc : 0.09um</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>licon.13_a</name>
+   <description>licon.13_a : licon of diffTap in periphery must not overlap npc</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>licon.17</name>
+   <description>licon.17 : Licons may not overlap both poly and (diff or tap)</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>capm.1</name>
+   <description>capm.1 : min. capm width : 1.0um</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>capm.2a</name>
+   <description>capm.2a : min. capm spacing : 0.84um</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>capm.2b</name>
+   <description>capm.2b : min. capm spacing : 1.2um</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>capm.2b_a</name>
+   <description>capm.2b_a : min. spacing of m3_bot_plate : 1.2um</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>capm.3</name>
+   <description>capm.3 : min. capm and m3 enclosure of m3 : 0.14um</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>capm.3_a</name>
+   <description>capm.3_a : min. m3 enclosure of capm : 0.14um</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>capm.4</name>
+   <description>capm.4 : min. capm enclosure of via3 : 0.14um</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>capm.5</name>
+   <description>capm.5 : min. capm spacing to via3 : 0.14um</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>cap2m.1</name>
+   <description>cap2m.1 : min. cap2m width : 1.0um</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>cap2m.2a</name>
+   <description>cap2m.2a : min. cap2m spacing : 0.84um</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>cap2m.2b</name>
+   <description>cap2m.2b : min. cap2m spacing : 1.2um</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>cap2m.2b_a</name>
+   <description>cap2m.2b_a : min. spacing of m4_bot_plate : 1.2um</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>cap2m.3</name>
+   <description>cap2m.3 : min. m4 enclosure of cap2m : 0.14um</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>cap2m.3_a</name>
+   <description>cap2m.3_a : min. m4 enclosure of cap2m : 0.14um</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>cap2m.4</name>
+   <description>cap2m.4 : min. cap2m enclosure of via4 : 0.14um</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>cap2m.5</name>
+   <description>cap2m.5 : min. cap2m spacing to via4 : 0.14um</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>hvi.1</name>
+   <description>hvi.1 : min. hvi width : 0.6um</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>hvi.2a</name>
+   <description>hvi.2a : min. hvi spacing : 0.7um</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>hvntm.1</name>
+   <description>hvntm.1 : min. hvntm width : 0.7um</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>hvntm.2</name>
+   <description>hvntm.2 : min. hvntm spacing : 0.7um</description>
+   <categories>
+   </categories>
+  </category>
+ </categories>
+ <cells>
+  <cell>
+   <name>user_analog_project_wrapper</name>
+   <variant/>
+   <references>
+   </references>
+  </cell>
+ </cells>
+ <items>
+ </items>
+</report-database>
diff --git a/precheck_results/05_JUN_2022___09_50_48/outputs/reports/klayout_met_min_ca_density_check.xml b/precheck_results/05_JUN_2022___09_50_48/outputs/reports/klayout_met_min_ca_density_check.xml
new file mode 100644
index 0000000..dc650ad
--- /dev/null
+++ b/precheck_results/05_JUN_2022___09_50_48/outputs/reports/klayout_met_min_ca_density_check.xml
@@ -0,0 +1,21 @@
+<?xml version="1.0" encoding="utf-8"?>
+<report-database>
+ <description>Density Checks</description>
+ <original-file/>
+ <generator>drc: script='/home/krishna/mpw_precheckmpw6/checks/drc_checks/klayout/met_min_ca_density.lydrc'</generator>
+ <top-cell>user_analog_project_wrapper</top-cell>
+ <tags>
+ </tags>
+ <categories>
+ </categories>
+ <cells>
+  <cell>
+   <name>user_analog_project_wrapper</name>
+   <variant/>
+   <references>
+   </references>
+  </cell>
+ </cells>
+ <items>
+ </items>
+</report-database>
diff --git a/precheck_results/05_JUN_2022___09_50_48/outputs/reports/klayout_offgrid_check.xml b/precheck_results/05_JUN_2022___09_50_48/outputs/reports/klayout_offgrid_check.xml
new file mode 100644
index 0000000..80a7de2
--- /dev/null
+++ b/precheck_results/05_JUN_2022___09_50_48/outputs/reports/klayout_offgrid_check.xml
@@ -0,0 +1,483 @@
+<?xml version="1.0" encoding="utf-8"?>
+<report-database>
+ <description>SKY130 DRC runset</description>
+ <original-file/>
+ <generator>drc: script='/home/krishna/mpw_precheckmpw6/checks/tech-files/sky130A_mr.drc'</generator>
+ <top-cell>user_analog_project_wrapper</top-cell>
+ <tags>
+ </tags>
+ <categories>
+  <category>
+   <name>dnwell_OFFGRID</name>
+   <description>x.1b : OFFGRID vertex on dnwell</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>dnwell_angle</name>
+   <description>x.3a : non 45 degree angle dnwell</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>nwell_OFFGRID</name>
+   <description>x.1b : OFFGRID vertex on nwell</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>nwell_angle</name>
+   <description>x.3a : non 45 degree angle nwell</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>pwbm_OFFGRID</name>
+   <description>x.1b : OFFGRID vertex on pwbm</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>pwbm_angle</name>
+   <description>x.3a : non 45 degree angle pwbm</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>pwde_OFFGRID</name>
+   <description>x.1b : OFFGRID vertex on pwde</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>pwde_angle</name>
+   <description>x.3a : non 45 degree angle pwde</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>hvtp_OFFGRID</name>
+   <description>x.1b : OFFGRID vertex on hvtp</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>hvtp_angle</name>
+   <description>x.3a : non 45 degree angle hvtp</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>hvtr_OFFGRID</name>
+   <description>x.1b : OFFGRID vertex on hvtr</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>hvtr_angle</name>
+   <description>x.3a : non 45 degree angle hvtr</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>lvtn_OFFGRID</name>
+   <description>x.1b : OFFGRID vertex on lvtn</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>lvtn_angle</name>
+   <description>x.3a : non 45 degree angle lvtn</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>ncm_OFFGRID</name>
+   <description>x.1b : OFFGRID vertex on ncm</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>ncm_angle</name>
+   <description>x.3a : non 45 degree angle ncm</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>diff_OFFGRID</name>
+   <description>x.1b : OFFGRID vertex on diff</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>tap_OFFGRID</name>
+   <description>x.1b : OFFGRID vertex on tap</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>diff_angle</name>
+   <description>x.2 : non 90 degree angle diff</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>diff_angle</name>
+   <description>x.2c : non 45 degree angle diff</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>tap_angle</name>
+   <description>x.2 : non 90 degree angle tap</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>tap_angle</name>
+   <description>x.2c : non 45 degree angle tap</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>tunm_OFFGRID</name>
+   <description>x.1b : OFFGRID vertex on tunm</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>tunm_angle</name>
+   <description>x.3a : non 45 degree angle tunm</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>poly_OFFGRID</name>
+   <description>x.1b : OFFGRID vertex on poly</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>poly_angle</name>
+   <description>x.2 : non 90 degree angle poly</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>rpm_OFFGRID</name>
+   <description>x.1b : OFFGRID vertex on rpm</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>rpm_angle</name>
+   <description>x.3a : non 45 degree angle rpm</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>npc_OFFGRID</name>
+   <description>x.1b : OFFGRID vertex on npc</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>npc_angle</name>
+   <description>x.3a : non 45 degree angle npc</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>nsdm_OFFGRID</name>
+   <description>x.1b : OFFGRID vertex on nsdm</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>nsdm_angle</name>
+   <description>x.3a : non 45 degree angle nsdm</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>psdm_OFFGRID</name>
+   <description>x.1b : OFFGRID vertex on psdm</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>psdm_angle</name>
+   <description>x.3a : non 45 degree angle psdm</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>licon_OFFGRID</name>
+   <description>x.1b : OFFGRID vertex on licon</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>licon_angle</name>
+   <description>x.2 : non 90 degree angle licon</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>li_OFFGRID</name>
+   <description>x.1b : OFFGRID vertex on li</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>li_angle</name>
+   <description>x.3a : non 45 degree angle li</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>ct_OFFGRID</name>
+   <description>x.1b : OFFGRID vertex on mcon</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>ct_angle</name>
+   <description>x.2 : non 90 degree angle mcon</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>vpp_OFFGRID</name>
+   <description>x.1b : OFFGRID vertex on vpp</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>vpp_angle</name>
+   <description>x.3a : non 45 degree angle vpp</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>m1_OFFGRID</name>
+   <description>x.1b : OFFGRID vertex on m1</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>m1_angle</name>
+   <description>x.3a : non 45 degree angle m1</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>via_OFFGRID</name>
+   <description>x.1b : OFFGRID vertex on via</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>via_angle</name>
+   <description>x.2 : non 90 degree angle via</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>m2_OFFGRID</name>
+   <description>x.1b : OFFGRID vertex on m2</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>m2_angle</name>
+   <description>x.3a : non 45 degree angle m2</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>via2_OFFGRID</name>
+   <description>x.1b : OFFGRID vertex on via2</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>via2_angle</name>
+   <description>x.2 : non 90 degree angle via2</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>m3_OFFGRID</name>
+   <description>x.1b : OFFGRID vertex on m3</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>m3_angle</name>
+   <description>x.3a : non 45 degree angle m3</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>via3_OFFGRID</name>
+   <description>x.1b : OFFGRID vertex on via3</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>via3_angle</name>
+   <description>x.2 : non 90 degree angle via3</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>nsm_OFFGRID</name>
+   <description>x.1b : OFFGRID vertex on nsm</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>nsm_angle</name>
+   <description>x.3a : non 45 degree angle nsm</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>m4_OFFGRID</name>
+   <description>x.1b : OFFGRID vertex on m4</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>m4_angle</name>
+   <description>x.3a : non 45 degree angle m4</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>via4_OFFGRID</name>
+   <description>x.1b : OFFGRID vertex on via4</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>via4_angle</name>
+   <description>x.2 : non 90 degree angle via4</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>m5_OFFGRID</name>
+   <description>x.1b : OFFGRID vertex on m5</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>m5_angle</name>
+   <description>x.3a : non 45 degree angle m5</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>pad_OFFGRID</name>
+   <description>x.1b : OFFGRID vertex on pad</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>pad_angle</name>
+   <description>x.3a : non 45 degree angle pad</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>mf_OFFGRID</name>
+   <description>x.1b : OFFGRID vertex on mf</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>mf_angle</name>
+   <description>x.2 : non 90 degree angle mf</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>hvi_OFFGRID</name>
+   <description>x.1b : OFFGRID vertex on hvi</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>hvi_angle</name>
+   <description>x.3a : non 45 degree angle hvi</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>hvntm_OFFGRID</name>
+   <description>x.1b : OFFGRID vertex on hvntm</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>hvntm_angle</name>
+   <description>x.3a : non 45 degree angle hvntm</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>vhvi_OFFGRID</name>
+   <description>x.1b : OFFGRID vertex on vhvi</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>vhvi_angle</name>
+   <description>x.3a : non 45 degree angle vhvi</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>uhvi_OFFGRID</name>
+   <description>x.1b : OFFGRID vertex on uhvi</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>uhvi_angle</name>
+   <description>x.3a : non 45 degree angle uhvi</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>pwell_rs_OFFGRID</name>
+   <description>x.1b : OFFGRID vertex on pwell_rs</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>pwell_rs_angle</name>
+   <description>x.3a : non 45 degree angle pwell_rs</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>areaid_re_OFFGRID</name>
+   <description>x.1b : OFFGRID vertex on areaid.re</description>
+   <categories>
+   </categories>
+  </category>
+ </categories>
+ <cells>
+  <cell>
+   <name>user_analog_project_wrapper</name>
+   <variant/>
+   <references>
+   </references>
+  </cell>
+ </cells>
+ <items>
+ </items>
+</report-database>
diff --git a/precheck_results/05_JUN_2022___09_50_48/outputs/reports/klayout_pin_label_purposes_overlapping_drawing_check.xml b/precheck_results/05_JUN_2022___09_50_48/outputs/reports/klayout_pin_label_purposes_overlapping_drawing_check.xml
new file mode 100644
index 0000000..95d0489
--- /dev/null
+++ b/precheck_results/05_JUN_2022___09_50_48/outputs/reports/klayout_pin_label_purposes_overlapping_drawing_check.xml
@@ -0,0 +1,21 @@
+<?xml version="1.0" encoding="utf-8"?>
+<report-database>
+ <description>pin_label_purposes_overlapping_drawing.rb.drc, input=/home/krishna/Comparator_MPW6/gds/user_analog_project_wrapper.gds, topcell=user_analog_project_wrapper</description>
+ <original-file/>
+ <generator>drc: script='/home/krishna/mpw_precheckmpw6/checks/drc_checks/klayout/pin_label_purposes_overlapping_drawing.rb.drc'</generator>
+ <top-cell>user_analog_project_wrapper</top-cell>
+ <tags>
+ </tags>
+ <categories>
+ </categories>
+ <cells>
+  <cell>
+   <name>user_analog_project_wrapper</name>
+   <variant/>
+   <references>
+   </references>
+  </cell>
+ </cells>
+ <items>
+ </items>
+</report-database>
diff --git a/precheck_results/05_JUN_2022___09_50_48/outputs/reports/klayout_zeroarea_check.xml b/precheck_results/05_JUN_2022___09_50_48/outputs/reports/klayout_zeroarea_check.xml
new file mode 100644
index 0000000..c90408a
--- /dev/null
+++ b/precheck_results/05_JUN_2022___09_50_48/outputs/reports/klayout_zeroarea_check.xml
@@ -0,0 +1,21 @@
+<?xml version="1.0" encoding="utf-8"?>
+<report-database>
+ <description>zero area check</description>
+ <original-file/>
+ <generator>drc: script='/home/krishna/mpw_precheckmpw6/checks/drc_checks/klayout/zeroarea.rb.drc'</generator>
+ <top-cell>user_analog_project_wrapper</top-cell>
+ <tags>
+ </tags>
+ <categories>
+ </categories>
+ <cells>
+  <cell>
+   <name>user_analog_project_wrapper</name>
+   <variant/>
+   <references>
+   </references>
+  </cell>
+ </cells>
+ <items>
+ </items>
+</report-database>
diff --git a/precheck_results/05_JUN_2022___09_50_48/outputs/reports/magic_drc_check.drc.report b/precheck_results/05_JUN_2022___09_50_48/outputs/reports/magic_drc_check.drc.report
new file mode 100644
index 0000000..829b9d5
--- /dev/null
+++ b/precheck_results/05_JUN_2022___09_50_48/outputs/reports/magic_drc_check.drc.report
@@ -0,0 +1,5 @@
+user_analog_project_wrapper
+----------------------------------------
+[INFO]: COUNT: 0
+[INFO]: Should be divided by 3 or 4
+
diff --git a/precheck_results/05_JUN_2022___09_50_48/outputs/reports/magic_drc_check.rdb b/precheck_results/05_JUN_2022___09_50_48/outputs/reports/magic_drc_check.rdb
new file mode 100644
index 0000000..8a882f3
--- /dev/null
+++ b/precheck_results/05_JUN_2022___09_50_48/outputs/reports/magic_drc_check.rdb
@@ -0,0 +1,2 @@
+$user_analog_project_wrapper
+ 100
diff --git a/precheck_results/05_JUN_2022___09_50_48/outputs/reports/magic_drc_check.tcl b/precheck_results/05_JUN_2022___09_50_48/outputs/reports/magic_drc_check.tcl
new file mode 100644
index 0000000..e69de29
--- /dev/null
+++ b/precheck_results/05_JUN_2022___09_50_48/outputs/reports/magic_drc_check.tcl
diff --git a/precheck_results/05_JUN_2022___09_50_48/outputs/reports/magic_drc_check.tr b/precheck_results/05_JUN_2022___09_50_48/outputs/reports/magic_drc_check.tr
new file mode 100644
index 0000000..e69de29
--- /dev/null
+++ b/precheck_results/05_JUN_2022___09_50_48/outputs/reports/magic_drc_check.tr
diff --git a/precheck_results/05_JUN_2022___09_50_48/outputs/reports/magic_drc_check.xml b/precheck_results/05_JUN_2022___09_50_48/outputs/reports/magic_drc_check.xml
new file mode 100644
index 0000000..7161d7c
--- /dev/null
+++ b/precheck_results/05_JUN_2022___09_50_48/outputs/reports/magic_drc_check.xml
@@ -0,0 +1,10 @@
+<?xml version="1.0" ?>
+<report-database>
+    <categories/>
+    <cells>
+        <cell>
+            <name>user_analog_project_wrapper</name>
+        </cell>
+    </cells>
+    <items/>
+</report-database>
diff --git a/precheck_results/05_JUN_2022___09_50_48/outputs/user_analog_project_wrapper.magic.drc.mag b/precheck_results/05_JUN_2022___09_50_48/outputs/user_analog_project_wrapper.magic.drc.mag
new file mode 100644
index 0000000..bc7865b
--- /dev/null
+++ b/precheck_results/05_JUN_2022___09_50_48/outputs/user_analog_project_wrapper.magic.drc.mag
@@ -0,0 +1,7 @@
+magic
+tech minimum
+magscale 1 2
+timestamp 0
+<< checkpaint >>
+rect 0 0 1 1
+<< end >>
diff --git a/precheck_results/05_JUN_2022___09_50_48/outputs/user_analog_project_wrapper_empty_erased.gds b/precheck_results/05_JUN_2022___09_50_48/outputs/user_analog_project_wrapper_empty_erased.gds
new file mode 100644
index 0000000..e69de29
--- /dev/null
+++ b/precheck_results/05_JUN_2022___09_50_48/outputs/user_analog_project_wrapper_empty_erased.gds
diff --git a/precheck_results/05_JUN_2022___09_50_48/outputs/user_analog_project_wrapper_erased.gds b/precheck_results/05_JUN_2022___09_50_48/outputs/user_analog_project_wrapper_erased.gds
new file mode 100644
index 0000000..e69de29
--- /dev/null
+++ b/precheck_results/05_JUN_2022___09_50_48/outputs/user_analog_project_wrapper_erased.gds
diff --git a/precheck_results/05_JUN_2022___09_50_48/outputs/user_analog_project_wrapper_no_zero_areas.gds b/precheck_results/05_JUN_2022___09_50_48/outputs/user_analog_project_wrapper_no_zero_areas.gds
new file mode 100644
index 0000000..f22b68a
--- /dev/null
+++ b/precheck_results/05_JUN_2022___09_50_48/outputs/user_analog_project_wrapper_no_zero_areas.gds
Binary files differ
diff --git a/xschem/analog_wrapper_tb.sch b/xschem/analog_wrapper_tb.sch
index 9e12638..cc29bc5 100644
--- a/xschem/analog_wrapper_tb.sch
+++ b/xschem/analog_wrapper_tb.sch
@@ -106,26 +106,6 @@
 lab=io_analog[3]}
 N 2130 -1120 2130 -1050 {
 lab=io_analog[2]}
-N 4680 -450 4680 -430 {
-lab=vccd1}
-N 4680 -370 4680 -340 {
-lab=#net7}
-N 4680 -120 4680 -110 {
-lab=vssa1}
-N 4680 -200 4680 -180 {
-lab=#net8}
-N 4680 -280 4680 -260 {
-lab=#net9}
-N 4910 -420 4910 -410 {
-lab=vccd1}
-N 4910 -350 4910 -330 {
-lab=#net10}
-N 4910 -270 4910 -250 {
-lab=#net11}
-N 4910 -190 4910 -160 {
-lab=#net12}
-N 4910 -100 4910 -80 {
-lab=vssa1}
 C {devices/TT_models.sym} 950 -1180 0 0 {name=TT_MODELS
 only_toplevel=true
 format="tcleval( @value )"
@@ -193,41 +173,3 @@
 C {devices/lab_pin.sym} 2030 -950 0 0 {name=l10 sig_type=std_logic lab=GND}
 C {devices/lab_pin.sym} 2130 -940 0 0 {name=l11 sig_type=std_logic lab=GND}
 C {devices/lab_pin.sym} 1490 -910 0 0 {name=l14 sig_type=std_logic lab=io_analog[10:0]}
-C {sky130_fd_pr/diode.sym} 4680 -310 0 0 {name=D9
-model=diode_pd2nw_05v5
-area=1e12
-}
-C {sky130_fd_pr/diode.sym} 4680 -230 0 0 {name=D10
-model=diode_pw2nd_05v5
-area=1e12
-}
-C {devices/lab_pin.sym} 4680 -110 3 0 {name=l31 sig_type=std_logic lab=vssa1
-}
-C {devices/lab_pin.sym} 4680 -450 2 0 {name=l32 sig_type=std_logic lab=vccd1}
-C {sky130_fd_pr/diode.sym} 4680 -400 0 0 {name=D11
-model=diode_pd2nw_05v5
-area=1e12
-}
-C {sky130_fd_pr/diode.sym} 4680 -150 0 0 {name=D12
-model=diode_pw2nd_05v5
-area=1e12
-}
-C {sky130_fd_pr/diode.sym} 4910 -220 2 0 {name=D13
-model=diode_pd2nw_05v5
-area=1e12
-}
-C {sky130_fd_pr/diode.sym} 4910 -300 2 0 {name=D14
-model=diode_pw2nd_05v5
-area=1e12
-}
-C {devices/lab_pin.sym} 4910 -80 0 0 {name=l33 sig_type=std_logic lab=vssa1
-}
-C {devices/lab_pin.sym} 4910 -420 0 0 {name=l34 sig_type=std_logic lab=vccd1}
-C {sky130_fd_pr/diode.sym} 4910 -130 2 0 {name=D15
-model=diode_pd2nw_05v5
-area=1e12
-}
-C {sky130_fd_pr/diode.sym} 4910 -380 2 0 {name=D16
-model=diode_pw2nd_05v5
-area=1e12
-}
diff --git a/xschem/analog_wrapper_tb.spice b/xschem/analog_wrapper_tb.spice
index af60488..01ef2d8 100644
--- a/xschem/analog_wrapper_tb.spice
+++ b/xschem/analog_wrapper_tb.spice
@@ -68,14 +68,6 @@
 + net14[0] net15[2] net15[1] net15[0] net29 net16[2] net16[1] net16[0] user_analog_project_wrapper
 C1 io_analog[3] GND 0.1p m=1
 C2 io_analog[2] GND 0.1p m=1
-D9 net32 net30 sky130_fd_pr__diode_pd2nw_05v5 area=1e12
-D10 net31 net32 sky130_fd_pr__diode_pw2nd_05v5 area=1e12
-D11 net30 vccd1 sky130_fd_pr__diode_pd2nw_05v5 area=1e12
-D12 vssa1 net31 sky130_fd_pr__diode_pw2nd_05v5 area=1e12
-D13 net34 net35 sky130_fd_pr__diode_pd2nw_05v5 area=1e12
-D14 net33 net34 sky130_fd_pr__diode_pw2nd_05v5 area=1e12
-D15 net35 vssa1 sky130_fd_pr__diode_pd2nw_05v5 area=1e12
-D16 vccd1 net33 sky130_fd_pr__diode_pw2nd_05v5 area=1e12
 **** begin user architecture code
 
 ** opencircuitdesign pdks install
@@ -243,7 +235,7 @@
 D1 io_analog[8] vccd1 sky130_fd_pr__diode_pd2nw_05v5 pj=1.8e+06u area=2.025e+11p
 D2 vssa1 io_analog[8] sky130_fd_pr__diode_pw2nd_05v5 pj=1.8e+06u area=2.025e+11p
 D3 io_analog[7] vccd1 sky130_fd_pr__diode_pd2nw_05v5 pj=1.8e+06u area=2.025e+11p
-D4 vssa1 io_analog[7] sky130_fd_pr__diode_pw2nd_05v5 pj=4e+06u area=1e+12p
+D4 vssa1 io_analog[7] sky130_fd_pr__diode_pw2nd_05v5 pj=1.8e+06u area=2.025e+11p
 x2 net8 vssa1 vssa1 vccd1 vccd1 net4 sky130_fd_sc_hd__buf_2
 x3 net4 vssa1 vssa1 vccd1 vccd1 io_analog[3] sky130_fd_sc_hd__buf_16
 x4 net9 vssa1 vssa1 vccd1 vccd1 net5 sky130_fd_sc_hd__buf_2
@@ -252,12 +244,12 @@
 x7 net3 vssa1 vssa1 vccd1 vccd1 net2 sky130_fd_sc_hd__buf_16
 x8 io_analog[8] vssa1 vssa1 vccd1 vccd1 net6 sky130_fd_sc_hd__buf_2
 x9 net6 vssa1 vssa1 vccd1 vccd1 net1 sky130_fd_sc_hd__buf_16
-x10 io_analog[1] vssa1 vssa1 vccd1 vccd1 net7 sky130_fd_sc_hd__buf_2
-x11 net7 vssa1 vssa1 vccd1 vccd1 io_analog[0] sky130_fd_sc_hd__buf_16
-V0 vccd1 io_clamp_high[1] 0.0
-V1 vccd1 io_clamp_high[2] 0.0
-V2 vssa1 io_clamp_low[2] 0.0
-V3 vssa1 io_clamp_low[1] 0.0
+x10 io_analog[8] vssa1 vssa1 vccd1 vccd1 net7 sky130_fd_sc_hd__buf_2
+x11 net7 vssa1 vssa1 vccd1 vccd1 io_analog[1] sky130_fd_sc_hd__buf_16
+V0 vssa1 io_clamp_low[1] 0.0
+V1 vssa1 io_clamp_low[2] 0.0
+V2 vccd1 io_clamp_high[2] 0.0
+V3 vccd1 io_clamp_high[1] 0.0
 .ends
 
 
diff --git a/xschem/user_analog_project_wrapper.sch b/xschem/user_analog_project_wrapper.sch
index 717d802..c5582c6 100644
--- a/xschem/user_analog_project_wrapper.sch
+++ b/xschem/user_analog_project_wrapper.sch
@@ -93,10 +93,11 @@
 N 4890 -80 4990 -80 {
 lab=#net7}
 N 4770 -80 4810 -80 {
-lab=io_analog[1]}
+lab=io_analog[8]}
 N 5070 -80 5120 -80 {
 lab=io_analog[0]}
-N 4180 330 4250 330 {}
+N 4180 330 4250 330 {
+lab=io_analog[6]}
 C {devices/iopin.sym} 3240 -470 0 0 {name=p1 lab=vdda1}
 C {devices/iopin.sym} 3240 -440 0 0 {name=p2 lab=vdda2}
 C {devices/iopin.sym} 3240 -410 0 0 {name=p3 lab=vssa1}
@@ -174,5 +175,5 @@
 C {devices/lab_pin.sym} 4120 330 0 0 {name=l28 sig_type=std_logic lab=io_analog[6]}
 C {sky130_stdcells/buf_2.sym} 4850 -80 0 0 {name=x10 VGND=vssa1 VNB=vssa1 VPB=vccd1 VPWR=vccd1 prefix=sky130_fd_sc_hd__}
 C {sky130_stdcells/buf_16.sym} 5030 -80 0 0 {name=x11 VGND=vssa1 VNB=vssa1 VPB=vccd1 VPWR=vccd1 prefix=sky130_fd_sc_hd__}
-C {devices/lab_pin.sym} 4770 -80 0 0 {name=l5 sig_type=std_logic lab=io_analog[1]}
-C {devices/lab_pin.sym} 5120 -80 2 0 {name=l6 sig_type=std_logic lab=io_analog[0]}
+C {devices/lab_pin.sym} 4770 -80 0 0 {name=l5 sig_type=std_logic lab=io_analog[8]}
+C {devices/lab_pin.sym} 5120 -80 2 0 {name=l6 sig_type=std_logic lab=io_analog[1]}
diff --git a/xschem/user_analog_project_wrapper.spice b/xschem/user_analog_project_wrapper.spice
index 90f2447..b966cbc 100644
--- a/xschem/user_analog_project_wrapper.spice
+++ b/xschem/user_analog_project_wrapper.spice
@@ -1,4 +1,4 @@
-** sch_path: /home/krishna/Documents/Comparator_MPW6/xschem/user_analog_project_wrapper.sch
+** sch_path: /home/krishna/Comparator_MPW6/xschem/user_analog_project_wrapper.sch
 **.subckt user_analog_project_wrapper vdda1 vdda2 vssa1 vssa2 vccd1 vccd2 vssd1 vssd2 wb_clk_i
 *+ wb_rst_i wbs_stb_i wbs_cyc_i wbs_we_i wbs_sel_i[3],wbs_sel_i[2],wbs_sel_i[1],wbs_sel_i[0]
 *+ wbs_dat_i[31],wbs_dat_i[30],wbs_dat_i[29],wbs_dat_i[28],wbs_dat_i[27],wbs_dat_i[26],wbs_dat_i[25],wbs_dat_i[24],wbs_dat_i[23],wbs_dat_i[22],wbs_dat_i[21],wbs_dat_i[20],wbs_dat_i[19],wbs_dat_i[18],wbs_dat_i[17],wbs_dat_i[16],wbs_dat_i[15],wbs_dat_i[14],wbs_dat_i[13],wbs_dat_i[12],wbs_dat_i[11],wbs_dat_i[10],wbs_dat_i[9],wbs_dat_i[8],wbs_dat_i[7],wbs_dat_i[6],wbs_dat_i[5],wbs_dat_i[4],wbs_dat_i[3],wbs_dat_i[2],wbs_dat_i[1],wbs_dat_i[0]
@@ -73,17 +73,13 @@
 x7 net3 vssa1 vssa1 vccd1 vccd1 net2 sky130_fd_sc_hd__buf_16
 x8 io_analog[8] vssa1 vssa1 vccd1 vccd1 net6 sky130_fd_sc_hd__buf_2
 x9 net6 vssa1 vssa1 vccd1 vccd1 net1 sky130_fd_sc_hd__buf_16
-D5 io_analog[5] vccd1 sky130_fd_pr__diode_pd2nw_05v5 area=1e12
-D6 vssa1 io_analog[5] sky130_fd_pr__diode_pw2nd_05v5 area=1e12
-D7 io_analog[6] vccd1 sky130_fd_pr__diode_pd2nw_05v5 area=1e12
-D8 vssa1 io_analog[6] sky130_fd_pr__diode_pw2nd_05v5 area=1e12
-x10 io_analog[1] vssa1 vssa1 vccd1 vccd1 net7 sky130_fd_sc_hd__buf_2
-x11 net7 vssa1 vssa1 vccd1 vccd1 io_analog[0] sky130_fd_sc_hd__buf_16
+x10 io_analog[8] vssa1 vssa1 vccd1 vccd1 net7 sky130_fd_sc_hd__buf_2
+x11 net7 vssa1 vssa1 vccd1 vccd1 io_analog[1] sky130_fd_sc_hd__buf_16
 **.ends
 
 * expanding   symbol:  comparator.sym # of pins=8
-** sym_path: /home/krishna/Documents/Comparator_MPW6/xschem/comparator.sym
-** sch_path: /home/krishna/Documents/Comparator_MPW6/xschem/comparator.sch
+** sym_path: /home/krishna/Comparator_MPW6/xschem/comparator.sym
+** sch_path: /home/krishna/Comparator_MPW6/xschem/comparator.sch
 .subckt comparator  VDD GND CLKBAR Outn Outp Vp Vn CLK
 *.iopin VDD
 *.iopin GND