third commit
diff --git a/Makefile b/Makefile
index 2ac486a..9b8b51c 100644
--- a/Makefile
+++ b/Makefile
@@ -16,7 +16,7 @@
 PDK_ROOT?=/usr/local/share/pdk/sky130A
 INPUT_DIRECTORY?=/home/krishna/Comparator_MPW6
 CARAVEL_ROOT?=/home/krishna/Comparator_MPW6
-PRECHECK_ROOT?=/home/krishna/mpw_precheck
+PRECHECK_ROOT?=/home/krishna/mpw_precheckmpw6
 SIM ?= RTL
 
 # Install lite version of caravel, (1): caravel-lite, (0): caravel
@@ -101,7 +101,7 @@
 # Default installs to the user home directory, override by "export PRECHECK_ROOT=<precheck-installation-path>"
 .PHONY: precheck
 precheck:
-	@git clone --depth=1 --branch mpw-5a https://github.com/efabless/mpw_precheck.git $(PRECHECK_ROOT)
+	@git clone --depth=1 --branch mpw-6c https://github.com/efabless/mpw_precheck.git $(PRECHECK_ROOT)
 	@docker pull efabless/mpw_precheck:latest
 
 .PHONY: run-precheck
diff --git a/gds/user_analog_project_wrapper.gds b/gds/user_analog_project_wrapper.gds
index db23266..c9eceb4 100644
--- a/gds/user_analog_project_wrapper.gds
+++ b/gds/user_analog_project_wrapper.gds
Binary files differ
diff --git a/mag/comparator_v6.ext b/mag/comparator_v6.ext
index 02f556c..686ae2d 100644
--- a/mag/comparator_v6.ext
+++ b/mag/comparator_v6.ext
@@ -33,144 +33,145 @@
 node "VDD" 9497 22226.6 -114 3130 nw 0 0 0 0 674228 4476 0 0 11696 480 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 9377032 30660 1241164 14616 9215660 22244 1155620 12124 9053420 17424 0 0 0 0
 node "w_782_5052#" 3460 140.755 782 5052 nw 0 0 0 0 44872 884 0 0 17784 612 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 21640 756 0 0 0 0 0 0 0 0 0 0 0 0
 substrate "a_86_n1150#" 0 0 86 -1150 ppd 0 0 0 0 0 0 0 0 0 0 12672 496 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 16760 636 0 0 0 0 0 0 0 0 0 0 0 0
-cap "w_64_1616#" "GND" 1.81319
-cap "fp" "Dn" 1004.44
-cap "fn" "CLK" 481.001
-cap "CLKBAR" "a_528_1662#" 3.41584
-cap "CLKBAR" "GND" 102.027
-cap "Dp" "a_652_4812#" 2.94872
-cap "a_528_1662#" "CLK" 0.242105
-cap "CLKBAR" "VDD" 1041.95
-cap "CLKBAR" "Dp" 334.887
-cap "CLK" "GND" 180.748
-cap "Vn" "CLK" 17.8378
-cap "VDD" "CLK" 282.77
-cap "CLKBAR" "w_64_1616#" 456.174
-cap "Dp" "CLK" 359.008
-cap "CLKBAR" "w_1110_1902#" 232.043
-cap "w_64_1616#" "CLK" 25.3904
-cap "VDD" "fp" 83.6888
-cap "w_782_5052#" "VDD" 88.3518
-cap "VDD" "li_1370_1838#" 198
-cap "CLKBAR" "a_1110_1656#" 2.875
-cap "m3_266_1458#" "CLK" 71.7444
-cap "CLK" "a_1110_1656#" 0.489362
-cap "Vp" "CLK" 19.2233
-cap "VDD" "Dn" 337.145
-cap "Dp" "Dn" 2.89071
-cap "m1_544_166#" "CLK" 74.6341
-cap "CLKBAR" "CLK" 43.913
-cap "m1_544_166#" "fp" 162.117
-cap "fp" "CLK" 535.142
-cap "CLKBAR" "li_1370_1838#" 85.2332
-cap "fn" "Dp" 506.654
-cap "li_1370_1838#" "CLK" 4.34884
-cap "fn" "w_64_1616#" 77.088
-cap "Outn" "Outp" 6.09554
-cap "CLKBAR" "Dn" 340.023
+cap "w_64_1616#" "a_528_1662#" 9.35
 cap "Dp" "GND" 195.677
-cap "CLK" "Dn" 347.603
+cap "li_1370_1838#" "VDD" 198
+cap "Dn" "VDD" 337.145
+cap "Dp" "fn" 506.654
+cap "Dp" "a_652_4812#" 2.94872
+cap "CLK" "fp" 535.142
+cap "VDD" "w_782_5052#" 88.3518
+cap "Vn" "CLK" 17.8378
+cap "CLK" "CLKBAR" 43.913
+cap "w_64_1616#" "GND" 1.81319
+cap "w_64_1616#" "fn" 77.088
+cap "fp" "VDD" 83.6888
+cap "Dp" "CLKBAR" 334.887
+cap "CLK" "Dp" 359.008
+cap "CLKBAR" "a_528_1662#" 3.41584
+cap "CLK" "a_528_1662#" 0.242105
+cap "CLKBAR" "VDD" 1041.95
+cap "CLK" "VDD" 282.77
+cap "CLKBAR" "w_1110_1902#" 232.043
+cap "CLKBAR" "a_1110_1656#" 2.875
+cap "CLK" "a_1110_1656#" 0.489362
+cap "CLK" "m3_266_1458#" 71.7444
+cap "Dn" "fp" 1004.44
+cap "Outn" "Outp" 6.09554
 cap "Dp" "VDD" 72.2468
-cap "a_528_1662#" "w_64_1616#" 9.35
-cap "preamp_part12_0/li_n720_n474#" "preamp_part12_0/a_n434_n660#" 12.75
-cap "preamp_part12_0/a_n656_n132#" "preamp_part12_0/li_n718_n356#" 9.40738
-cap "preamp_part12_0/li_n720_n474#" "preamp_part12_0/li_n718_n356#" 8.06358
-cap "preamp_part12_0/li_n720_n474#" "preamp_part12_0/a_n434_n660#" 12.75
-cap "preamp_part12_0/a_n168_604#" "preamp_part12_0/li_954_n358#" 1.07713
-cap "preamp_part12_0/a_80_n658#" "preamp_part12_0/a_388_n660#" -17.4051
-cap "preamp_part12_0/sky130_fd_pr__nfet_01v8_G6PLX8_1/a_n221_n474#" "preamp_part12_0/a_n168_604#" 0.0142912
+cap "li_1370_1838#" "CLKBAR" 85.2332
+cap "CLK" "li_1370_1838#" 4.34884
+cap "Dn" "CLKBAR" 340.023
+cap "CLK" "Dn" 347.603
+cap "w_64_1616#" "CLKBAR" 456.174
+cap "CLK" "w_64_1616#" 25.3904
+cap "m1_544_166#" "fp" 162.117
+cap "CLKBAR" "GND" 102.027
+cap "CLK" "GND" 180.748
+cap "CLK" "fn" 481.001
+cap "CLK" "m1_544_166#" 74.6341
+cap "CLK" "Vp" 19.2233
+cap "Dp" "Dn" 2.89071
+cap "preamp_part12_0/a_n434_n660#" "preamp_part12_0/li_n720_n474#" 12.75
+cap "preamp_part12_0/li_n718_n356#" "preamp_part12_0/li_n720_n474#" 8.06358
+cap "preamp_part12_0/li_n718_n356#" "preamp_part12_0/a_n656_n132#" 9.40738
 cap "preamp_part12_0/a_n434_n660#" "preamp_part12_0/a_80_n658#" -16.2825
-cap "preamp_part12_0/li_n720_n474#" "preamp_part12_0/li_954_n358#" 0.692308
-cap "preamp_part12_0/sky130_fd_pr__nfet_01v8_G6PLX8_0/a_n221_n474#" "preamp_part12_0/a_n434_n660#" 0.692308
-cap "preamp_part12_0/a_n168_604#" "preamp_part12_0/li_954_n358#" 21.7941
-cap "preamp_part12_0/li_954_n358#" "preamp_part12_0/sky130_fd_pr__nfet_01v8_F5U58G_1/a_n73_n500#" 0.692308
+cap "preamp_part12_0/sky130_fd_pr__nfet_01v8_G6PLX8_1/a_n221_n474#" "preamp_part12_0/a_n168_604#" 0.0142912
+cap "preamp_part12_0/a_n434_n660#" "preamp_part12_0/li_n720_n474#" 12.75
+cap "preamp_part12_0/a_n434_n660#" "preamp_part12_0/sky130_fd_pr__nfet_01v8_G6PLX8_0/a_n221_n474#" 0.692308
+cap "preamp_part12_0/li_954_n358#" "preamp_part12_0/a_n168_604#" 1.07713
+cap "preamp_part12_0/a_80_n658#" "preamp_part12_0/a_388_n660#" -17.4051
+cap "preamp_part12_0/li_954_n358#" "preamp_part12_0/li_n720_n474#" 0.692308
+cap "preamp_part12_0/sky130_fd_pr__nfet_01v8_F5U58G_1/a_n73_n500#" "preamp_part12_0/li_954_n358#" 0.692308
+cap "preamp_part12_0/li_954_n358#" "preamp_part12_0/a_n168_604#" 21.7941
 cap "preamp_part12_0/a_n506_870#" "preamp_part12_0/sky130_fd_pr__pfet_01v8_RFM3CD_0/a_n73_n100#" 7.30889
-cap "preamp_part12_0/a_n506_870#" "preamp_part12_0/a_n656_n132#" 37.3171
-cap "preamp_part12_0/a_n656_n132#" "preamp_part12_0/li_n718_n356#" -4.40089
+cap "preamp_part12_0/li_n718_n356#" "preamp_part12_0/a_n656_n132#" -4.40089
 cap "preamp_part12_0/w_n720_994#" "preamp_part12_0/a_n656_n132#" 69.7726
-cap "preamp_part12_0/a_n656_n132#" "preamp_part12_0/sky130_fd_pr__pfet_01v8_RFM3CD_0/a_n73_n100#" 33.3
-cap "preamp_part12_0/w_n720_994#" "preamp_part12_0/a_n656_n132#" 16.3235
+cap "preamp_part12_0/sky130_fd_pr__pfet_01v8_RFM3CD_0/a_n73_n100#" "preamp_part12_0/a_n656_n132#" 33.3
+cap "preamp_part12_0/a_n506_870#" "preamp_part12_0/a_n656_n132#" 37.3171
+cap "preamp_part12_0/a_706_862#" "preamp_part12_0/w_n720_994#" 6.08017
+cap "preamp_part12_0/sky130_fd_pr__nfet_01v8_G6PLX8_1/a_n221_n474#" "preamp_part12_0/sky130_fd_pr__pfet_01v8_RFM3CD_1/a_n73_n100#" 4.2676
 cap "preamp_part12_0/a_n656_n132#" "preamp_part12_0/sky130_fd_pr__pfet_01v8_RFM3CD_1/a_n73_n100#" -0.121863
-cap "preamp_part12_0/w_n720_994#" "preamp_part12_0/sky130_fd_pr__pfet_01v8_RFM3CD_1/a_n73_n100#" 66.6084
-cap "preamp_part12_0/sky130_fd_pr__pfet_01v8_RFM3CD_1/a_n73_n100#" "preamp_part12_0/li_954_n358#" 0.837766
-cap "preamp_part12_0/a_n656_n132#" "preamp_part12_0/a_n506_870#" 80.5263
 cap "preamp_part12_0/a_n656_n132#" "preamp_part12_0/a_706_862#" 1.82456
 cap "preamp_part12_0/sky130_fd_pr__pfet_01v8_RFM3CD_1/a_n73_n100#" "preamp_part12_0/sky130_fd_pr__nfet_01v8_RURP52_0/a_n125_n348#" 25.3554
-cap "preamp_part12_0/w_n720_994#" "preamp_part12_0/a_706_862#" 6.08017
-cap "preamp_part12_0/w_n720_994#" "preamp_part12_0/a_n506_870#" 3.31259
+cap "preamp_part12_0/sky130_fd_pr__pfet_01v8_RFM3CD_1/a_n73_n100#" "preamp_part12_0/li_954_n358#" 0.837766
+cap "preamp_part12_0/a_n506_870#" "preamp_part12_0/w_n720_994#" 3.31259
 cap "preamp_part12_0/a_706_862#" "preamp_part12_0/sky130_fd_pr__pfet_01v8_RFM3CD_1/a_n73_n100#" 44.99
-cap "preamp_part12_0/sky130_fd_pr__nfet_01v8_G6PLX8_1/a_n221_n474#" "preamp_part12_0/sky130_fd_pr__pfet_01v8_RFM3CD_1/a_n73_n100#" 4.2676
-cap "preamp_part12_0/a_706_862#" "preamp_part12_0/sky130_fd_pr__pfet_01v8_RFM3CD_1/a_15_n100#" 1.49202
+cap "preamp_part12_0/a_n506_870#" "preamp_part12_0/a_n656_n132#" 80.5263
+cap "preamp_part12_0/a_n656_n132#" "preamp_part12_0/w_n720_994#" 16.3235
+cap "preamp_part12_0/sky130_fd_pr__pfet_01v8_RFM3CD_1/a_n73_n100#" "preamp_part12_0/w_n720_994#" 66.6084
+cap "preamp_part12_0/li_954_n358#" "preamp_part12_0/sky130_fd_pr__pfet_01v8_RFM3CD_1/a_n73_n100#" 1.85294
+cap "preamp_part12_0/sky130_fd_pr__pfet_01v8_RFM3CD_1/a_15_n100#" "preamp_part12_0/a_706_862#" 1.49202
+cap "preamp_part12_0/sky130_fd_pr__pfet_01v8_RFM3CD_1/a_n73_n100#" "preamp_part12_0/a_706_862#" -1.77636e-15
 cap "preamp_part12_0/sky130_fd_pr__pfet_01v8_RFM3CD_1/a_n73_n100#" "preamp_part12_0/sky130_fd_pr__pfet_01v8_RFM3CD_1/a_15_n100#" 11.6434
-cap "preamp_part12_0/sky130_fd_pr__pfet_01v8_RFM3CD_1/a_n73_n100#" "preamp_part12_0/li_954_n358#" 1.85294
-cap "preamp_part22_0/sky130_fd_pr__pfet_01v8_RFM3CD#0_0/a_15_n100#" "preamp_part12_0/sky130_fd_pr__pfet_01v8_RFM3CD_0/a_n73_n100#" 26.455
 cap "CLK" "preamp_part12_0/sky130_fd_pr__pfet_01v8_RFM3CD_0/a_n73_n100#" 5.88032
+cap "preamp_part22_0/sky130_fd_pr__pfet_01v8_RFM3CD#0_0/a_15_n100#" "preamp_part12_0/sky130_fd_pr__pfet_01v8_RFM3CD_0/a_n73_n100#" 26.455
 cap "preamp_part22_0/sky130_fd_pr__pfet_01v8_RFM3CD#0_2/a_15_n100#" "preamp_part22_0/w_78_306#" 0.942857
-cap "preamp_part12_0/w_n720_994#" "CLK" 4.6516
-cap "preamp_part22_0/sky130_fd_pr__pfet_01v8_RFM3CD#0_2/a_n15_n126#" "preamp_part22_0/sky130_fd_pr__pfet_01v8_RFM3CD#0_2/a_15_n100#" 22.3092
-cap "preamp_part22_0/sky130_fd_pr__pfet_01v8_RFM3CD#0_0/a_15_n100#" "preamp_part22_0/w_78_306#" -19.417
-cap "preamp_part22_0/sky130_fd_pr__pfet_01v8_RFM3CD#0_1/a_15_n100#" "preamp_part22_0/a_392_716#" 13.1013
-cap "preamp_part22_0/sky130_fd_pr__pfet_01v8_RFM3CD#0_0/a_15_n100#" "preamp_part22_0/sky130_fd_pr__pfet_01v8_RFM3CD#0_0/a_n15_n126#" 16.8442
-cap "preamp_part22_0/sky130_fd_pr__pfet_01v8_RFM3CD#0_1/a_15_n100#" "preamp_part22_0/sky130_fd_pr__pfet_01v8_RFM3CD#0_1/a_n15_n126#" 160.099
-cap "preamp_part22_0/sky130_fd_pr__pfet_01v8_RFM3CD#0_1/a_n15_n126#" "preamp_part22_0/a_392_716#" 23.507
-cap "preamp_part22_0/w_78_306#" "preamp_part22_0/sky130_fd_pr__pfet_01v8_RFM3CD#0_3/a_n15_n126#" 29.9521
-cap "preamp_part22_0/sky130_fd_pr__pfet_01v8_RFM3CD#0_1/a_15_n100#" "latch_3_0/inv_W12_1/GND" 1.49202
-cap "preamp_part22_0/sky130_fd_pr__pfet_01v8_RFM3CD#0_0/a_15_n100#" "preamp_part22_0/sky130_fd_pr__pfet_01v8_RFM3CD#0_1/a_n15_n126#" 40.233
-cap "preamp_part22_0/w_78_306#" "preamp_part22_0/sky130_fd_pr__pfet_01v8_RFM3CD#0_2/a_n15_n126#" 8.62731
-cap "preamp_part22_0/sky130_fd_pr__pfet_01v8_RFM3CD#0_0/a_n15_n126#" "preamp_part22_0/sky130_fd_pr__pfet_01v8_RFM3CD#0_2/a_n15_n126#" 0.242105
-cap "preamp_part22_0/sky130_fd_pr__pfet_01v8_RFM3CD#0_1/a_15_n100#" "preamp_part22_0/sky130_fd_pr__pfet_01v8_RFM3CD#0_3/a_n15_n126#" 22.7509
-cap "preamp_part22_0/sky130_fd_pr__pfet_01v8_RFM3CD#0_1/a_n15_n126#" "preamp_part22_0/sky130_fd_pr__pfet_01v8_RFM3CD#0_3/a_n15_n126#" -0.244681
-cap "preamp_part22_0/w_78_306#" "preamp_part22_0/sky130_fd_pr__pfet_01v8_RFM3CD#0_2/a_15_n100#" 84.1635
-cap "preamp_part22_0/sky130_fd_pr__pfet_01v8_RFM3CD#0_0/a_n15_n126#" "preamp_part22_0/sky130_fd_pr__pfet_01v8_RFM3CD#0_2/a_15_n100#" 153.083
-cap "preamp_part22_0/sky130_fd_pr__pfet_01v8_RFM3CD#0_0/a_15_n100#" "preamp_part22_0/sky130_fd_pr__pfet_01v8_RFM3CD#0_2/a_15_n100#" 12.5837
-cap "latch_3_0/inv_W12_1/GND" "preamp_part22_0/sky130_fd_pr__pfet_01v8_RFM3CD#0_2/a_15_n100#" 1.49202
-cap "preamp_part22_0/w_78_306#" "preamp_part22_0/sky130_fd_pr__pfet_01v8_RFM3CD#0_0/a_n15_n126#" 350.005
-cap "preamp_part12_0/w_n720_994#" "CLK" 0.087766
-cap "preamp_part22_0/w_78_306#" "preamp_part22_0/sky130_fd_pr__pfet_01v8_RFM3CD#0_1/a_15_n100#" 70.7327
-cap "preamp_part22_0/w_78_306#" "preamp_part22_0/a_392_716#" 66.9678
-cap "preamp_part22_0/w_78_306#" "preamp_part22_0/sky130_fd_pr__pfet_01v8_RFM3CD#0_1/a_n15_n126#" 191.461
+cap "preamp_part22_0/sky130_fd_pr__pfet_01v8_RFM3CD#0_2/a_15_n100#" "preamp_part22_0/w_78_306#" 84.1635
+cap "preamp_part22_0/sky130_fd_pr__pfet_01v8_RFM3CD#0_1/a_n15_n126#" "preamp_part22_0/sky130_fd_pr__pfet_01v8_RFM3CD#0_1/a_15_n100#" 160.099
+cap "preamp_part22_0/sky130_fd_pr__pfet_01v8_RFM3CD#0_2/a_n15_n126#" "preamp_part22_0/sky130_fd_pr__pfet_01v8_RFM3CD#0_0/a_n15_n126#" 0.242105
+cap "preamp_part22_0/sky130_fd_pr__pfet_01v8_RFM3CD#0_1/a_n15_n126#" "preamp_part22_0/sky130_fd_pr__pfet_01v8_RFM3CD#0_0/a_15_n100#" 40.233
 cap "preamp_part22_0/sky130_fd_pr__pfet_01v8_RFM3CD#0_0/a_n15_n126#" "preamp_part22_0/a_392_716#" 4.97835
-cap "preamp_part12_0/sky130_fd_pr__pfet_01v8_RFM3CD_1/a_15_n100#" "CLK" 1.49202
-cap "preamp_part12_0/sky130_fd_pr__pfet_01v8_RFM3CD_1/a_15_n100#" "fp" 1.42109e-14
+cap "preamp_part22_0/sky130_fd_pr__pfet_01v8_RFM3CD#0_2/a_n15_n126#" "preamp_part22_0/w_78_306#" 8.62731
+cap "preamp_part22_0/a_392_716#" "preamp_part22_0/w_78_306#" 66.9678
+cap "preamp_part22_0/sky130_fd_pr__pfet_01v8_RFM3CD#0_1/a_n15_n126#" "preamp_part22_0/sky130_fd_pr__pfet_01v8_RFM3CD#0_3/a_n15_n126#" -0.244681
+cap "preamp_part22_0/sky130_fd_pr__pfet_01v8_RFM3CD#0_2/a_15_n100#" "preamp_part22_0/sky130_fd_pr__pfet_01v8_RFM3CD#0_2/a_n15_n126#" 22.3092
+cap "latch_3_0/inv_W12_1/GND" "preamp_part22_0/sky130_fd_pr__pfet_01v8_RFM3CD#0_1/a_15_n100#" 1.49202
+cap "preamp_part22_0/sky130_fd_pr__pfet_01v8_RFM3CD#0_1/a_n15_n126#" "preamp_part22_0/w_78_306#" 191.461
+cap "preamp_part22_0/sky130_fd_pr__pfet_01v8_RFM3CD#0_0/a_n15_n126#" "preamp_part22_0/sky130_fd_pr__pfet_01v8_RFM3CD#0_0/a_15_n100#" 16.8442
+cap "preamp_part22_0/sky130_fd_pr__pfet_01v8_RFM3CD#0_3/a_n15_n126#" "preamp_part22_0/sky130_fd_pr__pfet_01v8_RFM3CD#0_1/a_15_n100#" 22.7509
+cap "preamp_part22_0/w_78_306#" "preamp_part22_0/sky130_fd_pr__pfet_01v8_RFM3CD#0_1/a_15_n100#" 70.7327
+cap "preamp_part22_0/sky130_fd_pr__pfet_01v8_RFM3CD#0_0/a_15_n100#" "preamp_part22_0/w_78_306#" -19.417
+cap "preamp_part22_0/sky130_fd_pr__pfet_01v8_RFM3CD#0_2/a_15_n100#" "preamp_part22_0/sky130_fd_pr__pfet_01v8_RFM3CD#0_0/a_15_n100#" 12.5837
+cap "preamp_part22_0/a_392_716#" "preamp_part22_0/sky130_fd_pr__pfet_01v8_RFM3CD#0_1/a_n15_n126#" 23.507
+cap "preamp_part12_0/w_n720_994#" "CLK" 4.6516
+cap "preamp_part22_0/sky130_fd_pr__pfet_01v8_RFM3CD#0_0/a_n15_n126#" "preamp_part22_0/w_78_306#" 350.005
+cap "preamp_part22_0/sky130_fd_pr__pfet_01v8_RFM3CD#0_2/a_15_n100#" "latch_3_0/inv_W12_1/GND" 1.49202
+cap "preamp_part22_0/sky130_fd_pr__pfet_01v8_RFM3CD#0_3/a_n15_n126#" "preamp_part22_0/w_78_306#" 29.9521
+cap "preamp_part22_0/sky130_fd_pr__pfet_01v8_RFM3CD#0_2/a_15_n100#" "preamp_part22_0/sky130_fd_pr__pfet_01v8_RFM3CD#0_0/a_n15_n126#" 153.083
+cap "preamp_part12_0/w_n720_994#" "CLK" 0.087766
+cap "preamp_part22_0/a_392_716#" "preamp_part22_0/sky130_fd_pr__pfet_01v8_RFM3CD#0_1/a_15_n100#" 13.1013
+cap "fp" "preamp_part12_0/sky130_fd_pr__pfet_01v8_RFM3CD_1/a_15_n100#" 1.42109e-14
+cap "CLK" "preamp_part12_0/sky130_fd_pr__pfet_01v8_RFM3CD_1/a_15_n100#" 1.49202
+cap "latch_3_0/inv_W12_1/GND" "latch_3_0/inv_W12_1/Vout" 0.261905
+cap "latch_3_0/w_n16_492#" "latch_3_0/inv_W12_1/Vout" 5.71154
+cap "latch_3_0/inv_W12_1/VDD" "latch_3_0/w_n16_492#" 44.5794
+cap "latch_3_0/inv_W12_1/Vin" "latch_3_0/inv_W12_1/GND" 0.991587
 cap "preamp_part22_0/w_78_306#" "latch_3_0/inv_W12_1/GND" 5.6958
 cap "latch_3_0/w_n16_492#" "latch_3_0/inv_W12_1/Vin" -16.739
-cap "latch_3_0/inv_W12_1/GND" "latch_3_0/inv_W12_1/Vout" 0.261905
-cap "latch_3_0/inv_W12_1/GND" "latch_3_0/inv_W12_1/Vin" 0.991587
-cap "latch_3_0/w_n16_492#" "latch_3_0/inv_W12_1/VDD" 44.5794
-cap "latch_3_0/w_n16_492#" "latch_3_0/inv_W12_1/Vout" 5.71154
-cap "latch_3_0/inv_W12_1/GND" "latch_3_0/inv_W12_1/Vout" 0.261905
-cap "latch_3_0/inv_W12_1/VDD" "latch_3_0/w_n16_492#" 44.5742
-cap "latch_3_0/inv_W12_1/VDD" "latch_3_0/inv_W12_1/Vout" 1.43617
-cap "latch_3_0/inv_W12_1/VDD" "latch_3_0/a_646_808#" 192.149
+cap "preamp_part22_0/sky130_fd_pr__pfet_01v8_RFM3CD_0/a_n73_n100#" "latch_3_0/inv_W12_1/GND" 1.49202
+cap "preamp_part22_0/w_78_306#" "latch_3_0/inv_W12_1/Vin" 3.22414
+cap "preamp_part22_0/w_78_306#" "latch_3_0/inv_W12_1/GND" 199.078
+cap "latch_3_0/w_n16_492#" "latch_3_0/inv_W12_1/VDD" 44.5742
 cap "latch_3_0/inv_W12_1/Vout" "latch_3_0/w_n16_492#" -21.7885
-cap "latch_3_0/inv_W12_1/GND" "preamp_part22_0/w_78_306#" 199.078
-cap "latch_3_0/inv_W12_1/GND" "preamp_part22_0/sky130_fd_pr__pfet_01v8_RFM3CD_0/a_n73_n100#" 1.49202
-cap "latch_3_0/a_646_808#" "latch_3_0/w_n16_492#" -30.386
-cap "latch_3_0/inv_W12_1/Vin" "latch_3_0/w_n16_492#" -152.501
+cap "latch_3_0/w_n16_492#" "latch_3_0/a_646_808#" -30.386
 cap "preamp_part22_0/sky130_fd_pr__pfet_01v8_RFM3CD#0_0/a_n15_n126#" "preamp_part22_0/w_78_306#" 0.559322
-cap "latch_3_0/inv_W12_1/Vin" "latch_3_0/inv_W12_1/Vout" 12.4717
-cap "latch_3_0/inv_W12_1/GND" "preamp_part22_0/sky130_fd_pr__pfet_01v8_RFM3CD_1/a_15_n100#" 1.49202
-cap "preamp_part22_0/sky130_fd_pr__pfet_01v8_RFM3CD#0_1/a_n15_n126#" "preamp_part22_0/w_78_306#" 4.59725
+cap "preamp_part22_0/sky130_fd_pr__pfet_01v8_RFM3CD_1/a_15_n100#" "latch_3_0/inv_W12_1/GND" 1.49202
 cap "latch_3_0/inv_W12_1/Vout" "preamp_part22_0/w_78_306#" 3.20571
-cap "latch_3_0/inv_W12_1/Vin" "preamp_part22_0/w_78_306#" 3.22414
-cap "latch_3_0/inv_W12_0/pmos_2uf2_0/a_33_n130#" "latch_3_0/sky130_fd_pr__pfet_01v8_GJYUB2_0/w_n305_n200#" -32.82
-cap "latch_3_0/inv_W12_0/pmos_2uf2_0/a_33_n130#" "latch_3_0/inv_W12_0/Vout" 28.9979
+cap "latch_3_0/inv_W12_1/Vout" "latch_3_0/inv_W12_1/Vin" 12.4717
+cap "preamp_part22_0/sky130_fd_pr__pfet_01v8_RFM3CD#0_1/a_n15_n126#" "preamp_part22_0/w_78_306#" 4.59725
+cap "latch_3_0/inv_W12_1/Vout" "latch_3_0/inv_W12_1/GND" 0.261905
+cap "latch_3_0/inv_W12_1/Vout" "latch_3_0/inv_W12_1/VDD" 1.43617
+cap "latch_3_0/a_646_808#" "latch_3_0/inv_W12_1/VDD" 192.149
+cap "latch_3_0/w_n16_492#" "latch_3_0/inv_W12_1/Vin" -152.501
+cap "li_1370_1838#" "latch_3_0/inv_W12_0/nmos_1u_0/sky130_fd_pr__nfet_01v8_7RYEVP_0/a_n73_n69#" 11.5789
 cap "latch_3_0/inv_W12_0/pmos_2uf2_0/a_33_n130#" "latch_3_0/inv_W12_0/pmos_2uf2_0/a_n139_n100#" 14.5665
-cap "latch_3_0/inv_W12_0/nmos_1u_0/sky130_fd_pr__nfet_01v8_7RYEVP_0/a_n73_n69#" "li_1370_1838#" 11.5789
-cap "SR_latch_0/GND" "SR_latch_0/a_518_392#" 8.77457
-cap "SR_latch_0/a_518_392#" "SR_latch_0/a_262_508#" -6.09554
-cap "SR_latch_0/a_262_508#" "SR_latch_0/VDD" 33.2791
-cap "SR_latch_0/GND" "SR_latch_0/sky130_fd_pr__nfet_01v8_F5U58G_1/a_n15_n126#" 8.2
-cap "SR_latch_0/GND" "SR_latch_0/a_262_508#" 5.93258
-cap "latch_3_0/w_n16_492#" "latch_3_0/a_646_808#" 0.763006
-cap "SR_latch_0/a_518_392#" "SR_latch_0/sky130_fd_pr__nfet_01v8_F5U58G_0/a_n15_n126#" 0.402439
-cap "latch_3_0/a_646_808#" "latch_3_0/inv_W12_1/VDD" 16.3447
-cap "SR_latch_0/GND" "SR_latch_0/sky130_fd_pr__nfet_01v8_F5U58G_0/a_n15_n126#" 26.4556
+cap "latch_3_0/inv_W12_0/pmos_2uf2_0/a_33_n130#" "latch_3_0/sky130_fd_pr__pfet_01v8_GJYUB2_0/w_n305_n200#" -32.82
+cap "latch_3_0/inv_W12_0/Vout" "latch_3_0/inv_W12_0/pmos_2uf2_0/a_33_n130#" 28.9979
+cap "SR_latch_0/a_262_508#" "SR_latch_0/GND" 5.93258
+cap "SR_latch_0/sky130_fd_pr__nfet_01v8_F5U58G_1/a_n15_n126#" "SR_latch_0/GND" 8.2
 cap "SR_latch_0/a_518_392#" "SR_latch_0/VDD" 11.4371
-cap "VDD" "Dn" -26.8
-cap "SR_latch_0/VDD" "SR_latch_0/a_518_392#" 46.8405
+cap "SR_latch_0/a_262_508#" "SR_latch_0/a_518_392#" -6.09554
+cap "SR_latch_0/sky130_fd_pr__nfet_01v8_F5U58G_0/a_n15_n126#" "SR_latch_0/GND" 26.4556
+cap "latch_3_0/inv_W12_1/VDD" "latch_3_0/a_646_808#" 16.3447
+cap "SR_latch_0/a_262_508#" "SR_latch_0/VDD" 33.2791
+cap "latch_3_0/w_n16_492#" "latch_3_0/a_646_808#" 0.763006
+cap "SR_latch_0/a_518_392#" "SR_latch_0/GND" 8.77457
+cap "SR_latch_0/a_518_392#" "SR_latch_0/sky130_fd_pr__nfet_01v8_F5U58G_0/a_n15_n126#" 0.402439
+cap "Dn" "VDD" -26.8
 cap "SR_latch_0/VDD" "SR_latch_0/a_262_508#" 63.5933
-cap "SR_latch_0/a_262_508#" "SR_latch_0/a_518_392#" 8.88178e-16
+cap "SR_latch_0/a_518_392#" "SR_latch_0/VDD" 46.8405
+cap "SR_latch_0/a_518_392#" "SR_latch_0/a_262_508#" 8.88178e-16
 merge "latch_3_0/a_646_808#" "preamp_part22_0/sky130_fd_pr__pfet_01v8_RFM3CD#0_1/a_n15_n126#" -4082.38 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 -636128 -812 0 0 -831388 0 -643856 0 336716 -1158 -89784 -2812 0 0 0 0 0 0
 merge "preamp_part22_0/sky130_fd_pr__pfet_01v8_RFM3CD#0_1/a_n15_n126#" "a_1110_1656#"
 merge "a_1110_1656#" "preamp_part22_0/sky130_fd_pr__pfet_01v8_RFM3CD#0_0/a_n15_n126#"
diff --git a/mag/latch/comparator_v5.spice b/mag/latch/comparator_v5.spice
deleted file mode 100644
index f66c2a8..0000000
--- a/mag/latch/comparator_v5.spice
+++ /dev/null
@@ -1,159 +0,0 @@
-* SPICE3 file created from comparator_v5.ext - technology: sky130A
-
-.subckt sky130_fd_pr__nfet_01v8_G6PLX8 a_n129_n500# a_63_n500# a_n221_n474# a_n33_n500#
-+ a_n159_n522# a_159_n500# VSUBS
-X0 a_n33_n500# a_n159_n522# a_n129_n500# VSUBS sky130_fd_pr__nfet_01v8 ad=3.3e+11p pd=2.66e+06u as=3.3e+11p ps=2.66e+06u w=1e+06u l=150000u
-X1 a_159_n500# a_n159_n522# a_63_n500# VSUBS sky130_fd_pr__nfet_01v8 ad=3.048e+11p pd=2.62e+06u as=3.3e+11p ps=2.66e+06u w=1e+06u l=150000u
-X2 a_63_n500# a_n159_n522# a_n33_n500# VSUBS sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=1e+06u l=150000u
-X3 a_n129_n500# a_n159_n522# a_n221_n474# VSUBS sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=3.048e+11p ps=2.62e+06u w=1e+06u l=150000u
-.ends
-
-.subckt sky130_fd_pr__pfet_01v8_RFM3CD#0 a_n73_n100# w_n109_n162# a_15_n100# a_n15_n126#
-+ VSUBS
-X0 a_15_n100# a_n15_n126# a_n73_n100# w_n109_n162# sky130_fd_pr__pfet_01v8 ad=2.9e+11p pd=2.58e+06u as=2.9e+11p ps=2.58e+06u w=1e+06u l=150000u
-.ends
-
-.subckt sky130_fd_pr__nfet_01v8_F5U58G#1 a_15_n500# a_n15_n526# a_n73_n500# VSUBS
-X0 a_15_n500# a_n15_n526# a_n73_n500# VSUBS sky130_fd_pr__nfet_01v8 ad=2.9e+11p pd=2.58e+06u as=2.9e+11p ps=2.58e+06u w=1e+06u l=150000u
-.ends
-
-.subckt sky130_fd_pr__nfet_01v8_RURP52 a_33_n370# a_63_n348# a_n63_n370# a_n33_n348#
-+ a_n125_n348# VSUBS
-X0 a_n33_n348# a_n63_n370# a_n125_n348# VSUBS sky130_fd_pr__nfet_01v8 ad=4.95e+11p pd=3.66e+06u as=4.65e+11p ps=3.62e+06u w=1.5e+06u l=150000u
-X1 a_63_n348# a_33_n370# a_n33_n348# VSUBS sky130_fd_pr__nfet_01v8 ad=4.65e+11p pd=3.62e+06u as=0p ps=0u w=1.5e+06u l=150000u
-.ends
-
-.subckt sky130_fd_pr__nfet_01v8_8FHE5N a_n125_n439# a_63_n450# a_n63_n476# a_n33_n450#
-+ a_33_n476# VSUBS
-X0 a_63_n450# a_33_n476# a_n33_n450# VSUBS sky130_fd_pr__nfet_01v8 ad=1.528e+11p pd=1.62e+06u as=1.65e+11p ps=1.66e+06u w=500000u l=150000u
-X1 a_n33_n450# a_n63_n476# a_n125_n439# VSUBS sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=1.528e+11p ps=1.62e+06u w=500000u l=150000u
-.ends
-
-.subckt preamp_part12 a_n72_236# li_n720_1336# a_80_n658# a_n434_n660# m1_n692_n210#
-+ a_n506_870# a_388_n660# w_n720_994# li_n720_n474# a_414_600# a_706_862# li_954_n358#
-+ VSUBS
-Xsky130_fd_pr__nfet_01v8_G6PLX8_0 a_414_600# a_414_600# m1_n128_n164# m1_n128_n164#
-+ a_n434_n660# m1_n128_n164# VSUBS sky130_fd_pr__nfet_01v8_G6PLX8
-Xsky130_fd_pr__nfet_01v8_G6PLX8_1 a_n72_236# a_n72_236# m1_338_n220# m1_338_n220#
-+ a_388_n660# m1_338_n220# VSUBS sky130_fd_pr__nfet_01v8_G6PLX8
-Xsky130_fd_pr__pfet_01v8_RFM3CD_0 li_n720_1336# w_n720_994# a_414_600# a_n506_870#
-+ VSUBS sky130_fd_pr__pfet_01v8_RFM3CD#0
-Xsky130_fd_pr__pfet_01v8_RFM3CD_1 a_n72_236# w_n720_994# li_n720_1336# a_706_862#
-+ VSUBS sky130_fd_pr__pfet_01v8_RFM3CD#0
-Xsky130_fd_pr__nfet_01v8_F5U58G_0 li_n720_n474# a_414_600# m1_n692_n210# VSUBS sky130_fd_pr__nfet_01v8_F5U58G#1
-Xsky130_fd_pr__nfet_01v8_F5U58G_1 li_954_n358# a_n72_236# li_n720_n474# VSUBS sky130_fd_pr__nfet_01v8_F5U58G#1
-Xsky130_fd_pr__nfet_01v8_RURP52_0 a_n72_236# li_n218_192# a_n72_236# m1_n128_n164#
-+ li_n218_192# VSUBS sky130_fd_pr__nfet_01v8_RURP52
-Xsky130_fd_pr__nfet_01v8_RURP52_1 a_414_600# li_n218_192# a_414_600# m1_338_n220#
-+ li_n218_192# VSUBS sky130_fd_pr__nfet_01v8_RURP52
-Xsky130_fd_pr__nfet_01v8_8FHE5N_0 li_n720_n474# li_n720_n474# a_80_n658# li_n218_192#
-+ a_80_n658# VSUBS sky130_fd_pr__nfet_01v8_8FHE5N
-C0 w_n720_994# VSUBS 2.08fF
-.ends
-
-.subckt sky130_fd_pr__nfet_01v8_F5U58G a_n73_n100# a_15_n100# a_n15_n126# VSUBS
-X0 a_15_n100# a_n15_n126# a_n73_n100# VSUBS sky130_fd_pr__nfet_01v8 ad=2.9e+11p pd=2.58e+06u as=2.9e+11p ps=2.58e+06u w=1e+06u l=150000u
-.ends
-
-.subckt sky130_fd_pr__pfet_01v8_AC5E9B w_n161_n200# a_33_n126# a_63_n100# a_n125_n74#
-+ a_n33_n100# a_n63_n130# VSUBS
-X0 a_63_n100# a_33_n126# a_n33_n100# w_n161_n200# sky130_fd_pr__pfet_01v8 ad=3.048e+11p pd=2.62e+06u as=3.3e+11p ps=2.66e+06u w=1e+06u l=150000u
-X1 a_n33_n100# a_n63_n130# a_n125_n74# w_n161_n200# sky130_fd_pr__pfet_01v8 ad=0p pd=0u as=3.048e+11p ps=2.62e+06u w=1e+06u l=150000u
-.ends
-
-.subckt SR_latch a_648_848# sky130_fd_pr__nfet_01v8_F5U58G_1/a_n15_n126# sky130_fd_pr__nfet_01v8_F5U58G_0/a_n15_n126#
-+ a_262_508# VDD w_0_524# GND VSUBS
-Xsky130_fd_pr__nfet_01v8_F5U58G_0 a_648_848# GND sky130_fd_pr__nfet_01v8_F5U58G_0/a_n15_n126#
-+ VSUBS sky130_fd_pr__nfet_01v8_F5U58G
-Xsky130_fd_pr__nfet_01v8_F5U58G_1 GND a_262_508# sky130_fd_pr__nfet_01v8_F5U58G_1/a_n15_n126#
-+ VSUBS sky130_fd_pr__nfet_01v8_F5U58G
-Xsky130_fd_pr__pfet_01v8_AC5E9B_0 w_0_524# a_262_508# VDD VDD a_648_848# a_262_508#
-+ VSUBS sky130_fd_pr__pfet_01v8_AC5E9B
-Xsky130_fd_pr__pfet_01v8_AC5E9B_1 w_0_524# a_648_848# VDD VDD a_262_508# a_648_848#
-+ VSUBS sky130_fd_pr__pfet_01v8_AC5E9B
-.ends
-
-.subckt preamp_part22 w_78_306# a_392_716# sky130_fd_pr__pfet_01v8_RFM3CD#0_0/a_n15_n126#
-+ sky130_fd_pr__pfet_01v8_RFM3CD#0_1/a_n15_n126# sky130_fd_pr__pfet_01v8_RFM3CD#0_2/a_n15_n126#
-+ sky130_fd_pr__pfet_01v8_RFM3CD#0_3/a_n15_n126# a_810_594# li_116_1034# sky130_fd_pr__pfet_01v8_RFM3CD#0_3/a_15_n100#
-+ VSUBS sky130_fd_pr__pfet_01v8_RFM3CD#0_2/a_n73_n100#
-Xsky130_fd_pr__pfet_01v8_RFM3CD#0_0 li_214_402# w_78_306# a_810_594# sky130_fd_pr__pfet_01v8_RFM3CD#0_0/a_n15_n126#
-+ VSUBS sky130_fd_pr__pfet_01v8_RFM3CD#0
-Xsky130_fd_pr__pfet_01v8_RFM3CD#0_1 a_392_716# w_78_306# li_1016_536# sky130_fd_pr__pfet_01v8_RFM3CD#0_1/a_n15_n126#
-+ VSUBS sky130_fd_pr__pfet_01v8_RFM3CD#0
-Xsky130_fd_pr__pfet_01v8_RFM3CD#0_2 sky130_fd_pr__pfet_01v8_RFM3CD#0_2/a_n73_n100#
-+ w_78_306# li_214_402# sky130_fd_pr__pfet_01v8_RFM3CD#0_2/a_n15_n126# VSUBS sky130_fd_pr__pfet_01v8_RFM3CD#0
-Xsky130_fd_pr__pfet_01v8_RFM3CD#0_3 li_1016_536# w_78_306# sky130_fd_pr__pfet_01v8_RFM3CD#0_3/a_15_n100#
-+ sky130_fd_pr__pfet_01v8_RFM3CD#0_3/a_n15_n126# VSUBS sky130_fd_pr__pfet_01v8_RFM3CD#0
-Xsky130_fd_pr__pfet_01v8_RFM3CD_0 li_214_402# w_78_306# li_116_1034# a_392_716# VSUBS
-+ sky130_fd_pr__pfet_01v8_RFM3CD#0
-Xsky130_fd_pr__pfet_01v8_RFM3CD_1 li_116_1034# w_78_306# li_1016_536# a_810_594# VSUBS
-+ sky130_fd_pr__pfet_01v8_RFM3CD#0
-C0 w_78_306# VSUBS 2.70fF
-.ends
-
-.subckt sky130_fd_pr__pfet_01v8_GJYUB2 a_207_n100# a_81_n126# a_n207_n128# a_15_n100#
-+ a_n177_n100# a_111_n100# a_n15_n128# a_n111_n126# w_n305_n200# a_n81_n100# a_177_n128#
-+ a_n269_n100# VSUBS
-X0 a_207_n100# a_177_n128# a_111_n100# w_n305_n200# sky130_fd_pr__pfet_01v8 ad=3.1e+11p pd=2.62e+06u as=3.3e+11p ps=2.66e+06u w=1e+06u l=150000u
-X1 a_15_n100# a_n15_n128# a_n81_n100# w_n305_n200# sky130_fd_pr__pfet_01v8 ad=3.3e+11p pd=2.66e+06u as=3.3e+11p ps=2.66e+06u w=1e+06u l=150000u
-X2 a_111_n100# a_81_n126# a_15_n100# w_n305_n200# sky130_fd_pr__pfet_01v8 ad=0p pd=0u as=0p ps=0u w=1e+06u l=150000u
-X3 a_n81_n100# a_n111_n126# a_n177_n100# w_n305_n200# sky130_fd_pr__pfet_01v8 ad=0p pd=0u as=3.3e+11p ps=2.66e+06u w=1e+06u l=150000u
-X4 a_n177_n100# a_n207_n128# a_n269_n100# w_n305_n200# sky130_fd_pr__pfet_01v8 ad=0p pd=0u as=3.1e+11p ps=2.62e+06u w=1e+06u l=150000u
-.ends
-
-.subckt sky130_fd_pr__nfet_01v8_7RYEVP a_n73_n69# a_n15_n89# a_15_n69# VSUBS
-X0 a_15_n69# a_n15_n89# a_n73_n69# VSUBS sky130_fd_pr__nfet_01v8 ad=2.9e+11p pd=2.58e+06u as=2.9e+11p ps=2.58e+06u w=1e+06u l=150000u
-.ends
-
-.subckt nmos_1u sky130_fd_pr__nfet_01v8_7RYEVP_0/a_n15_n89# sky130_fd_pr__nfet_01v8_7RYEVP_0/a_15_n69#
-+ sky130_fd_pr__nfet_01v8_7RYEVP_0/a_n73_n69# VSUBS
-Xsky130_fd_pr__nfet_01v8_7RYEVP_0 sky130_fd_pr__nfet_01v8_7RYEVP_0/a_n73_n69# sky130_fd_pr__nfet_01v8_7RYEVP_0/a_n15_n89#
-+ sky130_fd_pr__nfet_01v8_7RYEVP_0/a_15_n69# VSUBS sky130_fd_pr__nfet_01v8_7RYEVP
-.ends
-
-.subckt pmos_2uf2 a_n139_n100# a_63_n100# a_33_n130# a_n33_n100# w_n319_n202# a_n63_n130#
-+ VSUBS
-X0 a_63_n100# a_33_n130# a_n33_n100# w_n319_n202# sky130_fd_pr__pfet_01v8 ad=3.048e+11p pd=2.62e+06u as=3.3e+11p ps=2.66e+06u w=1e+06u l=150000u
-X1 a_n33_n100# a_n63_n130# a_n139_n100# w_n319_n202# sky130_fd_pr__pfet_01v8 ad=0p pd=0u as=3.8e+11p ps=2.76e+06u w=1e+06u l=150000u
-.ends
-
-.subckt inv_W12 Vout Vin VDD GND pmos_2uf2_0/w_n319_n202# nmos_1u_0/sky130_fd_pr__nfet_01v8_7RYEVP_0/a_15_n69#
-+ VSUBS
-Xnmos_1u_0 Vin nmos_1u_0/sky130_fd_pr__nfet_01v8_7RYEVP_0/a_15_n69# GND VSUBS nmos_1u
-Xpmos_2uf2_0 VDD VDD Vin Vout pmos_2uf2_0/w_n319_n202# Vin VSUBS pmos_2uf2
-.ends
-
-.subckt latch_2 m1_686_734# a_646_796# inv_W12_1/GND inv_W12_1/Vin w_416_492# VSUBS
-+ inv_W12_0/Vin
-Xsky130_fd_pr__pfet_01v8_GJYUB2_0 m1_686_734# a_646_796# a_646_796# m1_686_734# m1_686_734#
-+ inv_W12_1/VDD a_646_796# a_646_796# w_416_492# inv_W12_1/VDD a_646_796# inv_W12_1/VDD
-+ VSUBS sky130_fd_pr__pfet_01v8_GJYUB2
-Xinv_W12_0 inv_W12_1/Vin inv_W12_0/Vin inv_W12_1/VDD inv_W12_1/GND w_416_492# inv_W12_1/Vin
-+ VSUBS inv_W12
-Xinv_W12_1 inv_W12_0/Vin inv_W12_1/Vin inv_W12_1/VDD inv_W12_1/GND w_416_492# inv_W12_0/Vin
-+ VSUBS inv_W12
-C0 w_416_492# VSUBS 2.45fF
-.ends
-
-
-* Top level circuit comparator_v5
-
-Xpreamp_part12_0 m1_1056_1316# SR_latch_0/VDD a_68_360# Vn Dp a_68_360# Vp SR_latch_0/VDD
-+ SR_latch_0/GND m1_n58_n580# a_68_360# Dn SR_latch_0/GND preamp_part12
-XSR_latch_0 Outp Dn Dp Outn SR_latch_0/VDD SR_latch_0/VDD SR_latch_0/GND SR_latch_0/GND
-+ SR_latch
-Xpreamp_part22_0 SR_latch_0/VDD m1_1056_1316# CLKBAR CLKBAR a_68_360# a_68_360# m1_n58_n580#
-+ SR_latch_0/VDD SR_latch_0/VDD SR_latch_0/GND SR_latch_0/VDD preamp_part22
-Xlatch_2_0 SR_latch_0/VDD CLKBAR SR_latch_0/GND Dp SR_latch_0/VDD SR_latch_0/GND Dn
-+ latch_2
-C0 SR_latch_0/VDD CLKBAR 2.33fF
-C1 Dn SR_latch_0/GND 3.20fF
-C2 Dp SR_latch_0/GND 3.54fF
-C3 CLKBAR SR_latch_0/GND 3.08fF
-C4 SR_latch_0/VDD SR_latch_0/GND 28.97fF
-C5 a_68_360# SR_latch_0/GND 15.50fF
-C6 m1_1056_1316# SR_latch_0/GND 2.18fF
-C7 m1_n58_n580# SR_latch_0/GND 2.56fF
-.end
-
diff --git a/mag/latch_3.ext b/mag/latch_3.ext
index 0f20da5..151086e 100644
--- a/mag/latch_3.ext
+++ b/mag/latch_3.ext
@@ -20,47 +20,47 @@
 node "a_646_808#" 554 39.4956 646 808 p 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 14904 900 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0
 node "w_n16_492#" 11438 1959.6 -16 492 nw 0 0 0 0 653200 4816 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0
 substrate "VSUBS" 0 0 -1073741817 -1073741817 space 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0
+cap "m1_686_734#" "w_n16_492#" 247.667
+cap "m1_686_734#" "li_452_900#" 156.465
 cap "w_n16_492#" "li_452_900#" 344.818
-cap "li_192_434#" "li_480_436#" 12.6212
+cap "m1_686_734#" "a_646_808#" 53.206
 cap "w_n16_492#" "a_646_808#" 247.5
-cap "w_n16_492#" "m1_686_734#" 247.667
-cap "a_646_808#" "li_452_900#" 60.1928
-cap "li_452_900#" "m1_686_734#" 156.465
-cap "li_192_434#" "li_302_244#" 18.6614
-cap "w_n16_492#" "a_646_528#" 247.5
-cap "a_646_808#" "m1_686_734#" 53.206
-cap "li_192_434#" "w_n16_492#" 68.8525
-cap "li_192_434#" "li_1408_254#" 31.1746
-cap "w_n16_492#" "li_480_436#" 131.2
-cap "a_646_808#" "a_646_528#" 39.0246
-cap "li_452_900#" "li_480_436#" 13.252
-cap "li_192_434#" "m1_686_734#" 18.1579
 cap "li_480_436#" "li_474_0#" 55
-cap "sky130_fd_pr__pfet_01v8_GJYUB2_0/a_n269_n100#" "inv_W12_1/GND" -1.77636e-15
-cap "sky130_fd_pr__pfet_01v8_GJYUB2_0/a_n207_n128#" "inv_W12_1/Vin" 12.4249
+cap "li_480_436#" "w_n16_492#" 131.2
+cap "a_646_808#" "li_452_900#" 60.1928
+cap "li_480_436#" "li_452_900#" 13.252
+cap "w_n16_492#" "a_646_528#" 247.5
+cap "li_302_244#" "li_192_434#" 18.6614
+cap "m1_686_734#" "li_192_434#" 18.1579
+cap "li_192_434#" "w_n16_492#" 68.8525
+cap "a_646_808#" "a_646_528#" 39.0246
+cap "li_1408_254#" "li_192_434#" 31.1746
+cap "li_480_436#" "li_192_434#" 12.6212
+cap "inv_W12_1/Vin" "sky130_fd_pr__pfet_01v8_GJYUB2_0/a_n269_n100#" 68.5137
+cap "sky130_fd_pr__pfet_01v8_GJYUB2_0/w_n305_n200#" "sky130_fd_pr__pfet_01v8_GJYUB2_0/a_n269_n100#" -17.0554
 cap "sky130_fd_pr__pfet_01v8_GJYUB2_0/w_n305_n200#" "inv_W12_1/Vin" -17.0349
-cap "sky130_fd_pr__pfet_01v8_GJYUB2_0/a_n269_n100#" "inv_W12_1/Vin" 68.5137
-cap "inv_W12_1/Vout" "sky130_fd_pr__pfet_01v8_GJYUB2_0/a_n177_n100#" 53.0501
-cap "sky130_fd_pr__pfet_01v8_GJYUB2_0/a_n207_n128#" "sky130_fd_pr__pfet_01v8_GJYUB2_0/w_n305_n200#" -153.45
+cap "inv_W12_1/Vin" "sky130_fd_pr__pfet_01v8_GJYUB2_0/a_n207_n128#" 12.4249
 cap "inv_W12_1/Vout" "inv_W12_1/GND" -5.89777
-cap "sky130_fd_pr__pfet_01v8_GJYUB2_0/a_n269_n100#" "sky130_fd_pr__pfet_01v8_GJYUB2_0/w_n305_n200#" -17.0554
-cap "sky130_fd_pr__pfet_01v8_GJYUB2_0/a_n177_n100#" "inv_W12_1/Vin" 19.864
-cap "inv_W12_1/Vout" "inv_W12_1/Vin" 86.8557
+cap "sky130_fd_pr__pfet_01v8_GJYUB2_0/w_n305_n200#" "sky130_fd_pr__pfet_01v8_GJYUB2_0/a_n207_n128#" -153.45
+cap "sky130_fd_pr__pfet_01v8_GJYUB2_0/a_n177_n100#" "inv_W12_1/Vout" 53.0501
+cap "inv_W12_1/GND" "sky130_fd_pr__pfet_01v8_GJYUB2_0/a_n269_n100#" -1.77636e-15
 cap "inv_W12_1/GND" "inv_W12_1/Vin" 123.372
+cap "sky130_fd_pr__pfet_01v8_GJYUB2_0/a_n177_n100#" "sky130_fd_pr__pfet_01v8_GJYUB2_0/a_n269_n100#" 196.5
+cap "sky130_fd_pr__pfet_01v8_GJYUB2_0/a_n177_n100#" "inv_W12_1/Vin" 19.864
 cap "sky130_fd_pr__pfet_01v8_GJYUB2_0/a_n177_n100#" "sky130_fd_pr__pfet_01v8_GJYUB2_0/w_n305_n200#" -98.7684
+cap "inv_W12_1/Vout" "sky130_fd_pr__pfet_01v8_GJYUB2_0/a_n269_n100#" 46.1642
+cap "inv_W12_1/Vout" "inv_W12_1/Vin" 86.8557
 cap "inv_W12_1/Vout" "sky130_fd_pr__pfet_01v8_GJYUB2_0/w_n305_n200#" -3.3815
 cap "inv_W12_1/Vout" "sky130_fd_pr__pfet_01v8_GJYUB2_0/a_n207_n128#" 10.1115
-cap "sky130_fd_pr__pfet_01v8_GJYUB2_0/a_n269_n100#" "sky130_fd_pr__pfet_01v8_GJYUB2_0/a_n177_n100#" 196.5
-cap "sky130_fd_pr__pfet_01v8_GJYUB2_0/a_n269_n100#" "inv_W12_1/Vout" 46.1642
-cap "inv_W12_0/Vout" "inv_W12_0/VDD" 24.5687
-cap "inv_W12_0/Vout" "sky130_fd_pr__pfet_01v8_GJYUB2_0/a_207_n100#" 10.2987
-cap "inv_W12_0/Vout" "inv_W12_0/GND" -4.00714
-cap "inv_W12_0/Vout" "inv_W12_0/Vin" -87.3484
-cap "inv_W12_0/VDD" "sky130_fd_pr__pfet_01v8_GJYUB2_0/w_n305_n200#" -38.3565
-cap "inv_W12_0/Vin" "sky130_fd_pr__pfet_01v8_GJYUB2_0/a_177_n128#" 4.22145
-cap "inv_W12_0/Vout" "sky130_fd_pr__pfet_01v8_GJYUB2_0/w_n305_n200#" -1.06581e-14
-cap "sky130_fd_pr__pfet_01v8_GJYUB2_0/a_207_n100#" "inv_W12_0/VDD" 14.0512
+cap "inv_W12_0/VDD" "sky130_fd_pr__pfet_01v8_GJYUB2_0/a_207_n100#" 14.0512
+cap "sky130_fd_pr__pfet_01v8_GJYUB2_0/a_207_n100#" "inv_W12_0/Vout" 10.2987
 cap "inv_W12_0/Vin" "sky130_fd_pr__pfet_01v8_GJYUB2_0/w_n305_n200#" -12.1
+cap "inv_W12_0/Vin" "sky130_fd_pr__pfet_01v8_GJYUB2_0/a_177_n128#" 4.22145
+cap "inv_W12_0/Vin" "inv_W12_0/Vout" -87.3484
+cap "sky130_fd_pr__pfet_01v8_GJYUB2_0/w_n305_n200#" "inv_W12_0/Vout" -1.06581e-14
+cap "inv_W12_0/VDD" "sky130_fd_pr__pfet_01v8_GJYUB2_0/w_n305_n200#" -38.3565
+cap "inv_W12_0/VDD" "inv_W12_0/Vout" 24.5687
+cap "inv_W12_0/GND" "inv_W12_0/Vout" -4.00714
 merge "inv_W12_0/Vout" "inv_W12_0/nmos_1u_0/sky130_fd_pr__nfet_01v8_7RYEVP_0/a_15_n69#" -210.297 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 -300 -80 0 0 -17084 -788 0 0 0 0 0 0 0 0 0 0 0 0
 merge "inv_W12_0/nmos_1u_0/sky130_fd_pr__nfet_01v8_7RYEVP_0/a_15_n69#" "li_1408_254#"
 merge "li_1408_254#" "inv_W12_1/Vin"
diff --git a/mag/myinv_layout2/.magicrc b/mag/myinv_layout2/.magicrc
deleted file mode 100755
index ea1e753..0000000
--- a/mag/myinv_layout2/.magicrc
+++ /dev/null
@@ -1,87 +0,0 @@
-puts stdout "Sourcing design .magicrc for technology sky130A ..."
-
-# Put grid on 0.005 pitch.  This is important, as some commands don't
-# rescale the grid automatically (such as lef read?).
-
-set scalefac [tech lambda]
-if {[lindex $scalefac 1] < 2} {
-    scalegrid 1 2
-}
-
-# drc off
-drc euclidean on
-# Change this to a fixed number for repeatable behavior with GDS writes
-# e.g., "random seed 12345"
-catch {random seed}
-
-# Turn off the scale option on ext2spice or else it conflicts with the
-# scale in the model files.
-ext2spice scale off
-
-# Allow override of PDK path from environment variable PDKPATH
-if {[catch {set PDKPATH $env(PDKPATH)}]} {
-    set PDKPATH "/usr/local/share/pdk/sky130A"
-}
-
-# loading technology
-tech load $PDKPATH/libs.tech/magic/sky130A.tech
-
-
-# load device generator
-source $PDKPATH/libs.tech/magic/sky130A.tcl
-
-# load bind keys (optional)
-# source $PDKPATH/libs.tech/magic/sky130A-BindKeys
-
-# set units to lambda grid 
-snap lambda
-
-# set sky130 standard power, ground, and substrate names
-set VDD VPWR
-set GND VGND
-set SUB VSUBS
-
-# Allow override of type of magic library views used, "mag" or "maglef",
-# from environment variable MAGTYPE
-
-if {[catch {set MAGTYPE $env(MAGTYPE)}]} {
-   set MAGTYPE mag
-}
-
-# add path to reference cells
-if {[file isdir ${PDKPATH}/libs.ref/${MAGTYPE}]} {
-    addpath ${PDKPATH}/libs.ref/${MAGTYPE}/sky130_fd_pr
-    addpath ${PDKPATH}/libs.ref/${MAGTYPE}/sky130_fd_io
-    addpath ${PDKPATH}/libs.ref/${MAGTYPE}/sky130_fd_sc_hd
-    addpath ${PDKPATH}/libs.ref/${MAGTYPE}/sky130_fd_sc_hdll
-    addpath ${PDKPATH}/libs.ref/${MAGTYPE}/sky130_fd_sc_hs
-    addpath ${PDKPATH}/libs.ref/${MAGTYPE}/sky130_fd_sc_hvl
-    addpath ${PDKPATH}/libs.ref/${MAGTYPE}/sky130_fd_sc_lp
-    addpath ${PDKPATH}/libs.ref/${MAGTYPE}/sky130_fd_sc_ls
-    addpath ${PDKPATH}/libs.ref/${MAGTYPE}/sky130_fd_sc_ms
-    addpath ${PDKPATH}/libs.ref/${MAGTYPE}/sky130_osu_sc
-    addpath ${PDKPATH}/libs.ref/${MAGTYPE}/sky130_osu_sc_t18
-    addpath ${PDKPATH}/libs.ref/${MAGTYPE}/sky130_ml_xx_hd
-    addpath ${PDKPATH}/libs.ref/${MAGTYPE}/sky130_sram_macros
-} else {
-    addpath ${PDKPATH}/libs.ref/sky130_fd_pr/${MAGTYPE}
-    addpath ${PDKPATH}/libs.ref/sky130_fd_io/${MAGTYPE}
-    addpath ${PDKPATH}/libs.ref/sky130_fd_sc_hd/${MAGTYPE}
-    addpath ${PDKPATH}/libs.ref/sky130_fd_sc_hdll/${MAGTYPE}
-    addpath ${PDKPATH}/libs.ref/sky130_fd_sc_hs/${MAGTYPE}
-    addpath ${PDKPATH}/libs.ref/sky130_fd_sc_hvl/${MAGTYPE}
-    addpath ${PDKPATH}/libs.ref/sky130_fd_sc_lp/${MAGTYPE}
-    addpath ${PDKPATH}/libs.ref/sky130_fd_sc_ls/${MAGTYPE}
-    addpath ${PDKPATH}/libs.ref/sky130_fd_sc_ms/${MAGTYPE}
-    addpath ${PDKPATH}/libs.ref/sky130_osu_sc/${MAGTYPE}
-    addpath ${PDKPATH}/libs.ref/sky130_osu_sc_t18/${MAGTYPE}
-    addpath ${PDKPATH}/libs.ref/sky130_ml_xx_hd/${MAGTYPE}
-    addpath ${PDKPATH}/libs.ref/sky130_sram_macros/${MAGTYPE}
-}
-
-# add path to GDS cells
-
-# add path to IP from catalog.  This procedure defined in the PDK script.
-catch {magic::query_mylib_ip}
-# add path to local IP from user design space.  Defined in the PDK script.
-catch {magic::query_my_projects}
diff --git a/mag/myinv_layout2/.spiceinit b/mag/myinv_layout2/.spiceinit
deleted file mode 100755
index 6bc157f..0000000
--- a/mag/myinv_layout2/.spiceinit
+++ /dev/null
@@ -1 +0,0 @@
-set ngbehavior=hs
diff --git a/mag/myinv_layout2/PLS_INV1.raw b/mag/myinv_layout2/PLS_INV1.raw
deleted file mode 100755
index accab2f..0000000
--- a/mag/myinv_layout2/PLS_INV1.raw
+++ /dev/null
Binary files differ
diff --git a/mag/myinv_layout2/buffer_1.ext b/mag/myinv_layout2/buffer_1.ext
deleted file mode 100755
index 8808168..0000000
--- a/mag/myinv_layout2/buffer_1.ext
+++ /dev/null
@@ -1,22 +0,0 @@
-timestamp 1646324508
-version 8.3
-tech sky130A
-style ngspice()
-scale 1000 1 500000
-resistclasses 4400000 2200000 1700000 3050000 120000 197000 114000 191000 120000 197000 114000 191000 48200 319800 2000000 48200 48200 12200 125 125 47 47 29 5
-use inv_W2 inv_W2_0 1 0 588 0 1 72
-use inv_W1 inv_W1_0 1 0 100 0 1 72
-substrate "VSUBS" 0 0 -1073741817 -1073741817 space 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0
-cap "inv_W1_0/Vin" "inv_W2_0/Vin" 11.0875
-cap "inv_W2_0/sky130_fd_pr__pfet_01v8_AC5Z8B_0/a_n129_n100#" "inv_W2_0/Vin" 23.6443
-cap "inv_W1_0/Vin" "inv_W2_0/GND" 2.90674
-cap "inv_W2_0/sky130_fd_pr__pfet_01v8_AC5Z8B_0/a_n129_n100#" "inv_W2_0/VDD" 116.829
-cap "inv_W2_0/GND" "inv_W2_0/Vin" 32.2784
-cap "inv_W2_0/Vin" "inv_W2_0/VDD" 33.1221
-cap "inv_W2_0/GND" "inv_W2_0/VDD" -1.77636e-15
-merge "inv_W1_0/VSUBS" "inv_W2_0/VSUBS" 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0
-merge "inv_W2_0/VSUBS" "VSUBS"
-merge "inv_W1_0/Vout" "inv_W2_0/Vin" -32.196 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 -1120 -152 0 0 0 0 0 0 0 0 0 0 0 0
-merge "inv_W1_0/w_156_432#" "inv_W2_0/VDD" -52.545 0 0 0 0 -7640 -804 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 -1000 -140 0 0 0 0 0 0 0 0 0 0 0 0
-merge "inv_W2_0/VDD" "inv_W2_0/sky130_fd_pr__pfet_01v8_AC5Z8B_0/w_n261_n210#"
-merge "inv_W1_0/GND" "inv_W2_0/GND" -30.482 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 -1040 -144 0 0 0 0 0 0 0 0 0 0 0 0
diff --git a/mag/myinv_layout2/buffer_1.mag b/mag/myinv_layout2/buffer_1.mag
deleted file mode 100755
index 9a3422f..0000000
--- a/mag/myinv_layout2/buffer_1.mag
+++ /dev/null
@@ -1,12 +0,0 @@
-magic
-tech sky130A
-timestamp 1646324508
-use inv_W1  inv_W1_0
-timestamp 1645263751
-transform 1 0 50 0 1 36
-box -50 -36 194 439
-use inv_W2  inv_W2_0
-timestamp 1646324451
-transform 1 0 294 0 1 36
-box -60 -36 202 439
-<< end >>
diff --git a/mag/myinv_layout2/buffer_1.spice b/mag/myinv_layout2/buffer_1.spice
deleted file mode 100755
index 8dd610a..0000000
--- a/mag/myinv_layout2/buffer_1.spice
+++ /dev/null
@@ -1,48 +0,0 @@
-* SPICE3 file created from buffer_1.ext - technology: sky130A
-
-.subckt sky130_fd_pr__pfet_01v8_AC5Z8B a_159_n100# a_n221_n74# a_n129_n100# a_63_n100#
-+ a_n63_n126# a_n159_n130# a_33_n130# w_n257_n200# a_n33_n100# a_129_n126# VSUBS
-X0 a_63_n100# a_33_n130# a_n33_n100# w_n257_n200# sky130_fd_pr__pfet_01v8 ad=3.3e+11p pd=2.66e+06u as=3.3e+11p ps=2.66e+06u w=1e+06u l=150000u
-X1 a_n33_n100# a_n63_n126# a_n129_n100# w_n257_n200# sky130_fd_pr__pfet_01v8 ad=0p pd=0u as=3.3e+11p ps=2.66e+06u w=1e+06u l=150000u
-X2 a_159_n100# a_129_n126# a_63_n100# w_n257_n200# sky130_fd_pr__pfet_01v8 ad=3.048e+11p pd=2.62e+06u as=0p ps=0u w=1e+06u l=150000u
-X3 a_n129_n100# a_n159_n130# a_n221_n74# w_n257_n200# sky130_fd_pr__pfet_01v8 ad=0p pd=0u as=3.048e+11p ps=2.62e+06u w=1e+06u l=150000u
-.ends
-
-.subckt sky130_fd_pr__nfet_01v8_XJTKXQ a_33_n142# a_63_n100# a_n63_n142# a_n125_n74#
-+ a_n33_n100# VSUBS
-X0 a_63_n100# a_33_n142# a_n33_n100# VSUBS sky130_fd_pr__nfet_01v8 ad=3.048e+11p pd=2.62e+06u as=3.3e+11p ps=2.66e+06u w=1e+06u l=150000u
-X1 a_n33_n100# a_n63_n142# a_n125_n74# VSUBS sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=3.048e+11p ps=2.62e+06u w=1e+06u l=150000u
-.ends
-
-.subckt inv_W2 Vin VDD GND w_n106_454# VSUBS
-Xsky130_fd_pr__pfet_01v8_AC5Z8B_0 VDD VDD VDD VDD Vin Vin Vin w_n106_454# VDD Vin
-+ VSUBS sky130_fd_pr__pfet_01v8_AC5Z8B
-Xsky130_fd_pr__nfet_01v8_XJTKXQ_0 Vin GND Vin GND VDD VSUBS sky130_fd_pr__nfet_01v8_XJTKXQ
-.ends
-
-.subckt sky130_fd_pr__nfet_01v8_7RYEVP a_n73_n69# a_n33_n157# a_15_n69# VSUBS
-X0 a_15_n69# a_n33_n157# a_n73_n69# VSUBS sky130_fd_pr__nfet_01v8 ad=2.9e+11p pd=2.58e+06u as=2.9e+11p ps=2.58e+06u w=1e+06u l=150000u
-.ends
-
-.subckt nmos_1u sky130_fd_pr__nfet_01v8_7RYEVP_0/VSUBS sky130_fd_pr__nfet_01v8_7RYEVP_0/a_15_n69#
-+ m1_n86_2#
-Xsky130_fd_pr__nfet_01v8_7RYEVP_0 sky130_fd_pr__nfet_01v8_7RYEVP_0/VSUBS m1_n86_2#
-+ sky130_fd_pr__nfet_01v8_7RYEVP_0/a_15_n69# sky130_fd_pr__nfet_01v8_7RYEVP_0/VSUBS
-+ sky130_fd_pr__nfet_01v8_7RYEVP
-.ends
-
-.subckt pmos_2uf2 a_63_n100# a_33_n130# w_n317_n202# a_n33_n100# a_n63_n130# VSUBS
-X0 a_63_n100# a_33_n130# a_n33_n100# w_n317_n202# sky130_fd_pr__pfet_01v8 ad=3.048e+11p pd=2.62e+06u as=3.3e+11p ps=2.66e+06u w=1e+06u l=150000u
-X1 a_n33_n100# a_n63_n130# w_n317_n202# w_n317_n202# sky130_fd_pr__pfet_01v8 ad=0p pd=0u as=3.8e+11p ps=2.76e+06u w=1e+06u l=150000u
-.ends
-
-.subckt inv_W1 Vout Vin VDD GND
-Xnmos_1u_0 GND Vout Vin nmos_1u
-Xpmos_2uf2_0 VDD Vin VDD Vout Vin GND pmos_2uf2
-.ends
-
-.subckt buffer_1
-Xinv_W2_0 inv_W2_0/Vin inv_W2_0/VDD VSUBS inv_W2_0/VDD VSUBS inv_W2
-Xinv_W1_0 inv_W2_0/Vin inv_W2_0/Vin inv_W2_0/VDD VSUBS inv_W1
-.ends
-
diff --git a/mag/myinv_layout2/buffer_12.ext b/mag/myinv_layout2/buffer_12.ext
deleted file mode 100755
index efa80fe..0000000
--- a/mag/myinv_layout2/buffer_12.ext
+++ /dev/null
@@ -1,30 +0,0 @@
-timestamp 1646326465
-version 8.3
-tech sky130A
-style ngspice()
-scale 1000 1 500000
-resistclasses 4400000 2200000 1700000 3050000 120000 197000 114000 191000 120000 197000 114000 191000 48200 319800 2000000 48200 48200 12200 125 125 47 47 29 5
-use buffer_2 buffer_2_0 1 0 990 0 1 0
-use buffer_1 buffer_1_0 1 0 0 0 1 0
-node "GND" 18 37.8116 0 0 li 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 1872 176 0 0 0 0 0 0 0 0 0 0 0 0
-node "buf_out" 13 49.7444 5828 436 li 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 3248 228 0 0 0 0 0 0 0 0 0 0 0 0
-node "buf_in" 13 46.9736 0 436 li 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 2912 216 0 0 0 0 0 0 0 0 0 0 0 0
-node "VDD" 14 40.575 0 900 li 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 2200 188 0 0 0 0 0 0 0 0 0 0 0 0
-substrate "VSUBS" 0 0 -1073741817 -1073741817 space 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0
-cap "GND" "buf_in" 3.09375
-cap "buffer_2_0/inv_W8_0/w_354_500#" "buffer_2_0/inv_W8_0/a_804_430#" 83.8408
-cap "buffer_2_0/inv_W8_0/sky130_fd_pr__pfet_01v8_RL4NCG_0/a_n705_n100#" "buffer_2_0/inv_W8_0/a_804_430#" 43.2725
-cap "buffer_1_0/inv_W2_0/Vin" "buffer_2_0/inv_W8_0/a_466_816#" 11.4029
-cap "buffer_2_0/inv_W8_0/a_804_430#" "buffer_2_0/inv_W8_0/li_354_0#" -0.171875
-cap "buffer_2_0/inv_W8_0/w_354_500#" "buffer_2_0/inv_W8_0/sky130_fd_pr__pfet_01v8_RL4NCG_0/a_n705_n100#" 75.6961
-cap "buffer_1_0/inv_W1_0/Vin" "buffer_2_0/inv_W8_0/li_354_0#" -3.09375
-merge "buffer_1_0/VSUBS" "buffer_2_0/VSUBS" 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0
-merge "buffer_2_0/VSUBS" "VSUBS"
-merge "buffer_1_0/inv_W2_0/GND" "buffer_2_0/inv_W8_0/li_354_0#" -60.0478 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 -1976 -284 0 0 0 0 0 0 0 0 0 0 0 0
-merge "buffer_2_0/inv_W8_0/li_354_0#" "GND"
-merge "buffer_2_0/Vout" "buf_out" -37.934 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 9520 -228 0 0 0 0 0 0 0 0 0 0 0 0
-merge "buffer_1_0/inv_W2_0/VDD" "buffer_2_0/inv_W8_0/li_354_902#" -63.5794 0 0 0 0 -820 -824 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 -2296 -288 0 0 0 0 0 0 0 0 0 0 0 0
-merge "buffer_2_0/inv_W8_0/li_354_902#" "VDD"
-merge "VDD" "buffer_2_0/inv_W8_0/w_354_500#"
-merge "buffer_1_0/inv_W2_0/Vout" "buffer_2_0/inv_W8_0/a_804_430#" -23.0599 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 -108 -112 0 0 0 0 0 0 0 0 0 0 0 0
-merge "buffer_1_0/inv_W1_0/Vin" "buf_in" -46.9736 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 -2912 -216 0 0 0 0 0 0 0 0 0 0 0 0
diff --git a/mag/myinv_layout2/buffer_12.mag b/mag/myinv_layout2/buffer_12.mag
deleted file mode 100755
index ea42762..0000000
--- a/mag/myinv_layout2/buffer_12.mag
+++ /dev/null
@@ -1,22 +0,0 @@
-magic
-tech sky130A
-timestamp 1646326465
-<< locali >>
-rect 0 450 22 475
-rect 0 218 26 246
-rect 2914 218 2943 246
-rect 0 0 18 26
-use buffer_1  buffer_1_0
-timestamp 1646324508
-transform 1 0 0 0 1 0
-box 0 0 496 475
-use buffer_2  buffer_2_0
-timestamp 1646326308
-transform 1 0 495 0 1 0
-box 0 0 2448 477
-<< labels >>
-rlabel locali 0 20 0 20 7 GND
-rlabel locali 2943 238 2943 238 3 buf_out
-rlabel locali 0 238 0 238 7 buf_in
-rlabel locali 0 469 0 469 7 VDD
-<< end >>
diff --git a/mag/myinv_layout2/buffer_12.spice b/mag/myinv_layout2/buffer_12.spice
deleted file mode 100755
index ef46354..0000000
--- a/mag/myinv_layout2/buffer_12.spice
+++ /dev/null
@@ -1,201 +0,0 @@
-* SPICE3 file created from buffer_12.ext - technology: sky130A
-
-.subckt sky130_fd_pr__pfet_01v8_AC5Z8B a_159_n100# li_217_n290# li_n261_n290# li_229_174#
-+ a_n221_n74# a_n129_n100# a_n159_n152# li_225_n726# a_n33_n100# w_n261_n210# li_n261_174#
-+ li_n261_n726# VSUBS
-X0 a_n129_n100# a_n159_n152# a_n33_n100# w_n261_n210# sky130_fd_pr__pfet_01v8 ad=6.6e+11p pd=5.32e+06u as=3.3e+11p ps=2.66e+06u w=1e+06u l=150000u
-X1 a_n33_n100# a_n159_n152# a_n129_n100# w_n261_n210# sky130_fd_pr__pfet_01v8 ad=0p pd=0u as=0p ps=0u w=1e+06u l=150000u
-X2 a_159_n100# a_n159_n152# a_n129_n100# w_n261_n210# sky130_fd_pr__pfet_01v8 ad=3.048e+11p pd=2.62e+06u as=0p ps=0u w=1e+06u l=150000u
-X3 a_n129_n100# a_n159_n152# a_n221_n74# w_n261_n210# sky130_fd_pr__pfet_01v8 ad=0p pd=0u as=3.048e+11p ps=2.62e+06u w=1e+06u l=150000u
-.ends
-
-.subckt sky130_fd_pr__nfet_01v8_XJTKXQ a_33_n122# a_n63_n122# a_63_n100# a_n125_n74#
-+ a_n33_n100# VSUBS
-X0 a_63_n100# a_33_n122# a_n33_n100# VSUBS sky130_fd_pr__nfet_01v8 ad=3.048e+11p pd=2.62e+06u as=3.3e+11p ps=2.66e+06u w=1e+06u l=150000u
-X1 a_n33_n100# a_n63_n122# a_n125_n74# VSUBS sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=3.048e+11p ps=2.62e+06u w=1e+06u l=150000u
-.ends
-
-.subckt inv_W2 sky130_fd_pr__pfet_01v8_AC5Z8B_0/w_n261_n210# Vout Vin VDD GND VSUBS
-Xsky130_fd_pr__pfet_01v8_AC5Z8B_0 VDD Vout Vin VDD VDD Vout Vin GND VDD sky130_fd_pr__pfet_01v8_AC5Z8B_0/w_n261_n210#
-+ VDD GND VSUBS sky130_fd_pr__pfet_01v8_AC5Z8B
-Xsky130_fd_pr__nfet_01v8_XJTKXQ_0 Vin Vin GND GND Vout VSUBS sky130_fd_pr__nfet_01v8_XJTKXQ
-.ends
-
-.subckt sky130_fd_pr__nfet_01v8_7RYEVP a_n73_n69# a_n33_n157# a_15_n69# VSUBS
-X0 a_15_n69# a_n33_n157# a_n73_n69# VSUBS sky130_fd_pr__nfet_01v8 ad=2.9e+11p pd=2.58e+06u as=2.9e+11p ps=2.58e+06u w=1e+06u l=150000u
-.ends
-
-.subckt nmos_1u sky130_fd_pr__nfet_01v8_7RYEVP_0/VSUBS sky130_fd_pr__nfet_01v8_7RYEVP_0/a_15_n69#
-+ m1_n86_2#
-Xsky130_fd_pr__nfet_01v8_7RYEVP_0 sky130_fd_pr__nfet_01v8_7RYEVP_0/VSUBS m1_n86_2#
-+ sky130_fd_pr__nfet_01v8_7RYEVP_0/a_15_n69# sky130_fd_pr__nfet_01v8_7RYEVP_0/VSUBS
-+ sky130_fd_pr__nfet_01v8_7RYEVP
-.ends
-
-.subckt pmos_2uf2 a_63_n100# a_33_n130# w_n317_n202# a_n33_n100# a_n63_n130# VSUBS
-X0 a_63_n100# a_33_n130# a_n33_n100# w_n317_n202# sky130_fd_pr__pfet_01v8 ad=3.048e+11p pd=2.62e+06u as=3.3e+11p ps=2.66e+06u w=1e+06u l=150000u
-X1 a_n33_n100# a_n63_n130# w_n317_n202# w_n317_n202# sky130_fd_pr__pfet_01v8 ad=0p pd=0u as=3.8e+11p ps=2.76e+06u w=1e+06u l=150000u
-.ends
-
-.subckt inv_W1 Vout VDD Vin GND
-Xnmos_1u_0 GND Vout Vin nmos_1u
-Xpmos_2uf2_0 VDD Vin VDD Vout Vin GND pmos_2uf2
-.ends
-
-.subckt buffer_1 inv_W2_0/VDD inv_W2_0/Vout inv_W1_0/Vin VSUBS
-Xinv_W2_0 inv_W2_0/VDD inv_W2_0/Vout inv_W2_0/Vin inv_W2_0/VDD VSUBS VSUBS inv_W2
-Xinv_W1_0 inv_W2_0/Vin inv_W2_0/VDD inv_W1_0/Vin VSUBS inv_W1
-.ends
-
-.subckt sky130_fd_pr__nfet_01v8_KZU588 a_159_n100# a_255_n100# a_351_n100# a_n129_n100#
-+ a_63_n100# li_321_116# a_n353_n162# a_n225_n100# a_n413_n74# a_n321_n100# a_n33_n100#
-+ VSUBS
-X0 a_n321_n100# a_n353_n162# a_n413_n74# VSUBS sky130_fd_pr__nfet_01v8 ad=3.3e+11p pd=2.66e+06u as=3.048e+11p ps=2.62e+06u w=1e+06u l=150000u
-X1 a_n225_n100# a_n353_n162# a_n321_n100# VSUBS sky130_fd_pr__nfet_01v8 ad=3.3e+11p pd=2.66e+06u as=0p ps=0u w=1e+06u l=150000u
-X2 a_n129_n100# a_n353_n162# a_n225_n100# VSUBS sky130_fd_pr__nfet_01v8 ad=3.3e+11p pd=2.66e+06u as=0p ps=0u w=1e+06u l=150000u
-X3 a_63_n100# a_n353_n162# a_n33_n100# VSUBS sky130_fd_pr__nfet_01v8 ad=3.3e+11p pd=2.66e+06u as=3.3e+11p ps=2.66e+06u w=1e+06u l=150000u
-X4 a_n33_n100# a_n353_n162# a_n129_n100# VSUBS sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=1e+06u l=150000u
-X5 a_351_n100# a_n353_n162# a_255_n100# VSUBS sky130_fd_pr__nfet_01v8 ad=3.048e+11p pd=2.62e+06u as=3.3e+11p ps=2.66e+06u w=1e+06u l=150000u
-X6 a_159_n100# a_n353_n162# a_63_n100# VSUBS sky130_fd_pr__nfet_01v8 ad=3.3e+11p pd=2.66e+06u as=0p ps=0u w=1e+06u l=150000u
-X7 a_255_n100# a_n353_n162# a_159_n100# VSUBS sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=1e+06u l=150000u
-.ends
-
-.subckt sky130_fd_pr__pfet_01v8_RL4NCG a_543_n100# a_159_n100# a_n609_n100# a_321_n126#
-+ a_n705_n100# a_255_n100# a_n159_n128# a_n543_n128# a_n255_n126# a_351_n100# a_n417_n100#
-+ a_33_n128# a_n129_n100# a_n513_n100# a_n351_n128# a_63_n100# w_n833_n200# a_n225_n100#
-+ a_609_n128# a_n63_n126# a_n797_n74# a_705_n126# a_n321_n100# a_639_n100# a_417_n128#
-+ a_n639_n126# a_735_n100# a_n33_n100# a_513_n126# a_129_n126# a_447_n100# a_n735_n128#
-+ a_n447_n126# a_225_n128# VSUBS
-X0 a_63_n100# a_33_n128# a_n33_n100# w_n833_n200# sky130_fd_pr__pfet_01v8 ad=3.3e+11p pd=2.66e+06u as=3.3e+11p ps=2.66e+06u w=1e+06u l=150000u
-X1 a_n33_n100# a_n63_n126# a_n129_n100# w_n833_n200# sky130_fd_pr__pfet_01v8 ad=0p pd=0u as=3.3e+11p ps=2.66e+06u w=1e+06u l=150000u
-X2 a_255_n100# a_225_n128# a_159_n100# w_n833_n200# sky130_fd_pr__pfet_01v8 ad=3.3e+11p pd=2.66e+06u as=3.3e+11p ps=2.66e+06u w=1e+06u l=150000u
-X3 a_351_n100# a_321_n126# a_255_n100# w_n833_n200# sky130_fd_pr__pfet_01v8 ad=3.3e+11p pd=2.66e+06u as=0p ps=0u w=1e+06u l=150000u
-X4 a_543_n100# a_513_n126# a_447_n100# w_n833_n200# sky130_fd_pr__pfet_01v8 ad=3.3e+11p pd=2.66e+06u as=3.3e+11p ps=2.66e+06u w=1e+06u l=150000u
-X5 a_159_n100# a_129_n126# a_63_n100# w_n833_n200# sky130_fd_pr__pfet_01v8 ad=0p pd=0u as=0p ps=0u w=1e+06u l=150000u
-X6 a_447_n100# a_417_n128# a_351_n100# w_n833_n200# sky130_fd_pr__pfet_01v8 ad=0p pd=0u as=0p ps=0u w=1e+06u l=150000u
-X7 a_639_n100# a_609_n128# a_543_n100# w_n833_n200# sky130_fd_pr__pfet_01v8 ad=3.3e+11p pd=2.66e+06u as=0p ps=0u w=1e+06u l=150000u
-X8 a_735_n100# a_705_n126# a_639_n100# w_n833_n200# sky130_fd_pr__pfet_01v8 ad=3.048e+11p pd=2.62e+06u as=0p ps=0u w=1e+06u l=150000u
-X9 a_n513_n100# a_n543_n128# a_n609_n100# w_n833_n200# sky130_fd_pr__pfet_01v8 ad=3.3e+11p pd=2.66e+06u as=3.3e+11p ps=2.66e+06u w=1e+06u l=150000u
-X10 a_n321_n100# a_n351_n128# a_n417_n100# w_n833_n200# sky130_fd_pr__pfet_01v8 ad=3.3e+11p pd=2.66e+06u as=3.3e+11p ps=2.66e+06u w=1e+06u l=150000u
-X11 a_n225_n100# a_n255_n126# a_n321_n100# w_n833_n200# sky130_fd_pr__pfet_01v8 ad=3.3e+11p pd=2.66e+06u as=0p ps=0u w=1e+06u l=150000u
-X12 a_n705_n100# a_n735_n128# a_n797_n74# w_n833_n200# sky130_fd_pr__pfet_01v8 ad=3.3e+11p pd=2.66e+06u as=3.048e+11p ps=2.62e+06u w=1e+06u l=150000u
-X13 a_n609_n100# a_n639_n126# a_n705_n100# w_n833_n200# sky130_fd_pr__pfet_01v8 ad=0p pd=0u as=0p ps=0u w=1e+06u l=150000u
-X14 a_n417_n100# a_n447_n126# a_n513_n100# w_n833_n200# sky130_fd_pr__pfet_01v8 ad=0p pd=0u as=0p ps=0u w=1e+06u l=150000u
-X15 a_n129_n100# a_n159_n128# a_n225_n100# w_n833_n200# sky130_fd_pr__pfet_01v8 ad=0p pd=0u as=0p ps=0u w=1e+06u l=150000u
-.ends
-
-.subckt inv_W8 li_354_902# w_354_500# li_354_0# li_512_546# a_804_430# VSUBS
-Xsky130_fd_pr__nfet_01v8_KZU588_0 li_354_0# li_512_546# li_354_0# li_512_546# li_512_546#
-+ li_512_546# a_804_430# li_354_0# li_354_0# li_512_546# li_354_0# VSUBS sky130_fd_pr__nfet_01v8_KZU588
-Xsky130_fd_pr__pfet_01v8_RL4NCG_0 li_354_902# li_354_902# li_354_902# a_804_430# li_512_546#
-+ li_512_546# a_804_430# a_804_430# a_804_430# li_354_902# li_354_902# a_804_430#
-+ li_512_546# li_512_546# a_804_430# li_512_546# w_354_500# li_354_902# a_804_430#
-+ a_804_430# li_354_902# a_804_430# li_512_546# li_512_546# a_804_430# a_804_430#
-+ li_354_902# li_354_902# a_804_430# a_804_430# li_512_546# a_804_430# a_804_430#
-+ a_804_430# VSUBS sky130_fd_pr__pfet_01v8_RL4NCG
-.ends
-
-.subckt sky130_fd_pr__nfet_01v8_VJWT33 a_543_n100# a_159_n100# a_n609_n100# a_n705_n100#
-+ a_255_n100# a_351_n100# a_n417_n100# a_n129_n100# a_n513_n100# a_63_n100# a_n225_n100#
-+ a_n797_n74# a_n735_n176# a_n321_n100# a_639_n100# a_735_n100# a_n33_n100# a_447_n100#
-+ VSUBS
-X0 a_n513_n100# a_n735_n176# a_n609_n100# VSUBS sky130_fd_pr__nfet_01v8 ad=3.3e+11p pd=2.66e+06u as=3.3e+11p ps=2.66e+06u w=1e+06u l=150000u
-X1 a_n321_n100# a_n735_n176# a_n417_n100# VSUBS sky130_fd_pr__nfet_01v8 ad=3.3e+11p pd=2.66e+06u as=3.3e+11p ps=2.66e+06u w=1e+06u l=150000u
-X2 a_n225_n100# a_n735_n176# a_n321_n100# VSUBS sky130_fd_pr__nfet_01v8 ad=3.3e+11p pd=2.66e+06u as=0p ps=0u w=1e+06u l=150000u
-X3 a_n705_n100# a_n735_n176# a_n797_n74# VSUBS sky130_fd_pr__nfet_01v8 ad=3.3e+11p pd=2.66e+06u as=3.048e+11p ps=2.62e+06u w=1e+06u l=150000u
-X4 a_n609_n100# a_n735_n176# a_n705_n100# VSUBS sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=1e+06u l=150000u
-X5 a_n417_n100# a_n735_n176# a_n513_n100# VSUBS sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=1e+06u l=150000u
-X6 a_n129_n100# a_n735_n176# a_n225_n100# VSUBS sky130_fd_pr__nfet_01v8 ad=3.3e+11p pd=2.66e+06u as=0p ps=0u w=1e+06u l=150000u
-X7 a_63_n100# a_n735_n176# a_n33_n100# VSUBS sky130_fd_pr__nfet_01v8 ad=3.3e+11p pd=2.66e+06u as=3.3e+11p ps=2.66e+06u w=1e+06u l=150000u
-X8 a_n33_n100# a_n735_n176# a_n129_n100# VSUBS sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=1e+06u l=150000u
-X9 a_351_n100# a_n735_n176# a_255_n100# VSUBS sky130_fd_pr__nfet_01v8 ad=3.3e+11p pd=2.66e+06u as=3.3e+11p ps=2.66e+06u w=1e+06u l=150000u
-X10 a_159_n100# a_n735_n176# a_63_n100# VSUBS sky130_fd_pr__nfet_01v8 ad=3.3e+11p pd=2.66e+06u as=0p ps=0u w=1e+06u l=150000u
-X11 a_255_n100# a_n735_n176# a_159_n100# VSUBS sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=1e+06u l=150000u
-X12 a_447_n100# a_n735_n176# a_351_n100# VSUBS sky130_fd_pr__nfet_01v8 ad=3.3e+11p pd=2.66e+06u as=0p ps=0u w=1e+06u l=150000u
-X13 a_543_n100# a_n735_n176# a_447_n100# VSUBS sky130_fd_pr__nfet_01v8 ad=3.3e+11p pd=2.66e+06u as=0p ps=0u w=1e+06u l=150000u
-X14 a_639_n100# a_n735_n176# a_543_n100# VSUBS sky130_fd_pr__nfet_01v8 ad=3.3e+11p pd=2.66e+06u as=0p ps=0u w=1e+06u l=150000u
-X15 a_735_n100# a_n735_n176# a_639_n100# VSUBS sky130_fd_pr__nfet_01v8 ad=3.048e+11p pd=2.62e+06u as=0p ps=0u w=1e+06u l=150000u
-.ends
-
-.subckt sky130_fd_pr__pfet_01v8_3M44SC a_543_n100# a_159_n100# a_n609_n100# a_321_n126#
-+ a_1473_n126# a_1089_n126# a_n1407_n126# a_n705_n100# a_255_n100# a_n159_n128# a_n543_n128#
-+ a_1407_n100# a_1185_n128# a_n255_n126# a_351_n100# a_n417_n100# a_n801_n100# a_n1119_n128#
-+ a_n1503_n128# a_1281_n126# a_897_n126# a_33_n128# w_n1601_n200# a_1503_n100# a_1119_n100#
-+ a_n1377_n100# a_n1215_n126# a_n129_n100# a_n513_n100# a_n351_n128# a_n1565_n74#
-+ a_1215_n100# a_63_n100# a_n1089_n100# a_n1473_n100# a_993_n128# a_n225_n100# a_609_n128#
-+ a_n63_n126# a_n1311_n128# a_1311_n100# a_927_n100# a_n1185_n100# a_705_n126# a_n1023_n126#
-+ a_n321_n100# a_1023_n100# a_639_n100# a_n1281_n100# a_n927_n128# a_801_n128# a_417_n128#
-+ a_n639_n126# a_735_n100# a_n33_n100# a_513_n126# a_129_n126# a_n897_n100# a_831_n100#
-+ a_447_n100# a_n735_n128# a_n993_n100# a_n447_n126# a_n831_n126# a_1377_n128# a_225_n128#
-+ VSUBS
-X0 a_63_n100# a_33_n128# a_n33_n100# w_n1601_n200# sky130_fd_pr__pfet_01v8 ad=3.3e+11p pd=2.66e+06u as=3.3e+11p ps=2.66e+06u w=1e+06u l=150000u
-X1 a_927_n100# a_897_n126# a_831_n100# w_n1601_n200# sky130_fd_pr__pfet_01v8 ad=3.3e+11p pd=2.66e+06u as=3.3e+11p ps=2.66e+06u w=1e+06u l=150000u
-X2 a_1023_n100# a_993_n128# a_927_n100# w_n1601_n200# sky130_fd_pr__pfet_01v8 ad=3.3e+11p pd=2.66e+06u as=0p ps=0u w=1e+06u l=150000u
-X3 a_1311_n100# a_1281_n126# a_1215_n100# w_n1601_n200# sky130_fd_pr__pfet_01v8 ad=3.3e+11p pd=2.66e+06u as=3.3e+11p ps=2.66e+06u w=1e+06u l=150000u
-X4 a_1119_n100# a_1089_n126# a_1023_n100# w_n1601_n200# sky130_fd_pr__pfet_01v8 ad=3.3e+11p pd=2.66e+06u as=0p ps=0u w=1e+06u l=150000u
-X5 a_1215_n100# a_1185_n128# a_1119_n100# w_n1601_n200# sky130_fd_pr__pfet_01v8 ad=0p pd=0u as=0p ps=0u w=1e+06u l=150000u
-X6 a_1407_n100# a_1377_n128# a_1311_n100# w_n1601_n200# sky130_fd_pr__pfet_01v8 ad=3.3e+11p pd=2.66e+06u as=0p ps=0u w=1e+06u l=150000u
-X7 a_1503_n100# a_1473_n126# a_1407_n100# w_n1601_n200# sky130_fd_pr__pfet_01v8 ad=3.048e+11p pd=2.62e+06u as=0p ps=0u w=1e+06u l=150000u
-X8 a_n33_n100# a_n63_n126# a_n129_n100# w_n1601_n200# sky130_fd_pr__pfet_01v8 ad=0p pd=0u as=3.3e+11p ps=2.66e+06u w=1e+06u l=150000u
-X9 a_255_n100# a_225_n128# a_159_n100# w_n1601_n200# sky130_fd_pr__pfet_01v8 ad=3.3e+11p pd=2.66e+06u as=3.3e+11p ps=2.66e+06u w=1e+06u l=150000u
-X10 a_351_n100# a_321_n126# a_255_n100# w_n1601_n200# sky130_fd_pr__pfet_01v8 ad=3.3e+11p pd=2.66e+06u as=0p ps=0u w=1e+06u l=150000u
-X11 a_543_n100# a_513_n126# a_447_n100# w_n1601_n200# sky130_fd_pr__pfet_01v8 ad=3.3e+11p pd=2.66e+06u as=3.3e+11p ps=2.66e+06u w=1e+06u l=150000u
-X12 a_831_n100# a_801_n128# a_735_n100# w_n1601_n200# sky130_fd_pr__pfet_01v8 ad=0p pd=0u as=3.3e+11p ps=2.66e+06u w=1e+06u l=150000u
-X13 a_159_n100# a_129_n126# a_63_n100# w_n1601_n200# sky130_fd_pr__pfet_01v8 ad=0p pd=0u as=0p ps=0u w=1e+06u l=150000u
-X14 a_447_n100# a_417_n128# a_351_n100# w_n1601_n200# sky130_fd_pr__pfet_01v8 ad=0p pd=0u as=0p ps=0u w=1e+06u l=150000u
-X15 a_639_n100# a_609_n128# a_543_n100# w_n1601_n200# sky130_fd_pr__pfet_01v8 ad=3.3e+11p pd=2.66e+06u as=0p ps=0u w=1e+06u l=150000u
-X16 a_735_n100# a_705_n126# a_639_n100# w_n1601_n200# sky130_fd_pr__pfet_01v8 ad=0p pd=0u as=0p ps=0u w=1e+06u l=150000u
-X17 a_n1281_n100# a_n1311_n128# a_n1377_n100# w_n1601_n200# sky130_fd_pr__pfet_01v8 ad=3.3e+11p pd=2.66e+06u as=3.3e+11p ps=2.66e+06u w=1e+06u l=150000u
-X18 a_n993_n100# a_n1023_n126# a_n1089_n100# w_n1601_n200# sky130_fd_pr__pfet_01v8 ad=3.3e+11p pd=2.66e+06u as=3.3e+11p ps=2.66e+06u w=1e+06u l=150000u
-X19 a_n1473_n100# a_n1503_n128# a_n1565_n74# w_n1601_n200# sky130_fd_pr__pfet_01v8 ad=3.3e+11p pd=2.66e+06u as=3.048e+11p ps=2.62e+06u w=1e+06u l=150000u
-X20 a_n1377_n100# a_n1407_n126# a_n1473_n100# w_n1601_n200# sky130_fd_pr__pfet_01v8 ad=0p pd=0u as=0p ps=0u w=1e+06u l=150000u
-X21 a_n1185_n100# a_n1215_n126# a_n1281_n100# w_n1601_n200# sky130_fd_pr__pfet_01v8 ad=3.3e+11p pd=2.66e+06u as=0p ps=0u w=1e+06u l=150000u
-X22 a_n1089_n100# a_n1119_n128# a_n1185_n100# w_n1601_n200# sky130_fd_pr__pfet_01v8 ad=0p pd=0u as=0p ps=0u w=1e+06u l=150000u
-X23 a_n801_n100# a_n831_n126# a_n897_n100# w_n1601_n200# sky130_fd_pr__pfet_01v8 ad=3.3e+11p pd=2.66e+06u as=3.3e+11p ps=2.66e+06u w=1e+06u l=150000u
-X24 a_n513_n100# a_n543_n128# a_n609_n100# w_n1601_n200# sky130_fd_pr__pfet_01v8 ad=3.3e+11p pd=2.66e+06u as=3.3e+11p ps=2.66e+06u w=1e+06u l=150000u
-X25 a_n321_n100# a_n351_n128# a_n417_n100# w_n1601_n200# sky130_fd_pr__pfet_01v8 ad=3.3e+11p pd=2.66e+06u as=3.3e+11p ps=2.66e+06u w=1e+06u l=150000u
-X26 a_n225_n100# a_n255_n126# a_n321_n100# w_n1601_n200# sky130_fd_pr__pfet_01v8 ad=3.3e+11p pd=2.66e+06u as=0p ps=0u w=1e+06u l=150000u
-X27 a_n897_n100# a_n927_n128# a_n993_n100# w_n1601_n200# sky130_fd_pr__pfet_01v8 ad=0p pd=0u as=0p ps=0u w=1e+06u l=150000u
-X28 a_n705_n100# a_n735_n128# a_n801_n100# w_n1601_n200# sky130_fd_pr__pfet_01v8 ad=3.3e+11p pd=2.66e+06u as=0p ps=0u w=1e+06u l=150000u
-X29 a_n609_n100# a_n639_n126# a_n705_n100# w_n1601_n200# sky130_fd_pr__pfet_01v8 ad=0p pd=0u as=0p ps=0u w=1e+06u l=150000u
-X30 a_n417_n100# a_n447_n126# a_n513_n100# w_n1601_n200# sky130_fd_pr__pfet_01v8 ad=0p pd=0u as=0p ps=0u w=1e+06u l=150000u
-X31 a_n129_n100# a_n159_n128# a_n225_n100# w_n1601_n200# sky130_fd_pr__pfet_01v8 ad=0p pd=0u as=0p ps=0u w=1e+06u l=150000u
-C0 w_n1601_n200# VSUBS 3.82fF
-.ends
-
-.subckt inv_W16 li_128_546# li_n14_902# a_82_816# w_82_814# li_n14_0# VSUBS
-Xsky130_fd_pr__nfet_01v8_VJWT33_0 li_n14_0# li_n14_0# li_n14_0# li_128_546# li_128_546#
-+ li_n14_0# li_n14_0# li_128_546# li_128_546# li_128_546# li_n14_0# li_n14_0# a_82_816#
-+ li_128_546# li_128_546# li_n14_0# li_n14_0# li_128_546# VSUBS sky130_fd_pr__nfet_01v8_VJWT33
-Xsky130_fd_pr__pfet_01v8_3M44SC_0 li_n14_902# li_n14_902# li_n14_902# a_82_816# a_82_816#
-+ a_82_816# a_82_816# li_128_546# li_128_546# a_82_816# a_82_816# li_128_546# a_82_816#
-+ a_82_816# li_n14_902# li_n14_902# li_n14_902# a_82_816# a_82_816# a_82_816# a_82_816#
-+ a_82_816# w_82_814# li_n14_902# li_n14_902# li_n14_902# a_82_816# li_128_546# li_128_546#
-+ a_82_816# li_n14_902# li_128_546# li_128_546# li_128_546# li_128_546# a_82_816#
-+ li_n14_902# a_82_816# a_82_816# a_82_816# li_n14_902# li_n14_902# li_n14_902# a_82_816#
-+ a_82_816# li_128_546# li_128_546# li_128_546# li_128_546# a_82_816# a_82_816# a_82_816#
-+ a_82_816# li_n14_902# li_n14_902# a_82_816# a_82_816# li_128_546# li_128_546# li_128_546#
-+ a_82_816# li_n14_902# a_82_816# a_82_816# a_82_816# a_82_816# VSUBS sky130_fd_pr__pfet_01v8_3M44SC
-C0 a_82_816# VSUBS 3.17fF
-C1 w_82_814# VSUBS 3.92fF
-.ends
-
-.subckt buffer_2 Vout inv_W8_0/li_354_902# w_1666_500# inv_W8_0/a_804_430# inv_W8_0/li_354_0#
-+ VSUBS
-Xinv_W8_0 inv_W8_0/li_354_902# w_1666_500# inv_W8_0/li_354_0# inv_W16_0/a_82_816#
-+ inv_W8_0/a_804_430# VSUBS inv_W8
-Xinv_W16_0 Vout inv_W8_0/li_354_902# inv_W16_0/a_82_816# w_1666_500# inv_W8_0/li_354_0#
-+ VSUBS inv_W16
-C0 inv_W16_0/a_82_816# VSUBS 3.68fF
-C1 w_1666_500# VSUBS 6.52fF
-C2 inv_W8_0/li_354_0# VSUBS 2.31fF
-.ends
-
-.subckt buffer_12
-Xbuffer_1_0 VDD buffer_1_0/inv_W2_0/Vout buf_in GND buffer_1
-Xbuffer_2_0 buf_out VDD VDD buffer_1_0/inv_W2_0/Vout GND GND buffer_2
-C0 VDD 0 9.85fF
-C1 buffer_2_0/inv_W16_0/a_82_816# 0 3.68fF
-C2 GND 0 2.85fF
-.ends
-
diff --git a/mag/myinv_layout2/buffer_2.ext b/mag/myinv_layout2/buffer_2.ext
deleted file mode 100755
index 6a8155a..0000000
--- a/mag/myinv_layout2/buffer_2.ext
+++ /dev/null
@@ -1,27 +0,0 @@
-timestamp 1646326308
-version 8.3
-tech sky130A
-style ngspice()
-scale 1000 1 500000
-resistclasses 4400000 2200000 1700000 3050000 120000 197000 114000 191000 120000 197000 114000 191000 48200 319800 2000000 48200 48200 12200 125 125 47 47 29 5
-use inv_W16 inv_W16_0 1 0 1708 0 1 0
-use inv_W8 inv_W8_0 1 0 -354 0 1 0
-node "Vout" 15 44.2028 4850 436 li 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 2576 204 0 0 0 0 0 0 0 0 0 0 0 0
-node "w_1666_500#" 1943 420 1666 500 nw 0 0 0 0 140000 1500 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0
-substrate "VSUBS" 0 0 -1073741817 -1073741817 space 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0
-cap "inv_W16_0/li_n14_902#" "inv_W16_0/a_468_358#" 15.1736
-cap "inv_W8_0/a_466_816#" "inv_W16_0/a_82_816#" 1.35641
-cap "inv_W16_0/a_468_358#" "inv_W8_0/a_466_816#" 16.3371
-cap "inv_W16_0/a_468_358#" "inv_W16_0/li_n14_0#" -0.171875
-cap "inv_W16_0/a_468_358#" "inv_W16_0/sky130_fd_pr__pfet_01v8_3M44SC_0/a_n1473_n100#" 48.7475
-cap "inv_W16_0/sky130_fd_pr__pfet_01v8_3M44SC_0/w_n1601_n200#" "inv_W16_0/li_n14_902#" 16.9157
-cap "inv_W16_0/li_n14_902#" "inv_W16_0/a_468_358#" 105.456
-cap "inv_W16_0/li_n14_902#" "inv_W16_0/sky130_fd_pr__pfet_01v8_3M44SC_0/a_n1473_n100#" 120.656
-merge "inv_W8_0/VSUBS" "inv_W16_0/VSUBS" 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0
-merge "inv_W16_0/VSUBS" "VSUBS"
-merge "inv_W8_0/li_512_546#" "inv_W16_0/a_468_358#" -8.3746 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 15768 -112 0 0 0 0 0 0 0 0 0 0 0 0
-merge "inv_W8_0/li_354_0#" "inv_W16_0/li_n14_0#" -8.0948 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 15184 -108 0 0 0 0 0 0 0 0 0 0 0 0
-merge "inv_W8_0/w_354_500#" "inv_W16_0/sky130_fd_pr__pfet_01v8_3M44SC_0/w_n1601_n200#" 271.488 0 0 0 0 90496 -2304 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0
-merge "inv_W16_0/sky130_fd_pr__pfet_01v8_3M44SC_0/w_n1601_n200#" "w_1666_500#"
-merge "inv_W8_0/li_354_902#" "inv_W16_0/li_n14_902#" -25.0105 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 15184 -108 0 0 0 0 0 0 0 0 0 0 0 0
-merge "inv_W16_0/li_128_546#" "Vout" -44.2028 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 -2576 -204 0 0 0 0 0 0 0 0 0 0 0 0
diff --git a/mag/myinv_layout2/buffer_2.mag b/mag/myinv_layout2/buffer_2.mag
deleted file mode 100755
index 12f4f9a..0000000
--- a/mag/myinv_layout2/buffer_2.mag
+++ /dev/null
@@ -1,18 +0,0 @@
-magic
-tech sky130A
-timestamp 1646326308
-<< nwell >>
-rect 833 250 1008 450
-<< locali >>
-rect 2425 218 2448 246
-use inv_W8  inv_W8_0
-timestamp 1646325197
-transform 1 0 -177 0 1 0
-box 177 0 1025 477
-use inv_W16  inv_W16_0
-timestamp 1646325283
-transform 1 0 854 0 1 0
-box -7 0 1594 477
-<< labels >>
-rlabel locali 2448 232 2448 232 3 Vout
-<< end >>
diff --git a/mag/myinv_layout2/buffer_sample_lay.ext b/mag/myinv_layout2/buffer_sample_lay.ext
deleted file mode 100755
index 3c5ab2f..0000000
--- a/mag/myinv_layout2/buffer_sample_lay.ext
+++ /dev/null
@@ -1,29 +0,0 @@
-timestamp 1645264122
-version 8.3
-tech sky130A
-style ngspice()
-scale 1000 1 500000
-resistclasses 4400000 2200000 1700000 3050000 120000 197000 114000 191000 120000 197000 114000 191000 48200 319800 2000000 48200 48200 12200 125 125 47 47 29 5
-use inv_W1 inv_W1_1 1 0 588 0 1 72
-use inv_W1 inv_W1_0 1 0 100 0 1 72
-node "GND" 14 41.4764 0 2 li 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 2288 192 0 0 0 0 0 0 0 0 0 0 0 0
-node "Vout" 26 34.9668 950 436 li 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 1456 164 0 0 0 0 0 0 0 0 0 0 0 0
-node "Vin" 16 42.3556 0 436 li 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 2352 196 0 0 0 0 0 0 0 0 0 0 0 0
-node "VDD" 16 36.0384 -2 902 li 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 1728 168 0 0 0 0 0 0 0 0 0 0 0 0
-substrate "VSUBS" 0 0 -1073741817 -1073741817 space 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0
-cap "GND" "Vin" 3.62827
-cap "inv_W1_1/Vin" "inv_W1_1/GND" 47.9354
-cap "inv_W1_1/GND" "inv_W1_0/Vin" 0.314602
-cap "inv_W1_1/VDD" "inv_W1_1/Vout" 14.3882
-cap "inv_W1_1/Vin" "inv_W1_0/Vin" 15.2675
-cap "inv_W1_1/VDD" "inv_W1_1/GND" -1.42109e-14
-cap "inv_W1_1/Vin" "inv_W1_1/VDD" 31.3824
-merge "inv_W1_0/VDD" "VDD" -55.6296 0 0 0 0 0 -812 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 -1632 -264 0 0 0 0 0 0 0 0 0 0 0 0
-merge "VDD" "inv_W1_1/VDD"
-merge "inv_W1_0/VSUBS" "inv_W1_1/VSUBS" 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0
-merge "inv_W1_1/VSUBS" "VSUBS"
-merge "inv_W1_0/GND" "inv_W1_1/GND" -61.895 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 -2200 -292 0 0 0 0 0 0 0 0 0 0 0 0
-merge "inv_W1_1/GND" "GND"
-merge "inv_W1_0/Vin" "Vin" -42.3556 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 -2352 -196 0 0 0 0 0 0 0 0 0 0 0 0
-merge "inv_W1_0/Vout" "inv_W1_1/Vin" -22.96 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 -112 0 0 0 0 0 0 0 0 0 0 0 0
-merge "inv_W1_1/Vout" "Vout" -34.9668 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 -1456 -164 0 0 0 0 0 0 0 0 0 0 0 0
diff --git a/mag/myinv_layout2/buffer_sample_lay.mag b/mag/myinv_layout2/buffer_sample_lay.mag
deleted file mode 100755
index 28edf44..0000000
--- a/mag/myinv_layout2/buffer_sample_lay.mag
+++ /dev/null
@@ -1,22 +0,0 @@
-magic
-tech sky130A
-timestamp 1645264122
-<< locali >>
-rect -1 451 17 475
-rect 0 218 21 246
-rect 475 218 488 246
-rect 0 1 22 27
-use inv_W1  inv_W1_0
-timestamp 1645263751
-transform 1 0 50 0 1 36
-box -50 -36 194 439
-use inv_W1  inv_W1_1
-timestamp 1645263751
-transform 1 0 294 0 1 36
-box -50 -36 194 439
-<< labels >>
-rlabel locali 0 6 0 6 7 GND
-rlabel locali 0 227 0 227 7 Vin
-rlabel locali -1 456 -1 456 7 VDD
-rlabel locali 488 227 488 227 3 Vout
-<< end >>
diff --git a/mag/myinv_layout2/buffer_sample_lay.spice b/mag/myinv_layout2/buffer_sample_lay.spice
deleted file mode 100755
index ac80db0..0000000
--- a/mag/myinv_layout2/buffer_sample_lay.spice
+++ /dev/null
@@ -1,32 +0,0 @@
-* SPICE3 file created from buffer_sample_lay.ext - technology: sky130A
-
-.subckt sky130_fd_pr__nfet_01v8_7RYEVP a_n73_n69# a_n33_n157# a_15_n69# VSUBS
-X0 a_15_n69# a_n33_n157# a_n73_n69# VSUBS sky130_fd_pr__nfet_01v8 ad=2.9e+11p pd=2.58e+06u as=2.9e+11p ps=2.58e+06u w=1e+06u l=150000u
-.ends
-
-.subckt nmos_1u sky130_fd_pr__nfet_01v8_7RYEVP_0/VSUBS sky130_fd_pr__nfet_01v8_7RYEVP_0/a_15_n69#
-+ m1_n86_2#
-Xsky130_fd_pr__nfet_01v8_7RYEVP_0 sky130_fd_pr__nfet_01v8_7RYEVP_0/VSUBS m1_n86_2#
-+ sky130_fd_pr__nfet_01v8_7RYEVP_0/a_15_n69# sky130_fd_pr__nfet_01v8_7RYEVP_0/VSUBS
-+ sky130_fd_pr__nfet_01v8_7RYEVP
-.ends
-
-.subckt pmos_2uf2 a_63_n100# a_33_n130# w_n317_n202# a_n33_n100# a_n63_n130# VSUBS
-X0 a_63_n100# a_33_n130# a_n33_n100# w_n317_n202# sky130_fd_pr__pfet_01v8 ad=3.048e+11p pd=2.62e+06u as=3.3e+11p ps=2.66e+06u w=1e+06u l=150000u
-X1 a_n33_n100# a_n63_n130# w_n317_n202# w_n317_n202# sky130_fd_pr__pfet_01v8 ad=0p pd=0u as=3.8e+11p ps=2.76e+06u w=1e+06u l=150000u
-.ends
-
-.subckt inv_W1 Vout Vin VDD GND
-Xnmos_1u_0 GND Vout Vin nmos_1u
-Xpmos_2uf2_0 VDD Vin VDD Vout Vin GND pmos_2uf2
-.ends
-
-
-* Top level circuit buffer_sample_lay
-
-Xinv_W1_0 inv_W1_1/Vin Vin VDD GND inv_W1
-Xinv_W1_1 Vout inv_W1_1/Vin VDD GND inv_W1
-.global VDD
-.global GND
-.end
-
diff --git a/mag/myinv_layout2/inv.ext b/mag/myinv_layout2/inv.ext
deleted file mode 100755
index 4754063..0000000
--- a/mag/myinv_layout2/inv.ext
+++ /dev/null
@@ -1,41 +0,0 @@
-timestamp 1644846380
-version 8.3
-tech sky130A
-style ngspice()
-scale 1000 1 500000
-resistclasses 4400000 2200000 1700000 3050000 120000 197000 114000 191000 120000 197000 114000 191000 48200 319800 2000000 48200 48200 12200 125 125 47 47 29 5
-use sky130_fd_pr__pfet_01v8_5YYKDE sky130_fd_pr__pfet_01v8_5YYKDE_0 1 0 21 0 1 730
-use sky130_fd_pr__nfet_01v8_QQ4XG9 sky130_fd_pr__nfet_01v8_QQ4XG9_0 1 0 71 0 1 141
-node "m1_18_0#" 0 51.1006 18 0 m1 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 2924 240 0 0 0 0 0 0 0 0 0 0
-node "m1_n100_448#" 0 -7.38 -100 448 m1 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 3816 284 0 0 0 0 0 0 0 0 0 0
-node "m1_36_976#" 0 0 36 976 m1 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 3816 284 0 0 0 0 0 0 0 0 0 0
-node "a_n46_72#" 545 8.14 -46 72 ndif 0 0 0 0 0 0 0 0 8800 488 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0
-node "a_6_442#" 385 94.535 6 442 p 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 8900 600 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0
-node "w_n254_408#" 2764 923.34 -254 408 nw 0 0 0 0 304964 2232 0 0 42400 1012 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 48144 1052 0 0 0 0 0 0 0 0 0 0 0 0
-substrate "a_n160_72#" 0 0 -160 72 ppd 0 0 0 0 0 0 0 0 0 0 22800 628 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 34528 748 0 0 0 0 0 0 0 0 0 0 0 0
-cap "m1_n100_448#" "a_6_442#" 11.52
-cap "m1_n100_448#" "w_n254_408#" 60.7004
-cap "w_n254_408#" "m1_36_976#" 60.7004
-cap "a_6_442#" "w_n254_408#" 94.05
-cap "sky130_fd_pr__nfet_01v8_QQ4XG9_0/a_n73_n69#" "sky130_fd_pr__pfet_01v8_5YYKDE_0/w_n161_n300#" 4.488
-cap "sky130_fd_pr__pfet_01v8_5YYKDE_0/a_15_231#" "sky130_fd_pr__pfet_01v8_5YYKDE_0/a_63_n200#" 41.087
-cap "sky130_fd_pr__nfet_01v8_QQ4XG9_0/a_n73_n69#" "sky130_fd_pr__pfet_01v8_5YYKDE_0/a_15_231#" 41.522
-cap "sky130_fd_pr__pfet_01v8_5YYKDE_0/a_15_231#" "sky130_fd_pr__nfet_01v8_QQ4XG9_0/a_15_n69#" 4.73684
-cap "sky130_fd_pr__nfet_01v8_QQ4XG9_0/a_n73_n69#" "sky130_fd_pr__pfet_01v8_5YYKDE_0/a_n33_n200#" 11.4647
-cap "sky130_fd_pr__pfet_01v8_5YYKDE_0/w_n161_n300#" "sky130_fd_pr__pfet_01v8_5YYKDE_0/a_15_231#" -86.3818
-cap "sky130_fd_pr__pfet_01v8_5YYKDE_0/w_n161_n300#" "sky130_fd_pr__pfet_01v8_5YYKDE_0/a_n33_n200#" -5.68434e-14
-cap "sky130_fd_pr__pfet_01v8_5YYKDE_0/a_63_n200#" "sky130_fd_pr__nfet_01v8_QQ4XG9_0/a_15_n69#" 11.8984
-cap "sky130_fd_pr__pfet_01v8_5YYKDE_0/a_15_231#" "sky130_fd_pr__pfet_01v8_5YYKDE_0/a_n33_n200#" 7.82609
-cap "sky130_fd_pr__pfet_01v8_5YYKDE_0/w_n161_n300#" "sky130_fd_pr__pfet_01v8_5YYKDE_0/a_63_n200#" -1.13687e-13
-merge "sky130_fd_pr__nfet_01v8_QQ4XG9_0/a_n33_n157#" "m1_18_0#" 9.4784 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 -420 -240 0 0 0 0 -6148 -560 0 0 0 0 0 0 0 0 0 0
-merge "m1_18_0#" "sky130_fd_pr__pfet_01v8_5YYKDE_0/a_n81_n297#"
-merge "sky130_fd_pr__pfet_01v8_5YYKDE_0/a_n81_n297#" "m1_n100_448#"
-merge "m1_n100_448#" "m1_36_976#"
-merge "m1_36_976#" "sky130_fd_pr__pfet_01v8_5YYKDE_0/a_15_231#"
-merge "sky130_fd_pr__pfet_01v8_5YYKDE_0/a_15_231#" "a_6_442#"
-merge "sky130_fd_pr__nfet_01v8_QQ4XG9_0/VSUBS" "sky130_fd_pr__nfet_01v8_QQ4XG9_0/a_n73_n69#" -89.96 0 0 0 0 0 0 0 0 0 -400 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 -416 0 0 0 0 0 0 0 0 0 0 0 0
-merge "sky130_fd_pr__nfet_01v8_QQ4XG9_0/a_n73_n69#" "a_n46_72#"
-merge "a_n46_72#" "sky130_fd_pr__pfet_01v8_5YYKDE_0/VSUBS"
-merge "sky130_fd_pr__pfet_01v8_5YYKDE_0/VSUBS" "a_n160_72#"
-merge "sky130_fd_pr__pfet_01v8_5YYKDE_0/a_n125_n200#" "sky130_fd_pr__pfet_01v8_5YYKDE_0/w_n161_n300#" -560.172 0 0 0 0 -185904 -1844 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 -816 0 0 0 0 0 0 0 0 0 0 0 0
-merge "sky130_fd_pr__pfet_01v8_5YYKDE_0/w_n161_n300#" "w_n254_408#"
diff --git a/mag/myinv_layout2/inv.mag b/mag/myinv_layout2/inv.mag
deleted file mode 100755
index 88cc41a..0000000
--- a/mag/myinv_layout2/inv.mag
+++ /dev/null
@@ -1,48 +0,0 @@
-magic
-tech sky130A
-magscale 1 2
-timestamp 1644851365
-<< nwell >>
-rect -254 408 224 1046
-<< ndiff >>
-rect -46 72 -2 272
-<< psubdiff >>
-rect -160 248 -46 272
-rect -160 92 -126 248
-rect -76 92 -46 248
-rect -160 72 -46 92
-<< nsubdiff >>
-rect -210 896 -104 930
-rect -210 556 -180 896
-rect -138 556 -104 896
-rect -210 530 -104 556
-<< psubdiffcont >>
-rect -126 92 -76 248
-<< nsubdiffcont >>
-rect -180 556 -138 896
-<< poly >>
-rect 54 488 84 514
-rect 6 442 86 488
-rect 56 294 86 442
-<< locali >>
-rect -210 896 -92 934
-rect -210 556 -180 896
-rect -138 556 -92 896
-rect -210 526 -92 556
-rect -156 248 10 276
-rect -156 92 -126 248
-rect -76 92 10 248
-rect -156 68 10 92
-<< metal1 >>
-rect 36 976 142 1012
-rect -100 448 6 484
-rect 18 0 104 34
-use sky130_fd_pr__nfet_01v8_QQ4XG9  sky130_fd_pr__nfet_01v8_QQ4XG9_0
-timestamp 1644851365
-transform 1 0 71 0 1 141
-box -73 -157 73 157
-use sky130_fd_pr__pfet_01v8_5YYKDE  sky130_fd_pr__pfet_01v8_5YYKDE_0
-timestamp 1644851365
-transform 1 0 21 0 1 730
-box -161 -300 161 300
-<< end >>
diff --git a/mag/myinv_layout2/inv.spice b/mag/myinv_layout2/inv.spice
deleted file mode 100755
index 4c427c4..0000000
--- a/mag/myinv_layout2/inv.spice
+++ /dev/null
@@ -1,21 +0,0 @@
-* SPICE3 file created from inv.ext - technology: sky130A
-
-.subckt sky130_fd_pr__pfet_01v8_5YYKDE a_15_231# a_n81_n297# w_n161_n300# a_n125_n200#
-+ VSUBS
-X0 a_63_n200# a_15_231# a_n33_n200# w_n161_n300# sky130_fd_pr__pfet_01v8 ad=6.2e+11p pd=4.62e+06u as=6.6e+11p ps=4.66e+06u w=2e+06u l=150000u
-X1 a_n33_n200# a_n81_n297# a_n125_n200# w_n161_n300# sky130_fd_pr__pfet_01v8 ad=0p pd=0u as=6.2e+11p ps=4.62e+06u w=2e+06u l=150000u
-.ends
-
-.subckt sky130_fd_pr__nfet_01v8_QQ4XG9 a_n73_n69# a_n33_n157# VSUBS
-X0 a_15_n69# a_n33_n157# a_n73_n69# VSUBS sky130_fd_pr__nfet_01v8 ad=2.9e+11p pd=2.58e+06u as=2.9e+11p ps=2.58e+06u w=1e+06u l=150000u
-.ends
-
-
-* Top level circuit inv
-
-Xsky130_fd_pr__pfet_01v8_5YYKDE_0 m1_18_0# m1_18_0# w_n254_408# w_n254_408# sky130_fd_pr__pfet_01v8_5YYKDE_0/VSUBS
-+ sky130_fd_pr__pfet_01v8_5YYKDE
-Xsky130_fd_pr__nfet_01v8_QQ4XG9_0 sky130_fd_pr__pfet_01v8_5YYKDE_0/VSUBS m1_18_0#
-+ sky130_fd_pr__pfet_01v8_5YYKDE_0/VSUBS sky130_fd_pr__nfet_01v8_QQ4XG9
-.end
-
diff --git a/mag/myinv_layout2/inv_W1.ext b/mag/myinv_layout2/inv_W1.ext
deleted file mode 100755
index 4752a17..0000000
--- a/mag/myinv_layout2/inv_W1.ext
+++ /dev/null
@@ -1,43 +0,0 @@
-timestamp 1645263751
-version 8.3
-tech sky130A
-style ngspice()
-scale 1000 1 500000
-resistclasses 4400000 2200000 1700000 3050000 120000 197000 114000 191000 120000 197000 114000 191000 48200 319800 2000000 48200 48200 12200 125 125 47 47 29 5
-use pmos_2uf2 pmos_2uf2_0 1 0 219 0 1 622
-use nmos_1u nmos_1u_0 1 0 112 0 1 14
-node "GND" 130 309.233 -100 -72 li 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 35560 1348 0 0 0 0 0 0 0 0 0 0 0 0
-node "Vout" 127 170.655 202 260 li 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 18576 964 0 0 0 0 0 0 0 0 0 0 0 0
-node "VDD" 295 464.724 -100 828 li 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 42548 2112 0 0 0 0 0 0 0 0 0 0 0 0
-node "Vin" 437 361.439 84 352 p 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 16584 812 0 0 17360 704 0 0 0 0 0 0 0 0 0 0 0 0
-node "w_156_432#" 3455 23.436 156 432 nw 0 0 0 0 7812 376 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0
-node "w_106_758#" 10767 24.624 106 758 nw 0 0 0 0 8208 528 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0
-node "w_n100_420#" 345100 2.436 -100 420 nw 0 0 0 0 812 816 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0
-substrate "VSUBS" 0 0 -1073741817 -1073741817 space 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0
-cap "GND" "Vin" 26.7649
-cap "w_156_432#" "Vin" 37.93
-cap "GND" "Vout" 17.0696
-cap "VDD" "Vin" 14.9802
-cap "w_156_432#" "Vout" 26.4893
-cap "Vout" "Vin" 114.025
-cap "w_n100_420#" "Vin" 0.41
-cap "VDD" "Vout" 20.2327
-cap "VDD" "w_106_758#" 7.5924
-cap "pmos_2uf2_0/a_n33_n100#" "nmos_1u_0/a_n112_74#" 5.34286
-cap "pmos_2uf2_0/a_n63_n130#" "nmos_1u_0/a_n112_74#" 180.654
-cap "pmos_2uf2_0/w_n317_n202#" "nmos_1u_0/a_n112_74#" 23.3428
-cap "pmos_2uf2_0/w_n317_n202#" "pmos_2uf2_0/a_n33_n100#" 81.4607
-cap "pmos_2uf2_0/w_n317_n202#" "pmos_2uf2_0/a_n63_n130#" 156.497
-merge "nmos_1u_0/a_n112_74#" "GND" -28.0678 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 -1064 -180 0 0 0 0 0 0 0 0 0 0 0 0
-merge "GND" "pmos_2uf2_0/VSUBS"
-merge "pmos_2uf2_0/VSUBS" "VSUBS"
-merge "nmos_1u_0/sky130_fd_pr__nfet_01v8_7RYEVP_0/a_15_n69#" "pmos_2uf2_0/a_n33_n100#" -98.7705 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 -952 -192 0 0 0 0 0 0 0 0 0 0 0 0
-merge "pmos_2uf2_0/a_n33_n100#" "Vout"
-merge "pmos_2uf2_0/a_63_n100#" "pmos_2uf2_0/w_n317_n202#" -280.232 0 0 0 0 -16020 -1716 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 -4692 -412 0 0 0 0 0 0 0 0 0 0 0 0
-merge "pmos_2uf2_0/w_n317_n202#" "VDD"
-merge "VDD" "w_156_432#"
-merge "w_156_432#" "w_106_758#"
-merge "w_106_758#" "w_n100_420#"
-merge "nmos_1u_0/sky130_fd_pr__nfet_01v8_7RYEVP_0/a_n33_n157#" "pmos_2uf2_0/a_33_n130#" -210.176 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 -1320 -268 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0
-merge "pmos_2uf2_0/a_33_n130#" "pmos_2uf2_0/a_n63_n130#"
-merge "pmos_2uf2_0/a_n63_n130#" "Vin"
diff --git a/mag/myinv_layout2/inv_W1.mag b/mag/myinv_layout2/inv_W1.mag
deleted file mode 100755
index 0fa9a17..0000000
--- a/mag/myinv_layout2/inv_W1.mag
+++ /dev/null
@@ -1,49 +0,0 @@
-magic
-tech sky130A
-magscale 1 2
-timestamp 1645263751
-<< nwell >>
-rect -100 420 -98 826
-rect 106 758 334 794
-rect 156 432 282 494
-<< poly >>
-rect 156 466 282 506
-rect 156 436 190 466
-rect 84 416 190 436
-rect 84 370 100 416
-rect 150 370 190 416
-rect 84 352 190 370
-rect 160 298 190 352
-<< polycont >>
-rect 100 370 150 416
-<< locali >>
-rect -100 828 388 878
-rect 106 794 140 828
-rect 106 758 334 794
-rect 106 578 140 758
-rect 298 684 334 758
-rect 84 420 168 436
-rect -100 416 168 420
-rect -100 370 100 416
-rect 150 370 168 416
-rect -100 364 168 370
-rect 84 352 168 364
-rect 202 420 236 556
-rect 202 364 388 420
-rect 202 260 236 364
-rect 6 -20 82 114
-rect -100 -72 388 -20
-use nmos_1u  nmos_1u_0
-timestamp 1644925353
-transform 1 0 112 0 1 14
-box -112 -14 136 300
-use pmos_2uf2  pmos_2uf2_0
-timestamp 1645079724
-transform 1 0 219 0 1 622
-box -317 -202 169 204
-<< labels >>
-rlabel locali -100 390 -100 390 7 Vin
-rlabel locali 388 392 388 392 3 Vout
-rlabel locali -100 854 -100 854 7 VDD
-rlabel locali -100 -46 -100 -46 7 GND
-<< end >>
diff --git a/mag/myinv_layout2/inv_W1.spice b/mag/myinv_layout2/inv_W1.spice
deleted file mode 100755
index 2a48770..0000000
--- a/mag/myinv_layout2/inv_W1.spice
+++ /dev/null
@@ -1,40 +0,0 @@
-* SPICE3 file created from inv_W1.ext - technology: sky130A
-
-.subckt sky130_fd_pr__nfet_01v8_7RYEVP a_n73_n69# a_n33_n157# a_15_n69# VSUBS
-X0 a_15_n69# a_n33_n157# a_n73_n69# VSUBS sky130_fd_pr__nfet_01v8 ad=2.9e+11p pd=2.58e+06u as=2.9e+11p ps=2.58e+06u w=1e+06u l=150000u
-.ends
-
-.subckt nmos_1u sky130_fd_pr__nfet_01v8_7RYEVP_0/VSUBS sky130_fd_pr__nfet_01v8_7RYEVP_0/a_15_n69#
-+ m1_n86_2#
-Xsky130_fd_pr__nfet_01v8_7RYEVP_0 sky130_fd_pr__nfet_01v8_7RYEVP_0/VSUBS m1_n86_2#
-+ sky130_fd_pr__nfet_01v8_7RYEVP_0/a_15_n69# sky130_fd_pr__nfet_01v8_7RYEVP_0/VSUBS
-+ sky130_fd_pr__nfet_01v8_7RYEVP
-.ends
-
-.subckt pmos_2uf2 a_63_n100# a_33_n130# w_n317_n202# a_n33_n100# a_n63_n130# VSUBS
-X0 a_63_n100# a_33_n130# a_n33_n100# w_n317_n202# sky130_fd_pr__pfet_01v8 ad=3.048e+11p pd=2.62e+06u as=3.3e+11p ps=2.66e+06u w=1e+06u l=150000u
-X1 a_n33_n100# a_n63_n130# w_n317_n202# w_n317_n202# sky130_fd_pr__pfet_01v8 ad=0p pd=0u as=3.8e+11p ps=2.76e+06u w=1e+06u l=150000u
-.ends
-
-**** begin user architecture code
-
-** opencircuitdesign pdks install
-.lib /usr/local/share/pdk/sky130A/libs.tech/ngspice/sky130.lib.spice tt
-
-Vdd Vdd gnd 1.8
-Vin Vin gnd 0
-
-.control
-dc Vin 0 1.8 0.01
-plot Vin Vout
-save all
-write PLS_INV1.raw
-.endc
-
-**** end user architecture code
-* Top level circuit inv_W1
-
-Xnmos_1u_0 gnd Vout Vin nmos_1u
-Xpmos_2uf2_0 Vdd Vin Vdd Vout Vin gnd pmos_2uf2
-.end
-
diff --git a/mag/myinv_layout2/inv_W12.ext b/mag/myinv_layout2/inv_W12.ext
deleted file mode 100644
index 9d776c5..0000000
--- a/mag/myinv_layout2/inv_W12.ext
+++ /dev/null
@@ -1,39 +0,0 @@
-timestamp 1653304099
-version 8.3
-tech sky130A
-style ngspice()
-scale 1000 1 500000
-resistclasses 4400000 2200000 1700000 3050000 120000 197000 114000 191000 120000 197000 114000 191000 48200 319800 2000000 48200 48200 12200 125 125 47 47 29 5
-use pmos_2uf2#0 pmos_2uf2_0 1 0 219 0 1 622
-use nmos_1u#0 nmos_1u_0 1 0 112 0 1 -38
-node "GND" 161 304.91 -100 -72 li 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 30000 1352 0 0 0 0 0 0 0 0 0 0 0 0
-node "Vout" 127 197.145 202 260 li 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 18576 964 0 0 0 0 0 0 0 0 0 0 0 0
-node "VDD" 295 472.317 -100 828 li 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 42548 2112 0 0 0 0 0 0 0 0 0 0 0 0
-node "a_160_62#" 241 20.277 160 62 p 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 180 72 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0
-node "Vin" 522 435.031 84 352 p 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 18264 924 0 0 17360 704 0 0 0 0 0 0 0 0 0 0 0 0
-substrate "VSUBS" 0 0 -1073741817 -1073741817 space 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0
-cap "VDD" "Vout" 20.2327
-cap "Vin" "GND" 25.0022
-cap "Vin" "a_160_62#" 3.96552
-cap "Vin" "VDD" 14.9802
-cap "Vout" "GND" 17.0696
-cap "Vin" "Vout" 114.025
-cap "pmos_2uf2_0/a_n63_n130#" "pmos_2uf2_0/a_n139_n100#" 10.2742
-cap "pmos_2uf2_0/w_n319_n202#" "pmos_2uf2_0/a_n33_n100#" 76.9799
-cap "pmos_2uf2_0/a_n33_n100#" "nmos_1u_0/sky130_fd_pr__nfet_01v8_7RYEVP_0/a_15_n69#" 31.1667
-cap "nmos_1u_0/sky130_fd_pr__nfet_01v8_7RYEVP_0/a_n73_n69#" "nmos_1u_0/sky130_fd_pr__nfet_01v8_7RYEVP_0/a_15_n69#" 16.5
-cap "pmos_2uf2_0/a_n63_n130#" "nmos_1u_0/sky130_fd_pr__nfet_01v8_7RYEVP_0/a_n73_n69#" 4.01139
-cap "pmos_2uf2_0/a_n139_n100#" "pmos_2uf2_0/a_n33_n100#" 23.2294
-cap "pmos_2uf2_0/w_n319_n202#" "pmos_2uf2_0/a_n63_n130#" 140.15
-cap "pmos_2uf2_0/a_n139_n100#" "nmos_1u_0/sky130_fd_pr__nfet_01v8_7RYEVP_0/a_n73_n69#" 7.86307
-cap "pmos_2uf2_0/w_n319_n202#" "pmos_2uf2_0/a_n139_n100#" 145
-merge "nmos_1u_0/VSUBS" "pmos_2uf2_0/VSUBS" 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0
-merge "pmos_2uf2_0/VSUBS" "VSUBS"
-merge "pmos_2uf2_0/a_n33_n100#" "Vout" -98.9592 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 -408 -92 0 0 0 0 0 0 0 0 0 0 0 0
-merge "nmos_1u_0/sky130_fd_pr__nfet_01v8_7RYEVP_0/a_n73_n69#" "GND" -49.256 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 -2312 -204 0 0 0 0 0 0 0 0 0 0 0 0
-merge "pmos_2uf2_0/a_63_n100#" "pmos_2uf2_0/a_n139_n100#" -239.764 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 -4692 -412 0 0 0 0 0 0 0 0 0 0 0 0
-merge "pmos_2uf2_0/a_n139_n100#" "VDD"
-merge "nmos_1u_0/sky130_fd_pr__nfet_01v8_7RYEVP_0/a_n15_n89#" "a_160_62#" -240.143 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 -1620 -348 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0
-merge "a_160_62#" "pmos_2uf2_0/a_33_n130#"
-merge "pmos_2uf2_0/a_33_n130#" "pmos_2uf2_0/a_n63_n130#"
-merge "pmos_2uf2_0/a_n63_n130#" "Vin"
diff --git a/mag/myinv_layout2/inv_W12.mag b/mag/myinv_layout2/inv_W12.mag
deleted file mode 100644
index 2c8da09..0000000
--- a/mag/myinv_layout2/inv_W12.mag
+++ /dev/null
@@ -1,48 +0,0 @@
-magic
-tech sky130A
-magscale 1 2
-timestamp 1653304099
-<< error_s >>
-rect 160 10 190 16
-<< poly >>
-rect 156 466 282 506
-rect 156 436 190 466
-rect 84 416 190 436
-rect 84 370 100 416
-rect 150 370 190 416
-rect 84 352 190 370
-rect 160 242 190 352
-rect 160 62 190 68
-<< polycont >>
-rect 100 370 150 416
-<< locali >>
-rect -100 828 388 878
-rect 106 794 140 828
-rect 106 758 334 794
-rect 106 578 140 758
-rect 298 684 334 758
-rect 84 420 168 436
-rect -100 416 168 420
-rect -100 370 100 416
-rect 150 370 168 416
-rect -100 364 168 370
-rect 84 352 168 364
-rect 202 420 236 556
-rect 202 364 388 420
-rect 202 260 236 364
-rect 114 -20 148 116
-rect -100 -72 388 -20
-use nmos_1u#0  nmos_1u_0
-timestamp 1653304099
-transform 1 0 112 0 1 -38
-box -10 48 136 300
-use pmos_2uf2#0  pmos_2uf2_0
-timestamp 1651470485
-transform 1 0 219 0 1 622
-box -319 -202 169 204
-<< labels >>
-rlabel locali -100 390 -100 390 7 Vin
-rlabel locali 388 392 388 392 3 Vout
-rlabel locali -100 854 -100 854 7 VDD
-rlabel locali -100 -46 -100 -46 7 GND
-<< end >>
diff --git a/mag/myinv_layout2/inv_W16.ext b/mag/myinv_layout2/inv_W16.ext
deleted file mode 100755
index f7c7033..0000000
--- a/mag/myinv_layout2/inv_W16.ext
+++ /dev/null
@@ -1,141 +0,0 @@
-timestamp 1646325283
-version 8.3
-tech sky130A
-style ngspice()
-scale 1000 1 500000
-resistclasses 4400000 2200000 1700000 3050000 120000 197000 114000 191000 120000 197000 114000 191000 48200 319800 2000000 48200 48200 12200 125 125 47 47 29 5
-use sky130_fd_pr__pfet_01v8_3M44SC sky130_fd_pr__pfet_01v8_3M44SC_0 1 0 1587 0 1 700
-use sky130_fd_pr__nfet_01v8_VJWT33 sky130_fd_pr__nfet_01v8_VJWT33_0 1 0 1205 0 1 242
-node "li_n14_0#" 751 1488.16 -14 0 li 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 166504 6508 0 0 0 0 0 0 0 0 0 0 0 0
-node "li_128_546#" 1950 2770.04 128 546 li 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 255720 12868 0 0 0 0 0 0 0 0 0 0 0 0
-node "li_n14_902#" 1454 1915.55 -14 902 li 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 253448 11084 0 0 0 0 0 0 0 0 0 0 0 0
-node "a_468_358#" 214 496.36 468 358 p 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 27648 688 0 0 32192 1292 0 0 0 0 0 0 0 0 0 0 0 0
-node "a_82_816#" 3150 1218.38 82 816 p 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 138276 6104 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0
-node "w_598_546#" 77300 157.692 598 546 nw 0 0 0 0 52564 3160 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0
-node "w_82_814#" 111091 414.828 82 814 nw 0 0 0 0 138276 6104 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0
-substrate "VSUBS" 0 0 -1073741817 -1073741817 space 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0
-cap "li_128_546#" "w_598_546#" 48.6217
-cap "li_n14_902#" "a_468_358#" 13.4357
-cap "li_n14_902#" "w_82_814#" 283.954
-cap "li_n14_902#" "li_128_546#" 310.984
-cap "a_468_358#" "li_n14_0#" 50.7778
-cap "li_n14_902#" "a_82_816#" 562.917
-cap "li_128_546#" "li_n14_0#" 272.424
-cap "li_128_546#" "a_468_358#" 425.592
-cap "a_468_358#" "a_82_816#" 12.1653
-cap "w_82_814#" "a_82_816#" 826.65
-cap "sky130_fd_pr__nfet_01v8_VJWT33_0/a_n513_n100#" "sky130_fd_pr__nfet_01v8_VJWT33_0/a_n797_n74#" 155.797
-cap "sky130_fd_pr__pfet_01v8_3M44SC_0/a_n1473_n100#" "sky130_fd_pr__nfet_01v8_VJWT33_0/a_n797_n74#" 29.6436
-cap "sky130_fd_pr__pfet_01v8_3M44SC_0/a_n1473_n100#" "sky130_fd_pr__nfet_01v8_VJWT33_0/a_n513_n100#" 31.9355
-cap "sky130_fd_pr__pfet_01v8_3M44SC_0/a_n1503_n128#" "sky130_fd_pr__nfet_01v8_VJWT33_0/a_n797_n74#" 7.08629
-cap "sky130_fd_pr__pfet_01v8_3M44SC_0/a_n1565_n74#" "sky130_fd_pr__nfet_01v8_VJWT33_0/a_n513_n100#" 7.90745
-cap "sky130_fd_pr__pfet_01v8_3M44SC_0/a_n1565_n74#" "sky130_fd_pr__nfet_01v8_VJWT33_0/a_n797_n74#" 51.8165
-cap "sky130_fd_pr__pfet_01v8_3M44SC_0/a_n1565_n74#" "sky130_fd_pr__pfet_01v8_3M44SC_0/a_n1473_n100#" 166.618
-cap "sky130_fd_pr__pfet_01v8_3M44SC_0/a_n1503_n128#" "sky130_fd_pr__nfet_01v8_VJWT33_0/a_n513_n100#" 151.576
-cap "sky130_fd_pr__pfet_01v8_3M44SC_0/a_n1473_n100#" "sky130_fd_pr__pfet_01v8_3M44SC_0/a_n1503_n128#" 163.354
-cap "sky130_fd_pr__pfet_01v8_3M44SC_0/a_n1565_n74#" "sky130_fd_pr__pfet_01v8_3M44SC_0/a_n1503_n128#" 13.4786
-cap "sky130_fd_pr__pfet_01v8_3M44SC_0/w_n1601_n200#" "sky130_fd_pr__pfet_01v8_3M44SC_0/a_n1473_n100#" 553.099
-cap "sky130_fd_pr__pfet_01v8_3M44SC_0/w_n1601_n200#" "sky130_fd_pr__pfet_01v8_3M44SC_0/a_n1503_n128#" 89.65
-cap "sky130_fd_pr__pfet_01v8_3M44SC_0/a_n1565_n74#" "sky130_fd_pr__pfet_01v8_3M44SC_0/w_n1601_n200#" 194.847
-cap "sky130_fd_pr__pfet_01v8_3M44SC_0/a_n513_n100#" "sky130_fd_pr__nfet_01v8_VJWT33_0/a_n225_n100#" 154.243
-cap "sky130_fd_pr__pfet_01v8_3M44SC_0/a_927_n100#" "sky130_fd_pr__nfet_01v8_VJWT33_0/a_n225_n100#" 37.1376
-cap "sky130_fd_pr__pfet_01v8_3M44SC_0/a_n513_n100#" "sky130_fd_pr__pfet_01v8_3M44SC_0/a_927_n100#" 143.63
-cap "sky130_fd_pr__pfet_01v8_3M44SC_0/a_n513_n100#" "sky130_fd_pr__pfet_01v8_3M44SC_0/w_n1601_n200#" 463.472
-cap "sky130_fd_pr__pfet_01v8_3M44SC_0/a_n513_n100#" "sky130_fd_pr__nfet_01v8_VJWT33_0/a_n735_n176#" 110.965
-cap "sky130_fd_pr__pfet_01v8_3M44SC_0/a_n543_n128#" "sky130_fd_pr__pfet_01v8_3M44SC_0/a_n513_n100#" 96.875
-cap "sky130_fd_pr__pfet_01v8_3M44SC_0/w_n1601_n200#" "sky130_fd_pr__pfet_01v8_3M44SC_0/a_927_n100#" 197.821
-cap "sky130_fd_pr__pfet_01v8_3M44SC_0/a_n543_n128#" "sky130_fd_pr__nfet_01v8_VJWT33_0/a_n735_n176#" 19.5829
-cap "sky130_fd_pr__pfet_01v8_3M44SC_0/a_n543_n128#" "sky130_fd_pr__pfet_01v8_3M44SC_0/a_927_n100#" -52.725
-cap "sky130_fd_pr__pfet_01v8_3M44SC_0/a_n543_n128#" "sky130_fd_pr__pfet_01v8_3M44SC_0/w_n1601_n200#" -225.775
-cap "sky130_fd_pr__pfet_01v8_3M44SC_0/a_801_n128#" "sky130_fd_pr__pfet_01v8_3M44SC_0/w_n1601_n200#" -95.425
-cap "sky130_fd_pr__pfet_01v8_3M44SC_0/a_831_n100#" "sky130_fd_pr__pfet_01v8_3M44SC_0/a_927_n100#" 19.6471
-cap "sky130_fd_pr__pfet_01v8_3M44SC_0/a_831_n100#" "sky130_fd_pr__pfet_01v8_3M44SC_0/w_n1601_n200#" 92.39
-cap "sky130_fd_pr__pfet_01v8_3M44SC_0/w_n1601_n200#" "sky130_fd_pr__pfet_01v8_3M44SC_0/a_927_n100#" 74.2228
-merge "sky130_fd_pr__nfet_01v8_VJWT33_0/a_639_n100#" "sky130_fd_pr__pfet_01v8_3M44SC_0/a_1407_n100#" -1832.37 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 12172 -2084 0 0 0 0 0 0 0 0 0 0 0 0
-merge "sky130_fd_pr__pfet_01v8_3M44SC_0/a_1407_n100#" "sky130_fd_pr__pfet_01v8_3M44SC_0/a_1215_n100#"
-merge "sky130_fd_pr__pfet_01v8_3M44SC_0/a_1215_n100#" "sky130_fd_pr__pfet_01v8_3M44SC_0/a_1023_n100#"
-merge "sky130_fd_pr__pfet_01v8_3M44SC_0/a_1023_n100#" "sky130_fd_pr__pfet_01v8_3M44SC_0/a_831_n100#"
-merge "sky130_fd_pr__pfet_01v8_3M44SC_0/a_831_n100#" "sky130_fd_pr__pfet_01v8_3M44SC_0/a_639_n100#"
-merge "sky130_fd_pr__pfet_01v8_3M44SC_0/a_639_n100#" "sky130_fd_pr__pfet_01v8_3M44SC_0/a_447_n100#"
-merge "sky130_fd_pr__pfet_01v8_3M44SC_0/a_447_n100#" "sky130_fd_pr__pfet_01v8_3M44SC_0/a_255_n100#"
-merge "sky130_fd_pr__pfet_01v8_3M44SC_0/a_255_n100#" "sky130_fd_pr__nfet_01v8_VJWT33_0/a_447_n100#"
-merge "sky130_fd_pr__nfet_01v8_VJWT33_0/a_447_n100#" "sky130_fd_pr__nfet_01v8_VJWT33_0/a_63_n100#"
-merge "sky130_fd_pr__nfet_01v8_VJWT33_0/a_63_n100#" "sky130_fd_pr__nfet_01v8_VJWT33_0/a_n705_n100#"
-merge "sky130_fd_pr__nfet_01v8_VJWT33_0/a_n705_n100#" "sky130_fd_pr__nfet_01v8_VJWT33_0/a_255_n100#"
-merge "sky130_fd_pr__nfet_01v8_VJWT33_0/a_255_n100#" "sky130_fd_pr__nfet_01v8_VJWT33_0/a_n129_n100#"
-merge "sky130_fd_pr__nfet_01v8_VJWT33_0/a_n129_n100#" "sky130_fd_pr__nfet_01v8_VJWT33_0/a_n321_n100#"
-merge "sky130_fd_pr__nfet_01v8_VJWT33_0/a_n321_n100#" "sky130_fd_pr__nfet_01v8_VJWT33_0/a_n513_n100#"
-merge "sky130_fd_pr__nfet_01v8_VJWT33_0/a_n513_n100#" "sky130_fd_pr__pfet_01v8_3M44SC_0/a_63_n100#"
-merge "sky130_fd_pr__pfet_01v8_3M44SC_0/a_63_n100#" "sky130_fd_pr__pfet_01v8_3M44SC_0/a_n129_n100#"
-merge "sky130_fd_pr__pfet_01v8_3M44SC_0/a_n129_n100#" "sky130_fd_pr__pfet_01v8_3M44SC_0/a_n321_n100#"
-merge "sky130_fd_pr__pfet_01v8_3M44SC_0/a_n321_n100#" "sky130_fd_pr__pfet_01v8_3M44SC_0/a_n513_n100#"
-merge "sky130_fd_pr__pfet_01v8_3M44SC_0/a_n513_n100#" "sky130_fd_pr__pfet_01v8_3M44SC_0/a_n705_n100#"
-merge "sky130_fd_pr__pfet_01v8_3M44SC_0/a_n705_n100#" "sky130_fd_pr__pfet_01v8_3M44SC_0/a_n897_n100#"
-merge "sky130_fd_pr__pfet_01v8_3M44SC_0/a_n897_n100#" "sky130_fd_pr__pfet_01v8_3M44SC_0/a_n1089_n100#"
-merge "sky130_fd_pr__pfet_01v8_3M44SC_0/a_n1089_n100#" "sky130_fd_pr__pfet_01v8_3M44SC_0/a_n1281_n100#"
-merge "sky130_fd_pr__pfet_01v8_3M44SC_0/a_n1281_n100#" "sky130_fd_pr__pfet_01v8_3M44SC_0/a_n1473_n100#"
-merge "sky130_fd_pr__pfet_01v8_3M44SC_0/a_n1473_n100#" "li_128_546#"
-merge "sky130_fd_pr__nfet_01v8_VJWT33_0/VSUBS" "sky130_fd_pr__pfet_01v8_3M44SC_0/VSUBS" 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0
-merge "sky130_fd_pr__pfet_01v8_3M44SC_0/VSUBS" "VSUBS"
-merge "sky130_fd_pr__pfet_01v8_3M44SC_0/a_1503_n100#" "sky130_fd_pr__pfet_01v8_3M44SC_0/a_1311_n100#" -821.67 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 13020 -1516 0 0 0 0 0 0 0 0 0 0 0 0
-merge "sky130_fd_pr__pfet_01v8_3M44SC_0/a_1311_n100#" "sky130_fd_pr__pfet_01v8_3M44SC_0/a_1119_n100#"
-merge "sky130_fd_pr__pfet_01v8_3M44SC_0/a_1119_n100#" "sky130_fd_pr__pfet_01v8_3M44SC_0/a_735_n100#"
-merge "sky130_fd_pr__pfet_01v8_3M44SC_0/a_735_n100#" "sky130_fd_pr__pfet_01v8_3M44SC_0/a_543_n100#"
-merge "sky130_fd_pr__pfet_01v8_3M44SC_0/a_543_n100#" "sky130_fd_pr__pfet_01v8_3M44SC_0/a_351_n100#"
-merge "sky130_fd_pr__pfet_01v8_3M44SC_0/a_351_n100#" "sky130_fd_pr__pfet_01v8_3M44SC_0/a_927_n100#"
-merge "sky130_fd_pr__pfet_01v8_3M44SC_0/a_927_n100#" "sky130_fd_pr__pfet_01v8_3M44SC_0/a_159_n100#"
-merge "sky130_fd_pr__pfet_01v8_3M44SC_0/a_159_n100#" "sky130_fd_pr__pfet_01v8_3M44SC_0/a_n33_n100#"
-merge "sky130_fd_pr__pfet_01v8_3M44SC_0/a_n33_n100#" "sky130_fd_pr__pfet_01v8_3M44SC_0/a_n225_n100#"
-merge "sky130_fd_pr__pfet_01v8_3M44SC_0/a_n225_n100#" "sky130_fd_pr__pfet_01v8_3M44SC_0/a_n417_n100#"
-merge "sky130_fd_pr__pfet_01v8_3M44SC_0/a_n417_n100#" "sky130_fd_pr__pfet_01v8_3M44SC_0/a_n609_n100#"
-merge "sky130_fd_pr__pfet_01v8_3M44SC_0/a_n609_n100#" "sky130_fd_pr__pfet_01v8_3M44SC_0/a_n801_n100#"
-merge "sky130_fd_pr__pfet_01v8_3M44SC_0/a_n801_n100#" "sky130_fd_pr__pfet_01v8_3M44SC_0/a_n993_n100#"
-merge "sky130_fd_pr__pfet_01v8_3M44SC_0/a_n993_n100#" "sky130_fd_pr__pfet_01v8_3M44SC_0/a_n1185_n100#"
-merge "sky130_fd_pr__pfet_01v8_3M44SC_0/a_n1185_n100#" "sky130_fd_pr__pfet_01v8_3M44SC_0/a_n1377_n100#"
-merge "sky130_fd_pr__pfet_01v8_3M44SC_0/a_n1377_n100#" "sky130_fd_pr__pfet_01v8_3M44SC_0/a_n1565_n74#"
-merge "sky130_fd_pr__pfet_01v8_3M44SC_0/a_n1565_n74#" "li_n14_902#"
-merge "sky130_fd_pr__pfet_01v8_3M44SC_0/a_1473_n126#" "sky130_fd_pr__pfet_01v8_3M44SC_0/a_1377_n128#" -530.201 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 21792 -2980 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0
-merge "sky130_fd_pr__pfet_01v8_3M44SC_0/a_1377_n128#" "sky130_fd_pr__pfet_01v8_3M44SC_0/a_1281_n126#"
-merge "sky130_fd_pr__pfet_01v8_3M44SC_0/a_1281_n126#" "sky130_fd_pr__pfet_01v8_3M44SC_0/a_1185_n128#"
-merge "sky130_fd_pr__pfet_01v8_3M44SC_0/a_1185_n128#" "sky130_fd_pr__pfet_01v8_3M44SC_0/a_1089_n126#"
-merge "sky130_fd_pr__pfet_01v8_3M44SC_0/a_1089_n126#" "sky130_fd_pr__pfet_01v8_3M44SC_0/a_993_n128#"
-merge "sky130_fd_pr__pfet_01v8_3M44SC_0/a_993_n128#" "sky130_fd_pr__pfet_01v8_3M44SC_0/a_897_n126#"
-merge "sky130_fd_pr__pfet_01v8_3M44SC_0/a_897_n126#" "sky130_fd_pr__pfet_01v8_3M44SC_0/a_801_n128#"
-merge "sky130_fd_pr__pfet_01v8_3M44SC_0/a_801_n128#" "sky130_fd_pr__pfet_01v8_3M44SC_0/a_705_n126#"
-merge "sky130_fd_pr__pfet_01v8_3M44SC_0/a_705_n126#" "sky130_fd_pr__pfet_01v8_3M44SC_0/a_609_n128#"
-merge "sky130_fd_pr__pfet_01v8_3M44SC_0/a_609_n128#" "sky130_fd_pr__pfet_01v8_3M44SC_0/a_513_n126#"
-merge "sky130_fd_pr__pfet_01v8_3M44SC_0/a_513_n126#" "sky130_fd_pr__pfet_01v8_3M44SC_0/a_417_n128#"
-merge "sky130_fd_pr__pfet_01v8_3M44SC_0/a_417_n128#" "sky130_fd_pr__pfet_01v8_3M44SC_0/a_321_n126#"
-merge "sky130_fd_pr__pfet_01v8_3M44SC_0/a_321_n126#" "sky130_fd_pr__pfet_01v8_3M44SC_0/a_225_n128#"
-merge "sky130_fd_pr__pfet_01v8_3M44SC_0/a_225_n128#" "sky130_fd_pr__nfet_01v8_VJWT33_0/a_n735_n176#"
-merge "sky130_fd_pr__nfet_01v8_VJWT33_0/a_n735_n176#" "sky130_fd_pr__pfet_01v8_3M44SC_0/a_129_n126#"
-merge "sky130_fd_pr__pfet_01v8_3M44SC_0/a_129_n126#" "a_468_358#"
-merge "a_468_358#" "sky130_fd_pr__pfet_01v8_3M44SC_0/a_33_n128#"
-merge "sky130_fd_pr__pfet_01v8_3M44SC_0/a_33_n128#" "sky130_fd_pr__pfet_01v8_3M44SC_0/a_n63_n126#"
-merge "sky130_fd_pr__pfet_01v8_3M44SC_0/a_n63_n126#" "sky130_fd_pr__pfet_01v8_3M44SC_0/a_n159_n128#"
-merge "sky130_fd_pr__pfet_01v8_3M44SC_0/a_n159_n128#" "sky130_fd_pr__pfet_01v8_3M44SC_0/a_n255_n126#"
-merge "sky130_fd_pr__pfet_01v8_3M44SC_0/a_n255_n126#" "sky130_fd_pr__pfet_01v8_3M44SC_0/a_n351_n128#"
-merge "sky130_fd_pr__pfet_01v8_3M44SC_0/a_n351_n128#" "sky130_fd_pr__pfet_01v8_3M44SC_0/a_n447_n126#"
-merge "sky130_fd_pr__pfet_01v8_3M44SC_0/a_n447_n126#" "sky130_fd_pr__pfet_01v8_3M44SC_0/a_n543_n128#"
-merge "sky130_fd_pr__pfet_01v8_3M44SC_0/a_n543_n128#" "sky130_fd_pr__pfet_01v8_3M44SC_0/a_n639_n126#"
-merge "sky130_fd_pr__pfet_01v8_3M44SC_0/a_n639_n126#" "sky130_fd_pr__pfet_01v8_3M44SC_0/a_n735_n128#"
-merge "sky130_fd_pr__pfet_01v8_3M44SC_0/a_n735_n128#" "sky130_fd_pr__pfet_01v8_3M44SC_0/a_n831_n126#"
-merge "sky130_fd_pr__pfet_01v8_3M44SC_0/a_n831_n126#" "sky130_fd_pr__pfet_01v8_3M44SC_0/a_n927_n128#"
-merge "sky130_fd_pr__pfet_01v8_3M44SC_0/a_n927_n128#" "sky130_fd_pr__pfet_01v8_3M44SC_0/a_n1023_n126#"
-merge "sky130_fd_pr__pfet_01v8_3M44SC_0/a_n1023_n126#" "sky130_fd_pr__pfet_01v8_3M44SC_0/a_n1119_n128#"
-merge "sky130_fd_pr__pfet_01v8_3M44SC_0/a_n1119_n128#" "sky130_fd_pr__pfet_01v8_3M44SC_0/a_n1215_n126#"
-merge "sky130_fd_pr__pfet_01v8_3M44SC_0/a_n1215_n126#" "sky130_fd_pr__pfet_01v8_3M44SC_0/a_n1311_n128#"
-merge "sky130_fd_pr__pfet_01v8_3M44SC_0/a_n1311_n128#" "sky130_fd_pr__pfet_01v8_3M44SC_0/a_n1407_n126#"
-merge "sky130_fd_pr__pfet_01v8_3M44SC_0/a_n1407_n126#" "sky130_fd_pr__pfet_01v8_3M44SC_0/a_n1503_n128#"
-merge "sky130_fd_pr__pfet_01v8_3M44SC_0/a_n1503_n128#" "a_82_816#"
-merge "sky130_fd_pr__nfet_01v8_VJWT33_0/a_735_n100#" "sky130_fd_pr__nfet_01v8_VJWT33_0/a_543_n100#" -410.172 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 -13040 -1948 0 0 0 0 0 0 0 0 0 0 0 0
-merge "sky130_fd_pr__nfet_01v8_VJWT33_0/a_543_n100#" "sky130_fd_pr__nfet_01v8_VJWT33_0/a_351_n100#"
-merge "sky130_fd_pr__nfet_01v8_VJWT33_0/a_351_n100#" "sky130_fd_pr__nfet_01v8_VJWT33_0/a_159_n100#"
-merge "sky130_fd_pr__nfet_01v8_VJWT33_0/a_159_n100#" "sky130_fd_pr__nfet_01v8_VJWT33_0/a_n33_n100#"
-merge "sky130_fd_pr__nfet_01v8_VJWT33_0/a_n33_n100#" "sky130_fd_pr__nfet_01v8_VJWT33_0/a_n225_n100#"
-merge "sky130_fd_pr__nfet_01v8_VJWT33_0/a_n225_n100#" "sky130_fd_pr__nfet_01v8_VJWT33_0/a_n417_n100#"
-merge "sky130_fd_pr__nfet_01v8_VJWT33_0/a_n417_n100#" "sky130_fd_pr__nfet_01v8_VJWT33_0/a_n609_n100#"
-merge "sky130_fd_pr__nfet_01v8_VJWT33_0/a_n609_n100#" "sky130_fd_pr__nfet_01v8_VJWT33_0/a_n797_n74#"
-merge "sky130_fd_pr__nfet_01v8_VJWT33_0/a_n797_n74#" "li_n14_0#"
-merge "sky130_fd_pr__pfet_01v8_3M44SC_0/w_n1601_n200#" "w_598_546#" -472.248 0 0 0 0 -157416 -9264 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0
-merge "w_598_546#" "w_82_814#"
diff --git a/mag/myinv_layout2/inv_W16.mag b/mag/myinv_layout2/inv_W16.mag
deleted file mode 100755
index 2bc4e67..0000000
--- a/mag/myinv_layout2/inv_W16.mag
+++ /dev/null
@@ -1,83 +0,0 @@
-magic
-tech sky130A
-magscale 1 2
-timestamp 1646325283
-<< nwell >>
-rect 82 814 3088 860
-rect 706 580 740 630
-rect 898 580 932 626
-rect 1090 580 1124 630
-rect 1282 580 1316 626
-rect 1474 580 1508 628
-rect 1666 580 1700 626
-rect 598 546 1858 580
-<< poly >>
-rect 82 816 3088 862
-rect 468 490 596 574
-rect 468 436 498 490
-rect 560 436 596 490
-rect 468 358 596 436
-<< polycont >>
-rect 498 436 560 490
-<< locali >>
-rect -14 902 3188 954
-rect 32 770 70 902
-rect 224 770 262 902
-rect 416 770 454 902
-rect 608 768 646 902
-rect 800 766 838 902
-rect 992 768 1030 902
-rect 1186 770 1224 902
-rect 1376 766 1414 902
-rect 1568 768 1606 902
-rect 1760 766 1798 902
-rect 1954 768 1992 902
-rect 2146 768 2184 902
-rect 2336 766 2374 902
-rect 2528 760 2566 902
-rect 2720 766 2758 902
-rect 2912 768 2950 902
-rect 3104 768 3142 902
-rect 130 580 164 628
-rect 322 580 356 630
-rect 514 580 548 626
-rect 706 580 740 630
-rect 898 580 932 626
-rect 1090 580 1124 630
-rect 1282 580 1316 626
-rect 1474 580 1508 628
-rect 1666 580 1700 626
-rect 1858 580 1892 628
-rect 2050 580 2084 632
-rect 128 546 2084 580
-rect 2050 494 2084 546
-rect 1944 492 2084 494
-rect 2242 492 2276 634
-rect 2434 492 2468 632
-rect 2626 492 2660 630
-rect 2818 492 2852 628
-rect 3010 492 3044 632
-rect -14 436 498 490
-rect 560 436 576 490
-rect 1944 436 3188 492
-rect -14 434 152 436
-rect 1944 390 2062 436
-rect 512 354 2062 390
-rect 514 314 552 354
-rect 706 300 744 354
-rect 900 304 938 354
-rect 1090 302 1128 354
-rect 1282 308 1320 354
-rect 1476 304 1514 354
-rect 1666 308 1704 354
-rect 1858 308 1896 354
-rect -14 0 3188 52
-use sky130_fd_pr__nfet_01v8_VJWT33  sky130_fd_pr__nfet_01v8_VJWT33_0
-timestamp 1646295505
-transform 1 0 1205 0 1 242
-box -797 -218 797 138
-use sky130_fd_pr__pfet_01v8_3M44SC  sky130_fd_pr__pfet_01v8_3M44SC_0
-timestamp 1646261959
-transform 1 0 1587 0 1 700
-box -1601 -200 1601 200
-<< end >>
diff --git a/mag/myinv_layout2/inv_W2.ext b/mag/myinv_layout2/inv_W2.ext
deleted file mode 100755
index 8ce7a59..0000000
--- a/mag/myinv_layout2/inv_W2.ext
+++ /dev/null
@@ -1,51 +0,0 @@
-timestamp 1646324451
-version 8.3
-tech sky130A
-style ngspice()
-scale 1000 1 500000
-resistclasses 4400000 2200000 1700000 3050000 120000 197000 114000 191000 120000 197000 114000 191000 48200 319800 2000000 48200 48200 12200 125 125 47 47 29 5
-use sky130_fd_pr__pfet_01v8_AC5Z8B sky130_fd_pr__pfet_01v8_AC5Z8B_0 1 0 141 0 1 654
-use sky130_fd_pr__nfet_01v8_XJTKXQ sky130_fd_pr__nfet_01v8_XJTKXQ_0 1 0 219 0 1 182
-node "GND" 207 364.064 -100 -72 li 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 34556 1620 0 0 0 0 0 0 0 0 0 0 0 0
-node "Vout" 91 169.775 202 260 li 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 15108 760 0 0 0 0 0 0 0 0 0 0 0 0
-node "VDD" 120 244.033 -100 828 li 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 24468 1080 0 0 0 0 0 0 0 0 0 0 0 0
-node "a_156_12#" 127 111.727 156 12 p 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 6048 348 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0
-node "a_252_300#" 56 32.867 252 300 p 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 780 112 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0
-node "Vin" 251 302.04 84 352 p 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 10728 516 0 0 17360 704 0 0 0 0 0 0 0 0 0 0 0 0
-substrate "VSUBS" 0 0 -1073741817 -1073741817 space 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0
-cap "Vin" "a_252_300#" 9.06061
-cap "a_156_12#" "a_252_300#" 2.875
-cap "a_156_12#" "Vin" 2.89916
-cap "Vout" "Vin" 81.5294
-cap "Vin" "VDD" 7.08611
-cap "Vin" "GND" 24.9623
-cap "Vout" "VDD" 3
-cap "Vout" "GND" 18.672
-cap "sky130_fd_pr__pfet_01v8_AC5Z8B_0/a_n159_n152#" "sky130_fd_pr__pfet_01v8_AC5Z8B_0/li_n261_n726#" 6.10637
-cap "sky130_fd_pr__pfet_01v8_AC5Z8B_0/li_n261_n726#" "sky130_fd_pr__pfet_01v8_AC5Z8B_0/li_n261_174#" 11.1195
-cap "sky130_fd_pr__pfet_01v8_AC5Z8B_0/a_n159_n152#" "sky130_fd_pr__pfet_01v8_AC5Z8B_0/li_n261_174#" 12.3374
-cap "sky130_fd_pr__pfet_01v8_AC5Z8B_0/a_n129_n100#" "sky130_fd_pr__pfet_01v8_AC5Z8B_0/li_n261_174#" 42.0143
-cap "sky130_fd_pr__pfet_01v8_AC5Z8B_0/w_n261_n210#" "sky130_fd_pr__pfet_01v8_AC5Z8B_0/li_n261_174#" 30.4523
-cap "sky130_fd_pr__pfet_01v8_AC5Z8B_0/a_n159_n152#" "sky130_fd_pr__pfet_01v8_AC5Z8B_0/a_n129_n100#" 67.2375
-cap "sky130_fd_pr__pfet_01v8_AC5Z8B_0/w_n261_n210#" "sky130_fd_pr__pfet_01v8_AC5Z8B_0/a_n159_n152#" -7.15
-cap "sky130_fd_pr__pfet_01v8_AC5Z8B_0/a_n129_n100#" "sky130_fd_pr__pfet_01v8_AC5Z8B_0/li_n261_n726#" 14.0899
-merge "sky130_fd_pr__nfet_01v8_XJTKXQ_0/VSUBS" "sky130_fd_pr__pfet_01v8_AC5Z8B_0/VSUBS" 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0
-merge "sky130_fd_pr__pfet_01v8_AC5Z8B_0/VSUBS" "VSUBS"
-merge "sky130_fd_pr__nfet_01v8_XJTKXQ_0/a_63_n100#" "sky130_fd_pr__nfet_01v8_XJTKXQ_0/a_n125_n74#" -104.04 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 -2828 -468 0 0 0 0 0 0 0 0 0 0 0 0
-merge "sky130_fd_pr__nfet_01v8_XJTKXQ_0/a_n125_n74#" "sky130_fd_pr__pfet_01v8_AC5Z8B_0/li_225_n726#"
-merge "sky130_fd_pr__pfet_01v8_AC5Z8B_0/li_225_n726#" "sky130_fd_pr__pfet_01v8_AC5Z8B_0/li_n261_n726#"
-merge "sky130_fd_pr__pfet_01v8_AC5Z8B_0/li_n261_n726#" "GND"
-merge "sky130_fd_pr__nfet_01v8_XJTKXQ_0/a_33_n122#" "a_252_300#" -117.03 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 -540 -336 0 0 -896 -144 0 0 0 0 0 0 0 0 0 0 0 0
-merge "a_252_300#" "sky130_fd_pr__nfet_01v8_XJTKXQ_0/a_n63_n122#"
-merge "sky130_fd_pr__nfet_01v8_XJTKXQ_0/a_n63_n122#" "a_156_12#"
-merge "a_156_12#" "sky130_fd_pr__pfet_01v8_AC5Z8B_0/li_n261_n290#"
-merge "sky130_fd_pr__pfet_01v8_AC5Z8B_0/li_n261_n290#" "sky130_fd_pr__pfet_01v8_AC5Z8B_0/a_n159_n152#"
-merge "sky130_fd_pr__pfet_01v8_AC5Z8B_0/a_n159_n152#" "Vin"
-merge "sky130_fd_pr__pfet_01v8_AC5Z8B_0/li_229_174#" "sky130_fd_pr__pfet_01v8_AC5Z8B_0/a_159_n100#" -147.998 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 -2968 -560 0 0 0 0 0 0 0 0 0 0 0 0
-merge "sky130_fd_pr__pfet_01v8_AC5Z8B_0/a_159_n100#" "sky130_fd_pr__pfet_01v8_AC5Z8B_0/a_n33_n100#"
-merge "sky130_fd_pr__pfet_01v8_AC5Z8B_0/a_n33_n100#" "sky130_fd_pr__pfet_01v8_AC5Z8B_0/a_n221_n74#"
-merge "sky130_fd_pr__pfet_01v8_AC5Z8B_0/a_n221_n74#" "sky130_fd_pr__pfet_01v8_AC5Z8B_0/li_n261_174#"
-merge "sky130_fd_pr__pfet_01v8_AC5Z8B_0/li_n261_174#" "VDD"
-merge "sky130_fd_pr__nfet_01v8_XJTKXQ_0/a_n33_n100#" "sky130_fd_pr__pfet_01v8_AC5Z8B_0/li_217_n290#" -76.2649 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 -2360 -348 0 0 0 0 0 0 0 0 0 0 0 0
-merge "sky130_fd_pr__pfet_01v8_AC5Z8B_0/li_217_n290#" "sky130_fd_pr__pfet_01v8_AC5Z8B_0/a_n129_n100#"
-merge "sky130_fd_pr__pfet_01v8_AC5Z8B_0/a_n129_n100#" "Vout"
diff --git a/mag/myinv_layout2/inv_W2.mag b/mag/myinv_layout2/inv_W2.mag
deleted file mode 100755
index 66c19e1..0000000
--- a/mag/myinv_layout2/inv_W2.mag
+++ /dev/null
@@ -1,44 +0,0 @@
-magic
-tech sky130A
-magscale 1 2
-timestamp 1646324451
-<< poly >>
-rect 156 436 186 454
-rect 84 416 186 436
-rect 84 370 100 416
-rect 150 370 186 416
-rect 84 352 186 370
-rect 156 298 186 352
-rect 252 300 282 326
-rect 156 12 282 60
-<< polycont >>
-rect 100 370 150 416
-<< locali >>
-rect -100 828 388 878
-rect 106 826 140 828
-rect 84 420 168 436
-rect -100 416 168 420
-rect -100 370 100 416
-rect 150 370 168 416
-rect -100 364 168 370
-rect 84 352 168 364
-rect 202 420 236 454
-rect 202 364 388 420
-rect 202 260 236 364
-rect 106 -20 140 114
-rect 298 -20 332 116
-rect -100 -72 388 -20
-use sky130_fd_pr__nfet_01v8_XJTKXQ  sky130_fd_pr__nfet_01v8_XJTKXQ_0
-timestamp 1646324451
-transform 1 0 219 0 1 182
-box -125 -126 125 126
-use sky130_fd_pr__pfet_01v8_AC5Z8B  sky130_fd_pr__pfet_01v8_AC5Z8B_0
-timestamp 1646324451
-transform 1 0 141 0 1 654
-box -261 -726 263 224
-<< labels >>
-rlabel locali -100 390 -100 390 7 Vin
-rlabel locali 388 392 388 392 3 Vout
-rlabel locali -100 -46 -100 -46 7 GND
-rlabel locali -100 854 -100 854 7 VDD
-<< end >>
diff --git a/mag/myinv_layout2/inv_W8.ext b/mag/myinv_layout2/inv_W8.ext
deleted file mode 100755
index d9d0f4c..0000000
--- a/mag/myinv_layout2/inv_W8.ext
+++ /dev/null
@@ -1,88 +0,0 @@
-timestamp 1646325197
-version 8.3
-tech sky130A
-style ngspice()
-scale 1000 1 500000
-resistclasses 4400000 2200000 1700000 3050000 120000 197000 114000 191000 120000 197000 114000 191000 48200 319800 2000000 48200 48200 12200 125 125 47 47 29 5
-use sky130_fd_pr__pfet_01v8_RL4NCG sky130_fd_pr__pfet_01v8_RL4NCG_0 1 0 1203 0 1 700
-use sky130_fd_pr__nfet_01v8_KZU588 sky130_fd_pr__nfet_01v8_KZU588_0 1 0 1207 0 1 240
-node "li_354_0#" 593 1060.48 354 0 li 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 108388 4684 0 0 0 0 0 0 0 0 0 0 0 0
-node "li_512_546#" 863 631.918 512 546 li 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 140104 6384 0 0 0 0 0 0 0 0 0 0 0 0
-node "li_354_902#" 770 236.98 354 902 li 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 134096 5912 0 0 0 0 0 0 0 0 0 0 0 0
-node "a_804_430#" 376 362.728 804 430 p 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 12672 616 0 0 28836 1176 0 0 0 0 0 0 0 0 0 0 0 0
-node "a_466_816#" 1544 179.681 466 816 p 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 67804 3040 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0
-node "w_354_500#" 6351 2309.95 354 500 nw 0 0 0 0 769984 4300 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0
-substrate "VSUBS" 0 0 -1073741817 -1073741817 space 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0
-cap "a_804_430#" "w_354_500#" 58.3
-cap "w_354_500#" "a_466_816#" 836
-cap "a_804_430#" "a_466_816#" 7.54446
-cap "w_354_500#" "li_354_902#" 955.68
-cap "a_466_816#" "li_354_902#" 262.695
-cap "a_804_430#" "li_354_902#" 13.5328
-cap "w_354_500#" "li_512_546#" 786.974
-cap "a_804_430#" "li_512_546#" 256.467
-cap "a_804_430#" "li_354_0#" 47.1553
-cap "li_512_546#" "li_354_902#" 185.517
-cap "li_354_0#" "li_512_546#" 141.711
-cap "sky130_fd_pr__nfet_01v8_KZU588_0/a_n413_n74#" "sky130_fd_pr__pfet_01v8_RL4NCG_0/a_n705_n100#" 132.841
-cap "sky130_fd_pr__pfet_01v8_RL4NCG_0/w_n833_n200#" "sky130_fd_pr__pfet_01v8_RL4NCG_0/a_n735_n128#" -346.5
-cap "sky130_fd_pr__pfet_01v8_RL4NCG_0/a_n797_n74#" "sky130_fd_pr__pfet_01v8_RL4NCG_0/a_n735_n128#" 13.3917
-cap "sky130_fd_pr__nfet_01v8_KZU588_0/a_n413_n74#" "sky130_fd_pr__pfet_01v8_RL4NCG_0/a_n735_n128#" 106.409
-cap "sky130_fd_pr__pfet_01v8_RL4NCG_0/a_n705_n100#" "sky130_fd_pr__pfet_01v8_RL4NCG_0/a_n735_n128#" 282.814
-cap "sky130_fd_pr__pfet_01v8_RL4NCG_0/a_n797_n74#" "sky130_fd_pr__pfet_01v8_RL4NCG_0/w_n833_n200#" -134.154
-cap "sky130_fd_pr__pfet_01v8_RL4NCG_0/a_n705_n100#" "sky130_fd_pr__pfet_01v8_RL4NCG_0/w_n833_n200#" -121.474
-cap "sky130_fd_pr__nfet_01v8_KZU588_0/a_n413_n74#" "sky130_fd_pr__pfet_01v8_RL4NCG_0/a_n797_n74#" 46.5714
-cap "sky130_fd_pr__pfet_01v8_RL4NCG_0/a_n705_n100#" "sky130_fd_pr__pfet_01v8_RL4NCG_0/a_n797_n74#" 167.333
-cap "sky130_fd_pr__pfet_01v8_RL4NCG_0/a_255_n100#" "w_354_500#" -17.5026
-cap "sky130_fd_pr__pfet_01v8_RL4NCG_0/a_255_n100#" "sky130_fd_pr__pfet_01v8_RL4NCG_0/a_225_n128#" 14.114
-cap "sky130_fd_pr__pfet_01v8_RL4NCG_0/a_225_n128#" "w_354_500#" -46.2
-cap "sky130_fd_pr__pfet_01v8_RL4NCG_0/a_255_n100#" "sky130_fd_pr__pfet_01v8_RL4NCG_0/a_159_n100#" 35.0677
-cap "sky130_fd_pr__pfet_01v8_RL4NCG_0/a_159_n100#" "w_354_500#" -39.2199
-merge "sky130_fd_pr__nfet_01v8_KZU588_0/a_351_n100#" "sky130_fd_pr__nfet_01v8_KZU588_0/a_159_n100#" -153.624 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 3728 -428 0 0 0 0 0 0 0 0 0 0 0 0
-merge "sky130_fd_pr__nfet_01v8_KZU588_0/a_159_n100#" "sky130_fd_pr__nfet_01v8_KZU588_0/a_n33_n100#"
-merge "sky130_fd_pr__nfet_01v8_KZU588_0/a_n33_n100#" "sky130_fd_pr__nfet_01v8_KZU588_0/a_n225_n100#"
-merge "sky130_fd_pr__nfet_01v8_KZU588_0/a_n225_n100#" "sky130_fd_pr__nfet_01v8_KZU588_0/a_n413_n74#"
-merge "sky130_fd_pr__nfet_01v8_KZU588_0/a_n413_n74#" "li_354_0#"
-merge "sky130_fd_pr__nfet_01v8_KZU588_0/VSUBS" "sky130_fd_pr__pfet_01v8_RL4NCG_0/VSUBS" 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0
-merge "sky130_fd_pr__pfet_01v8_RL4NCG_0/VSUBS" "VSUBS"
-merge "sky130_fd_pr__nfet_01v8_KZU588_0/a_n353_n162#" "sky130_fd_pr__pfet_01v8_RL4NCG_0/a_705_n126#" -0.161601 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 8656 -1512 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0
-merge "sky130_fd_pr__pfet_01v8_RL4NCG_0/a_705_n126#" "a_804_430#"
-merge "a_804_430#" "sky130_fd_pr__pfet_01v8_RL4NCG_0/a_609_n128#"
-merge "sky130_fd_pr__pfet_01v8_RL4NCG_0/a_609_n128#" "sky130_fd_pr__pfet_01v8_RL4NCG_0/a_513_n126#"
-merge "sky130_fd_pr__pfet_01v8_RL4NCG_0/a_513_n126#" "sky130_fd_pr__pfet_01v8_RL4NCG_0/a_417_n128#"
-merge "sky130_fd_pr__pfet_01v8_RL4NCG_0/a_417_n128#" "sky130_fd_pr__pfet_01v8_RL4NCG_0/a_321_n126#"
-merge "sky130_fd_pr__pfet_01v8_RL4NCG_0/a_321_n126#" "sky130_fd_pr__pfet_01v8_RL4NCG_0/a_225_n128#"
-merge "sky130_fd_pr__pfet_01v8_RL4NCG_0/a_225_n128#" "sky130_fd_pr__pfet_01v8_RL4NCG_0/a_129_n126#"
-merge "sky130_fd_pr__pfet_01v8_RL4NCG_0/a_129_n126#" "sky130_fd_pr__pfet_01v8_RL4NCG_0/a_33_n128#"
-merge "sky130_fd_pr__pfet_01v8_RL4NCG_0/a_33_n128#" "sky130_fd_pr__pfet_01v8_RL4NCG_0/a_n63_n126#"
-merge "sky130_fd_pr__pfet_01v8_RL4NCG_0/a_n63_n126#" "sky130_fd_pr__pfet_01v8_RL4NCG_0/a_n159_n128#"
-merge "sky130_fd_pr__pfet_01v8_RL4NCG_0/a_n159_n128#" "sky130_fd_pr__pfet_01v8_RL4NCG_0/a_n255_n126#"
-merge "sky130_fd_pr__pfet_01v8_RL4NCG_0/a_n255_n126#" "sky130_fd_pr__pfet_01v8_RL4NCG_0/a_n351_n128#"
-merge "sky130_fd_pr__pfet_01v8_RL4NCG_0/a_n351_n128#" "sky130_fd_pr__pfet_01v8_RL4NCG_0/a_n447_n126#"
-merge "sky130_fd_pr__pfet_01v8_RL4NCG_0/a_n447_n126#" "sky130_fd_pr__pfet_01v8_RL4NCG_0/a_n543_n128#"
-merge "sky130_fd_pr__pfet_01v8_RL4NCG_0/a_n543_n128#" "sky130_fd_pr__pfet_01v8_RL4NCG_0/a_n639_n126#"
-merge "sky130_fd_pr__pfet_01v8_RL4NCG_0/a_n639_n126#" "sky130_fd_pr__pfet_01v8_RL4NCG_0/a_n735_n128#"
-merge "sky130_fd_pr__pfet_01v8_RL4NCG_0/a_n735_n128#" "a_466_816#"
-merge "sky130_fd_pr__nfet_01v8_KZU588_0/a_255_n100#" "sky130_fd_pr__nfet_01v8_KZU588_0/a_63_n100#" -246.038 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 5360 -1108 0 0 0 0 0 0 0 0 0 0 0 0
-merge "sky130_fd_pr__nfet_01v8_KZU588_0/a_63_n100#" "sky130_fd_pr__nfet_01v8_KZU588_0/a_n321_n100#"
-merge "sky130_fd_pr__nfet_01v8_KZU588_0/a_n321_n100#" "sky130_fd_pr__nfet_01v8_KZU588_0/li_321_116#"
-merge "sky130_fd_pr__nfet_01v8_KZU588_0/li_321_116#" "sky130_fd_pr__nfet_01v8_KZU588_0/a_n129_n100#"
-merge "sky130_fd_pr__nfet_01v8_KZU588_0/a_n129_n100#" "sky130_fd_pr__pfet_01v8_RL4NCG_0/a_639_n100#"
-merge "sky130_fd_pr__pfet_01v8_RL4NCG_0/a_639_n100#" "sky130_fd_pr__pfet_01v8_RL4NCG_0/a_447_n100#"
-merge "sky130_fd_pr__pfet_01v8_RL4NCG_0/a_447_n100#" "sky130_fd_pr__pfet_01v8_RL4NCG_0/a_255_n100#"
-merge "sky130_fd_pr__pfet_01v8_RL4NCG_0/a_255_n100#" "sky130_fd_pr__pfet_01v8_RL4NCG_0/a_63_n100#"
-merge "sky130_fd_pr__pfet_01v8_RL4NCG_0/a_63_n100#" "sky130_fd_pr__pfet_01v8_RL4NCG_0/a_n129_n100#"
-merge "sky130_fd_pr__pfet_01v8_RL4NCG_0/a_n129_n100#" "sky130_fd_pr__pfet_01v8_RL4NCG_0/a_n321_n100#"
-merge "sky130_fd_pr__pfet_01v8_RL4NCG_0/a_n321_n100#" "sky130_fd_pr__pfet_01v8_RL4NCG_0/a_n513_n100#"
-merge "sky130_fd_pr__pfet_01v8_RL4NCG_0/a_n513_n100#" "sky130_fd_pr__pfet_01v8_RL4NCG_0/a_n705_n100#"
-merge "sky130_fd_pr__pfet_01v8_RL4NCG_0/a_n705_n100#" "li_512_546#"
-merge "sky130_fd_pr__pfet_01v8_RL4NCG_0/a_735_n100#" "sky130_fd_pr__pfet_01v8_RL4NCG_0/a_543_n100#" -26.0875 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 4572 -796 0 0 0 0 0 0 0 0 0 0 0 0
-merge "sky130_fd_pr__pfet_01v8_RL4NCG_0/a_543_n100#" "sky130_fd_pr__pfet_01v8_RL4NCG_0/a_351_n100#"
-merge "sky130_fd_pr__pfet_01v8_RL4NCG_0/a_351_n100#" "sky130_fd_pr__pfet_01v8_RL4NCG_0/a_159_n100#"
-merge "sky130_fd_pr__pfet_01v8_RL4NCG_0/a_159_n100#" "sky130_fd_pr__pfet_01v8_RL4NCG_0/a_n33_n100#"
-merge "sky130_fd_pr__pfet_01v8_RL4NCG_0/a_n33_n100#" "sky130_fd_pr__pfet_01v8_RL4NCG_0/a_n225_n100#"
-merge "sky130_fd_pr__pfet_01v8_RL4NCG_0/a_n225_n100#" "sky130_fd_pr__pfet_01v8_RL4NCG_0/a_n417_n100#"
-merge "sky130_fd_pr__pfet_01v8_RL4NCG_0/a_n417_n100#" "sky130_fd_pr__pfet_01v8_RL4NCG_0/a_n609_n100#"
-merge "sky130_fd_pr__pfet_01v8_RL4NCG_0/a_n609_n100#" "sky130_fd_pr__pfet_01v8_RL4NCG_0/a_n797_n74#"
-merge "sky130_fd_pr__pfet_01v8_RL4NCG_0/a_n797_n74#" "li_354_902#"
-merge "sky130_fd_pr__pfet_01v8_RL4NCG_0/w_n833_n200#" "w_354_500#" -2380.46 0 0 0 0 -793488 -5178 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0
diff --git a/mag/myinv_layout2/inv_W8.mag b/mag/myinv_layout2/inv_W8.mag
deleted file mode 100755
index e2886a7..0000000
--- a/mag/myinv_layout2/inv_W8.mag
+++ /dev/null
@@ -1,63 +0,0 @@
-magic
-tech sky130A
-magscale 1 2
-timestamp 1646325197
-<< nwell >>
-rect 354 500 2050 954
-<< poly >>
-rect 466 816 1940 862
-rect 848 498 892 584
-rect 804 482 892 498
-rect 804 446 820 482
-rect 856 446 892 482
-rect 804 430 892 446
-rect 848 364 892 430
-<< polycont >>
-rect 820 446 856 482
-<< locali >>
-rect 354 902 2050 954
-rect 416 770 454 902
-rect 608 768 646 902
-rect 800 766 838 902
-rect 992 768 1030 902
-rect 1186 770 1224 902
-rect 1376 766 1414 902
-rect 1568 768 1606 902
-rect 1760 766 1798 902
-rect 1954 768 1992 902
-rect 514 580 548 626
-rect 706 580 740 630
-rect 898 580 932 626
-rect 1090 580 1124 630
-rect 1282 580 1316 626
-rect 1474 580 1508 628
-rect 1666 580 1700 626
-rect 1858 580 1892 628
-rect 512 546 1898 580
-rect 1516 492 1664 546
-rect 354 482 888 490
-rect 354 446 820 482
-rect 856 446 888 482
-rect 354 436 888 446
-rect 1516 436 2050 492
-rect 1516 390 1668 436
-rect 900 354 1668 390
-rect 900 304 938 354
-rect 1090 302 1128 354
-rect 1282 308 1320 354
-rect 1476 304 1514 354
-rect 806 52 840 168
-rect 998 52 1032 174
-rect 1190 52 1224 168
-rect 1382 52 1416 174
-rect 1574 52 1608 170
-rect 354 0 2050 52
-use sky130_fd_pr__nfet_01v8_KZU588  sky130_fd_pr__nfet_01v8_KZU588_0
-timestamp 1646318752
-transform 1 0 1207 0 1 240
-box -413 -162 413 150
-use sky130_fd_pr__pfet_01v8_RL4NCG  sky130_fd_pr__pfet_01v8_RL4NCG_0
-timestamp 1646319668
-transform 1 0 1203 0 1 700
-box -833 -200 833 200
-<< end >>
diff --git "a/mag/myinv_layout2/nmos_1u\0430.ext" "b/mag/myinv_layout2/nmos_1u\0430.ext"
deleted file mode 100755
index 4997c06..0000000
--- "a/mag/myinv_layout2/nmos_1u\0430.ext"
+++ /dev/null
@@ -1,9 +0,0 @@
-timestamp 1653304099
-version 8.3
-tech sky130A
-style ngspice()
-scale 1000 1 500000
-resistclasses 4400000 2200000 1700000 3050000 120000 197000 114000 191000 120000 197000 114000 191000 48200 319800 2000000 48200 48200 12200 125 125 47 47 29 5
-use sky130_fd_pr__nfet_01v8_7RYEVP sky130_fd_pr__nfet_01v8_7RYEVP_0 1 0 63 0 1 143
-substrate "VSUBS" 0 0 -1073741817 -1073741817 space 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0
-merge "sky130_fd_pr__nfet_01v8_7RYEVP_0/VSUBS" "VSUBS" 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0
diff --git "a/mag/myinv_layout2/nmos_1u\0430.mag" "b/mag/myinv_layout2/nmos_1u\0430.mag"
deleted file mode 100755
index 43e26b7..0000000
--- "a/mag/myinv_layout2/nmos_1u\0430.mag"
+++ /dev/null
@@ -1,11 +0,0 @@
-magic
-tech sky130A
-magscale 1 2
-timestamp 1653304099
-<< error_p >>
-rect 48 48 78 54
-use sky130_fd_pr__nfet_01v8_7RYEVP  sky130_fd_pr__nfet_01v8_7RYEVP_0 ~/my_sky130_project/mag/myinv_layout2
-timestamp 1651470485
-transform 1 0 63 0 1 143
-box -73 -95 73 157
-<< end >>
diff --git a/mag/myinv_layout2/pmos_2u.mag b/mag/myinv_layout2/pmos_2u.mag
deleted file mode 100755
index 28c9b08..0000000
--- a/mag/myinv_layout2/pmos_2u.mag
+++ /dev/null
@@ -1,66 +0,0 @@
-magic
-tech sky130A
-magscale 1 2
-timestamp 1645075726
-<< error_s >>
-rect 890 113 948 119
-rect 890 79 902 113
-rect 890 73 948 79
-<< nwell >>
-rect -156 418 314 800
-<< pmos >>
-rect 46 480 76 680
-rect 134 480 164 680
-<< pdiff >>
-rect -20 644 46 680
-rect -20 520 0 644
-rect 34 520 46 644
-rect -20 480 46 520
-rect 76 644 134 680
-rect 76 520 88 644
-rect 122 520 134 644
-rect 76 480 134 520
-rect 164 644 222 680
-rect 164 520 176 644
-rect 210 520 222 644
-rect 164 480 222 520
-<< pdiffc >>
-rect 0 520 34 644
-rect 88 520 122 644
-rect 176 520 210 644
-<< nsubdiff >>
-rect -114 644 -20 680
-rect -114 520 -78 644
-rect -44 520 -20 644
-rect -114 480 -20 520
-<< nsubdiffcont >>
-rect -78 520 -44 644
-<< poly >>
-rect 46 680 76 730
-rect 134 680 164 730
-rect 46 428 76 480
-rect 134 428 164 480
-<< locali >>
-rect -106 644 40 680
-rect -106 520 -78 644
-rect -44 520 0 644
-rect 34 520 40 644
-rect -106 482 40 520
-rect -6 480 40 482
-rect 82 644 128 680
-rect 82 520 88 644
-rect 122 520 128 644
-rect 82 480 128 520
-rect 170 644 216 680
-rect 170 520 176 644
-rect 210 520 216 644
-rect 170 480 216 520
-use pmos_2uf2  sky130_fd_pr__pfet_01v8_SBMASV_0
-timestamp 1645025748
-transform 1 0 871 0 1 -68
-box -317 -202 169 204
-use sky130_fd_pr__pfet_01v8_U9MAPM  sky130_fd_pr__pfet_01v8_U9MAPM_0
-timestamp 1645025748
-transform 1 0 1355 0 1 -78
-box 0 0 1 1
-<< end >>
diff --git "a/mag/myinv_layout2/pmos_2uf2\0430.ext" "b/mag/myinv_layout2/pmos_2uf2\0430.ext"
deleted file mode 100755
index 51cf1c7..0000000
--- "a/mag/myinv_layout2/pmos_2uf2\0430.ext"
+++ /dev/null
@@ -1,25 +0,0 @@
-timestamp 1651470485
-version 8.3
-tech sky130A
-style ngspice()
-scale 1000 1 500000
-resistclasses 4400000 2200000 1700000 3050000 120000 197000 114000 191000 120000 197000 114000 191000 48200 319800 2000000 48200 48200 12200 125 125 47 47 29 5
-parameters sky130_fd_pr__pfet_01v8 l=l w=w a1=as p1=ps a2=ad p2=pd
-node "a_63_n100#" 712 -156.62 63 -100 pdif 0 0 0 0 0 0 0 0 0 0 12192 524 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 5304 380 5152 316 0 0 0 0 0 0 0 0 0 0
-node "a_n33_n100#" 653 -77.9 -33 -100 pdif 0 0 0 0 0 0 0 0 0 0 13200 532 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 5304 380 0 0 0 0 0 0 0 0 0 0 0 0
-node "a_n139_n100#" 550 -160.72 -139 -100 pdif 0 0 0 0 0 0 0 0 0 0 15200 552 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 9360 432 5152 316 0 0 0 0 0 0 0 0 0 0
-node "a_33_n130#" 411 4.452 33 -130 p 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 7680 572 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0
-node "a_n63_n130#" 411 4.452 -63 -130 p 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 7680 572 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0
-node "w_n319_n202#" 2043 594.384 -319 -202 nw 0 0 0 0 198128 1788 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0
-substrate "VSUBS" 0 0 -1073741817 -1073741817 space 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0
-cap "w_n319_n202#" "a_n33_n100#" 77.9
-cap "w_n319_n202#" "a_n63_n130#" 47.3
-cap "a_n139_n100#" "a_63_n100#" 67.1028
-cap "w_n319_n202#" "a_33_n130#" 47.3
-cap "a_n139_n100#" "a_n33_n100#" 83.0323
-cap "w_n319_n202#" "a_n139_n100#" 153.34
-cap "a_n63_n130#" "a_33_n130#" 19.5152
-cap "a_n33_n100#" "a_63_n100#" 83.0323
-cap "w_n319_n202#" "a_63_n100#" 142.68
-device msubckt sky130_fd_pr__pfet_01v8 33 -100 34 -99 l=30 w=200 "w_n319_n202#" "a_33_n130#" 60 0 "a_n33_n100#" 200 0 "a_63_n100#" 200 0
-device msubckt sky130_fd_pr__pfet_01v8 -63 -100 -62 -99 l=30 w=200 "w_n319_n202#" "a_n63_n130#" 60 0 "a_n139_n100#" 200 0 "a_n33_n100#" 200 0
diff --git "a/mag/myinv_layout2/pmos_2uf2\0430.mag" "b/mag/myinv_layout2/pmos_2uf2\0430.mag"
deleted file mode 100755
index 8ec261d..0000000
--- "a/mag/myinv_layout2/pmos_2uf2\0430.mag"
+++ /dev/null
@@ -1,58 +0,0 @@
-magic
-tech sky130A
-magscale 1 2
-timestamp 1651470485
-<< nwell >>
-rect -319 -202 169 204
-<< pmos >>
-rect -63 -100 -33 100
-rect 33 -100 63 100
-<< pdiff >>
-rect -139 62 -63 100
-rect -139 -62 -113 62
-rect -79 -62 -63 62
-rect -139 -100 -63 -62
-rect -33 62 33 100
-rect -33 -62 -17 62
-rect 17 -62 33 62
-rect -33 -100 33 -62
-rect 63 74 121 100
-rect 63 62 125 74
-rect 63 -62 79 62
-rect 113 -62 125 62
-rect 63 -74 125 -62
-rect 63 -100 121 -74
-<< pdiffc >>
-rect -113 -62 -79 62
-rect -17 -62 17 62
-rect 79 -62 113 62
-<< poly >>
-rect -63 100 -33 126
-rect 33 100 63 126
-rect -63 -130 -33 -100
-rect 33 -130 63 -100
-<< locali >>
-rect -139 62 -79 78
-rect -139 -62 -113 62
-rect -139 -78 -79 -62
-rect -17 62 17 78
-rect -17 -78 17 -62
-rect 79 62 113 78
-rect 79 -78 113 -62
-<< viali >>
-rect -113 -44 -79 44
-rect 79 -44 113 44
-<< metal1 >>
-rect -119 44 -73 56
-rect -119 -44 -113 44
-rect -79 -44 -73 44
-rect -119 -56 -73 -44
-rect 73 44 119 56
-rect 73 -44 79 44
-rect 113 -44 119 44
-rect 73 -56 119 -44
-<< properties >>
-string gencell sky130_fd_pr__pfet_01v8
-string library sky130
-string parameters w 1 l 0.15 m 1 nf 2 diffcov 70 polycov 70 guard 0 glc 0 grc 0 gtc 0 gbc 0 tbcov 70 rlcov 70 topc 1 botc 1 poverlap 0 doverlap 1 lmin 0.15 wmin 0.42 compatible {sky130_fd_pr__pfet_01v8  sky130_fd_pr__pfet_01v8_lvt sky130_fd_pr__pfet_01v8_hvt  sky130_fd_pr__pfet_g5v0d10v5} full_metal 0 viasrc 50 viadrn 50 viagate 50 viagb 0 viagr 0 viagl 0 viagt 0
-<< end >>
diff --git a/mag/myinv_layout2/sky130A.magicrc b/mag/myinv_layout2/sky130A.magicrc
deleted file mode 100755
index ea1e753..0000000
--- a/mag/myinv_layout2/sky130A.magicrc
+++ /dev/null
@@ -1,87 +0,0 @@
-puts stdout "Sourcing design .magicrc for technology sky130A ..."
-
-# Put grid on 0.005 pitch.  This is important, as some commands don't
-# rescale the grid automatically (such as lef read?).
-
-set scalefac [tech lambda]
-if {[lindex $scalefac 1] < 2} {
-    scalegrid 1 2
-}
-
-# drc off
-drc euclidean on
-# Change this to a fixed number for repeatable behavior with GDS writes
-# e.g., "random seed 12345"
-catch {random seed}
-
-# Turn off the scale option on ext2spice or else it conflicts with the
-# scale in the model files.
-ext2spice scale off
-
-# Allow override of PDK path from environment variable PDKPATH
-if {[catch {set PDKPATH $env(PDKPATH)}]} {
-    set PDKPATH "/usr/local/share/pdk/sky130A"
-}
-
-# loading technology
-tech load $PDKPATH/libs.tech/magic/sky130A.tech
-
-
-# load device generator
-source $PDKPATH/libs.tech/magic/sky130A.tcl
-
-# load bind keys (optional)
-# source $PDKPATH/libs.tech/magic/sky130A-BindKeys
-
-# set units to lambda grid 
-snap lambda
-
-# set sky130 standard power, ground, and substrate names
-set VDD VPWR
-set GND VGND
-set SUB VSUBS
-
-# Allow override of type of magic library views used, "mag" or "maglef",
-# from environment variable MAGTYPE
-
-if {[catch {set MAGTYPE $env(MAGTYPE)}]} {
-   set MAGTYPE mag
-}
-
-# add path to reference cells
-if {[file isdir ${PDKPATH}/libs.ref/${MAGTYPE}]} {
-    addpath ${PDKPATH}/libs.ref/${MAGTYPE}/sky130_fd_pr
-    addpath ${PDKPATH}/libs.ref/${MAGTYPE}/sky130_fd_io
-    addpath ${PDKPATH}/libs.ref/${MAGTYPE}/sky130_fd_sc_hd
-    addpath ${PDKPATH}/libs.ref/${MAGTYPE}/sky130_fd_sc_hdll
-    addpath ${PDKPATH}/libs.ref/${MAGTYPE}/sky130_fd_sc_hs
-    addpath ${PDKPATH}/libs.ref/${MAGTYPE}/sky130_fd_sc_hvl
-    addpath ${PDKPATH}/libs.ref/${MAGTYPE}/sky130_fd_sc_lp
-    addpath ${PDKPATH}/libs.ref/${MAGTYPE}/sky130_fd_sc_ls
-    addpath ${PDKPATH}/libs.ref/${MAGTYPE}/sky130_fd_sc_ms
-    addpath ${PDKPATH}/libs.ref/${MAGTYPE}/sky130_osu_sc
-    addpath ${PDKPATH}/libs.ref/${MAGTYPE}/sky130_osu_sc_t18
-    addpath ${PDKPATH}/libs.ref/${MAGTYPE}/sky130_ml_xx_hd
-    addpath ${PDKPATH}/libs.ref/${MAGTYPE}/sky130_sram_macros
-} else {
-    addpath ${PDKPATH}/libs.ref/sky130_fd_pr/${MAGTYPE}
-    addpath ${PDKPATH}/libs.ref/sky130_fd_io/${MAGTYPE}
-    addpath ${PDKPATH}/libs.ref/sky130_fd_sc_hd/${MAGTYPE}
-    addpath ${PDKPATH}/libs.ref/sky130_fd_sc_hdll/${MAGTYPE}
-    addpath ${PDKPATH}/libs.ref/sky130_fd_sc_hs/${MAGTYPE}
-    addpath ${PDKPATH}/libs.ref/sky130_fd_sc_hvl/${MAGTYPE}
-    addpath ${PDKPATH}/libs.ref/sky130_fd_sc_lp/${MAGTYPE}
-    addpath ${PDKPATH}/libs.ref/sky130_fd_sc_ls/${MAGTYPE}
-    addpath ${PDKPATH}/libs.ref/sky130_fd_sc_ms/${MAGTYPE}
-    addpath ${PDKPATH}/libs.ref/sky130_osu_sc/${MAGTYPE}
-    addpath ${PDKPATH}/libs.ref/sky130_osu_sc_t18/${MAGTYPE}
-    addpath ${PDKPATH}/libs.ref/sky130_ml_xx_hd/${MAGTYPE}
-    addpath ${PDKPATH}/libs.ref/sky130_sram_macros/${MAGTYPE}
-}
-
-# add path to GDS cells
-
-# add path to IP from catalog.  This procedure defined in the PDK script.
-catch {magic::query_mylib_ip}
-# add path to local IP from user design space.  Defined in the PDK script.
-catch {magic::query_my_projects}
diff --git a/mag/myinv_layout2/sky130_fd_pr__nfet_01v8_7RYEVP.ext b/mag/myinv_layout2/sky130_fd_pr__nfet_01v8_7RYEVP.ext
deleted file mode 100755
index 24a493e..0000000
--- a/mag/myinv_layout2/sky130_fd_pr__nfet_01v8_7RYEVP.ext
+++ /dev/null
@@ -1,15 +0,0 @@
-timestamp 1644925353
-version 8.3
-tech sky130A
-style ngspice()
-scale 1000 1 500000
-resistclasses 4400000 2200000 950000 3050000 120000 197000 114000 191000 120000 197000 114000 191000 48200 319800 2000000 48200 48200 12800 125 125 47 47 29 5
-parameters sky130_fd_pr__nfet_01v8 l=l w=w a1=as p1=ps a2=ad p2=pd
-node "a_15_n69#" 481 18.86 15 -69 ndif 0 0 0 0 0 0 0 0 11600 516 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 5984 420 9200 492 0 0 0 0 0 0 0 0 0 0
-node "a_n73_n69#" 481 18.86 -73 -69 ndif 0 0 0 0 0 0 0 0 11600 516 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 5984 420 9200 492 0 0 0 0 0 0 0 0 0 0
-node "a_n33_n157#" 514 144.799 -33 -157 p 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 11796 760 0 0 2244 200 2668 208 0 0 0 0 0 0 0 0 0 0
-substrate "VSUBS" 0 0 -1073741817 -1073741817 space 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0
-cap "a_n73_n69#" "a_15_n69#" 321.841
-cap "a_n73_n69#" "a_n33_n157#" 15.21
-cap "a_n33_n157#" "a_15_n69#" 15.21
-device msubckt sky130_fd_pr__nfet_01v8 -15 -69 -14 -68 l=30 w=200 "VSUBS" "a_n33_n157#" 60 0 "a_n73_n69#" 200 0 "a_15_n69#" 200 0
diff --git a/mag/myinv_layout2/sky130_fd_pr__nfet_01v8_7RYEVP.mag b/mag/myinv_layout2/sky130_fd_pr__nfet_01v8_7RYEVP.mag
deleted file mode 100755
index c8b66a6..0000000
--- a/mag/myinv_layout2/sky130_fd_pr__nfet_01v8_7RYEVP.mag
+++ /dev/null
@@ -1,62 +0,0 @@
-magic
-tech sky130A
-magscale 1 2
-timestamp 1644925353
-<< error_p >>
-rect -73 -69 -15 131
-rect 15 -69 73 131
-rect -29 -107 29 -101
-rect -29 -141 -17 -107
-rect -29 -147 29 -141
-<< nmos >>
-rect -15 -69 15 131
-<< ndiff >>
-rect -73 93 -15 131
-rect -73 -31 -61 93
-rect -27 -31 -15 93
-rect -73 -69 -15 -31
-rect 15 93 73 131
-rect 15 -31 27 93
-rect 61 -31 73 93
-rect 15 -69 73 -31
-<< ndiffc >>
-rect -61 -31 -27 93
-rect 27 -31 61 93
-<< poly >>
-rect -15 131 15 157
-rect -15 -91 15 -69
-rect -33 -107 33 -91
-rect -33 -141 -17 -107
-rect 17 -141 33 -107
-rect -33 -157 33 -141
-<< polycont >>
-rect -17 -141 17 -107
-<< locali >>
-rect -33 -141 -17 -107
-rect 17 -141 33 -107
-<< viali >>
-rect -61 93 -27 119
-rect -61 -31 -27 93
-rect -61 -57 -27 -31
-rect 27 93 61 119
-rect 27 -31 61 93
-rect 27 -57 61 -31
-rect -17 -141 17 -107
-<< metal1 >>
-rect -67 119 -21 131
-rect -67 -57 -61 119
-rect -27 -57 -21 119
-rect -67 -69 -21 -57
-rect 21 119 67 131
-rect 21 -57 27 119
-rect 61 -57 67 119
-rect 21 -69 67 -57
-rect -29 -107 29 -101
-rect -29 -141 -17 -107
-rect 17 -141 29 -107
-rect -29 -147 29 -141
-<< properties >>
-string gencell sky130_fd_pr__nfet_01v8
-string library sky130
-string parameters w 1 l 0.150 m 1 nf 1 diffcov 70 polycov 70 guard 0 glc 0 grc 0 gtc 0 gbc 0 tbcov 70 rlcov 70 topc 0 botc 1 poverlap 0 doverlap 1 lmin 0.15 wmin 0.42 compatible {sky130_fd_pr__nfet_01v8 sky130_fd_pr__nfet_01v8_lvt  sky130_fd_bs_flash__special_sonosfet_star  sky130_fd_pr__nfet_g5v0d10v5 sky130_fd_pr__nfet_05v0_nvt  sky130_fd_pr__nfet_03v3_nvt} full_metal 0 viasrc 100 viadrn 100 viagate 100 viagb 0 viagr 0 viagl 0 viagt 0
-<< end >>
diff --git a/mag/myinv_layout2/sky130_fd_pr__nfet_01v8_8P2286.mag b/mag/myinv_layout2/sky130_fd_pr__nfet_01v8_8P2286.mag
deleted file mode 100755
index 4f08242..0000000
--- a/mag/myinv_layout2/sky130_fd_pr__nfet_01v8_8P2286.mag
+++ /dev/null
@@ -1,94 +0,0 @@
-magic
-tech sky130A
-magscale 1 2
-timestamp 1646248588
-<< error_p >>
-rect 19 272 77 278
-rect 19 238 31 272
-rect 19 232 77 238
-rect -77 -238 -19 -232
-rect -77 -272 -65 -238
-rect -77 -278 -19 -272
-<< nmos >>
-rect -63 -200 -33 200
-rect 33 -200 63 200
-<< ndiff >>
-rect -121 144 -63 200
-rect -125 132 -63 144
-rect -125 -132 -113 132
-rect -79 -132 -63 132
-rect -125 -144 -63 -132
-rect -121 -200 -63 -144
-rect -33 132 33 200
-rect -33 -132 -17 132
-rect 17 -132 33 132
-rect -33 -200 33 -132
-rect 63 144 121 200
-rect 63 132 125 144
-rect 63 -132 79 132
-rect 113 -132 125 132
-rect 63 -144 125 -132
-rect 63 -200 121 -144
-<< ndiffc >>
-rect -113 -132 -79 132
-rect -17 -132 17 132
-rect 79 -132 113 132
-<< poly >>
-rect 15 272 81 288
-rect 15 238 31 272
-rect 65 238 81 272
-rect -63 200 -33 226
-rect 15 222 81 238
-rect 33 200 63 222
-rect -63 -222 -33 -200
-rect -81 -238 -15 -222
-rect 33 -226 63 -200
-rect -81 -272 -65 -238
-rect -31 -272 -15 -238
-rect -81 -288 -15 -272
-<< polycont >>
-rect 31 238 65 272
-rect -65 -272 -31 -238
-<< locali >>
-rect 15 238 31 272
-rect 65 238 81 272
-rect -113 132 -79 148
-rect -113 -148 -79 -132
-rect -17 132 17 148
-rect -17 -148 17 -132
-rect 79 132 113 148
-rect 79 -148 113 -132
-rect -81 -272 -65 -238
-rect -31 -272 -15 -238
-<< viali >>
-rect 31 238 65 272
-rect -113 -132 -79 132
-rect -17 -132 17 132
-rect 79 -132 113 132
-rect -65 -272 -31 -238
-<< metal1 >>
-rect 19 272 77 278
-rect 19 238 31 272
-rect 65 238 77 272
-rect 19 232 77 238
-rect -119 132 -73 144
-rect -119 -132 -113 132
-rect -79 -132 -73 132
-rect -119 -144 -73 -132
-rect -23 132 23 144
-rect -23 -132 -17 132
-rect 17 -132 23 132
-rect -23 -144 23 -132
-rect 73 132 119 144
-rect 73 -132 79 132
-rect 113 -132 119 132
-rect 73 -144 119 -132
-rect -77 -238 -19 -232
-rect -77 -272 -65 -238
-rect -31 -272 -19 -238
-rect -77 -278 -19 -272
-<< properties >>
-string gencell sky130_fd_pr__nfet_01v8
-string library sky130
-string parameters w 2 l 0.150 m 1 nf 2 diffcov 70 polycov 70 guard 0 glc 0 grc 0 gtc 0 gbc 0 tbcov 70 rlcov 70 topc 0 botc 0 poverlap 0 doverlap 1 lmin 0.15 wmin 0.42 compatible {sky130_fd_pr__nfet_01v8 sky130_fd_pr__nfet_01v8_lvt  sky130_fd_bs_flash__special_sonosfet_star  sky130_fd_pr__nfet_g5v0d10v5 sky130_fd_pr__nfet_05v0_nvt  sky130_fd_pr__nfet_03v3_nvt} full_metal 0 viasrc 70 viadrn 70 viagate 70 viagb 0 viagr 0 viagl 0 viagt 0
-<< end >>
diff --git a/mag/myinv_layout2/sky130_fd_pr__nfet_01v8_A2RL69.mag b/mag/myinv_layout2/sky130_fd_pr__nfet_01v8_A2RL69.mag
deleted file mode 100755
index 0a0b357..0000000
--- a/mag/myinv_layout2/sky130_fd_pr__nfet_01v8_A2RL69.mag
+++ /dev/null
@@ -1,90 +0,0 @@
-magic
-tech sky130A
-magscale 1 2
-timestamp 1646248588
-<< error_p >>
-rect 19 172 77 178
-rect 19 138 31 172
-rect 19 132 77 138
-rect -77 -138 -19 -132
-rect -77 -172 -65 -138
-rect -77 -178 -19 -172
-<< nmos >>
-rect -63 -100 -33 100
-rect 33 -100 63 100
-<< ndiff >>
-rect -125 88 -63 100
-rect -125 -88 -113 88
-rect -79 -88 -63 88
-rect -125 -100 -63 -88
-rect -33 88 33 100
-rect -33 -88 -17 88
-rect 17 -88 33 88
-rect -33 -100 33 -88
-rect 63 88 125 100
-rect 63 -88 79 88
-rect 113 -88 125 88
-rect 63 -100 125 -88
-<< ndiffc >>
-rect -113 -88 -79 88
-rect -17 -88 17 88
-rect 79 -88 113 88
-<< poly >>
-rect 15 172 81 188
-rect 15 138 31 172
-rect 65 138 81 172
-rect -63 100 -33 126
-rect 15 122 81 138
-rect 33 100 63 122
-rect -63 -122 -33 -100
-rect -81 -138 -15 -122
-rect 33 -126 63 -100
-rect -81 -172 -65 -138
-rect -31 -172 -15 -138
-rect -81 -188 -15 -172
-<< polycont >>
-rect 31 138 65 172
-rect -65 -172 -31 -138
-<< locali >>
-rect 15 138 31 172
-rect 65 138 81 172
-rect -113 88 -79 104
-rect -113 -104 -79 -88
-rect -17 88 17 104
-rect -17 -104 17 -88
-rect 79 88 113 104
-rect 79 -104 113 -88
-rect -81 -172 -65 -138
-rect -31 -172 -15 -138
-<< viali >>
-rect 31 138 65 172
-rect -113 -88 -79 88
-rect -17 -88 17 88
-rect 79 -88 113 88
-rect -65 -172 -31 -138
-<< metal1 >>
-rect 19 172 77 178
-rect 19 138 31 172
-rect 65 138 77 172
-rect 19 132 77 138
-rect -119 88 -73 100
-rect -119 -88 -113 88
-rect -79 -88 -73 88
-rect -119 -100 -73 -88
-rect -23 88 23 100
-rect -23 -88 -17 88
-rect 17 -88 23 88
-rect -23 -100 23 -88
-rect 73 88 119 100
-rect 73 -88 79 88
-rect 113 -88 119 88
-rect 73 -100 119 -88
-rect -77 -138 -19 -132
-rect -77 -172 -65 -138
-rect -31 -172 -19 -138
-rect -77 -178 -19 -172
-<< properties >>
-string gencell sky130_fd_pr__nfet_01v8
-string library sky130
-string parameters w 1 l 0.150 m 1 nf 2 diffcov 100 polycov 100 guard 0 glc 0 grc 0 gtc 0 gbc 0 tbcov 100 rlcov 100 topc 0 botc 0 poverlap 0 doverlap 1 lmin 0.15 wmin 0.42 compatible {sky130_fd_pr__nfet_01v8 sky130_fd_pr__nfet_01v8_lvt  sky130_fd_bs_flash__special_sonosfet_star  sky130_fd_pr__nfet_g5v0d10v5 sky130_fd_pr__nfet_05v0_nvt  sky130_fd_pr__nfet_03v3_nvt} full_metal 0 viasrc 100 viadrn 100 viagate 100 viagb 0 viagr 0 viagl 0 viagt 0
-<< end >>
diff --git a/mag/myinv_layout2/sky130_fd_pr__nfet_01v8_CJHXAH.mag b/mag/myinv_layout2/sky130_fd_pr__nfet_01v8_CJHXAH.mag
deleted file mode 100755
index 4e90e0a..0000000
--- a/mag/myinv_layout2/sky130_fd_pr__nfet_01v8_CJHXAH.mag
+++ /dev/null
@@ -1,119 +0,0 @@
-magic
-tech sky130A
-magscale 1 2
-timestamp 1646248588
-<< error_p >>
-rect 19 272 77 278
-rect 19 238 31 272
-rect 19 232 77 238
-rect -77 -238 -19 -232
-rect -77 -272 -65 -238
-rect -77 -278 -19 -272
-<< pwell >>
-rect -263 -410 263 410
-<< nmos >>
-rect -63 -200 -33 200
-rect 33 -200 63 200
-<< ndiff >>
-rect -121 144 -63 200
-rect -125 132 -63 144
-rect -125 -132 -113 132
-rect -79 -132 -63 132
-rect -125 -144 -63 -132
-rect -121 -200 -63 -144
-rect -33 132 33 200
-rect -33 -132 -17 132
-rect 17 -132 33 132
-rect -33 -200 33 -132
-rect 63 144 121 200
-rect 63 132 125 144
-rect 63 -132 79 132
-rect 113 -132 125 132
-rect 63 -144 125 -132
-rect 63 -200 121 -144
-<< ndiffc >>
-rect -113 -132 -79 132
-rect -17 -132 17 132
-rect 79 -132 113 132
-<< psubdiff >>
-rect -227 340 -92 374
-rect 92 340 227 374
-rect -227 195 -193 340
-rect -227 -340 -193 -195
-rect 193 195 227 340
-rect 193 -340 227 -195
-rect -227 -374 -92 -340
-rect 92 -374 227 -340
-<< psubdiffcont >>
-rect -92 340 92 374
-rect -227 -195 -193 195
-rect 193 -195 227 195
-rect -92 -374 92 -340
-<< poly >>
-rect 15 272 81 288
-rect 15 238 31 272
-rect 65 238 81 272
-rect -63 200 -33 226
-rect 15 222 81 238
-rect 33 200 63 222
-rect -63 -222 -33 -200
-rect -81 -238 -15 -222
-rect 33 -226 63 -200
-rect -81 -272 -65 -238
-rect -31 -272 -15 -238
-rect -81 -288 -15 -272
-<< polycont >>
-rect 31 238 65 272
-rect -65 -272 -31 -238
-<< locali >>
-rect -227 340 -92 374
-rect 92 340 227 374
-rect -227 195 -193 340
-rect 15 238 31 272
-rect 65 238 81 272
-rect 193 195 227 340
-rect -113 132 -79 148
-rect -113 -148 -79 -132
-rect -17 132 17 148
-rect -17 -148 17 -132
-rect 79 132 113 148
-rect 79 -148 113 -132
-rect -227 -340 -193 -195
-rect -81 -272 -65 -238
-rect -31 -272 -15 -238
-rect 193 -340 227 -195
-rect -227 -374 -92 -340
-rect 92 -374 227 -340
-<< viali >>
-rect 31 238 65 272
-rect -113 -132 -79 132
-rect -17 -132 17 132
-rect 79 -132 113 132
-rect -65 -272 -31 -238
-<< metal1 >>
-rect 19 272 77 278
-rect 19 238 31 272
-rect 65 238 77 272
-rect 19 232 77 238
-rect -119 132 -73 144
-rect -119 -132 -113 132
-rect -79 -132 -73 132
-rect -119 -144 -73 -132
-rect -23 132 23 144
-rect -23 -132 -17 132
-rect 17 -132 23 132
-rect -23 -144 23 -132
-rect 73 132 119 144
-rect 73 -132 79 132
-rect 113 -132 119 132
-rect 73 -144 119 -132
-rect -77 -238 -19 -232
-rect -77 -272 -65 -238
-rect -31 -272 -19 -238
-rect -77 -278 -19 -272
-<< properties >>
-string FIXED_BBOX -210 -357 210 357
-string gencell sky130_fd_pr__nfet_01v8
-string library sky130
-string parameters w 2 l 0.150 m 1 nf 2 diffcov 70 polycov 70 guard 1 glc 1 grc 1 gtc 1 gbc 1 tbcov 70 rlcov 70 topc 1 botc 1 poverlap 0 doverlap 1 lmin 0.15 wmin 0.42 compatible {sky130_fd_pr__nfet_01v8 sky130_fd_pr__nfet_01v8_lvt  sky130_fd_bs_flash__special_sonosfet_star  sky130_fd_pr__nfet_g5v0d10v5 sky130_fd_pr__nfet_05v0_nvt  sky130_fd_pr__nfet_03v3_nvt} full_metal 1 viasrc 70 viadrn 70 viagate 70 viagb 0 viagr 0 viagl 0 viagt 0
-<< end >>
diff --git a/mag/myinv_layout2/sky130_fd_pr__nfet_01v8_KZU588.ext b/mag/myinv_layout2/sky130_fd_pr__nfet_01v8_KZU588.ext
deleted file mode 100755
index f01472d..0000000
--- a/mag/myinv_layout2/sky130_fd_pr__nfet_01v8_KZU588.ext
+++ /dev/null
@@ -1,54 +0,0 @@
-timestamp 1646318752
-version 8.3
-tech sky130A
-style ngspice()
-scale 1000 1 500000
-resistclasses 4400000 2200000 1700000 3050000 120000 197000 114000 191000 120000 197000 114000 191000 48200 319800 2000000 48200 48200 12200 125 125 47 47 29 5
-parameters sky130_fd_pr__nfet_01v8 l=l w=w a1=as p1=ps a2=ad p2=pd
-node "li_321_116#" 20 13.53 321 116 li 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 540 96 0 0 0 0 0 0 0 0 0 0 0 0
-node "a_351_n100#" 456 -13.94 351 -100 ndif 0 0 0 0 0 0 0 0 12192 524 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 5304 380 6808 388 0 0 0 0 0 0 0 0 0 0
-node "a_255_n100#" 420 -13.94 255 -100 ndif 0 0 0 0 0 0 0 0 13200 532 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 5304 380 6808 388 0 0 0 0 0 0 0 0 0 0
-node "a_159_n100#" 420 -13.94 159 -100 ndif 0 0 0 0 0 0 0 0 13200 532 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 5304 380 6808 388 0 0 0 0 0 0 0 0 0 0
-node "a_63_n100#" 420 -13.94 63 -100 ndif 0 0 0 0 0 0 0 0 13200 532 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 5304 380 6808 388 0 0 0 0 0 0 0 0 0 0
-node "a_n33_n100#" 420 -13.94 -33 -100 ndif 0 0 0 0 0 0 0 0 13200 532 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 5304 380 6808 388 0 0 0 0 0 0 0 0 0 0
-node "a_n129_n100#" 420 -13.94 -129 -100 ndif 0 0 0 0 0 0 0 0 13200 532 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 5304 380 6808 388 0 0 0 0 0 0 0 0 0 0
-node "a_n225_n100#" 420 -13.94 -225 -100 ndif 0 0 0 0 0 0 0 0 13200 532 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 5304 380 6808 388 0 0 0 0 0 0 0 0 0 0
-node "a_n321_n100#" 420 -13.94 -321 -100 ndif 0 0 0 0 0 0 0 0 13200 532 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 5304 380 6808 388 0 0 0 0 0 0 0 0 0 0
-node "a_n413_n74#" 456 -13.94 -413 -74 ndif 0 0 0 0 0 0 0 0 12192 524 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 5304 380 6808 388 0 0 0 0 0 0 0 0 0 0
-node "a_n353_n162#" 4022 764.376 -353 -162 p 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 90708 5568 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0
-substrate "VSUBS" 0 0 -1073741817 -1073741817 space 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0
-cap "a_159_n100#" "a_255_n100#" 216.232
-cap "a_255_n100#" "a_351_n100#" 216.232
-cap "a_159_n100#" "a_351_n100#" 78.1987
-cap "a_255_n100#" "a_63_n100#" 78.1987
-cap "a_159_n100#" "a_63_n100#" 216.232
-cap "a_351_n100#" "a_63_n100#" 47.7884
-cap "a_n225_n100#" "a_159_n100#" 34.4127
-cap "a_n129_n100#" "a_159_n100#" 47.7884
-cap "a_255_n100#" "a_n33_n100#" 47.7884
-cap "a_159_n100#" "a_n33_n100#" 78.1987
-cap "a_n129_n100#" "a_255_n100#" 34.4127
-cap "a_n225_n100#" "a_63_n100#" 47.7884
-cap "a_n321_n100#" "a_63_n100#" 34.4127
-cap "a_351_n100#" "a_n33_n100#" 34.4127
-cap "a_n33_n100#" "a_63_n100#" 216.232
-cap "a_n129_n100#" "a_63_n100#" 78.1987
-cap "a_n225_n100#" "a_n321_n100#" 216.232
-cap "a_n225_n100#" "a_n33_n100#" 78.1987
-cap "a_n129_n100#" "a_n225_n100#" 216.232
-cap "a_n33_n100#" "a_n321_n100#" 47.7884
-cap "a_n129_n100#" "a_n321_n100#" 78.1987
-cap "a_n129_n100#" "a_n33_n100#" 216.232
-cap "li_321_116#" "a_n353_n162#" 9.069
-cap "a_n413_n74#" "a_n321_n100#" 216.232
-cap "a_n225_n100#" "a_n413_n74#" 78.1987
-cap "a_n129_n100#" "a_n413_n74#" 47.7884
-cap "a_n413_n74#" "a_n33_n100#" 34.4127
-device msubckt sky130_fd_pr__nfet_01v8 321 -100 322 -99 l=30 w=200 "VSUBS" "a_n353_n162#" 60 0 "a_255_n100#" 200 0 "a_351_n100#" 200 0
-device msubckt sky130_fd_pr__nfet_01v8 225 -100 226 -99 l=30 w=200 "VSUBS" "a_n353_n162#" 60 0 "a_159_n100#" 200 0 "a_255_n100#" 200 0
-device msubckt sky130_fd_pr__nfet_01v8 129 -100 130 -99 l=30 w=200 "VSUBS" "a_n353_n162#" 60 0 "a_63_n100#" 200 0 "a_159_n100#" 200 0
-device msubckt sky130_fd_pr__nfet_01v8 33 -100 34 -99 l=30 w=200 "VSUBS" "a_n353_n162#" 60 0 "a_n33_n100#" 200 0 "a_63_n100#" 200 0
-device msubckt sky130_fd_pr__nfet_01v8 -63 -100 -62 -99 l=30 w=200 "VSUBS" "a_n353_n162#" 60 0 "a_n129_n100#" 200 0 "a_n33_n100#" 200 0
-device msubckt sky130_fd_pr__nfet_01v8 -159 -100 -158 -99 l=30 w=200 "VSUBS" "a_n353_n162#" 60 0 "a_n225_n100#" 200 0 "a_n129_n100#" 200 0
-device msubckt sky130_fd_pr__nfet_01v8 -255 -100 -254 -99 l=30 w=200 "VSUBS" "a_n353_n162#" 60 0 "a_n321_n100#" 200 0 "a_n225_n100#" 200 0
-device msubckt sky130_fd_pr__nfet_01v8 -351 -100 -350 -99 l=30 w=200 "VSUBS" "a_n353_n162#" 60 0 "a_n413_n74#" 200 0 "a_n321_n100#" 200 0
diff --git a/mag/myinv_layout2/sky130_fd_pr__nfet_01v8_KZU588.mag b/mag/myinv_layout2/sky130_fd_pr__nfet_01v8_KZU588.mag
deleted file mode 100755
index b7232f5..0000000
--- a/mag/myinv_layout2/sky130_fd_pr__nfet_01v8_KZU588.mag
+++ /dev/null
@@ -1,157 +0,0 @@
-magic
-tech sky130A
-magscale 1 2
-timestamp 1646318752
-<< error_p >>
-rect 321 134 351 150
-rect 321 116 355 134
-<< nmos >>
-rect -351 -100 -321 100
-rect -255 -100 -225 100
-rect -159 -100 -129 100
-rect -63 -100 -33 100
-rect 33 -100 63 100
-rect 129 -100 159 100
-rect 225 -100 255 100
-rect 321 -100 351 100
-<< ndiff >>
-rect -409 74 -351 100
-rect -413 62 -351 74
-rect -413 -62 -401 62
-rect -367 -62 -351 62
-rect -413 -74 -351 -62
-rect -409 -100 -351 -74
-rect -321 62 -255 100
-rect -321 -62 -305 62
-rect -271 -62 -255 62
-rect -321 -100 -255 -62
-rect -225 62 -159 100
-rect -225 -62 -209 62
-rect -175 -62 -159 62
-rect -225 -100 -159 -62
-rect -129 62 -63 100
-rect -129 -62 -113 62
-rect -79 -62 -63 62
-rect -129 -100 -63 -62
-rect -33 62 33 100
-rect -33 -62 -17 62
-rect 17 -62 33 62
-rect -33 -100 33 -62
-rect 63 62 129 100
-rect 63 -62 79 62
-rect 113 -62 129 62
-rect 63 -100 129 -62
-rect 159 62 225 100
-rect 159 -62 175 62
-rect 209 -62 225 62
-rect 159 -100 225 -62
-rect 255 62 321 100
-rect 255 -62 271 62
-rect 305 -62 321 62
-rect 255 -100 321 -62
-rect 351 74 409 100
-rect 351 62 413 74
-rect 351 -62 367 62
-rect 401 -62 413 62
-rect 351 -74 413 -62
-rect 351 -100 409 -74
-<< ndiffc >>
-rect -401 -62 -367 62
-rect -305 -62 -271 62
-rect -209 -62 -175 62
-rect -113 -62 -79 62
-rect -17 -62 17 62
-rect 79 -62 113 62
-rect 175 -62 209 62
-rect 271 -62 305 62
-rect 367 -62 401 62
-<< poly >>
-rect -351 100 -321 136
-rect -255 100 -225 136
-rect -159 100 -129 134
-rect -63 100 -33 134
-rect 33 100 63 134
-rect 129 100 159 134
-rect 225 100 255 136
-rect 321 100 351 134
-rect -351 -120 -321 -100
-rect -255 -120 -225 -100
-rect -159 -120 -129 -100
-rect -63 -120 -33 -100
-rect 33 -120 63 -100
-rect 129 -120 159 -100
-rect 225 -120 255 -100
-rect 321 -120 351 -100
-rect -353 -162 351 -120
-<< locali >>
-rect 321 116 351 134
-rect -401 62 -367 78
-rect -401 -78 -367 -62
-rect -305 62 -271 78
-rect -305 -78 -271 -62
-rect -209 62 -175 78
-rect -209 -78 -175 -62
-rect -113 62 -79 78
-rect -113 -78 -79 -62
-rect -17 62 17 78
-rect -17 -78 17 -62
-rect 79 62 113 78
-rect 79 -78 113 -62
-rect 175 62 209 78
-rect 175 -78 209 -62
-rect 271 62 305 78
-rect 271 -78 305 -62
-rect 367 62 401 78
-rect 367 -78 401 -62
-<< viali >>
-rect -401 -62 -367 62
-rect -305 -62 -271 62
-rect -209 -62 -175 62
-rect -113 -62 -79 62
-rect -17 -62 17 62
-rect 79 -62 113 62
-rect 175 -62 209 62
-rect 271 -62 305 62
-rect 367 -62 401 62
-<< metal1 >>
-rect -407 62 -361 74
-rect -407 -62 -401 62
-rect -367 -62 -361 62
-rect -407 -74 -361 -62
-rect -311 62 -265 74
-rect -311 -62 -305 62
-rect -271 -62 -265 62
-rect -311 -74 -265 -62
-rect -215 62 -169 74
-rect -215 -62 -209 62
-rect -175 -62 -169 62
-rect -215 -74 -169 -62
-rect -119 62 -73 74
-rect -119 -62 -113 62
-rect -79 -62 -73 62
-rect -119 -74 -73 -62
-rect -23 62 23 74
-rect -23 -62 -17 62
-rect 17 -62 23 62
-rect -23 -74 23 -62
-rect 73 62 119 74
-rect 73 -62 79 62
-rect 113 -62 119 62
-rect 73 -74 119 -62
-rect 169 62 215 74
-rect 169 -62 175 62
-rect 209 -62 215 62
-rect 169 -74 215 -62
-rect 265 62 311 74
-rect 265 -62 271 62
-rect 305 -62 311 62
-rect 265 -74 311 -62
-rect 361 62 407 74
-rect 361 -62 367 62
-rect 401 -62 407 62
-rect 361 -74 407 -62
-<< properties >>
-string gencell sky130_fd_pr__nfet_01v8
-string library sky130
-string parameters w 1 l 0.150 m 1 nf 8 diffcov 70 polycov 70 guard 0 glc 0 grc 0 gtc 0 gbc 0 tbcov 70 rlcov 70 topc 0 botc 0 poverlap 0 doverlap 1 lmin 0.15 wmin 0.42 compatible {sky130_fd_pr__nfet_01v8 sky130_fd_pr__nfet_01v8_lvt  sky130_fd_bs_flash__special_sonosfet_star  sky130_fd_pr__nfet_g5v0d10v5 sky130_fd_pr__nfet_05v0_nvt  sky130_fd_pr__nfet_03v3_nvt} full_metal 0 viasrc 70 viadrn 70 viagate 70 viagb 0 viagr 0 viagl 0 viagt 0
-<< end >>
diff --git a/mag/myinv_layout2/sky130_fd_pr__nfet_01v8_QQ4XG9.ext b/mag/myinv_layout2/sky130_fd_pr__nfet_01v8_QQ4XG9.ext
deleted file mode 100755
index b0142d4..0000000
--- a/mag/myinv_layout2/sky130_fd_pr__nfet_01v8_QQ4XG9.ext
+++ /dev/null
@@ -1,15 +0,0 @@
-timestamp 0
-version 8.3
-tech sky130A
-style ngspice()
-scale 1000 1 500000
-resistclasses 4400000 2200000 1700000 3050000 120000 197000 114000 191000 120000 197000 114000 191000 48200 319800 2000000 48200 48200 12200 125 125 47 47 29 5
-parameters sky130_fd_pr__nfet_01v8 l=l w=w a1=as p1=ps a2=ad p2=pd
-node "a_15_n69#" 489 22.3916 15 -69 ndif 0 0 0 0 0 0 0 0 11600 516 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 7072 484 9200 492 0 0 0 0 0 0 0 0 0 0
-node "a_n73_n69#" 489 22.3916 -73 -69 ndif 0 0 0 0 0 0 0 0 11600 516 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 7072 484 9200 492 0 0 0 0 0 0 0 0 0 0
-node "a_n33_n157#" 513 114.359 -33 -157 p 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 11796 760 0 0 2244 200 2668 208 0 0 0 0 0 0 0 0 0 0
-substrate "VSUBS" 0 0 -1073741817 -1073741817 space 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0
-cap "a_n73_n69#" "a_15_n69#" 341.397
-cap "a_n33_n157#" "a_15_n69#" 17.0735
-cap "a_n73_n69#" "a_n33_n157#" 17.0735
-device msubckt sky130_fd_pr__nfet_01v8 -15 -69 -14 -68 l=30 w=200 "VSUBS" "a_n33_n157#" 60 0 "a_n73_n69#" 200 0 "a_15_n69#" 200 0
diff --git a/mag/myinv_layout2/sky130_fd_pr__nfet_01v8_QQ4XG9.mag b/mag/myinv_layout2/sky130_fd_pr__nfet_01v8_QQ4XG9.mag
deleted file mode 100755
index 30b7f23..0000000
--- a/mag/myinv_layout2/sky130_fd_pr__nfet_01v8_QQ4XG9.mag
+++ /dev/null
@@ -1,60 +0,0 @@
-magic
-tech sky130A
-magscale 1 2
-timestamp 1644851365
-<< error_p >>
-rect -29 -107 29 -101
-rect -29 -141 -17 -107
-rect -29 -147 29 -141
-<< nmos >>
-rect -15 -69 15 131
-<< ndiff >>
-rect -73 119 -15 131
-rect -73 -57 -61 119
-rect -27 -57 -15 119
-rect -73 -69 -15 -57
-rect 15 119 73 131
-rect 15 -57 27 119
-rect 61 -57 73 119
-rect 15 -69 73 -57
-<< ndiffc >>
-rect -61 -57 -27 119
-rect 27 -57 61 119
-<< poly >>
-rect -15 131 15 157
-rect -15 -91 15 -69
-rect -33 -107 33 -91
-rect -33 -141 -17 -107
-rect 17 -141 33 -107
-rect -33 -157 33 -141
-<< polycont >>
-rect -17 -141 17 -107
-<< locali >>
-rect -61 119 -27 135
-rect -61 -73 -27 -57
-rect 27 119 61 135
-rect 27 -73 61 -57
-rect -33 -141 -17 -107
-rect 17 -141 33 -107
-<< viali >>
-rect -61 -57 -27 119
-rect 27 -57 61 119
-rect -17 -141 17 -107
-<< metal1 >>
-rect -67 119 -21 131
-rect -67 -57 -61 119
-rect -27 -57 -21 119
-rect -67 -69 -21 -57
-rect 21 119 67 131
-rect 21 -57 27 119
-rect 61 -57 67 119
-rect 21 -69 67 -57
-rect -29 -107 29 -101
-rect -29 -141 -17 -107
-rect 17 -141 29 -107
-rect -29 -147 29 -141
-<< properties >>
-string gencell sky130_fd_pr__nfet_01v8
-string library sky130
-string parameters w 1 l 0.150 m 1 nf 1 diffcov 100 polycov 100 guard 0 glc 0 grc 0 gtc 0 gbc 0 tbcov 100 rlcov 100 topc 0 botc 1 poverlap 0 doverlap 1 lmin 0.15 wmin 0.42 compatible {sky130_fd_pr__nfet_01v8 sky130_fd_pr__nfet_01v8_lvt  sky130_fd_bs_flash__special_sonosfet_star  sky130_fd_pr__nfet_g5v0d10v5 sky130_fd_pr__nfet_05v0_nvt  sky130_fd_pr__nfet_03v3_nvt} full_metal 0 viasrc 100 viadrn 100 viagate 100 viagb 0 viagr 0 viagl 0 viagt 0
-<< end >>
diff --git a/mag/myinv_layout2/sky130_fd_pr__nfet_01v8_QQ7V57.mag b/mag/myinv_layout2/sky130_fd_pr__nfet_01v8_QQ7V57.mag
deleted file mode 100755
index 92bd77e..0000000
--- a/mag/myinv_layout2/sky130_fd_pr__nfet_01v8_QQ7V57.mag
+++ /dev/null
@@ -1,43 +0,0 @@
-magic
-tech sky130A
-magscale 1 2
-timestamp 1646248588
-<< nmos >>
-rect -15 -100 15 100
-<< ndiff >>
-rect -73 88 -15 100
-rect -73 -88 -61 88
-rect -27 -88 -15 88
-rect -73 -100 -15 -88
-rect 15 88 73 100
-rect 15 -88 27 88
-rect 61 -88 73 88
-rect 15 -100 73 -88
-<< ndiffc >>
-rect -61 -88 -27 88
-rect 27 -88 61 88
-<< poly >>
-rect -15 100 15 126
-rect -15 -126 15 -100
-<< locali >>
-rect -61 88 -27 104
-rect -61 -104 -27 -88
-rect 27 88 61 104
-rect 27 -104 61 -88
-<< viali >>
-rect -61 -88 -27 88
-rect 27 -88 61 88
-<< metal1 >>
-rect -67 88 -21 100
-rect -67 -88 -61 88
-rect -27 -88 -21 88
-rect -67 -100 -21 -88
-rect 21 88 67 100
-rect 21 -88 27 88
-rect 61 -88 67 88
-rect 21 -100 67 -88
-<< properties >>
-string gencell sky130_fd_pr__nfet_01v8
-string library sky130
-string parameters w 1 l 0.150 m 1 nf 1 diffcov 100 polycov 100 guard 0 glc 0 grc 0 gtc 0 gbc 0 tbcov 100 rlcov 100 topc 0 botc 0 poverlap 0 doverlap 1 lmin 0.15 wmin 0.42 compatible {sky130_fd_pr__nfet_01v8 sky130_fd_pr__nfet_01v8_lvt  sky130_fd_bs_flash__special_sonosfet_star  sky130_fd_pr__nfet_g5v0d10v5 sky130_fd_pr__nfet_05v0_nvt  sky130_fd_pr__nfet_03v3_nvt} full_metal 0 viasrc 100 viadrn 100 viagate 100 viagb 0 viagr 0 viagl 0 viagt 0
-<< end >>
diff --git a/mag/myinv_layout2/sky130_fd_pr__nfet_01v8_VJWT33.ext b/mag/myinv_layout2/sky130_fd_pr__nfet_01v8_VJWT33.ext
deleted file mode 100755
index 0004cc1..0000000
--- a/mag/myinv_layout2/sky130_fd_pr__nfet_01v8_VJWT33.ext
+++ /dev/null
@@ -1,107 +0,0 @@
-timestamp 1646295505
-version 8.3
-tech sky130A
-style ngspice()
-scale 1000 1 500000
-resistclasses 4400000 2200000 1700000 3050000 120000 197000 114000 191000 120000 197000 114000 191000 48200 319800 2000000 48200 48200 12200 125 125 47 47 29 5
-parameters sky130_fd_pr__nfet_01v8 l=l w=w a1=as p1=ps a2=ad p2=pd
-node "a_735_n100#" 495 42.4035 735 -100 ndif 0 0 0 0 0 0 0 0 12192 524 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 10960 660 6808 388 0 0 0 0 0 0 0 0 0 0
-node "a_639_n100#" 420 -13.94 639 -100 ndif 0 0 0 0 0 0 0 0 13200 532 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 5304 380 6808 388 0 0 0 0 0 0 0 0 0 0
-node "a_543_n100#" 457 13.6771 543 -100 ndif 0 0 0 0 0 0 0 0 13200 532 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 10756 648 6808 388 0 0 0 0 0 0 0 0 0 0
-node "a_447_n100#" 420 -13.94 447 -100 ndif 0 0 0 0 0 0 0 0 13200 532 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 5304 380 6808 388 0 0 0 0 0 0 0 0 0 0
-node "a_351_n100#" 457 13.6771 351 -100 ndif 0 0 0 0 0 0 0 0 13200 532 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 10756 648 6808 388 0 0 0 0 0 0 0 0 0 0
-node "a_255_n100#" 420 -13.94 255 -100 ndif 0 0 0 0 0 0 0 0 13200 532 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 5304 380 6808 388 0 0 0 0 0 0 0 0 0 0
-node "a_159_n100#" 459 15.4725 159 -100 ndif 0 0 0 0 0 0 0 0 13200 532 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 10892 656 6808 388 0 0 0 0 0 0 0 0 0 0
-node "a_63_n100#" 420 -13.94 63 -100 ndif 0 0 0 0 0 0 0 0 13200 532 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 5304 380 6808 388 0 0 0 0 0 0 0 0 0 0
-node "a_n33_n100#" 459 15.4725 -33 -100 ndif 0 0 0 0 0 0 0 0 13200 532 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 10892 656 6808 388 0 0 0 0 0 0 0 0 0 0
-node "a_n129_n100#" 420 -13.94 -129 -100 ndif 0 0 0 0 0 0 0 0 13200 532 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 5304 380 6808 388 0 0 0 0 0 0 0 0 0 0
-node "a_n225_n100#" 456 12.7794 -225 -100 ndif 0 0 0 0 0 0 0 0 13200 532 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 10688 644 6808 388 0 0 0 0 0 0 0 0 0 0
-node "a_n321_n100#" 420 -13.94 -321 -100 ndif 0 0 0 0 0 0 0 0 13200 532 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 5304 380 6808 388 0 0 0 0 0 0 0 0 0 0
-node "a_n417_n100#" 461 18.1656 -417 -100 ndif 0 0 0 0 0 0 0 0 13200 532 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 11096 668 6808 388 0 0 0 0 0 0 0 0 0 0
-node "a_n513_n100#" 420 -13.94 -513 -100 ndif 0 0 0 0 0 0 0 0 13200 532 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 5304 380 6808 388 0 0 0 0 0 0 0 0 0 0
-node "a_n609_n100#" 462 19.961 -609 -100 ndif 0 0 0 0 0 0 0 0 13200 532 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 11232 676 6808 388 0 0 0 0 0 0 0 0 0 0
-node "a_n705_n100#" 420 -13.94 -705 -100 ndif 0 0 0 0 0 0 0 0 13200 532 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 5304 380 6808 388 0 0 0 0 0 0 0 0 0 0
-node "a_n797_n74#" 495 42.4035 -797 -74 ndif 0 0 0 0 0 0 0 0 12192 524 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 10960 660 6808 388 0 0 0 0 0 0 0 0 0 0
-node "a_n735_n176#" 7099 1578.9 -735 -176 p 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 205736 11084 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0
-substrate "VSUBS" 0 0 -1073741817 -1073741817 space 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0
-cap "a_159_n100#" "a_n33_n100#" 106.977
-cap "a_447_n100#" "a_255_n100#" 78.1987
-cap "a_543_n100#" "a_639_n100#" 217.373
-cap "a_n609_n100#" "a_n321_n100#" 47.8072
-cap "a_255_n100#" "a_159_n100#" 216.82
-cap "a_n225_n100#" "a_n33_n100#" 106.123
-cap "a_n705_n100#" "a_n321_n100#" 34.4127
-cap "a_n609_n100#" "a_n513_n100#" 216.574
-cap "a_n321_n100#" "a_n33_n100#" 47.8216
-cap "a_447_n100#" "a_735_n100#" 47.8028
-cap "a_351_n100#" "a_n735_n176#" 46.3846
-cap "a_351_n100#" "a_447_n100#" 217.373
-cap "a_n129_n100#" "a_n417_n100#" 47.8092
-cap "a_351_n100#" "a_159_n100#" 105.697
-cap "a_447_n100#" "a_63_n100#" 34.4127
-cap "a_n705_n100#" "a_n513_n100#" 78.1987
-cap "a_n797_n74#" "a_n417_n100#" 47.0941
-cap "a_639_n100#" "a_255_n100#" 34.4127
-cap "a_63_n100#" "a_159_n100#" 216.82
-cap "a_n129_n100#" "a_159_n100#" 47.8216
-cap "a_n705_n100#" "a_n609_n100#" 216.339
-cap "a_543_n100#" "a_255_n100#" 47.809
-cap "a_n129_n100#" "a_n225_n100#" 217.487
-cap "a_63_n100#" "a_n225_n100#" 47.8575
-cap "a_639_n100#" "a_735_n100#" 216.481
-cap "a_63_n100#" "a_n321_n100#" 34.4127
-cap "a_n129_n100#" "a_n321_n100#" 78.1987
-cap "a_351_n100#" "a_639_n100#" 47.8512
-cap "a_n735_n176#" "a_n417_n100#" 46.3846
-cap "a_543_n100#" "a_735_n100#" 106.124
-cap "a_351_n100#" "a_543_n100#" 106.142
-cap "a_255_n100#" "a_n33_n100#" 47.8216
-cap "a_n129_n100#" "a_n513_n100#" 34.4127
-cap "a_n735_n176#" "a_159_n100#" 46.3846
-cap "a_447_n100#" "a_159_n100#" 47.8216
-cap "a_n225_n100#" "a_n417_n100#" 105.234
-cap "a_n225_n100#" "a_n735_n176#" 46.3846
-cap "a_n797_n74#" "a_n513_n100#" 47.8028
-cap "a_n417_n100#" "a_n321_n100#" 216.599
-cap "a_n225_n100#" "a_159_n100#" 46.5022
-cap "a_351_n100#" "a_n33_n100#" 46.5284
-cap "a_n797_n74#" "a_n609_n100#" 106.538
-cap "a_63_n100#" "a_n33_n100#" 216.82
-cap "a_n129_n100#" "a_n33_n100#" 216.82
-cap "a_n417_n100#" "a_n513_n100#" 216.599
-cap "a_447_n100#" "a_639_n100#" 78.1987
-cap "a_351_n100#" "a_255_n100#" 216.587
-cap "a_63_n100#" "a_255_n100#" 78.1987
-cap "a_n129_n100#" "a_255_n100#" 34.4127
-cap "a_n705_n100#" "a_n797_n74#" 216.481
-cap "a_543_n100#" "a_n735_n176#" 46.3846
-cap "a_447_n100#" "a_543_n100#" 216.587
-cap "a_n225_n100#" "a_n321_n100#" 216.623
-cap "a_n609_n100#" "a_n417_n100#" 108.629
-cap "a_543_n100#" "a_159_n100#" 46.5284
-cap "a_n609_n100#" "a_n735_n176#" 46.3846
-cap "a_351_n100#" "a_735_n100#" 46.6114
-cap "a_n225_n100#" "a_n513_n100#" 47.8111
-cap "a_n705_n100#" "a_n417_n100#" 47.8092
-cap "a_n417_n100#" "a_n33_n100#" 46.9852
-cap "a_351_n100#" "a_63_n100#" 47.809
-cap "a_n735_n176#" "a_n33_n100#" 46.3846
-cap "a_n321_n100#" "a_n513_n100#" 78.1987
-cap "a_n225_n100#" "a_n609_n100#" 46.3995
-cap "a_n129_n100#" "a_63_n100#" 78.1987
-device msubckt sky130_fd_pr__nfet_01v8 705 -100 706 -99 l=30 w=200 "VSUBS" "a_n735_n176#" 60 0 "a_639_n100#" 200 0 "a_735_n100#" 200 0
-device msubckt sky130_fd_pr__nfet_01v8 609 -100 610 -99 l=30 w=200 "VSUBS" "a_n735_n176#" 60 0 "a_543_n100#" 200 0 "a_639_n100#" 200 0
-device msubckt sky130_fd_pr__nfet_01v8 513 -100 514 -99 l=30 w=200 "VSUBS" "a_n735_n176#" 60 0 "a_447_n100#" 200 0 "a_543_n100#" 200 0
-device msubckt sky130_fd_pr__nfet_01v8 417 -100 418 -99 l=30 w=200 "VSUBS" "a_n735_n176#" 60 0 "a_351_n100#" 200 0 "a_447_n100#" 200 0
-device msubckt sky130_fd_pr__nfet_01v8 321 -100 322 -99 l=30 w=200 "VSUBS" "a_n735_n176#" 60 0 "a_255_n100#" 200 0 "a_351_n100#" 200 0
-device msubckt sky130_fd_pr__nfet_01v8 225 -100 226 -99 l=30 w=200 "VSUBS" "a_n735_n176#" 60 0 "a_159_n100#" 200 0 "a_255_n100#" 200 0
-device msubckt sky130_fd_pr__nfet_01v8 129 -100 130 -99 l=30 w=200 "VSUBS" "a_n735_n176#" 60 0 "a_63_n100#" 200 0 "a_159_n100#" 200 0
-device msubckt sky130_fd_pr__nfet_01v8 33 -100 34 -99 l=30 w=200 "VSUBS" "a_n735_n176#" 60 0 "a_n33_n100#" 200 0 "a_63_n100#" 200 0
-device msubckt sky130_fd_pr__nfet_01v8 -63 -100 -62 -99 l=30 w=200 "VSUBS" "a_n735_n176#" 60 0 "a_n129_n100#" 200 0 "a_n33_n100#" 200 0
-device msubckt sky130_fd_pr__nfet_01v8 -159 -100 -158 -99 l=30 w=200 "VSUBS" "a_n735_n176#" 60 0 "a_n225_n100#" 200 0 "a_n129_n100#" 200 0
-device msubckt sky130_fd_pr__nfet_01v8 -255 -100 -254 -99 l=30 w=200 "VSUBS" "a_n735_n176#" 60 0 "a_n321_n100#" 200 0 "a_n225_n100#" 200 0
-device msubckt sky130_fd_pr__nfet_01v8 -351 -100 -350 -99 l=30 w=200 "VSUBS" "a_n735_n176#" 60 0 "a_n417_n100#" 200 0 "a_n321_n100#" 200 0
-device msubckt sky130_fd_pr__nfet_01v8 -447 -100 -446 -99 l=30 w=200 "VSUBS" "a_n735_n176#" 60 0 "a_n513_n100#" 200 0 "a_n417_n100#" 200 0
-device msubckt sky130_fd_pr__nfet_01v8 -543 -100 -542 -99 l=30 w=200 "VSUBS" "a_n735_n176#" 60 0 "a_n609_n100#" 200 0 "a_n513_n100#" 200 0
-device msubckt sky130_fd_pr__nfet_01v8 -639 -100 -638 -99 l=30 w=200 "VSUBS" "a_n735_n176#" 60 0 "a_n705_n100#" 200 0 "a_n609_n100#" 200 0
-device msubckt sky130_fd_pr__nfet_01v8 -735 -100 -734 -99 l=30 w=200 "VSUBS" "a_n735_n176#" 60 0 "a_n797_n74#" 200 0 "a_n705_n100#" 200 0
diff --git a/mag/myinv_layout2/sky130_fd_pr__nfet_01v8_VJWT33.mag b/mag/myinv_layout2/sky130_fd_pr__nfet_01v8_VJWT33.mag
deleted file mode 100755
index a79051d..0000000
--- a/mag/myinv_layout2/sky130_fd_pr__nfet_01v8_VJWT33.mag
+++ /dev/null
@@ -1,283 +0,0 @@
-magic
-tech sky130A
-magscale 1 2
-timestamp 1646295505
-<< nmos >>
-rect -735 -100 -705 100
-rect -639 -100 -609 100
-rect -543 -100 -513 100
-rect -447 -100 -417 100
-rect -351 -100 -321 100
-rect -255 -100 -225 100
-rect -159 -100 -129 100
-rect -63 -100 -33 100
-rect 33 -100 63 100
-rect 129 -100 159 100
-rect 225 -100 255 100
-rect 321 -100 351 100
-rect 417 -100 447 100
-rect 513 -100 543 100
-rect 609 -100 639 100
-rect 705 -100 735 100
-<< ndiff >>
-rect -793 74 -735 100
-rect -797 62 -735 74
-rect -797 -62 -785 62
-rect -751 -62 -735 62
-rect -797 -74 -735 -62
-rect -793 -100 -735 -74
-rect -705 62 -639 100
-rect -705 -62 -689 62
-rect -655 -62 -639 62
-rect -705 -100 -639 -62
-rect -609 62 -543 100
-rect -609 -62 -593 62
-rect -559 -62 -543 62
-rect -609 -100 -543 -62
-rect -513 62 -447 100
-rect -513 -62 -497 62
-rect -463 -62 -447 62
-rect -513 -100 -447 -62
-rect -417 62 -351 100
-rect -417 -62 -401 62
-rect -367 -62 -351 62
-rect -417 -100 -351 -62
-rect -321 62 -255 100
-rect -321 -62 -305 62
-rect -271 -62 -255 62
-rect -321 -100 -255 -62
-rect -225 62 -159 100
-rect -225 -62 -209 62
-rect -175 -62 -159 62
-rect -225 -100 -159 -62
-rect -129 62 -63 100
-rect -129 -62 -113 62
-rect -79 -62 -63 62
-rect -129 -100 -63 -62
-rect -33 62 33 100
-rect -33 -62 -17 62
-rect 17 -62 33 62
-rect -33 -100 33 -62
-rect 63 62 129 100
-rect 63 -62 79 62
-rect 113 -62 129 62
-rect 63 -100 129 -62
-rect 159 62 225 100
-rect 159 -62 175 62
-rect 209 -62 225 62
-rect 159 -100 225 -62
-rect 255 62 321 100
-rect 255 -62 271 62
-rect 305 -62 321 62
-rect 255 -100 321 -62
-rect 351 62 417 100
-rect 351 -62 367 62
-rect 401 -62 417 62
-rect 351 -100 417 -62
-rect 447 62 513 100
-rect 447 -62 463 62
-rect 497 -62 513 62
-rect 447 -100 513 -62
-rect 543 62 609 100
-rect 543 -62 559 62
-rect 593 -62 609 62
-rect 543 -100 609 -62
-rect 639 62 705 100
-rect 639 -62 655 62
-rect 689 -62 705 62
-rect 639 -100 705 -62
-rect 735 74 793 100
-rect 735 62 797 74
-rect 735 -62 751 62
-rect 785 -62 797 62
-rect 735 -74 797 -62
-rect 735 -100 793 -74
-<< ndiffc >>
-rect -785 -62 -751 62
-rect -689 -62 -655 62
-rect -593 -62 -559 62
-rect -497 -62 -463 62
-rect -401 -62 -367 62
-rect -305 -62 -271 62
-rect -209 -62 -175 62
-rect -113 -62 -79 62
-rect -17 -62 17 62
-rect 79 -62 113 62
-rect 175 -62 209 62
-rect 271 -62 305 62
-rect 367 -62 401 62
-rect 463 -62 497 62
-rect 559 -62 593 62
-rect 655 -62 689 62
-rect 751 -62 785 62
-<< poly >>
-rect -735 100 -705 126
-rect -639 100 -609 132
-rect -543 100 -513 134
-rect -447 100 -417 134
-rect -351 100 -321 134
-rect -255 100 -225 132
-rect -159 100 -129 132
-rect -63 100 -33 132
-rect 33 100 63 134
-rect 129 100 159 132
-rect 225 100 255 132
-rect 321 100 351 132
-rect 417 100 447 132
-rect 513 100 543 134
-rect 609 100 639 134
-rect 705 100 735 138
-rect -735 -118 -705 -100
-rect -639 -118 -609 -100
-rect -543 -118 -513 -100
-rect -447 -118 -417 -100
-rect -351 -118 -321 -100
-rect -255 -118 -225 -100
-rect -159 -118 -129 -100
-rect -63 -118 -33 -100
-rect 33 -118 63 -100
-rect 129 -118 159 -100
-rect 225 -118 255 -100
-rect 321 -118 351 -100
-rect 417 -118 447 -100
-rect 513 -118 543 -100
-rect 609 -118 639 -100
-rect 705 -118 735 -100
-rect -735 -176 737 -118
-<< locali >>
-rect -785 62 -751 78
-rect -785 -64 -751 -62
-rect -689 62 -655 78
-rect -791 -210 -749 -64
-rect -689 -78 -655 -62
-rect -593 62 -559 78
-rect -593 -72 -559 -62
-rect -497 62 -463 78
-rect -595 -218 -553 -72
-rect -497 -78 -463 -62
-rect -401 62 -367 78
-rect -401 -68 -367 -62
-rect -305 62 -271 78
-rect -209 62 -175 78
-rect -405 -214 -363 -68
-rect -305 -78 -271 -62
-rect -211 -62 -209 -56
-rect -113 62 -79 78
-rect -175 -62 -169 -56
-rect -211 -202 -169 -62
-rect -17 62 17 78
-rect 79 62 113 78
-rect 175 62 209 78
-rect 271 62 305 78
-rect 367 62 401 78
-rect -113 -78 -79 -62
-rect -21 -208 21 -62
-rect 79 -78 113 -62
-rect 171 -208 213 -62
-rect 271 -78 305 -62
-rect 365 -62 367 -58
-rect 463 62 497 78
-rect 401 -62 407 -58
-rect 365 -204 407 -62
-rect 559 62 593 78
-rect 463 -78 497 -62
-rect 557 -62 559 -58
-rect 655 62 689 78
-rect 593 -62 599 -58
-rect 557 -204 599 -62
-rect 655 -78 689 -62
-rect 751 62 785 78
-rect 751 -64 785 -62
-rect 749 -210 791 -64
-<< viali >>
-rect -785 -62 -751 62
-rect -689 -62 -655 62
-rect -593 -62 -559 62
-rect -497 -62 -463 62
-rect -401 -62 -367 62
-rect -305 -62 -271 62
-rect -209 -62 -175 62
-rect -113 -62 -79 62
-rect -17 -62 17 62
-rect 79 -62 113 62
-rect 175 -62 209 62
-rect 271 -62 305 62
-rect 367 -62 401 62
-rect 463 -62 497 62
-rect 559 -62 593 62
-rect 655 -62 689 62
-rect 751 -62 785 62
-<< metal1 >>
-rect -791 62 -745 74
-rect -791 -62 -785 62
-rect -751 -62 -745 62
-rect -791 -74 -745 -62
-rect -695 62 -649 74
-rect -695 -62 -689 62
-rect -655 -62 -649 62
-rect -695 -74 -649 -62
-rect -599 62 -553 74
-rect -599 -62 -593 62
-rect -559 -62 -553 62
-rect -599 -74 -553 -62
-rect -503 62 -457 74
-rect -503 -62 -497 62
-rect -463 -62 -457 62
-rect -503 -74 -457 -62
-rect -407 62 -361 74
-rect -407 -62 -401 62
-rect -367 -62 -361 62
-rect -407 -74 -361 -62
-rect -311 62 -265 74
-rect -311 -62 -305 62
-rect -271 -62 -265 62
-rect -311 -74 -265 -62
-rect -215 62 -169 74
-rect -215 -62 -209 62
-rect -175 -62 -169 62
-rect -215 -74 -169 -62
-rect -119 62 -73 74
-rect -119 -62 -113 62
-rect -79 -62 -73 62
-rect -119 -74 -73 -62
-rect -23 62 23 74
-rect -23 -62 -17 62
-rect 17 -62 23 62
-rect -23 -74 23 -62
-rect 73 62 119 74
-rect 73 -62 79 62
-rect 113 -62 119 62
-rect 73 -74 119 -62
-rect 169 62 215 74
-rect 169 -62 175 62
-rect 209 -62 215 62
-rect 169 -74 215 -62
-rect 265 62 311 74
-rect 265 -62 271 62
-rect 305 -62 311 62
-rect 265 -74 311 -62
-rect 361 62 407 74
-rect 361 -62 367 62
-rect 401 -62 407 62
-rect 361 -74 407 -62
-rect 457 62 503 74
-rect 457 -62 463 62
-rect 497 -62 503 62
-rect 457 -74 503 -62
-rect 553 62 599 74
-rect 553 -62 559 62
-rect 593 -62 599 62
-rect 553 -74 599 -62
-rect 649 62 695 74
-rect 649 -62 655 62
-rect 689 -62 695 62
-rect 649 -74 695 -62
-rect 745 62 791 74
-rect 745 -62 751 62
-rect 785 -62 791 62
-rect 745 -74 791 -62
-<< properties >>
-string gencell sky130_fd_pr__nfet_01v8
-string library sky130
-string parameters w 1 l 0.150 m 1 nf 16 diffcov 70 polycov 70 guard 0 glc 0 grc 0 gtc 0 gbc 0 tbcov 70 rlcov 70 topc 0 botc 0 poverlap 0 doverlap 1 lmin 0.15 wmin 0.42 compatible {sky130_fd_pr__nfet_01v8 sky130_fd_pr__nfet_01v8_lvt  sky130_fd_bs_flash__special_sonosfet_star  sky130_fd_pr__nfet_g5v0d10v5 sky130_fd_pr__nfet_05v0_nvt  sky130_fd_pr__nfet_03v3_nvt} full_metal 0 viasrc 70 viadrn 70 viagate 70 viagb 0 viagr 0 viagl 0 viagt 0
-<< end >>
diff --git a/mag/myinv_layout2/sky130_fd_pr__nfet_01v8_W9PLXN.mag b/mag/myinv_layout2/sky130_fd_pr__nfet_01v8_W9PLXN.mag
deleted file mode 100755
index 8390e40..0000000
--- a/mag/myinv_layout2/sky130_fd_pr__nfet_01v8_W9PLXN.mag
+++ /dev/null
@@ -1,110 +0,0 @@
-magic
-tech sky130A
-magscale 1 2
-timestamp 1646259600
-<< error_p >>
-rect -159 222 -129 226
-rect -63 222 -33 226
-rect 33 222 63 226
-rect 129 222 159 226
-rect -217 144 -159 200
-rect -221 -144 -159 144
-rect -217 -200 -159 -144
-rect -129 -200 -63 200
-rect -33 -200 33 200
-rect 63 -200 129 200
-rect 159 144 217 200
-rect 159 -144 221 144
-rect 159 -200 217 -144
-rect -159 -226 -129 -222
-rect -63 -226 -33 -222
-rect 33 -226 63 -222
-rect 129 -226 159 -222
-<< nmos >>
-rect -159 -200 -129 200
-rect -63 -200 -33 200
-rect 33 -200 63 200
-rect 129 -200 159 200
-<< ndiff >>
-rect -217 144 -159 200
-rect -221 132 -159 144
-rect -221 -132 -209 132
-rect -175 -132 -159 132
-rect -221 -144 -159 -132
-rect -217 -200 -159 -144
-rect -129 132 -63 200
-rect -129 -132 -113 132
-rect -79 -132 -63 132
-rect -129 -200 -63 -132
-rect -33 132 33 200
-rect -33 -132 -17 132
-rect 17 -132 33 132
-rect -33 -200 33 -132
-rect 63 132 129 200
-rect 63 -132 79 132
-rect 113 -132 129 132
-rect 63 -200 129 -132
-rect 159 144 217 200
-rect 159 132 221 144
-rect 159 -132 175 132
-rect 209 -132 221 132
-rect 159 -144 221 -132
-rect 159 -200 217 -144
-<< ndiffc >>
-rect -209 -132 -175 132
-rect -113 -132 -79 132
-rect -17 -132 17 132
-rect 79 -132 113 132
-rect 175 -132 209 132
-<< poly >>
-rect -159 200 -129 222
-rect -63 200 -33 222
-rect 33 200 63 222
-rect 129 200 159 222
-rect -159 -222 -129 -200
-rect -63 -222 -33 -200
-rect 33 -222 63 -200
-rect 129 -222 159 -200
-<< locali >>
-rect -209 132 -175 148
-rect -209 -148 -175 -132
-rect -113 132 -79 148
-rect -113 -148 -79 -132
-rect -17 132 17 148
-rect -17 -148 17 -132
-rect 79 132 113 148
-rect 79 -148 113 -132
-rect 175 132 209 148
-rect 175 -148 209 -132
-<< viali >>
-rect -209 -132 -175 132
-rect -113 -132 -79 132
-rect -17 -132 17 132
-rect 79 -132 113 132
-rect 175 -132 209 132
-<< metal1 >>
-rect -215 132 -169 144
-rect -215 -132 -209 132
-rect -175 -132 -169 132
-rect -215 -144 -169 -132
-rect -119 132 -73 144
-rect -119 -132 -113 132
-rect -79 -132 -73 132
-rect -119 -144 -73 -132
-rect -23 132 23 144
-rect -23 -132 -17 132
-rect 17 -132 23 132
-rect -23 -144 23 -132
-rect 73 132 119 144
-rect 73 -132 79 132
-rect 113 -132 119 132
-rect 73 -144 119 -132
-rect 169 132 215 144
-rect 169 -132 175 132
-rect 209 -132 215 132
-rect 169 -144 215 -132
-<< properties >>
-string gencell sky130_fd_pr__nfet_01v8
-string library sky130
-string parameters w 2 l 0.150 m 1 nf 4 diffcov 70 polycov 70 guard 0 glc 0 grc 0 gtc 0 gbc 0 tbcov 70 rlcov 70 topc 0 botc 0 poverlap 0 doverlap 1 lmin 0.15 wmin 0.42 compatible {sky130_fd_pr__nfet_01v8 sky130_fd_pr__nfet_01v8_lvt  sky130_fd_bs_flash__special_sonosfet_star  sky130_fd_pr__nfet_g5v0d10v5 sky130_fd_pr__nfet_05v0_nvt  sky130_fd_pr__nfet_03v3_nvt} full_metal 0 viasrc 70 viadrn 70 viagate 70 viagb 0 viagr 0 viagl 0 viagt 0
-<< end >>
diff --git a/mag/myinv_layout2/sky130_fd_pr__nfet_01v8_XJTKXQ.ext b/mag/myinv_layout2/sky130_fd_pr__nfet_01v8_XJTKXQ.ext
deleted file mode 100755
index bc7e422..0000000
--- a/mag/myinv_layout2/sky130_fd_pr__nfet_01v8_XJTKXQ.ext
+++ /dev/null
@@ -1,19 +0,0 @@
-timestamp 1646324451
-version 8.3
-tech sky130A
-style ngspice()
-scale 1000 1 500000
-resistclasses 4400000 2200000 1700000 3050000 120000 197000 114000 191000 120000 197000 114000 191000 48200 319800 2000000 48200 48200 12200 125 125 47 47 29 5
-parameters sky130_fd_pr__nfet_01v8 l=l w=w a1=as p1=ps a2=ad p2=pd
-node "a_63_n100#" 456 -13.94 63 -100 ndif 0 0 0 0 0 0 0 0 12192 524 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 5304 380 6808 388 0 0 0 0 0 0 0 0 0 0
-node "a_n33_n100#" 420 -13.94 -33 -100 ndif 0 0 0 0 0 0 0 0 13200 532 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 5304 380 6808 388 0 0 0 0 0 0 0 0 0 0
-node "a_n125_n74#" 456 -13.94 -125 -74 ndif 0 0 0 0 0 0 0 0 12192 524 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 5304 380 6808 388 0 0 0 0 0 0 0 0 0 0
-node "a_33_n122#" 392 44.198 33 -122 p 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 7320 548 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0
-node "a_n63_n122#" 392 44.198 -63 -122 p 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 7320 548 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0
-substrate "VSUBS" 0 0 -1073741817 -1073741817 space 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0
-cap "a_n33_n100#" "a_63_n100#" 216.232
-cap "a_n33_n100#" "a_n125_n74#" 216.232
-cap "a_n125_n74#" "a_63_n100#" 78.1987
-cap "a_33_n122#" "a_n63_n122#" 15.3333
-device msubckt sky130_fd_pr__nfet_01v8 33 -100 34 -99 l=30 w=200 "VSUBS" "a_33_n122#" 60 0 "a_n33_n100#" 200 0 "a_63_n100#" 200 0
-device msubckt sky130_fd_pr__nfet_01v8 -63 -100 -62 -99 l=30 w=200 "VSUBS" "a_n63_n122#" 60 0 "a_n125_n74#" 200 0 "a_n33_n100#" 200 0
diff --git a/mag/myinv_layout2/sky130_fd_pr__nfet_01v8_XJTKXQ.mag b/mag/myinv_layout2/sky130_fd_pr__nfet_01v8_XJTKXQ.mag
deleted file mode 100755
index 9e1b853..0000000
--- a/mag/myinv_layout2/sky130_fd_pr__nfet_01v8_XJTKXQ.mag
+++ /dev/null
@@ -1,67 +0,0 @@
-magic
-tech sky130A
-magscale 1 2
-timestamp 1646324451
-<< error_p >>
-rect -63 122 -33 126
-rect 33 122 63 126
-rect -63 -126 -33 -122
-rect 33 -126 63 -122
-<< nmos >>
-rect -63 -100 -33 100
-rect 33 -100 63 100
-<< ndiff >>
-rect -121 74 -63 100
-rect -125 62 -63 74
-rect -125 -62 -113 62
-rect -79 -62 -63 62
-rect -125 -74 -63 -62
-rect -121 -100 -63 -74
-rect -33 62 33 100
-rect -33 -62 -17 62
-rect 17 -62 33 62
-rect -33 -100 33 -62
-rect 63 74 121 100
-rect 63 62 125 74
-rect 63 -62 79 62
-rect 113 -62 125 62
-rect 63 -74 125 -62
-rect 63 -100 121 -74
-<< ndiffc >>
-rect -113 -62 -79 62
-rect -17 -62 17 62
-rect 79 -62 113 62
-<< poly >>
-rect -63 100 -33 122
-rect 33 100 63 122
-rect -63 -122 -33 -100
-rect 33 -122 63 -100
-<< locali >>
-rect -113 62 -79 78
-rect -113 -78 -79 -62
-rect -17 62 17 78
-rect -17 -78 17 -62
-rect 79 62 113 78
-rect 79 -78 113 -62
-<< viali >>
-rect -113 -62 -79 62
-rect -17 -62 17 62
-rect 79 -62 113 62
-<< metal1 >>
-rect -119 62 -73 74
-rect -119 -62 -113 62
-rect -79 -62 -73 62
-rect -119 -74 -73 -62
-rect -23 62 23 74
-rect -23 -62 -17 62
-rect 17 -62 23 62
-rect -23 -74 23 -62
-rect 73 62 119 74
-rect 73 -62 79 62
-rect 113 -62 119 62
-rect 73 -74 119 -62
-<< properties >>
-string gencell sky130_fd_pr__nfet_01v8
-string library sky130
-string parameters w 1 l 0.150 m 1 nf 2 diffcov 70 polycov 70 guard 0 glc 0 grc 0 gtc 0 gbc 0 tbcov 70 rlcov 70 topc 0 botc 0 poverlap 0 doverlap 1 lmin 0.15 wmin 0.42 compatible {sky130_fd_pr__nfet_01v8 sky130_fd_pr__nfet_01v8_lvt  sky130_fd_bs_flash__special_sonosfet_star  sky130_fd_pr__nfet_g5v0d10v5 sky130_fd_pr__nfet_05v0_nvt  sky130_fd_pr__nfet_03v3_nvt} full_metal 0 viasrc 70 viadrn 70 viagate 70 viagb 0 viagr 0 viagl 0 viagt 0
-<< end >>
diff --git a/mag/myinv_layout2/sky130_fd_pr__pfet_01v8_3M44SC.ext b/mag/myinv_layout2/sky130_fd_pr__pfet_01v8_3M44SC.ext
deleted file mode 100755
index 731757e..0000000
--- a/mag/myinv_layout2/sky130_fd_pr__pfet_01v8_3M44SC.ext
+++ /dev/null
@@ -1,411 +0,0 @@
-timestamp 1646261959
-version 8.3
-tech sky130A
-style ngspice()
-scale 1000 1 500000
-resistclasses 4400000 2200000 1700000 3050000 120000 197000 114000 191000 120000 197000 114000 191000 48200 319800 2000000 48200 48200 12200 125 125 47 47 29 5
-parameters sky130_fd_pr__pfet_01v8 l=l w=w a1=as p1=ps a2=ad p2=pd
-node "a_1503_n100#" 712 -171.38 1503 -100 pdif 0 0 0 0 0 0 0 0 0 0 12192 524 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 5304 380 6808 388 0 0 0 0 0 0 0 0 0 0
-node "a_1407_n100#" 653 -171.38 1407 -100 pdif 0 0 0 0 0 0 0 0 0 0 13200 532 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 5304 380 6808 388 0 0 0 0 0 0 0 0 0 0
-node "a_1311_n100#" 653 -171.38 1311 -100 pdif 0 0 0 0 0 0 0 0 0 0 13200 532 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 5304 380 6808 388 0 0 0 0 0 0 0 0 0 0
-node "a_1215_n100#" 653 -171.38 1215 -100 pdif 0 0 0 0 0 0 0 0 0 0 13200 532 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 5304 380 6808 388 0 0 0 0 0 0 0 0 0 0
-node "a_1119_n100#" 653 -171.38 1119 -100 pdif 0 0 0 0 0 0 0 0 0 0 13200 532 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 5304 380 6808 388 0 0 0 0 0 0 0 0 0 0
-node "a_1023_n100#" 653 -171.38 1023 -100 pdif 0 0 0 0 0 0 0 0 0 0 13200 532 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 5304 380 6808 388 0 0 0 0 0 0 0 0 0 0
-node "a_927_n100#" 653 -171.38 927 -100 pdif 0 0 0 0 0 0 0 0 0 0 13200 532 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 5304 380 6808 388 0 0 0 0 0 0 0 0 0 0
-node "a_831_n100#" 653 -171.38 831 -100 pdif 0 0 0 0 0 0 0 0 0 0 13200 532 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 5304 380 6808 388 0 0 0 0 0 0 0 0 0 0
-node "a_735_n100#" 653 -171.38 735 -100 pdif 0 0 0 0 0 0 0 0 0 0 13200 532 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 5304 380 6808 388 0 0 0 0 0 0 0 0 0 0
-node "a_639_n100#" 653 -171.38 639 -100 pdif 0 0 0 0 0 0 0 0 0 0 13200 532 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 5304 380 6808 388 0 0 0 0 0 0 0 0 0 0
-node "a_543_n100#" 653 -171.38 543 -100 pdif 0 0 0 0 0 0 0 0 0 0 13200 532 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 5304 380 6808 388 0 0 0 0 0 0 0 0 0 0
-node "a_447_n100#" 653 -171.38 447 -100 pdif 0 0 0 0 0 0 0 0 0 0 13200 532 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 5304 380 6808 388 0 0 0 0 0 0 0 0 0 0
-node "a_351_n100#" 653 -171.38 351 -100 pdif 0 0 0 0 0 0 0 0 0 0 13200 532 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 5304 380 6808 388 0 0 0 0 0 0 0 0 0 0
-node "a_255_n100#" 653 -171.38 255 -100 pdif 0 0 0 0 0 0 0 0 0 0 13200 532 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 5304 380 6808 388 0 0 0 0 0 0 0 0 0 0
-node "a_159_n100#" 653 -171.38 159 -100 pdif 0 0 0 0 0 0 0 0 0 0 13200 532 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 5304 380 6808 388 0 0 0 0 0 0 0 0 0 0
-node "a_63_n100#" 653 -171.38 63 -100 pdif 0 0 0 0 0 0 0 0 0 0 13200 532 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 5304 380 6808 388 0 0 0 0 0 0 0 0 0 0
-node "a_n33_n100#" 653 -171.38 -33 -100 pdif 0 0 0 0 0 0 0 0 0 0 13200 532 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 5304 380 6808 388 0 0 0 0 0 0 0 0 0 0
-node "a_n129_n100#" 653 -171.38 -129 -100 pdif 0 0 0 0 0 0 0 0 0 0 13200 532 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 5304 380 6808 388 0 0 0 0 0 0 0 0 0 0
-node "a_n225_n100#" 653 -171.38 -225 -100 pdif 0 0 0 0 0 0 0 0 0 0 13200 532 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 5304 380 6808 388 0 0 0 0 0 0 0 0 0 0
-node "a_n321_n100#" 653 -171.38 -321 -100 pdif 0 0 0 0 0 0 0 0 0 0 13200 532 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 5304 380 6808 388 0 0 0 0 0 0 0 0 0 0
-node "a_n417_n100#" 653 -171.38 -417 -100 pdif 0 0 0 0 0 0 0 0 0 0 13200 532 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 5304 380 6808 388 0 0 0 0 0 0 0 0 0 0
-node "a_n513_n100#" 653 -171.38 -513 -100 pdif 0 0 0 0 0 0 0 0 0 0 13200 532 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 5304 380 6808 388 0 0 0 0 0 0 0 0 0 0
-node "a_n609_n100#" 653 -171.38 -609 -100 pdif 0 0 0 0 0 0 0 0 0 0 13200 532 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 5304 380 6808 388 0 0 0 0 0 0 0 0 0 0
-node "a_n705_n100#" 653 -171.38 -705 -100 pdif 0 0 0 0 0 0 0 0 0 0 13200 532 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 5304 380 6808 388 0 0 0 0 0 0 0 0 0 0
-node "a_n801_n100#" 653 -171.38 -801 -100 pdif 0 0 0 0 0 0 0 0 0 0 13200 532 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 5304 380 6808 388 0 0 0 0 0 0 0 0 0 0
-node "a_n897_n100#" 653 -171.38 -897 -100 pdif 0 0 0 0 0 0 0 0 0 0 13200 532 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 5304 380 6808 388 0 0 0 0 0 0 0 0 0 0
-node "a_n993_n100#" 653 -171.38 -993 -100 pdif 0 0 0 0 0 0 0 0 0 0 13200 532 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 5304 380 6808 388 0 0 0 0 0 0 0 0 0 0
-node "a_n1089_n100#" 653 -171.38 -1089 -100 pdif 0 0 0 0 0 0 0 0 0 0 13200 532 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 5304 380 6808 388 0 0 0 0 0 0 0 0 0 0
-node "a_n1185_n100#" 653 -171.38 -1185 -100 pdif 0 0 0 0 0 0 0 0 0 0 13200 532 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 5304 380 6808 388 0 0 0 0 0 0 0 0 0 0
-node "a_n1281_n100#" 653 -171.38 -1281 -100 pdif 0 0 0 0 0 0 0 0 0 0 13200 532 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 5304 380 6808 388 0 0 0 0 0 0 0 0 0 0
-node "a_n1377_n100#" 653 -171.38 -1377 -100 pdif 0 0 0 0 0 0 0 0 0 0 13200 532 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 5304 380 6808 388 0 0 0 0 0 0 0 0 0 0
-node "a_n1473_n100#" 653 -171.38 -1473 -100 pdif 0 0 0 0 0 0 0 0 0 0 13200 532 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 5304 380 6808 388 0 0 0 0 0 0 0 0 0 0
-node "a_n1565_n74#" 712 -171.38 -1565 -74 pdif 0 0 0 0 0 0 0 0 0 0 12192 524 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 5304 380 6808 388 0 0 0 0 0 0 0 0 0 0
-node "a_1473_n126#" 411 4.452 1473 -126 p 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 7680 572 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0
-node "a_1377_n128#" 408 4.293 1377 -128 p 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 7620 568 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0
-node "a_1281_n126#" 411 4.452 1281 -126 p 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 7680 572 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0
-node "a_1185_n128#" 408 4.293 1185 -128 p 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 7620 568 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0
-node "a_1089_n126#" 411 4.452 1089 -126 p 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 7680 572 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0
-node "a_993_n128#" 408 4.293 993 -128 p 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 7620 568 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0
-node "a_897_n126#" 411 4.452 897 -126 p 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 7680 572 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0
-node "a_801_n128#" 408 4.293 801 -128 p 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 7620 568 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0
-node "a_705_n126#" 411 4.452 705 -126 p 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 7680 572 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0
-node "a_609_n128#" 408 4.293 609 -128 p 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 7620 568 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0
-node "a_513_n126#" 411 4.452 513 -126 p 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 7680 572 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0
-node "a_417_n128#" 408 4.293 417 -128 p 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 7620 568 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0
-node "a_321_n126#" 411 4.452 321 -126 p 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 7680 572 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0
-node "a_225_n128#" 408 4.293 225 -128 p 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 7620 568 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0
-node "a_129_n126#" 411 4.452 129 -126 p 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 7680 572 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0
-node "a_33_n128#" 408 4.293 33 -128 p 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 7620 568 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0
-node "a_n63_n126#" 411 4.452 -63 -126 p 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 7680 572 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0
-node "a_n159_n128#" 408 4.293 -159 -128 p 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 7620 568 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0
-node "a_n255_n126#" 411 4.452 -255 -126 p 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 7680 572 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0
-node "a_n351_n128#" 408 4.293 -351 -128 p 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 7620 568 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0
-node "a_n447_n126#" 411 4.452 -447 -126 p 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 7680 572 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0
-node "a_n543_n128#" 408 4.293 -543 -128 p 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 7620 568 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0
-node "a_n639_n126#" 411 4.452 -639 -126 p 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 7680 572 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0
-node "a_n735_n128#" 408 4.293 -735 -128 p 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 7620 568 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0
-node "a_n831_n126#" 411 4.452 -831 -126 p 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 7680 572 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0
-node "a_n927_n128#" 408 4.293 -927 -128 p 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 7620 568 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0
-node "a_n1023_n126#" 411 4.452 -1023 -126 p 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 7680 572 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0
-node "a_n1119_n128#" 408 4.293 -1119 -128 p 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 7620 568 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0
-node "a_n1215_n126#" 411 4.452 -1215 -126 p 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 7680 572 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0
-node "a_n1311_n128#" 408 4.293 -1311 -128 p 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 7620 568 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0
-node "a_n1407_n126#" 411 4.452 -1407 -126 p 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 7680 572 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0
-node "a_n1503_n128#" 408 4.293 -1503 -128 p 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 7620 568 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0
-node "w_n1601_n200#" 13709 3820.51 -1601 -200 nw 0 0 0 0 1273504 7204 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0
-substrate "VSUBS" 0 0 -1073741817 -1073741817 space 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0
-cap "a_129_n126#" "w_n1601_n200#" 47.3
-cap "a_n831_n126#" "a_n543_n128#" 4.63566
-cap "a_1119_n100#" "a_1311_n100#" 78.1987
-cap "a_n705_n100#" "a_n321_n100#" 34.4127
-cap "a_1407_n100#" "a_1503_n100#" 216.232
-cap "a_n735_n128#" "a_n447_n126#" 4.63566
-cap "w_n1601_n200#" "a_n417_n100#" 157.44
-cap "a_n735_n128#" "a_n1119_n128#" 3.50847
-cap "a_63_n100#" "a_351_n100#" 47.7884
-cap "w_n1601_n200#" "a_n33_n100#" 157.44
-cap "a_n1473_n100#" "a_n1377_n100#" 216.232
-cap "a_447_n100#" "a_735_n100#" 47.7884
-cap "w_n1601_n200#" "a_n1089_n100#" 157.44
-cap "a_n801_n100#" "a_n417_n100#" 34.4127
-cap "a_n1185_n100#" "a_n1281_n100#" 216.232
-cap "a_n801_n100#" "a_n1089_n100#" 47.7884
-cap "a_225_n128#" "a_609_n128#" 3.50847
-cap "a_n1407_n126#" "a_n1023_n126#" 3.63842
-cap "a_n417_n100#" "a_n33_n100#" 34.4127
-cap "a_n897_n100#" "a_n1281_n100#" 34.4127
-cap "w_n1601_n200#" "a_801_n128#" 46.2
-cap "a_n159_n128#" "a_n447_n126#" 4.63566
-cap "a_n447_n126#" "a_n639_n126#" 7.95062
-cap "a_1281_n126#" "a_1089_n126#" 7.95062
-cap "a_n831_n126#" "a_n1215_n126#" 3.63842
-cap "a_1311_n100#" "a_1215_n100#" 216.232
-cap "a_1089_n126#" "w_n1601_n200#" 47.3
-cap "a_225_n128#" "a_n63_n126#" 4.63566
-cap "a_513_n126#" "a_417_n128#" 18.1212
-cap "a_831_n100#" "w_n1601_n200#" 157.44
-cap "a_705_n126#" "w_n1601_n200#" 47.3
-cap "a_33_n128#" "a_n351_n128#" 3.50847
-cap "a_n735_n128#" "a_n351_n128#" 3.50847
-cap "w_n1601_n200#" "a_1503_n100#" 157.44
-cap "a_1119_n100#" "a_1407_n100#" 47.7884
-cap "a_513_n126#" "a_321_n126#" 7.95062
-cap "w_n1601_n200#" "a_n129_n100#" 157.44
-cap "a_n63_n126#" "a_n255_n126#" 7.95062
-cap "a_n1185_n100#" "a_n897_n100#" 47.7884
-cap "a_n831_n126#" "a_n447_n126#" 3.63842
-cap "a_n1119_n128#" "a_n831_n126#" 4.63566
-cap "a_159_n100#" "a_255_n100#" 216.232
-cap "a_321_n126#" "a_417_n128#" 18.1212
-cap "w_n1601_n200#" "a_n1377_n100#" 157.44
-cap "a_1089_n126#" "a_801_n128#" 4.63566
-cap "a_n417_n100#" "a_n129_n100#" 47.7884
-cap "a_n705_n100#" "a_n609_n100#" 216.232
-cap "a_n159_n128#" "a_n351_n128#" 7.66667
-cap "a_n129_n100#" "a_n33_n100#" 216.232
-cap "a_n351_n128#" "a_n639_n126#" 4.63566
-cap "w_n1601_n200#" "a_n927_n128#" 46.2
-cap "w_n1601_n200#" "a_927_n100#" 157.44
-cap "a_n513_n100#" "w_n1601_n200#" 157.44
-cap "a_705_n126#" "a_801_n128#" 18.1212
-cap "a_1407_n100#" "a_1215_n100#" 78.1987
-cap "a_1311_n100#" "a_1023_n100#" 47.7884
-cap "a_447_n100#" "a_159_n100#" 47.7884
-cap "a_n513_n100#" "a_n801_n100#" 47.7884
-cap "a_n993_n100#" "a_n705_n100#" 47.7884
-cap "a_n1089_n100#" "a_n1377_n100#" 47.7884
-cap "a_225_n128#" "w_n1601_n200#" 46.2
-cap "a_1089_n126#" "a_705_n126#" 3.63842
-cap "w_n1601_n200#" "a_n321_n100#" 157.44
-cap "a_1377_n128#" "a_1185_n128#" 7.66667
-cap "a_63_n100#" "a_255_n100#" 78.1987
-cap "a_n513_n100#" "a_n417_n100#" 216.232
-cap "a_33_n128#" "a_417_n128#" 3.50847
-cap "a_n735_n128#" "a_n1023_n126#" 4.63566
-cap "w_n1601_n200#" "a_n543_n128#" 46.2
-cap "a_513_n126#" "a_897_n126#" 3.63842
-cap "a_129_n126#" "a_225_n128#" 18.1212
-cap "a_1119_n100#" "w_n1601_n200#" 157.44
-cap "w_n1601_n200#" "a_n255_n126#" 47.3
-cap "a_321_n126#" "a_33_n128#" 4.63566
-cap "w_n1601_n200#" "a_n225_n100#" 157.44
-cap "a_n417_n100#" "a_n321_n100#" 216.232
-cap "a_n63_n126#" "a_n447_n126#" 3.63842
-cap "a_n321_n100#" "a_n33_n100#" 47.7884
-cap "a_129_n126#" "a_n255_n126#" 3.63842
-cap "a_447_n100#" "a_63_n100#" 34.4127
-cap "a_351_n100#" "w_n1601_n200#" 157.44
-cap "a_n1473_n100#" "a_n1565_n74#" 216.232
-cap "w_n1601_n200#" "a_n1311_n128#" 46.2
-cap "a_n1023_n126#" "a_n639_n126#" 3.63842
-cap "a_n225_n100#" "a_n417_n100#" 78.1987
-cap "a_1473_n126#" "a_1377_n128#" 18.1212
-cap "a_n225_n100#" "a_n33_n100#" 78.1987
-cap "w_n1601_n200#" "a_n1215_n126#" 47.3
-cap "w_n1601_n200#" "a_1215_n100#" 157.44
-cap "a_1407_n100#" "a_1023_n100#" 34.4127
-cap "w_n1601_n200#" "a_543_n100#" 157.44
-cap "a_831_n100#" "a_927_n100#" 216.232
-cap "a_351_n100#" "a_n33_n100#" 34.4127
-cap "a_n513_n100#" "a_n129_n100#" 34.4127
-cap "a_1377_n128#" "a_993_n128#" 3.50847
-cap "a_n1473_n100#" "a_n1281_n100#" 78.1987
-cap "a_1185_n128#" "a_897_n126#" 4.63566
-cap "a_n1023_n126#" "a_n831_n126#" 7.95062
-cap "a_n63_n126#" "a_n351_n128#" 4.63566
-cap "a_1119_n100#" "a_831_n100#" 47.7884
-cap "a_n159_n128#" "a_33_n128#" 7.66667
-cap "w_n1601_n200#" "a_n447_n126#" 47.3
-cap "a_n321_n100#" "a_n129_n100#" 78.1987
-cap "a_n735_n128#" "a_n639_n126#" 18.1212
-cap "a_n1119_n128#" "w_n1601_n200#" 46.2
-cap "a_1119_n100#" "a_1503_n100#" 34.4127
-cap "w_n1601_n200#" "a_n609_n100#" 157.44
-cap "a_n897_n100#" "a_n705_n100#" 78.1987
-cap "a_1473_n126#" "a_1185_n128#" 4.63566
-cap "a_513_n126#" "a_609_n128#" 18.1212
-cap "a_n801_n100#" "a_n609_n100#" 78.1987
-cap "a_n225_n100#" "a_n129_n100#" 216.232
-cap "w_n1601_n200#" "a_n1565_n74#" 157.44
-cap "a_n609_n100#" "a_n417_n100#" 78.1987
-cap "w_n1601_n200#" "a_1023_n100#" 157.44
-cap "a_n993_n100#" "w_n1601_n200#" 157.44
-cap "a_417_n128#" "a_609_n128#" 7.66667
-cap "a_n543_n128#" "a_n927_n128#" 3.50847
-cap "a_n513_n100#" "a_n321_n100#" 78.1987
-cap "w_n1601_n200#" "a_639_n100#" 157.44
-cap "w_n1601_n200#" "a_n1503_n128#" 46.2
-cap "a_n1185_n100#" "a_n1473_n100#" 47.7884
-cap "a_1119_n100#" "a_927_n100#" 78.1987
-cap "a_831_n100#" "a_1215_n100#" 34.4127
-cap "a_n993_n100#" "a_n801_n100#" 78.1987
-cap "a_1185_n128#" "a_993_n128#" 7.66667
-cap "a_831_n100#" "a_543_n100#" 47.7884
-cap "a_n735_n128#" "a_n831_n126#" 18.1212
-cap "a_1503_n100#" "a_1215_n100#" 47.7884
-cap "a_321_n126#" "a_609_n128#" 4.63566
-cap "a_159_n100#" "a_63_n100#" 216.232
-cap "a_n513_n100#" "a_n225_n100#" 47.7884
-cap "a_n993_n100#" "a_n1089_n100#" 216.232
-cap "w_n1601_n200#" "a_n351_n128#" 46.2
-cap "a_n1311_n128#" "a_n927_n128#" 3.50847
-cap "a_255_n100#" "w_n1601_n200#" 157.44
-cap "a_993_n128#" "a_897_n126#" 18.1212
-cap "a_n225_n100#" "a_n321_n100#" 216.232
-cap "w_n1601_n200#" "a_n1281_n100#" 157.44
-cap "a_n543_n128#" "a_n255_n126#" 4.63566
-cap "a_n63_n126#" "a_321_n126#" 3.63842
-cap "a_n831_n126#" "a_n639_n126#" 7.95062
-cap "a_n927_n128#" "a_n1215_n126#" 4.63566
-cap "a_n1407_n126#" "w_n1601_n200#" 47.3
-cap "a_1215_n100#" "a_927_n100#" 47.7884
-cap "a_927_n100#" "a_543_n100#" 34.4127
-cap "a_447_n100#" "w_n1601_n200#" 157.44
-cap "a_255_n100#" "a_n33_n100#" 47.7884
-cap "w_n1601_n200#" "a_735_n100#" 157.44
-cap "a_n1089_n100#" "a_n1281_n100#" 78.1987
-cap "a_513_n126#" "w_n1601_n200#" 47.3
-cap "a_831_n100#" "a_1023_n100#" 78.1987
-cap "a_897_n126#" "a_609_n128#" 4.63566
-cap "a_n63_n126#" "a_33_n128#" 18.1212
-cap "a_1119_n100#" "a_1215_n100#" 216.232
-cap "a_831_n100#" "a_639_n100#" 78.1987
-cap "a_n1119_n128#" "a_n927_n128#" 7.66667
-cap "a_n1185_n100#" "w_n1601_n200#" 157.44
-cap "a_1377_n128#" "a_1281_n126#" 18.1212
-cap "a_129_n126#" "a_513_n126#" 3.63842
-cap "a_1377_n128#" "w_n1601_n200#" 46.2
-cap "w_n1601_n200#" "a_417_n128#" 46.2
-cap "w_n1601_n200#" "a_n1023_n126#" 47.3
-cap "a_n513_n100#" "a_n609_n100#" 216.232
-cap "a_n1185_n100#" "a_n801_n100#" 34.4127
-cap "a_n897_n100#" "w_n1601_n200#" 157.44
-cap "a_n1565_n74#" "a_n1377_n100#" 78.1987
-cap "a_n1311_n128#" "a_n1215_n126#" 18.1212
-cap "a_n993_n100#" "a_n1377_n100#" 34.4127
-cap "a_321_n126#" "w_n1601_n200#" 47.3
-cap "a_129_n126#" "a_417_n128#" 4.63566
-cap "a_351_n100#" "a_543_n100#" 78.1987
-cap "a_n897_n100#" "a_n801_n100#" 216.232
-cap "a_n159_n128#" "a_n63_n126#" 18.1212
-cap "a_n543_n128#" "a_n447_n126#" 18.1212
-cap "a_n609_n100#" "a_n321_n100#" 47.7884
-cap "a_n1185_n100#" "a_n1089_n100#" 216.232
-cap "a_513_n126#" "a_801_n128#" 4.63566
-cap "a_1023_n100#" "a_927_n100#" 216.232
-cap "a_255_n100#" "a_n129_n100#" 34.4127
-cap "a_129_n126#" "a_321_n126#" 7.95062
-cap "a_n447_n126#" "a_n255_n126#" 7.95062
-cap "a_639_n100#" "a_927_n100#" 47.7884
-cap "a_993_n128#" "a_609_n128#" 3.50847
-cap "a_n897_n100#" "a_n1089_n100#" 78.1987
-cap "a_447_n100#" "a_831_n100#" 34.4127
-cap "a_n609_n100#" "a_n225_n100#" 34.4127
-cap "a_417_n128#" "a_801_n128#" 3.50847
-cap "a_831_n100#" "a_735_n100#" 216.232
-cap "a_1281_n126#" "a_1185_n128#" 18.1212
-cap "w_n1601_n200#" "a_33_n128#" 46.2
-cap "a_n735_n128#" "w_n1601_n200#" 46.2
-cap "a_n1119_n128#" "a_n1311_n128#" 7.66667
-cap "a_n1281_n100#" "a_n1377_n100#" 216.232
-cap "w_n1601_n200#" "a_1185_n128#" 46.2
-cap "a_1119_n100#" "a_1023_n100#" 216.232
-cap "a_1377_n128#" "a_1089_n126#" 4.63566
-cap "a_513_n126#" "a_705_n126#" 7.95062
-cap "a_1311_n100#" "a_1407_n100#" 216.232
-cap "a_129_n126#" "a_33_n128#" 18.1212
-cap "a_n1119_n128#" "a_n1215_n126#" 18.1212
-cap "a_159_n100#" "w_n1601_n200#" 157.44
-cap "a_1281_n126#" "a_897_n126#" 3.63842
-cap "w_n1601_n200#" "a_897_n126#" 47.3
-cap "a_705_n126#" "a_417_n128#" 4.63566
-cap "a_n159_n128#" "w_n1601_n200#" 46.2
-cap "a_n543_n128#" "a_n351_n128#" 7.66667
-cap "a_351_n100#" "a_639_n100#" 47.7884
-cap "w_n1601_n200#" "a_n639_n126#" 47.3
-cap "a_n1311_n128#" "a_n1503_n128#" 7.66667
-cap "a_321_n126#" "a_705_n126#" 3.63842
-cap "a_n351_n128#" "a_n255_n126#" 18.1212
-cap "a_1473_n126#" "a_1281_n126#" 7.95062
-cap "a_927_n100#" "a_735_n100#" 78.1987
-cap "a_1473_n126#" "w_n1601_n200#" 47.3
-cap "a_129_n126#" "a_n159_n128#" 4.63566
-cap "a_1023_n100#" "a_1215_n100#" 78.1987
-cap "a_159_n100#" "a_n33_n100#" 78.1987
-cap "a_1185_n128#" "a_801_n128#" 3.50847
-cap "a_n1185_n100#" "a_n1377_n100#" 78.1987
-cap "a_n1503_n128#" "a_n1215_n126#" 4.63566
-cap "a_639_n100#" "a_543_n100#" 216.232
-cap "a_351_n100#" "a_255_n100#" 216.232
-cap "a_63_n100#" "w_n1601_n200#" 157.44
-cap "a_1089_n126#" "a_1185_n128#" 18.1212
-cap "a_225_n128#" "a_513_n126#" 4.63566
-cap "a_n1023_n126#" "a_n927_n128#" 18.1212
-cap "a_1119_n100#" "a_735_n100#" 34.4127
-cap "a_801_n128#" "a_897_n126#" 18.1212
-cap "a_1281_n126#" "a_993_n128#" 4.63566
-cap "w_n1601_n200#" "a_993_n128#" 46.2
-cap "a_n1407_n126#" "a_n1311_n128#" 18.1212
-cap "a_1311_n100#" "w_n1601_n200#" 157.44
-cap "w_n1601_n200#" "a_n831_n126#" 47.3
-cap "a_n513_n100#" "a_n897_n100#" 34.4127
-cap "a_255_n100#" "a_543_n100#" 47.7884
-cap "a_n705_n100#" "w_n1601_n200#" 157.44
-cap "a_225_n128#" "a_417_n128#" 7.66667
-cap "a_63_n100#" "a_n33_n100#" 216.232
-cap "a_1089_n126#" "a_897_n126#" 7.95062
-cap "a_447_n100#" "a_351_n100#" 216.232
-cap "a_n1407_n126#" "a_n1215_n126#" 7.95062
-cap "a_n1119_n128#" "a_n1503_n128#" 3.50847
-cap "a_n705_n100#" "a_n801_n100#" 216.232
-cap "a_n993_n100#" "a_n609_n100#" 34.4127
-cap "a_351_n100#" "a_735_n100#" 34.4127
-cap "a_225_n128#" "a_321_n126#" 18.1212
-cap "a_705_n126#" "a_897_n126#" 7.95062
-cap "a_1473_n126#" "a_1089_n126#" 3.63842
-cap "a_n705_n100#" "a_n417_n100#" 47.7884
-cap "a_447_n100#" "a_543_n100#" 216.232
-cap "a_159_n100#" "a_n129_n100#" 47.7884
-cap "a_n705_n100#" "a_n1089_n100#" 34.4127
-cap "a_n447_n126#" "a_n351_n128#" 18.1212
-cap "a_n735_n128#" "a_n927_n128#" 7.66667
-cap "a_543_n100#" "a_735_n100#" 78.1987
-cap "w_n1601_n200#" "a_609_n128#" 46.2
-cap "a_639_n100#" "a_1023_n100#" 34.4127
-cap "a_993_n128#" "a_801_n128#" 7.66667
-cap "a_n1023_n126#" "a_n1311_n128#" 4.63566
-cap "a_n1473_n100#" "w_n1601_n200#" 157.44
-cap "a_n1407_n126#" "a_n1119_n128#" 4.63566
-cap "a_1089_n126#" "a_993_n128#" 18.1212
-cap "a_225_n128#" "a_33_n128#" 7.66667
-cap "a_n1023_n126#" "a_n1215_n126#" 7.95062
-cap "a_1407_n100#" "w_n1601_n200#" 157.44
-cap "a_n63_n126#" "w_n1601_n200#" 47.3
-cap "a_n735_n128#" "a_n543_n128#" 7.66667
-cap "a_n1565_n74#" "a_n1281_n100#" 47.7884
-cap "a_n927_n128#" "a_n639_n126#" 4.63566
-cap "a_255_n100#" "a_639_n100#" 34.4127
-cap "a_63_n100#" "a_n129_n100#" 78.1987
-cap "a_705_n126#" "a_993_n128#" 4.63566
-cap "a_n993_n100#" "a_n1281_n100#" 47.7884
-cap "a_33_n128#" "a_n255_n126#" 4.63566
-cap "a_129_n126#" "a_n63_n126#" 7.95062
-cap "a_n1473_n100#" "a_n1089_n100#" 34.4127
-cap "a_1311_n100#" "a_1503_n100#" 78.1987
-cap "a_801_n128#" "a_609_n128#" 7.66667
-cap "a_n1407_n126#" "a_n1503_n128#" 18.1212
-cap "a_225_n128#" "a_n159_n128#" 3.50847
-cap "a_447_n100#" "a_639_n100#" 78.1987
-cap "a_n159_n128#" "a_n543_n128#" 3.50847
-cap "a_159_n100#" "a_n225_n100#" 34.4127
-cap "a_n543_n128#" "a_n639_n126#" 18.1212
-cap "a_n1119_n128#" "a_n1023_n126#" 18.1212
-cap "a_1023_n100#" "a_735_n100#" 47.7884
-cap "a_n159_n128#" "a_n255_n126#" 18.1212
-cap "a_639_n100#" "a_735_n100#" 216.232
-cap "a_n639_n126#" "a_n255_n126#" 3.63842
-cap "a_n831_n126#" "a_n927_n128#" 18.1212
-cap "a_159_n100#" "a_351_n100#" 78.1987
-cap "a_n897_n100#" "a_n609_n100#" 47.7884
-cap "a_705_n126#" "a_609_n128#" 18.1212
-cap "a_1311_n100#" "a_927_n100#" 34.4127
-cap "a_n1185_n100#" "a_n1565_n74#" 34.4127
-cap "a_63_n100#" "a_n321_n100#" 34.4127
-cap "a_n1185_n100#" "a_n993_n100#" 78.1987
-cap "a_447_n100#" "a_255_n100#" 78.1987
-cap "a_n513_n100#" "a_n705_n100#" 78.1987
-cap "a_1281_n126#" "w_n1601_n200#" 47.3
-cap "a_159_n100#" "a_543_n100#" 34.4127
-cap "a_n993_n100#" "a_n897_n100#" 216.232
-cap "a_63_n100#" "a_n225_n100#" 47.7884
-cap "a_n801_n100#" "w_n1601_n200#" 157.44
-device msubckt sky130_fd_pr__pfet_01v8 1473 -100 1474 -99 l=30 w=200 "w_n1601_n200#" "a_1473_n126#" 60 0 "a_1407_n100#" 200 0 "a_1503_n100#" 200 0
-device msubckt sky130_fd_pr__pfet_01v8 1377 -100 1378 -99 l=30 w=200 "w_n1601_n200#" "a_1377_n128#" 60 0 "a_1311_n100#" 200 0 "a_1407_n100#" 200 0
-device msubckt sky130_fd_pr__pfet_01v8 1281 -100 1282 -99 l=30 w=200 "w_n1601_n200#" "a_1281_n126#" 60 0 "a_1215_n100#" 200 0 "a_1311_n100#" 200 0
-device msubckt sky130_fd_pr__pfet_01v8 1185 -100 1186 -99 l=30 w=200 "w_n1601_n200#" "a_1185_n128#" 60 0 "a_1119_n100#" 200 0 "a_1215_n100#" 200 0
-device msubckt sky130_fd_pr__pfet_01v8 1089 -100 1090 -99 l=30 w=200 "w_n1601_n200#" "a_1089_n126#" 60 0 "a_1023_n100#" 200 0 "a_1119_n100#" 200 0
-device msubckt sky130_fd_pr__pfet_01v8 993 -100 994 -99 l=30 w=200 "w_n1601_n200#" "a_993_n128#" 60 0 "a_927_n100#" 200 0 "a_1023_n100#" 200 0
-device msubckt sky130_fd_pr__pfet_01v8 897 -100 898 -99 l=30 w=200 "w_n1601_n200#" "a_897_n126#" 60 0 "a_831_n100#" 200 0 "a_927_n100#" 200 0
-device msubckt sky130_fd_pr__pfet_01v8 801 -100 802 -99 l=30 w=200 "w_n1601_n200#" "a_801_n128#" 60 0 "a_735_n100#" 200 0 "a_831_n100#" 200 0
-device msubckt sky130_fd_pr__pfet_01v8 705 -100 706 -99 l=30 w=200 "w_n1601_n200#" "a_705_n126#" 60 0 "a_639_n100#" 200 0 "a_735_n100#" 200 0
-device msubckt sky130_fd_pr__pfet_01v8 609 -100 610 -99 l=30 w=200 "w_n1601_n200#" "a_609_n128#" 60 0 "a_543_n100#" 200 0 "a_639_n100#" 200 0
-device msubckt sky130_fd_pr__pfet_01v8 513 -100 514 -99 l=30 w=200 "w_n1601_n200#" "a_513_n126#" 60 0 "a_447_n100#" 200 0 "a_543_n100#" 200 0
-device msubckt sky130_fd_pr__pfet_01v8 417 -100 418 -99 l=30 w=200 "w_n1601_n200#" "a_417_n128#" 60 0 "a_351_n100#" 200 0 "a_447_n100#" 200 0
-device msubckt sky130_fd_pr__pfet_01v8 321 -100 322 -99 l=30 w=200 "w_n1601_n200#" "a_321_n126#" 60 0 "a_255_n100#" 200 0 "a_351_n100#" 200 0
-device msubckt sky130_fd_pr__pfet_01v8 225 -100 226 -99 l=30 w=200 "w_n1601_n200#" "a_225_n128#" 60 0 "a_159_n100#" 200 0 "a_255_n100#" 200 0
-device msubckt sky130_fd_pr__pfet_01v8 129 -100 130 -99 l=30 w=200 "w_n1601_n200#" "a_129_n126#" 60 0 "a_63_n100#" 200 0 "a_159_n100#" 200 0
-device msubckt sky130_fd_pr__pfet_01v8 33 -100 34 -99 l=30 w=200 "w_n1601_n200#" "a_33_n128#" 60 0 "a_n33_n100#" 200 0 "a_63_n100#" 200 0
-device msubckt sky130_fd_pr__pfet_01v8 -63 -100 -62 -99 l=30 w=200 "w_n1601_n200#" "a_n63_n126#" 60 0 "a_n129_n100#" 200 0 "a_n33_n100#" 200 0
-device msubckt sky130_fd_pr__pfet_01v8 -159 -100 -158 -99 l=30 w=200 "w_n1601_n200#" "a_n159_n128#" 60 0 "a_n225_n100#" 200 0 "a_n129_n100#" 200 0
-device msubckt sky130_fd_pr__pfet_01v8 -255 -100 -254 -99 l=30 w=200 "w_n1601_n200#" "a_n255_n126#" 60 0 "a_n321_n100#" 200 0 "a_n225_n100#" 200 0
-device msubckt sky130_fd_pr__pfet_01v8 -351 -100 -350 -99 l=30 w=200 "w_n1601_n200#" "a_n351_n128#" 60 0 "a_n417_n100#" 200 0 "a_n321_n100#" 200 0
-device msubckt sky130_fd_pr__pfet_01v8 -447 -100 -446 -99 l=30 w=200 "w_n1601_n200#" "a_n447_n126#" 60 0 "a_n513_n100#" 200 0 "a_n417_n100#" 200 0
-device msubckt sky130_fd_pr__pfet_01v8 -543 -100 -542 -99 l=30 w=200 "w_n1601_n200#" "a_n543_n128#" 60 0 "a_n609_n100#" 200 0 "a_n513_n100#" 200 0
-device msubckt sky130_fd_pr__pfet_01v8 -639 -100 -638 -99 l=30 w=200 "w_n1601_n200#" "a_n639_n126#" 60 0 "a_n705_n100#" 200 0 "a_n609_n100#" 200 0
-device msubckt sky130_fd_pr__pfet_01v8 -735 -100 -734 -99 l=30 w=200 "w_n1601_n200#" "a_n735_n128#" 60 0 "a_n801_n100#" 200 0 "a_n705_n100#" 200 0
-device msubckt sky130_fd_pr__pfet_01v8 -831 -100 -830 -99 l=30 w=200 "w_n1601_n200#" "a_n831_n126#" 60 0 "a_n897_n100#" 200 0 "a_n801_n100#" 200 0
-device msubckt sky130_fd_pr__pfet_01v8 -927 -100 -926 -99 l=30 w=200 "w_n1601_n200#" "a_n927_n128#" 60 0 "a_n993_n100#" 200 0 "a_n897_n100#" 200 0
-device msubckt sky130_fd_pr__pfet_01v8 -1023 -100 -1022 -99 l=30 w=200 "w_n1601_n200#" "a_n1023_n126#" 60 0 "a_n1089_n100#" 200 0 "a_n993_n100#" 200 0
-device msubckt sky130_fd_pr__pfet_01v8 -1119 -100 -1118 -99 l=30 w=200 "w_n1601_n200#" "a_n1119_n128#" 60 0 "a_n1185_n100#" 200 0 "a_n1089_n100#" 200 0
-device msubckt sky130_fd_pr__pfet_01v8 -1215 -100 -1214 -99 l=30 w=200 "w_n1601_n200#" "a_n1215_n126#" 60 0 "a_n1281_n100#" 200 0 "a_n1185_n100#" 200 0
-device msubckt sky130_fd_pr__pfet_01v8 -1311 -100 -1310 -99 l=30 w=200 "w_n1601_n200#" "a_n1311_n128#" 60 0 "a_n1377_n100#" 200 0 "a_n1281_n100#" 200 0
-device msubckt sky130_fd_pr__pfet_01v8 -1407 -100 -1406 -99 l=30 w=200 "w_n1601_n200#" "a_n1407_n126#" 60 0 "a_n1473_n100#" 200 0 "a_n1377_n100#" 200 0
-device msubckt sky130_fd_pr__pfet_01v8 -1503 -100 -1502 -99 l=30 w=200 "w_n1601_n200#" "a_n1503_n128#" 60 0 "a_n1565_n74#" 200 0 "a_n1473_n100#" 200 0
diff --git a/mag/myinv_layout2/sky130_fd_pr__pfet_01v8_3M44SC.mag b/mag/myinv_layout2/sky130_fd_pr__pfet_01v8_3M44SC.mag
deleted file mode 100755
index 889bd28..0000000
--- a/mag/myinv_layout2/sky130_fd_pr__pfet_01v8_3M44SC.mag
+++ /dev/null
@@ -1,520 +0,0 @@
-magic
-tech sky130A
-magscale 1 2
-timestamp 1646261959
-<< error_p >>
-rect -1505 162 1601 200
-rect -1601 -162 1601 162
-rect -1601 -200 1505 -162
-<< nwell >>
-rect -1505 162 1601 200
-rect -1601 -162 1601 162
-rect -1601 -200 1505 -162
-<< pmos >>
-rect -1503 -100 -1473 100
-rect -1407 -100 -1377 100
-rect -1311 -100 -1281 100
-rect -1215 -100 -1185 100
-rect -1119 -100 -1089 100
-rect -1023 -100 -993 100
-rect -927 -100 -897 100
-rect -831 -100 -801 100
-rect -735 -100 -705 100
-rect -639 -100 -609 100
-rect -543 -100 -513 100
-rect -447 -100 -417 100
-rect -351 -100 -321 100
-rect -255 -100 -225 100
-rect -159 -100 -129 100
-rect -63 -100 -33 100
-rect 33 -100 63 100
-rect 129 -100 159 100
-rect 225 -100 255 100
-rect 321 -100 351 100
-rect 417 -100 447 100
-rect 513 -100 543 100
-rect 609 -100 639 100
-rect 705 -100 735 100
-rect 801 -100 831 100
-rect 897 -100 927 100
-rect 993 -100 1023 100
-rect 1089 -100 1119 100
-rect 1185 -100 1215 100
-rect 1281 -100 1311 100
-rect 1377 -100 1407 100
-rect 1473 -100 1503 100
-<< pdiff >>
-rect -1561 74 -1503 100
-rect -1565 62 -1503 74
-rect -1565 -62 -1553 62
-rect -1519 -62 -1503 62
-rect -1565 -74 -1503 -62
-rect -1561 -100 -1503 -74
-rect -1473 62 -1407 100
-rect -1473 -62 -1457 62
-rect -1423 -62 -1407 62
-rect -1473 -100 -1407 -62
-rect -1377 62 -1311 100
-rect -1377 -62 -1361 62
-rect -1327 -62 -1311 62
-rect -1377 -100 -1311 -62
-rect -1281 62 -1215 100
-rect -1281 -62 -1265 62
-rect -1231 -62 -1215 62
-rect -1281 -100 -1215 -62
-rect -1185 62 -1119 100
-rect -1185 -62 -1169 62
-rect -1135 -62 -1119 62
-rect -1185 -100 -1119 -62
-rect -1089 62 -1023 100
-rect -1089 -62 -1073 62
-rect -1039 -62 -1023 62
-rect -1089 -100 -1023 -62
-rect -993 62 -927 100
-rect -993 -62 -977 62
-rect -943 -62 -927 62
-rect -993 -100 -927 -62
-rect -897 62 -831 100
-rect -897 -62 -881 62
-rect -847 -62 -831 62
-rect -897 -100 -831 -62
-rect -801 62 -735 100
-rect -801 -62 -785 62
-rect -751 -62 -735 62
-rect -801 -100 -735 -62
-rect -705 62 -639 100
-rect -705 -62 -689 62
-rect -655 -62 -639 62
-rect -705 -100 -639 -62
-rect -609 62 -543 100
-rect -609 -62 -593 62
-rect -559 -62 -543 62
-rect -609 -100 -543 -62
-rect -513 62 -447 100
-rect -513 -62 -497 62
-rect -463 -62 -447 62
-rect -513 -100 -447 -62
-rect -417 62 -351 100
-rect -417 -62 -401 62
-rect -367 -62 -351 62
-rect -417 -100 -351 -62
-rect -321 62 -255 100
-rect -321 -62 -305 62
-rect -271 -62 -255 62
-rect -321 -100 -255 -62
-rect -225 62 -159 100
-rect -225 -62 -209 62
-rect -175 -62 -159 62
-rect -225 -100 -159 -62
-rect -129 62 -63 100
-rect -129 -62 -113 62
-rect -79 -62 -63 62
-rect -129 -100 -63 -62
-rect -33 62 33 100
-rect -33 -62 -17 62
-rect 17 -62 33 62
-rect -33 -100 33 -62
-rect 63 62 129 100
-rect 63 -62 79 62
-rect 113 -62 129 62
-rect 63 -100 129 -62
-rect 159 62 225 100
-rect 159 -62 175 62
-rect 209 -62 225 62
-rect 159 -100 225 -62
-rect 255 62 321 100
-rect 255 -62 271 62
-rect 305 -62 321 62
-rect 255 -100 321 -62
-rect 351 62 417 100
-rect 351 -62 367 62
-rect 401 -62 417 62
-rect 351 -100 417 -62
-rect 447 62 513 100
-rect 447 -62 463 62
-rect 497 -62 513 62
-rect 447 -100 513 -62
-rect 543 62 609 100
-rect 543 -62 559 62
-rect 593 -62 609 62
-rect 543 -100 609 -62
-rect 639 62 705 100
-rect 639 -62 655 62
-rect 689 -62 705 62
-rect 639 -100 705 -62
-rect 735 62 801 100
-rect 735 -62 751 62
-rect 785 -62 801 62
-rect 735 -100 801 -62
-rect 831 62 897 100
-rect 831 -62 847 62
-rect 881 -62 897 62
-rect 831 -100 897 -62
-rect 927 62 993 100
-rect 927 -62 943 62
-rect 977 -62 993 62
-rect 927 -100 993 -62
-rect 1023 62 1089 100
-rect 1023 -62 1039 62
-rect 1073 -62 1089 62
-rect 1023 -100 1089 -62
-rect 1119 62 1185 100
-rect 1119 -62 1135 62
-rect 1169 -62 1185 62
-rect 1119 -100 1185 -62
-rect 1215 62 1281 100
-rect 1215 -62 1231 62
-rect 1265 -62 1281 62
-rect 1215 -100 1281 -62
-rect 1311 62 1377 100
-rect 1311 -62 1327 62
-rect 1361 -62 1377 62
-rect 1311 -100 1377 -62
-rect 1407 62 1473 100
-rect 1407 -62 1423 62
-rect 1457 -62 1473 62
-rect 1407 -100 1473 -62
-rect 1503 74 1561 100
-rect 1503 62 1565 74
-rect 1503 -62 1519 62
-rect 1553 -62 1565 62
-rect 1503 -74 1565 -62
-rect 1503 -100 1561 -74
-<< pdiffc >>
-rect -1553 -62 -1519 62
-rect -1457 -62 -1423 62
-rect -1361 -62 -1327 62
-rect -1265 -62 -1231 62
-rect -1169 -62 -1135 62
-rect -1073 -62 -1039 62
-rect -977 -62 -943 62
-rect -881 -62 -847 62
-rect -785 -62 -751 62
-rect -689 -62 -655 62
-rect -593 -62 -559 62
-rect -497 -62 -463 62
-rect -401 -62 -367 62
-rect -305 -62 -271 62
-rect -209 -62 -175 62
-rect -113 -62 -79 62
-rect -17 -62 17 62
-rect 79 -62 113 62
-rect 175 -62 209 62
-rect 271 -62 305 62
-rect 367 -62 401 62
-rect 463 -62 497 62
-rect 559 -62 593 62
-rect 655 -62 689 62
-rect 751 -62 785 62
-rect 847 -62 881 62
-rect 943 -62 977 62
-rect 1039 -62 1073 62
-rect 1135 -62 1169 62
-rect 1231 -62 1265 62
-rect 1327 -62 1361 62
-rect 1423 -62 1457 62
-rect 1519 -62 1553 62
-<< poly >>
-rect -1503 100 -1473 126
-rect -1407 100 -1377 130
-rect -1311 100 -1281 126
-rect -1215 100 -1185 130
-rect -1119 100 -1089 126
-rect -1023 100 -993 130
-rect -927 100 -897 126
-rect -831 100 -801 130
-rect -735 100 -705 126
-rect -639 100 -609 130
-rect -543 100 -513 126
-rect -447 100 -417 130
-rect -351 100 -321 126
-rect -255 100 -225 130
-rect -159 100 -129 126
-rect -63 100 -33 130
-rect 33 100 63 126
-rect 129 100 159 130
-rect 225 100 255 126
-rect 321 100 351 130
-rect 417 100 447 126
-rect 513 100 543 130
-rect 609 100 639 126
-rect 705 100 735 130
-rect 801 100 831 126
-rect 897 100 927 130
-rect 993 100 1023 126
-rect 1089 100 1119 130
-rect 1185 100 1215 126
-rect 1281 100 1311 130
-rect 1377 100 1407 126
-rect 1473 100 1503 130
-rect -1503 -128 -1473 -100
-rect -1407 -126 -1377 -100
-rect -1311 -128 -1281 -100
-rect -1215 -126 -1185 -100
-rect -1119 -128 -1089 -100
-rect -1023 -126 -993 -100
-rect -927 -128 -897 -100
-rect -831 -126 -801 -100
-rect -735 -128 -705 -100
-rect -639 -126 -609 -100
-rect -543 -128 -513 -100
-rect -447 -126 -417 -100
-rect -351 -128 -321 -100
-rect -255 -126 -225 -100
-rect -159 -128 -129 -100
-rect -63 -126 -33 -100
-rect 33 -128 63 -100
-rect 129 -126 159 -100
-rect 225 -128 255 -100
-rect 321 -126 351 -100
-rect 417 -128 447 -100
-rect 513 -126 543 -100
-rect 609 -128 639 -100
-rect 705 -126 735 -100
-rect 801 -128 831 -100
-rect 897 -126 927 -100
-rect 993 -128 1023 -100
-rect 1089 -126 1119 -100
-rect 1185 -128 1215 -100
-rect 1281 -126 1311 -100
-rect 1377 -128 1407 -100
-rect 1473 -126 1503 -100
-<< locali >>
-rect -1553 62 -1519 78
-rect -1553 -78 -1519 -62
-rect -1457 62 -1423 78
-rect -1457 -78 -1423 -62
-rect -1361 62 -1327 78
-rect -1361 -78 -1327 -62
-rect -1265 62 -1231 78
-rect -1265 -78 -1231 -62
-rect -1169 62 -1135 78
-rect -1169 -78 -1135 -62
-rect -1073 62 -1039 78
-rect -1073 -78 -1039 -62
-rect -977 62 -943 78
-rect -977 -78 -943 -62
-rect -881 62 -847 78
-rect -881 -78 -847 -62
-rect -785 62 -751 78
-rect -785 -78 -751 -62
-rect -689 62 -655 78
-rect -689 -78 -655 -62
-rect -593 62 -559 78
-rect -593 -78 -559 -62
-rect -497 62 -463 78
-rect -497 -78 -463 -62
-rect -401 62 -367 78
-rect -401 -78 -367 -62
-rect -305 62 -271 78
-rect -305 -78 -271 -62
-rect -209 62 -175 78
-rect -209 -78 -175 -62
-rect -113 62 -79 78
-rect -113 -78 -79 -62
-rect -17 62 17 78
-rect -17 -78 17 -62
-rect 79 62 113 78
-rect 79 -78 113 -62
-rect 175 62 209 78
-rect 175 -78 209 -62
-rect 271 62 305 78
-rect 271 -78 305 -62
-rect 367 62 401 78
-rect 367 -78 401 -62
-rect 463 62 497 78
-rect 463 -78 497 -62
-rect 559 62 593 78
-rect 559 -78 593 -62
-rect 655 62 689 78
-rect 655 -78 689 -62
-rect 751 62 785 78
-rect 751 -78 785 -62
-rect 847 62 881 78
-rect 847 -78 881 -62
-rect 943 62 977 78
-rect 943 -78 977 -62
-rect 1039 62 1073 78
-rect 1039 -78 1073 -62
-rect 1135 62 1169 78
-rect 1135 -78 1169 -62
-rect 1231 62 1265 78
-rect 1231 -78 1265 -62
-rect 1327 62 1361 78
-rect 1327 -78 1361 -62
-rect 1423 62 1457 78
-rect 1423 -78 1457 -62
-rect 1519 62 1553 78
-rect 1519 -78 1553 -62
-<< viali >>
-rect -1553 -62 -1519 62
-rect -1457 -62 -1423 62
-rect -1361 -62 -1327 62
-rect -1265 -62 -1231 62
-rect -1169 -62 -1135 62
-rect -1073 -62 -1039 62
-rect -977 -62 -943 62
-rect -881 -62 -847 62
-rect -785 -62 -751 62
-rect -689 -62 -655 62
-rect -593 -62 -559 62
-rect -497 -62 -463 62
-rect -401 -62 -367 62
-rect -305 -62 -271 62
-rect -209 -62 -175 62
-rect -113 -62 -79 62
-rect -17 -62 17 62
-rect 79 -62 113 62
-rect 175 -62 209 62
-rect 271 -62 305 62
-rect 367 -62 401 62
-rect 463 -62 497 62
-rect 559 -62 593 62
-rect 655 -62 689 62
-rect 751 -62 785 62
-rect 847 -62 881 62
-rect 943 -62 977 62
-rect 1039 -62 1073 62
-rect 1135 -62 1169 62
-rect 1231 -62 1265 62
-rect 1327 -62 1361 62
-rect 1423 -62 1457 62
-rect 1519 -62 1553 62
-<< metal1 >>
-rect -1559 62 -1513 74
-rect -1559 -62 -1553 62
-rect -1519 -62 -1513 62
-rect -1559 -74 -1513 -62
-rect -1463 62 -1417 74
-rect -1463 -62 -1457 62
-rect -1423 -62 -1417 62
-rect -1463 -74 -1417 -62
-rect -1367 62 -1321 74
-rect -1367 -62 -1361 62
-rect -1327 -62 -1321 62
-rect -1367 -74 -1321 -62
-rect -1271 62 -1225 74
-rect -1271 -62 -1265 62
-rect -1231 -62 -1225 62
-rect -1271 -74 -1225 -62
-rect -1175 62 -1129 74
-rect -1175 -62 -1169 62
-rect -1135 -62 -1129 62
-rect -1175 -74 -1129 -62
-rect -1079 62 -1033 74
-rect -1079 -62 -1073 62
-rect -1039 -62 -1033 62
-rect -1079 -74 -1033 -62
-rect -983 62 -937 74
-rect -983 -62 -977 62
-rect -943 -62 -937 62
-rect -983 -74 -937 -62
-rect -887 62 -841 74
-rect -887 -62 -881 62
-rect -847 -62 -841 62
-rect -887 -74 -841 -62
-rect -791 62 -745 74
-rect -791 -62 -785 62
-rect -751 -62 -745 62
-rect -791 -74 -745 -62
-rect -695 62 -649 74
-rect -695 -62 -689 62
-rect -655 -62 -649 62
-rect -695 -74 -649 -62
-rect -599 62 -553 74
-rect -599 -62 -593 62
-rect -559 -62 -553 62
-rect -599 -74 -553 -62
-rect -503 62 -457 74
-rect -503 -62 -497 62
-rect -463 -62 -457 62
-rect -503 -74 -457 -62
-rect -407 62 -361 74
-rect -407 -62 -401 62
-rect -367 -62 -361 62
-rect -407 -74 -361 -62
-rect -311 62 -265 74
-rect -311 -62 -305 62
-rect -271 -62 -265 62
-rect -311 -74 -265 -62
-rect -215 62 -169 74
-rect -215 -62 -209 62
-rect -175 -62 -169 62
-rect -215 -74 -169 -62
-rect -119 62 -73 74
-rect -119 -62 -113 62
-rect -79 -62 -73 62
-rect -119 -74 -73 -62
-rect -23 62 23 74
-rect -23 -62 -17 62
-rect 17 -62 23 62
-rect -23 -74 23 -62
-rect 73 62 119 74
-rect 73 -62 79 62
-rect 113 -62 119 62
-rect 73 -74 119 -62
-rect 169 62 215 74
-rect 169 -62 175 62
-rect 209 -62 215 62
-rect 169 -74 215 -62
-rect 265 62 311 74
-rect 265 -62 271 62
-rect 305 -62 311 62
-rect 265 -74 311 -62
-rect 361 62 407 74
-rect 361 -62 367 62
-rect 401 -62 407 62
-rect 361 -74 407 -62
-rect 457 62 503 74
-rect 457 -62 463 62
-rect 497 -62 503 62
-rect 457 -74 503 -62
-rect 553 62 599 74
-rect 553 -62 559 62
-rect 593 -62 599 62
-rect 553 -74 599 -62
-rect 649 62 695 74
-rect 649 -62 655 62
-rect 689 -62 695 62
-rect 649 -74 695 -62
-rect 745 62 791 74
-rect 745 -62 751 62
-rect 785 -62 791 62
-rect 745 -74 791 -62
-rect 841 62 887 74
-rect 841 -62 847 62
-rect 881 -62 887 62
-rect 841 -74 887 -62
-rect 937 62 983 74
-rect 937 -62 943 62
-rect 977 -62 983 62
-rect 937 -74 983 -62
-rect 1033 62 1079 74
-rect 1033 -62 1039 62
-rect 1073 -62 1079 62
-rect 1033 -74 1079 -62
-rect 1129 62 1175 74
-rect 1129 -62 1135 62
-rect 1169 -62 1175 62
-rect 1129 -74 1175 -62
-rect 1225 62 1271 74
-rect 1225 -62 1231 62
-rect 1265 -62 1271 62
-rect 1225 -74 1271 -62
-rect 1321 62 1367 74
-rect 1321 -62 1327 62
-rect 1361 -62 1367 62
-rect 1321 -74 1367 -62
-rect 1417 62 1463 74
-rect 1417 -62 1423 62
-rect 1457 -62 1463 62
-rect 1417 -74 1463 -62
-rect 1513 62 1559 74
-rect 1513 -62 1519 62
-rect 1553 -62 1559 62
-rect 1513 -74 1559 -62
-<< properties >>
-string gencell sky130_fd_pr__pfet_01v8
-string library sky130
-string parameters w 1 l 0.15 m 1 nf 32 diffcov 70 polycov 70 guard 0 glc 0 grc 0 gtc 0 gbc 0 tbcov 70 rlcov 70 topc 0 botc 0 poverlap 0 doverlap 1 lmin 0.15 wmin 0.42 compatible {sky130_fd_pr__pfet_01v8  sky130_fd_pr__pfet_01v8_lvt sky130_fd_pr__pfet_01v8_hvt  sky130_fd_pr__pfet_g5v0d10v5} full_metal 0 viasrc 70 viadrn 70 viagate 70 viagb 0 viagr 0 viagl 0 viagt 0
-<< end >>
diff --git a/mag/myinv_layout2/sky130_fd_pr__pfet_01v8_5YYKDE.ext b/mag/myinv_layout2/sky130_fd_pr__pfet_01v8_5YYKDE.ext
deleted file mode 100755
index 83b67cb..0000000
--- a/mag/myinv_layout2/sky130_fd_pr__pfet_01v8_5YYKDE.ext
+++ /dev/null
@@ -1,29 +0,0 @@
-timestamp 0
-version 8.3
-tech sky130A
-style ngspice()
-scale 1000 1 500000
-resistclasses 4400000 2200000 1700000 3050000 120000 197000 114000 191000 120000 197000 114000 191000 48200 319800 2000000 48200 48200 12200 125 125 47 47 29 5
-parameters sky130_fd_pr__pfet_01v8 l=l w=w a1=as p1=ps a2=ad p2=pd
-node "a_63_n200#" 1418 -341.94 63 -200 pdif 0 0 0 0 0 0 0 0 0 0 24800 924 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 13872 884 18400 892 0 0 0 0 0 0 0 0 0 0
-node "a_n33_n200#" 1341 -341.94 -33 -200 pdif 0 0 0 0 0 0 0 0 0 0 26400 932 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 13872 884 18400 892 0 0 0 0 0 0 0 0 0 0
-node "a_n125_n200#" 1418 -341.94 -125 -200 pdif 0 0 0 0 0 0 0 0 0 0 24800 924 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 13872 884 18400 892 0 0 0 0 0 0 0 0 0 0
-node "a_n81_n297#" 850 -67.5651 -81 -297 p 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 18066 1178 0 0 2244 200 2668 208 0 0 0 0 0 0 0 0 0 0
-node "a_15_231#" 850 -67.5651 15 231 p 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 18066 1178 0 0 2244 200 2668 208 0 0 0 0 0 0 0 0 0 0
-node "w_n161_n300#" 3562 557.712 -161 -300 nw 0 0 0 0 185904 1844 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0
-substrate "VSUBS" 0 0 -1073741817 -1073741817 space 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0
-cap "a_n33_n200#" "a_63_n200#" 577.161
-cap "a_15_231#" "a_n81_n297#" 18.1212
-cap "a_n33_n200#" "a_15_231#" 5.92513
-cap "w_n161_n300#" "a_n81_n297#" 187.59
-cap "a_n33_n200#" "w_n161_n300#" 364.332
-cap "a_63_n200#" "a_n125_n200#" 208.503
-cap "a_15_231#" "a_63_n200#" 5.92513
-cap "w_n161_n300#" "a_n125_n200#" 364.332
-cap "w_n161_n300#" "a_63_n200#" 364.332
-cap "a_n33_n200#" "a_n81_n297#" 5.92513
-cap "a_15_231#" "w_n161_n300#" 187.59
-cap "a_n81_n297#" "a_n125_n200#" 5.92513
-cap "a_n33_n200#" "a_n125_n200#" 577.161
-device msubckt sky130_fd_pr__pfet_01v8 33 -200 34 -199 l=30 w=400 "w_n161_n300#" "a_15_231#" 60 0 "a_n33_n200#" 400 0 "a_63_n200#" 400 0
-device msubckt sky130_fd_pr__pfet_01v8 -63 -200 -62 -199 l=30 w=400 "w_n161_n300#" "a_n81_n297#" 60 0 "a_n125_n200#" 400 0 "a_n33_n200#" 400 0
diff --git a/mag/myinv_layout2/sky130_fd_pr__pfet_01v8_5YYKDE.mag b/mag/myinv_layout2/sky130_fd_pr__pfet_01v8_5YYKDE.mag
deleted file mode 100755
index fb63d39..0000000
--- a/mag/myinv_layout2/sky130_fd_pr__pfet_01v8_5YYKDE.mag
+++ /dev/null
@@ -1,94 +0,0 @@
-magic
-tech sky130A
-magscale 1 2
-timestamp 1644851365
-<< error_p >>
-rect 19 281 77 287
-rect 19 247 31 281
-rect 19 241 77 247
-rect -77 -247 -19 -241
-rect -77 -281 -65 -247
-rect -77 -287 -19 -281
-<< nwell >>
-rect -65 262 161 300
-rect -161 -262 161 262
-rect -161 -300 65 -262
-<< pmos >>
-rect -63 -200 -33 200
-rect 33 -200 63 200
-<< pdiff >>
-rect -125 188 -63 200
-rect -125 -188 -113 188
-rect -79 -188 -63 188
-rect -125 -200 -63 -188
-rect -33 188 33 200
-rect -33 -188 -17 188
-rect 17 -188 33 188
-rect -33 -200 33 -188
-rect 63 188 125 200
-rect 63 -188 79 188
-rect 113 -188 125 188
-rect 63 -200 125 -188
-<< pdiffc >>
-rect -113 -188 -79 188
-rect -17 -188 17 188
-rect 79 -188 113 188
-<< poly >>
-rect 15 281 81 297
-rect 15 247 31 281
-rect 65 247 81 281
-rect 15 231 81 247
-rect -63 200 -33 226
-rect 33 200 63 231
-rect -63 -231 -33 -200
-rect 33 -226 63 -200
-rect -81 -247 -15 -231
-rect -81 -281 -65 -247
-rect -31 -281 -15 -247
-rect -81 -297 -15 -281
-<< polycont >>
-rect 31 247 65 281
-rect -65 -281 -31 -247
-<< locali >>
-rect 15 247 31 281
-rect 65 247 81 281
-rect -113 188 -79 204
-rect -113 -204 -79 -188
-rect -17 188 17 204
-rect -17 -204 17 -188
-rect 79 188 113 204
-rect 79 -204 113 -188
-rect -81 -281 -65 -247
-rect -31 -281 -15 -247
-<< viali >>
-rect 31 247 65 281
-rect -113 -188 -79 188
-rect -17 -188 17 188
-rect 79 -188 113 188
-rect -65 -281 -31 -247
-<< metal1 >>
-rect 19 281 77 287
-rect 19 247 31 281
-rect 65 247 77 281
-rect 19 241 77 247
-rect -119 188 -73 200
-rect -119 -188 -113 188
-rect -79 -188 -73 188
-rect -119 -200 -73 -188
-rect -23 188 23 200
-rect -23 -188 -17 188
-rect 17 -188 23 188
-rect -23 -200 23 -188
-rect 73 188 119 200
-rect 73 -188 79 188
-rect 113 -188 119 188
-rect 73 -200 119 -188
-rect -77 -247 -19 -241
-rect -77 -281 -65 -247
-rect -31 -281 -19 -247
-rect -77 -287 -19 -281
-<< properties >>
-string gencell sky130_fd_pr__pfet_01v8
-string library sky130
-string parameters w 2 l 0.15 m 1 nf 2 diffcov 100 polycov 100 guard 0 glc 0 grc 0 gtc 0 gbc 0 tbcov 100 rlcov 100 topc 0 botc 0 poverlap 0 doverlap 1 lmin 0.15 wmin 0.42 compatible {sky130_fd_pr__pfet_01v8  sky130_fd_pr__pfet_01v8_lvt sky130_fd_pr__pfet_01v8_hvt  sky130_fd_pr__pfet_g5v0d10v5} full_metal 0 viasrc 100 viadrn 100 viagate 100 viagb 0 viagr 0 viagl 0 viagt 0
-<< end >>
diff --git a/mag/myinv_layout2/sky130_fd_pr__pfet_01v8_66F9W7.mag b/mag/myinv_layout2/sky130_fd_pr__pfet_01v8_66F9W7.mag
deleted file mode 100755
index 42981d0..0000000
--- a/mag/myinv_layout2/sky130_fd_pr__pfet_01v8_66F9W7.mag
+++ /dev/null
@@ -1,44 +0,0 @@
-magic
-tech sky130A
-magscale 1 2
-timestamp 1645005148
-<< nwell >>
-rect -109 -162 109 162
-<< pmos >>
-rect -15 -100 15 100
-<< pdiff >>
-rect -73 62 -15 100
-rect -73 -62 -61 62
-rect -27 -62 -15 62
-rect -73 -100 -15 -62
-rect 15 62 73 100
-rect 15 -62 27 62
-rect 61 -62 73 62
-rect 15 -100 73 -62
-<< pdiffc >>
-rect -61 -62 -27 62
-rect 27 -62 61 62
-<< poly >>
-rect -15 100 15 126
-rect -15 -126 15 -100
-<< viali >>
-rect -61 62 -27 88
-rect -61 -62 -27 62
-rect -61 -88 -27 -62
-rect 27 62 61 88
-rect 27 -62 61 62
-rect 27 -88 61 -62
-<< metal1 >>
-rect -67 88 -21 100
-rect -67 -88 -61 88
-rect -27 -88 -21 88
-rect -67 -100 -21 -88
-rect 21 88 67 100
-rect 21 -88 27 88
-rect 61 -88 67 88
-rect 21 -100 67 -88
-<< properties >>
-string gencell sky130_fd_pr__pfet_01v8
-string library sky130
-string parameters w 1 l 0.15 m 1 nf 1 diffcov 70 polycov 70 guard 0 glc 0 grc 0 gtc 0 gbc 0 tbcov 70 rlcov 70 topc 0 botc 0 poverlap 0 doverlap 1 lmin 0.15 wmin 0.42 compatible {sky130_fd_pr__pfet_01v8  sky130_fd_pr__pfet_01v8_lvt sky130_fd_pr__pfet_01v8_hvt  sky130_fd_pr__pfet_g5v0d10v5} full_metal 0 viasrc 100 viadrn 100 viagate 100 viagb 0 viagr 0 viagl 0 viagt 0
-<< end >>
diff --git a/mag/myinv_layout2/sky130_fd_pr__pfet_01v8_AC5Z8B.ext b/mag/myinv_layout2/sky130_fd_pr__pfet_01v8_AC5Z8B.ext
deleted file mode 100755
index d102d21..0000000
--- a/mag/myinv_layout2/sky130_fd_pr__pfet_01v8_AC5Z8B.ext
+++ /dev/null
@@ -1,44 +0,0 @@
-timestamp 1646324451
-version 8.3
-tech sky130A
-style ngspice()
-scale 1000 1 500000
-resistclasses 4400000 2200000 1700000 3050000 120000 197000 114000 191000 120000 197000 114000 191000 48200 319800 2000000 48200 48200 12200 125 125 47 47 29 5
-parameters sky130_fd_pr__pfet_01v8 l=l w=w a1=as p1=ps a2=ad p2=pd
-node "li_225_n726#" 17 38.7278 225 -726 li 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 1976 180 0 0 0 0 0 0 0 0 0 0 0 0
-node "li_n261_n726#" 17 38.7278 -261 -726 li 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 1976 180 0 0 0 0 0 0 0 0 0 0 0 0
-node "li_217_n290#" 15 44.2028 217 -290 li 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 2576 204 0 0 0 0 0 0 0 0 0 0 0 0
-node "li_n261_n290#" 19 39.5848 -261 -290 li 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 2016 184 0 0 0 0 0 0 0 0 0 0 0 0
-node "li_229_174#" 18 22.8948 229 174 li 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 1700 168 0 0 0 0 0 0 0 0 0 0 0 0
-node "li_n261_174#" 25 20.6228 -261 174 li 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 1200 148 0 0 0 0 0 0 0 0 0 0 0 0
-node "a_159_n100#" 753 -173.43 159 -100 pdif 0 0 0 0 0 0 0 0 0 0 12192 524 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 9180 608 6808 388 0 0 0 0 0 0 0 0 0 0
-node "a_n33_n100#" 694 -173.43 -33 -100 pdif 0 0 0 0 0 0 0 0 0 0 13200 532 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 9180 608 6808 388 0 0 0 0 0 0 0 0 0 0
-node "a_n129_n100#" 1931 -368.685 -129 -100 pdif 0 0 0 0 0 0 0 0 0 0 26400 1064 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 24300 1440 13616 776 0 0 0 0 0 0 0 0 0 0
-node "a_n221_n74#" 753 -173.43 -221 -74 pdif 0 0 0 0 0 0 0 0 0 0 12192 524 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 9180 608 6808 388 0 0 0 0 0 0 0 0 0 0
-node "a_n159_n152#" 2233 50.032 -159 -152 p 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 42880 2880 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0
-node "w_n261_n210#" 2173 644.52 -261 -210 nw 0 0 0 0 214840 1868 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0
-substrate "VSUBS" 0 0 -1073741817 -1073741817 space 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0
-cap "li_n261_n726#" "li_n261_n290#" 3.09375
-cap "li_225_n726#" "li_217_n290#" 3.26562
-cap "a_n33_n100#" "li_229_174#" 2.80189
-cap "a_159_n100#" "li_229_174#" 29.7
-cap "w_n261_n210#" "li_229_174#" 13.1177
-cap "a_n33_n100#" "li_n261_174#" 2.7
-cap "a_n129_n100#" "a_159_n100#" 264.021
-cap "a_n129_n100#" "a_n33_n100#" 462.239
-cap "a_n221_n74#" "li_n261_174#" 21.2143
-cap "a_n129_n100#" "a_n221_n74#" 264.212
-cap "a_n129_n100#" "w_n261_n210#" 447.025
-cap "w_n261_n210#" "li_n261_174#" 10.8272
-cap "a_159_n100#" "a_n33_n100#" 102.009
-cap "a_n129_n100#" "a_n159_n152#" 106.141
-cap "a_159_n100#" "a_n221_n74#" 45.1613
-cap "a_n221_n74#" "a_n33_n100#" 102.009
-cap "a_159_n100#" "w_n261_n210#" 207.073
-cap "a_n33_n100#" "w_n261_n210#" 207.073
-cap "a_n221_n74#" "w_n261_n210#" 207.073
-cap "a_n159_n152#" "w_n261_n210#" 352
-device msubckt sky130_fd_pr__pfet_01v8 129 -100 130 -99 l=30 w=200 "w_n261_n210#" "a_n159_n152#" 60 0 "a_n129_n100#" 200 0 "a_159_n100#" 200 0
-device msubckt sky130_fd_pr__pfet_01v8 33 -100 34 -99 l=30 w=200 "w_n261_n210#" "a_n159_n152#" 60 0 "a_n33_n100#" 200 0 "a_n129_n100#" 200 0
-device msubckt sky130_fd_pr__pfet_01v8 -63 -100 -62 -99 l=30 w=200 "w_n261_n210#" "a_n159_n152#" 60 0 "a_n129_n100#" 200 0 "a_n33_n100#" 200 0
-device msubckt sky130_fd_pr__pfet_01v8 -159 -100 -158 -99 l=30 w=200 "w_n261_n210#" "a_n159_n152#" 60 0 "a_n221_n74#" 200 0 "a_n129_n100#" 200 0
diff --git a/mag/myinv_layout2/sky130_fd_pr__pfet_01v8_AC5Z8B.mag b/mag/myinv_layout2/sky130_fd_pr__pfet_01v8_AC5Z8B.mag
deleted file mode 100755
index dced6c3..0000000
--- a/mag/myinv_layout2/sky130_fd_pr__pfet_01v8_AC5Z8B.mag
+++ /dev/null
@@ -1,114 +0,0 @@
-magic
-tech sky130A
-magscale 1 2
-timestamp 1646324451
-<< error_p >>
-rect -261 174 -227 224
-rect -209 140 -203 192
-rect 195 140 209 192
-rect 229 174 263 224
-rect -261 -290 -225 -234
-rect -261 -726 -223 -674
-rect 225 -726 263 -674
-<< nwell >>
-rect -261 -210 263 200
-<< pmos >>
-rect -159 -100 -129 100
-rect -63 -100 -33 100
-rect 33 -100 63 100
-rect 129 -100 159 100
-<< pdiff >>
-rect -217 74 -159 100
-rect -221 62 -159 74
-rect -221 -62 -209 62
-rect -175 -62 -159 62
-rect -221 -74 -159 -62
-rect -217 -100 -159 -74
-rect -129 62 -63 100
-rect -129 -62 -113 62
-rect -79 -62 -63 62
-rect -129 -100 -63 -62
-rect -33 62 33 100
-rect -33 -62 -17 62
-rect 17 -62 33 62
-rect -33 -100 33 -62
-rect 63 62 129 100
-rect 63 -62 79 62
-rect 113 -62 129 62
-rect 63 -100 129 -62
-rect 159 74 217 100
-rect 159 62 221 74
-rect 159 -62 175 62
-rect 209 -62 221 62
-rect 159 -74 221 -62
-rect 159 -100 217 -74
-<< pdiffc >>
-rect -209 -62 -175 62
-rect -113 -62 -79 62
-rect -17 -62 17 62
-rect 79 -62 113 62
-rect 175 -62 209 62
-<< poly >>
-rect -159 100 -129 138
-rect -63 100 -33 138
-rect 33 100 63 138
-rect 129 100 159 138
-rect -159 -120 -129 -100
-rect -63 -120 -33 -100
-rect 33 -120 63 -100
-rect 129 -120 159 -100
-rect -159 -152 161 -120
-rect 15 -208 45 -152
-<< locali >>
-rect -261 174 -237 224
-rect -209 62 -175 192
-rect -209 -78 -175 -62
-rect -113 62 -79 78
-rect -113 -68 -79 -62
-rect -115 -116 -79 -68
-rect -17 62 17 192
-rect -17 -78 17 -62
-rect 79 62 113 78
-rect 79 -64 113 -62
-rect 77 -116 113 -64
-rect 175 62 209 192
-rect 229 174 263 224
-rect 175 -78 209 -62
-rect -115 -154 113 -116
-rect 61 -220 95 -154
-rect -261 -290 -225 -234
-rect 217 -290 263 -234
-rect -261 -726 -223 -674
-rect 225 -726 263 -674
-<< viali >>
-rect -209 -62 -175 62
-rect -113 -62 -79 62
-rect -17 -62 17 62
-rect 79 -62 113 62
-rect 175 -62 209 62
-<< metal1 >>
-rect -215 62 -169 74
-rect -215 -62 -209 62
-rect -175 -62 -169 62
-rect -215 -74 -169 -62
-rect -119 62 -73 74
-rect -119 -62 -113 62
-rect -79 -62 -73 62
-rect -119 -74 -73 -62
-rect -23 62 23 74
-rect -23 -62 -17 62
-rect 17 -62 23 62
-rect -23 -74 23 -62
-rect 73 62 119 74
-rect 73 -62 79 62
-rect 113 -62 119 62
-rect 73 -74 119 -62
-rect 169 62 215 74
-rect 169 -62 175 62
-rect 209 -62 215 62
-rect 169 -74 215 -62
-<< properties >>
-string gencell sky130_fd_pr__pfet_01v8
-string library sky130
-string parameters w 1 l 0.15 m 1 nf 4 diffcov 70 polycov 70 guard 0 glc 0 grc 0 gtc 0 gbc 0 tbcov 70 rlcov 70 topc 0 botc 0 poverlap 0 doverlap 1 lmin 0.15 wmin 0.42 compatible {sky130_fd_pr__pfet_01v8  sky130_fd_pr__pfet_01v8_lvt sky130_fd_pr__pfet_01v8_hvt  sky130_fd_pr__pfet_g5v0d10v5} full_metal 0 viasrc 70 viadrn 70 viagate 70 viagb 0 viagr 0 viagl 0 viagt 0
-<< end >>
diff --git a/mag/myinv_layout2/sky130_fd_pr__pfet_01v8_RL4NCG.ext b/mag/myinv_layout2/sky130_fd_pr__pfet_01v8_RL4NCG.ext
deleted file mode 100755
index 5f8954f..0000000
--- a/mag/myinv_layout2/sky130_fd_pr__pfet_01v8_RL4NCG.ext
+++ /dev/null
@@ -1,203 +0,0 @@
-timestamp 1646319668
-version 8.3
-tech sky130A
-style ngspice()
-scale 1000 1 500000
-resistclasses 4400000 2200000 1700000 3050000 120000 197000 114000 191000 120000 197000 114000 191000 48200 319800 2000000 48200 48200 12200 125 125 47 47 29 5
-parameters sky130_fd_pr__pfet_01v8 l=l w=w a1=as p1=ps a2=ad p2=pd
-node "a_735_n100#" 712 -171.38 735 -100 pdif 0 0 0 0 0 0 0 0 0 0 12192 524 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 5304 380 6808 388 0 0 0 0 0 0 0 0 0 0
-node "a_639_n100#" 653 -171.38 639 -100 pdif 0 0 0 0 0 0 0 0 0 0 13200 532 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 5304 380 6808 388 0 0 0 0 0 0 0 0 0 0
-node "a_543_n100#" 653 -171.38 543 -100 pdif 0 0 0 0 0 0 0 0 0 0 13200 532 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 5304 380 6808 388 0 0 0 0 0 0 0 0 0 0
-node "a_447_n100#" 653 -171.38 447 -100 pdif 0 0 0 0 0 0 0 0 0 0 13200 532 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 5304 380 6808 388 0 0 0 0 0 0 0 0 0 0
-node "a_351_n100#" 653 -171.38 351 -100 pdif 0 0 0 0 0 0 0 0 0 0 13200 532 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 5304 380 6808 388 0 0 0 0 0 0 0 0 0 0
-node "a_255_n100#" 653 -171.38 255 -100 pdif 0 0 0 0 0 0 0 0 0 0 13200 532 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 5304 380 6808 388 0 0 0 0 0 0 0 0 0 0
-node "a_159_n100#" 653 -171.38 159 -100 pdif 0 0 0 0 0 0 0 0 0 0 13200 532 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 5304 380 6808 388 0 0 0 0 0 0 0 0 0 0
-node "a_63_n100#" 653 -171.38 63 -100 pdif 0 0 0 0 0 0 0 0 0 0 13200 532 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 5304 380 6808 388 0 0 0 0 0 0 0 0 0 0
-node "a_n33_n100#" 653 -171.38 -33 -100 pdif 0 0 0 0 0 0 0 0 0 0 13200 532 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 5304 380 6808 388 0 0 0 0 0 0 0 0 0 0
-node "a_n129_n100#" 653 -171.38 -129 -100 pdif 0 0 0 0 0 0 0 0 0 0 13200 532 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 5304 380 6808 388 0 0 0 0 0 0 0 0 0 0
-node "a_n225_n100#" 653 -171.38 -225 -100 pdif 0 0 0 0 0 0 0 0 0 0 13200 532 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 5304 380 6808 388 0 0 0 0 0 0 0 0 0 0
-node "a_n321_n100#" 653 -171.38 -321 -100 pdif 0 0 0 0 0 0 0 0 0 0 13200 532 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 5304 380 6808 388 0 0 0 0 0 0 0 0 0 0
-node "a_n417_n100#" 653 -171.38 -417 -100 pdif 0 0 0 0 0 0 0 0 0 0 13200 532 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 5304 380 6808 388 0 0 0 0 0 0 0 0 0 0
-node "a_n513_n100#" 653 -171.38 -513 -100 pdif 0 0 0 0 0 0 0 0 0 0 13200 532 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 5304 380 6808 388 0 0 0 0 0 0 0 0 0 0
-node "a_n609_n100#" 653 -171.38 -609 -100 pdif 0 0 0 0 0 0 0 0 0 0 13200 532 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 5304 380 6808 388 0 0 0 0 0 0 0 0 0 0
-node "a_n705_n100#" 653 -171.38 -705 -100 pdif 0 0 0 0 0 0 0 0 0 0 13200 532 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 5304 380 6808 388 0 0 0 0 0 0 0 0 0 0
-node "a_n797_n74#" 712 -171.38 -797 -74 pdif 0 0 0 0 0 0 0 0 0 0 12192 524 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 5304 380 6808 388 0 0 0 0 0 0 0 0 0 0
-node "a_705_n126#" 411 4.452 705 -126 p 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 7680 572 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0
-node "a_609_n128#" 408 4.293 609 -128 p 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 7620 568 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0
-node "a_513_n126#" 411 4.452 513 -126 p 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 7680 572 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0
-node "a_417_n128#" 408 4.293 417 -128 p 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 7620 568 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0
-node "a_321_n126#" 411 4.452 321 -126 p 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 7680 572 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0
-node "a_225_n128#" 408 4.293 225 -128 p 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 7620 568 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0
-node "a_129_n126#" 411 4.452 129 -126 p 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 7680 572 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0
-node "a_33_n128#" 408 4.293 33 -128 p 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 7620 568 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0
-node "a_n63_n126#" 411 4.452 -63 -126 p 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 7680 572 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0
-node "a_n159_n128#" 408 4.293 -159 -128 p 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 7620 568 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0
-node "a_n255_n126#" 411 4.452 -255 -126 p 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 7680 572 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0
-node "a_n351_n128#" 408 4.293 -351 -128 p 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 7620 568 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0
-node "a_n447_n126#" 411 4.452 -447 -126 p 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 7680 572 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0
-node "a_n543_n128#" 408 4.293 -543 -128 p 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 7620 568 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0
-node "a_n639_n126#" 411 4.452 -639 -126 p 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 7680 572 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0
-node "a_n735_n128#" 408 4.293 -735 -128 p 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 7620 568 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0
-node "w_n833_n200#" 7208 1977.31 -833 -200 nw 0 0 0 0 659104 4132 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0
-substrate "VSUBS" 0 0 -1073741817 -1073741817 space 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0
-cap "w_n833_n200#" "a_447_n100#" 157.44
-cap "w_n833_n200#" "a_n129_n100#" 157.44
-cap "a_n225_n100#" "w_n833_n200#" 157.44
-cap "a_n797_n74#" "a_n417_n100#" 34.4127
-cap "a_n797_n74#" "a_n609_n100#" 78.1987
-cap "a_513_n126#" "a_609_n128#" 18.1212
-cap "a_n797_n74#" "a_n513_n100#" 47.7884
-cap "a_513_n126#" "a_705_n126#" 7.95062
-cap "a_63_n100#" "w_n833_n200#" 157.44
-cap "a_n33_n100#" "w_n833_n200#" 157.44
-cap "a_159_n100#" "w_n833_n200#" 157.44
-cap "w_n833_n200#" "a_351_n100#" 157.44
-cap "w_n833_n200#" "a_255_n100#" 157.44
-cap "a_n609_n100#" "a_n705_n100#" 216.232
-cap "a_n705_n100#" "a_n417_n100#" 47.7884
-cap "a_n513_n100#" "a_n705_n100#" 78.1987
-cap "a_n321_n100#" "w_n833_n200#" 157.44
-cap "a_609_n128#" "a_225_n128#" 3.50847
-cap "a_321_n126#" "a_609_n128#" 4.63566
-cap "a_417_n128#" "a_705_n126#" 4.63566
-cap "a_417_n128#" "a_609_n128#" 7.66667
-cap "a_321_n126#" "a_705_n126#" 3.63842
-cap "a_n609_n100#" "a_n417_n100#" 78.1987
-cap "a_n513_n100#" "a_n609_n100#" 216.232
-cap "a_n513_n100#" "a_n417_n100#" 216.232
-cap "w_n833_n200#" "a_609_n128#" 46.2
-cap "w_n833_n200#" "a_705_n126#" 47.3
-cap "a_n797_n74#" "w_n833_n200#" 157.44
-cap "a_n159_n128#" "a_n63_n126#" 18.1212
-cap "w_n833_n200#" "a_n705_n100#" 157.44
-cap "a_129_n126#" "a_513_n126#" 3.63842
-cap "a_33_n128#" "a_n63_n126#" 18.1212
-cap "a_n159_n128#" "a_33_n128#" 7.66667
-cap "a_513_n126#" "a_225_n128#" 4.63566
-cap "a_129_n126#" "a_n63_n126#" 7.95062
-cap "a_n159_n128#" "a_129_n126#" 4.63566
-cap "a_513_n126#" "a_321_n126#" 7.95062
-cap "a_513_n126#" "a_417_n128#" 18.1212
-cap "a_129_n126#" "a_33_n128#" 18.1212
-cap "w_n833_n200#" "a_n417_n100#" 157.44
-cap "a_n513_n100#" "w_n833_n200#" 157.44
-cap "w_n833_n200#" "a_n609_n100#" 157.44
-cap "a_225_n128#" "a_n63_n126#" 4.63566
-cap "a_n159_n128#" "a_225_n128#" 3.50847
-cap "a_321_n126#" "a_n63_n126#" 3.63842
-cap "a_513_n126#" "w_n833_n200#" 47.3
-cap "a_33_n128#" "a_225_n128#" 7.66667
-cap "a_321_n126#" "a_33_n128#" 4.63566
-cap "a_n159_n128#" "a_n255_n126#" 18.1212
-cap "a_129_n126#" "a_225_n128#" 18.1212
-cap "a_417_n128#" "a_33_n128#" 3.50847
-cap "a_n159_n128#" "w_n833_n200#" 46.2
-cap "a_n255_n126#" "a_n63_n126#" 7.95062
-cap "a_129_n126#" "a_321_n126#" 7.95062
-cap "w_n833_n200#" "a_n63_n126#" 47.3
-cap "a_129_n126#" "a_417_n128#" 4.63566
-cap "a_33_n128#" "w_n833_n200#" 46.2
-cap "a_n255_n126#" "a_33_n128#" 4.63566
-cap "a_n255_n126#" "a_129_n126#" 3.63842
-cap "a_n159_n128#" "a_n543_n128#" 3.50847
-cap "a_417_n128#" "a_225_n128#" 7.66667
-cap "a_321_n126#" "a_225_n128#" 18.1212
-cap "a_129_n126#" "w_n833_n200#" 47.3
-cap "a_n159_n128#" "a_n447_n126#" 4.63566
-cap "a_n447_n126#" "a_n63_n126#" 3.63842
-cap "a_321_n126#" "a_417_n128#" 18.1212
-cap "a_n159_n128#" "a_n351_n128#" 7.66667
-cap "w_n833_n200#" "a_225_n128#" 46.2
-cap "a_n351_n128#" "a_n63_n126#" 4.63566
-cap "a_321_n126#" "w_n833_n200#" 47.3
-cap "a_33_n128#" "a_n351_n128#" 3.50847
-cap "a_417_n128#" "w_n833_n200#" 46.2
-cap "a_n255_n126#" "w_n833_n200#" 47.3
-cap "a_n255_n126#" "a_n447_n126#" 7.95062
-cap "a_n255_n126#" "a_n543_n128#" 4.63566
-cap "a_n543_n128#" "w_n833_n200#" 46.2
-cap "a_n639_n126#" "a_n255_n126#" 3.63842
-cap "w_n833_n200#" "a_n735_n128#" 46.2
-cap "a_n447_n126#" "w_n833_n200#" 47.3
-cap "a_n639_n126#" "w_n833_n200#" 47.3
-cap "a_n255_n126#" "a_n351_n128#" 18.1212
-cap "a_n639_n126#" "a_n543_n128#" 18.1212
-cap "a_n543_n128#" "a_n735_n128#" 7.66667
-cap "a_n639_n126#" "a_n735_n128#" 18.1212
-cap "w_n833_n200#" "a_n351_n128#" 46.2
-cap "a_n543_n128#" "a_n447_n126#" 18.1212
-cap "a_n639_n126#" "a_n447_n126#" 7.95062
-cap "a_n447_n126#" "a_n735_n128#" 4.63566
-cap "a_n735_n128#" "a_n351_n128#" 3.50847
-cap "a_n447_n126#" "a_n351_n128#" 18.1212
-cap "a_n543_n128#" "a_n351_n128#" 7.66667
-cap "a_n639_n126#" "a_n351_n128#" 4.63566
-cap "a_639_n100#" "a_543_n100#" 216.232
-cap "a_543_n100#" "a_735_n100#" 78.1987
-cap "a_639_n100#" "a_735_n100#" 216.232
-cap "a_543_n100#" "a_447_n100#" 216.232
-cap "a_639_n100#" "a_447_n100#" 78.1987
-cap "a_735_n100#" "a_447_n100#" 47.7884
-cap "a_159_n100#" "a_543_n100#" 34.4127
-cap "a_543_n100#" "a_351_n100#" 78.1987
-cap "a_543_n100#" "a_255_n100#" 47.7884
-cap "a_639_n100#" "a_351_n100#" 47.7884
-cap "a_639_n100#" "a_255_n100#" 34.4127
-cap "a_735_n100#" "a_351_n100#" 34.4127
-cap "a_n225_n100#" "a_n129_n100#" 216.232
-cap "a_63_n100#" "a_447_n100#" 34.4127
-cap "a_159_n100#" "a_447_n100#" 47.7884
-cap "a_n33_n100#" "a_n225_n100#" 78.1987
-cap "a_63_n100#" "a_n129_n100#" 78.1987
-cap "a_n33_n100#" "a_n129_n100#" 216.232
-cap "a_n225_n100#" "a_63_n100#" 47.7884
-cap "a_351_n100#" "a_447_n100#" 216.232
-cap "a_255_n100#" "a_447_n100#" 78.1987
-cap "a_159_n100#" "a_n225_n100#" 34.4127
-cap "a_159_n100#" "a_n129_n100#" 47.7884
-cap "a_n33_n100#" "a_63_n100#" 216.232
-cap "a_n33_n100#" "a_159_n100#" 78.1987
-cap "a_n129_n100#" "a_255_n100#" 34.4127
-cap "a_159_n100#" "a_63_n100#" 216.232
-cap "a_n33_n100#" "a_351_n100#" 34.4127
-cap "a_n33_n100#" "a_255_n100#" 47.7884
-cap "a_n321_n100#" "a_n129_n100#" 78.1987
-cap "a_n225_n100#" "a_n321_n100#" 216.232
-cap "a_63_n100#" "a_351_n100#" 47.7884
-cap "a_63_n100#" "a_255_n100#" 78.1987
-cap "a_159_n100#" "a_351_n100#" 78.1987
-cap "a_159_n100#" "a_255_n100#" 216.232
-cap "a_n33_n100#" "a_n321_n100#" 47.7884
-cap "a_255_n100#" "a_351_n100#" 216.232
-cap "a_n321_n100#" "a_63_n100#" 34.4127
-cap "a_543_n100#" "w_n833_n200#" 157.44
-cap "a_639_n100#" "w_n833_n200#" 157.44
-cap "w_n833_n200#" "a_735_n100#" 157.44
-cap "a_n225_n100#" "a_n609_n100#" 34.4127
-cap "a_n129_n100#" "a_n417_n100#" 47.7884
-cap "a_n225_n100#" "a_n417_n100#" 78.1987
-cap "a_n513_n100#" "a_n129_n100#" 34.4127
-cap "a_n513_n100#" "a_n225_n100#" 47.7884
-cap "a_705_n126#" "a_609_n128#" 18.1212
-cap "a_n33_n100#" "a_n417_n100#" 34.4127
-cap "a_n321_n100#" "a_n705_n100#" 34.4127
-cap "a_n321_n100#" "a_n417_n100#" 216.232
-cap "a_n513_n100#" "a_n321_n100#" 78.1987
-cap "a_n321_n100#" "a_n609_n100#" 47.7884
-cap "a_n797_n74#" "a_n705_n100#" 216.232
-device msubckt sky130_fd_pr__pfet_01v8 705 -100 706 -99 l=30 w=200 "w_n833_n200#" "a_705_n126#" 60 0 "a_639_n100#" 200 0 "a_735_n100#" 200 0
-device msubckt sky130_fd_pr__pfet_01v8 609 -100 610 -99 l=30 w=200 "w_n833_n200#" "a_609_n128#" 60 0 "a_543_n100#" 200 0 "a_639_n100#" 200 0
-device msubckt sky130_fd_pr__pfet_01v8 513 -100 514 -99 l=30 w=200 "w_n833_n200#" "a_513_n126#" 60 0 "a_447_n100#" 200 0 "a_543_n100#" 200 0
-device msubckt sky130_fd_pr__pfet_01v8 417 -100 418 -99 l=30 w=200 "w_n833_n200#" "a_417_n128#" 60 0 "a_351_n100#" 200 0 "a_447_n100#" 200 0
-device msubckt sky130_fd_pr__pfet_01v8 321 -100 322 -99 l=30 w=200 "w_n833_n200#" "a_321_n126#" 60 0 "a_255_n100#" 200 0 "a_351_n100#" 200 0
-device msubckt sky130_fd_pr__pfet_01v8 225 -100 226 -99 l=30 w=200 "w_n833_n200#" "a_225_n128#" 60 0 "a_159_n100#" 200 0 "a_255_n100#" 200 0
-device msubckt sky130_fd_pr__pfet_01v8 129 -100 130 -99 l=30 w=200 "w_n833_n200#" "a_129_n126#" 60 0 "a_63_n100#" 200 0 "a_159_n100#" 200 0
-device msubckt sky130_fd_pr__pfet_01v8 33 -100 34 -99 l=30 w=200 "w_n833_n200#" "a_33_n128#" 60 0 "a_n33_n100#" 200 0 "a_63_n100#" 200 0
-device msubckt sky130_fd_pr__pfet_01v8 -63 -100 -62 -99 l=30 w=200 "w_n833_n200#" "a_n63_n126#" 60 0 "a_n129_n100#" 200 0 "a_n33_n100#" 200 0
-device msubckt sky130_fd_pr__pfet_01v8 -159 -100 -158 -99 l=30 w=200 "w_n833_n200#" "a_n159_n128#" 60 0 "a_n225_n100#" 200 0 "a_n129_n100#" 200 0
-device msubckt sky130_fd_pr__pfet_01v8 -255 -100 -254 -99 l=30 w=200 "w_n833_n200#" "a_n255_n126#" 60 0 "a_n321_n100#" 200 0 "a_n225_n100#" 200 0
-device msubckt sky130_fd_pr__pfet_01v8 -351 -100 -350 -99 l=30 w=200 "w_n833_n200#" "a_n351_n128#" 60 0 "a_n417_n100#" 200 0 "a_n321_n100#" 200 0
-device msubckt sky130_fd_pr__pfet_01v8 -447 -100 -446 -99 l=30 w=200 "w_n833_n200#" "a_n447_n126#" 60 0 "a_n513_n100#" 200 0 "a_n417_n100#" 200 0
-device msubckt sky130_fd_pr__pfet_01v8 -543 -100 -542 -99 l=30 w=200 "w_n833_n200#" "a_n543_n128#" 60 0 "a_n609_n100#" 200 0 "a_n513_n100#" 200 0
-device msubckt sky130_fd_pr__pfet_01v8 -639 -100 -638 -99 l=30 w=200 "w_n833_n200#" "a_n639_n126#" 60 0 "a_n705_n100#" 200 0 "a_n609_n100#" 200 0
-device msubckt sky130_fd_pr__pfet_01v8 -735 -100 -734 -99 l=30 w=200 "w_n833_n200#" "a_n735_n128#" 60 0 "a_n797_n74#" 200 0 "a_n705_n100#" 200 0
diff --git a/mag/myinv_layout2/sky130_fd_pr__pfet_01v8_RL4NCG.mag b/mag/myinv_layout2/sky130_fd_pr__pfet_01v8_RL4NCG.mag
deleted file mode 100755
index bc38771..0000000
--- a/mag/myinv_layout2/sky130_fd_pr__pfet_01v8_RL4NCG.mag
+++ /dev/null
@@ -1,276 +0,0 @@
-magic
-tech sky130A
-magscale 1 2
-timestamp 1646319668
-<< nwell >>
-rect -737 162 833 200
-rect -833 -162 833 162
-rect -833 -200 737 -162
-<< pmos >>
-rect -735 -100 -705 100
-rect -639 -100 -609 100
-rect -543 -100 -513 100
-rect -447 -100 -417 100
-rect -351 -100 -321 100
-rect -255 -100 -225 100
-rect -159 -100 -129 100
-rect -63 -100 -33 100
-rect 33 -100 63 100
-rect 129 -100 159 100
-rect 225 -100 255 100
-rect 321 -100 351 100
-rect 417 -100 447 100
-rect 513 -100 543 100
-rect 609 -100 639 100
-rect 705 -100 735 100
-<< pdiff >>
-rect -793 74 -735 100
-rect -797 62 -735 74
-rect -797 -62 -785 62
-rect -751 -62 -735 62
-rect -797 -74 -735 -62
-rect -793 -100 -735 -74
-rect -705 62 -639 100
-rect -705 -62 -689 62
-rect -655 -62 -639 62
-rect -705 -100 -639 -62
-rect -609 62 -543 100
-rect -609 -62 -593 62
-rect -559 -62 -543 62
-rect -609 -100 -543 -62
-rect -513 62 -447 100
-rect -513 -62 -497 62
-rect -463 -62 -447 62
-rect -513 -100 -447 -62
-rect -417 62 -351 100
-rect -417 -62 -401 62
-rect -367 -62 -351 62
-rect -417 -100 -351 -62
-rect -321 62 -255 100
-rect -321 -62 -305 62
-rect -271 -62 -255 62
-rect -321 -100 -255 -62
-rect -225 62 -159 100
-rect -225 -62 -209 62
-rect -175 -62 -159 62
-rect -225 -100 -159 -62
-rect -129 62 -63 100
-rect -129 -62 -113 62
-rect -79 -62 -63 62
-rect -129 -100 -63 -62
-rect -33 62 33 100
-rect -33 -62 -17 62
-rect 17 -62 33 62
-rect -33 -100 33 -62
-rect 63 62 129 100
-rect 63 -62 79 62
-rect 113 -62 129 62
-rect 63 -100 129 -62
-rect 159 62 225 100
-rect 159 -62 175 62
-rect 209 -62 225 62
-rect 159 -100 225 -62
-rect 255 62 321 100
-rect 255 -62 271 62
-rect 305 -62 321 62
-rect 255 -100 321 -62
-rect 351 62 417 100
-rect 351 -62 367 62
-rect 401 -62 417 62
-rect 351 -100 417 -62
-rect 447 62 513 100
-rect 447 -62 463 62
-rect 497 -62 513 62
-rect 447 -100 513 -62
-rect 543 62 609 100
-rect 543 -62 559 62
-rect 593 -62 609 62
-rect 543 -100 609 -62
-rect 639 62 705 100
-rect 639 -62 655 62
-rect 689 -62 705 62
-rect 639 -100 705 -62
-rect 735 74 793 100
-rect 735 62 797 74
-rect 735 -62 751 62
-rect 785 -62 797 62
-rect 735 -74 797 -62
-rect 735 -100 793 -74
-<< pdiffc >>
-rect -785 -62 -751 62
-rect -689 -62 -655 62
-rect -593 -62 -559 62
-rect -497 -62 -463 62
-rect -401 -62 -367 62
-rect -305 -62 -271 62
-rect -209 -62 -175 62
-rect -113 -62 -79 62
-rect -17 -62 17 62
-rect 79 -62 113 62
-rect 175 -62 209 62
-rect 271 -62 305 62
-rect 367 -62 401 62
-rect 463 -62 497 62
-rect 559 -62 593 62
-rect 655 -62 689 62
-rect 751 -62 785 62
-<< poly >>
-rect -735 100 -705 126
-rect -639 100 -609 130
-rect -543 100 -513 126
-rect -447 100 -417 130
-rect -351 100 -321 126
-rect -255 100 -225 130
-rect -159 100 -129 126
-rect -63 100 -33 130
-rect 33 100 63 126
-rect 129 100 159 130
-rect 225 100 255 126
-rect 321 100 351 130
-rect 417 100 447 126
-rect 513 100 543 130
-rect 609 100 639 126
-rect 705 100 735 130
-rect -735 -128 -705 -100
-rect -639 -126 -609 -100
-rect -543 -128 -513 -100
-rect -447 -126 -417 -100
-rect -351 -128 -321 -100
-rect -255 -126 -225 -100
-rect -159 -128 -129 -100
-rect -63 -126 -33 -100
-rect 33 -128 63 -100
-rect 129 -126 159 -100
-rect 225 -128 255 -100
-rect 321 -126 351 -100
-rect 417 -128 447 -100
-rect 513 -126 543 -100
-rect 609 -128 639 -100
-rect 705 -126 735 -100
-<< locali >>
-rect -785 62 -751 78
-rect -785 -78 -751 -62
-rect -689 62 -655 78
-rect -689 -78 -655 -62
-rect -593 62 -559 78
-rect -593 -78 -559 -62
-rect -497 62 -463 78
-rect -497 -78 -463 -62
-rect -401 62 -367 78
-rect -401 -78 -367 -62
-rect -305 62 -271 78
-rect -305 -78 -271 -62
-rect -209 62 -175 78
-rect -209 -78 -175 -62
-rect -113 62 -79 78
-rect -113 -78 -79 -62
-rect -17 62 17 78
-rect -17 -78 17 -62
-rect 79 62 113 78
-rect 79 -78 113 -62
-rect 175 62 209 78
-rect 175 -78 209 -62
-rect 271 62 305 78
-rect 271 -78 305 -62
-rect 367 62 401 78
-rect 367 -78 401 -62
-rect 463 62 497 78
-rect 463 -78 497 -62
-rect 559 62 593 78
-rect 559 -78 593 -62
-rect 655 62 689 78
-rect 655 -78 689 -62
-rect 751 62 785 78
-rect 751 -78 785 -62
-<< viali >>
-rect -785 -62 -751 62
-rect -689 -62 -655 62
-rect -593 -62 -559 62
-rect -497 -62 -463 62
-rect -401 -62 -367 62
-rect -305 -62 -271 62
-rect -209 -62 -175 62
-rect -113 -62 -79 62
-rect -17 -62 17 62
-rect 79 -62 113 62
-rect 175 -62 209 62
-rect 271 -62 305 62
-rect 367 -62 401 62
-rect 463 -62 497 62
-rect 559 -62 593 62
-rect 655 -62 689 62
-rect 751 -62 785 62
-<< metal1 >>
-rect -791 62 -745 74
-rect -791 -62 -785 62
-rect -751 -62 -745 62
-rect -791 -74 -745 -62
-rect -695 62 -649 74
-rect -695 -62 -689 62
-rect -655 -62 -649 62
-rect -695 -74 -649 -62
-rect -599 62 -553 74
-rect -599 -62 -593 62
-rect -559 -62 -553 62
-rect -599 -74 -553 -62
-rect -503 62 -457 74
-rect -503 -62 -497 62
-rect -463 -62 -457 62
-rect -503 -74 -457 -62
-rect -407 62 -361 74
-rect -407 -62 -401 62
-rect -367 -62 -361 62
-rect -407 -74 -361 -62
-rect -311 62 -265 74
-rect -311 -62 -305 62
-rect -271 -62 -265 62
-rect -311 -74 -265 -62
-rect -215 62 -169 74
-rect -215 -62 -209 62
-rect -175 -62 -169 62
-rect -215 -74 -169 -62
-rect -119 62 -73 74
-rect -119 -62 -113 62
-rect -79 -62 -73 62
-rect -119 -74 -73 -62
-rect -23 62 23 74
-rect -23 -62 -17 62
-rect 17 -62 23 62
-rect -23 -74 23 -62
-rect 73 62 119 74
-rect 73 -62 79 62
-rect 113 -62 119 62
-rect 73 -74 119 -62
-rect 169 62 215 74
-rect 169 -62 175 62
-rect 209 -62 215 62
-rect 169 -74 215 -62
-rect 265 62 311 74
-rect 265 -62 271 62
-rect 305 -62 311 62
-rect 265 -74 311 -62
-rect 361 62 407 74
-rect 361 -62 367 62
-rect 401 -62 407 62
-rect 361 -74 407 -62
-rect 457 62 503 74
-rect 457 -62 463 62
-rect 497 -62 503 62
-rect 457 -74 503 -62
-rect 553 62 599 74
-rect 553 -62 559 62
-rect 593 -62 599 62
-rect 553 -74 599 -62
-rect 649 62 695 74
-rect 649 -62 655 62
-rect 689 -62 695 62
-rect 649 -74 695 -62
-rect 745 62 791 74
-rect 745 -62 751 62
-rect 785 -62 791 62
-rect 745 -74 791 -62
-<< properties >>
-string gencell sky130_fd_pr__pfet_01v8
-string library sky130
-string parameters w 1 l 0.15 m 1 nf 16 diffcov 70 polycov 70 guard 0 glc 0 grc 0 gtc 0 gbc 0 tbcov 70 rlcov 70 topc 0 botc 0 poverlap 0 doverlap 1 lmin 0.15 wmin 0.42 compatible {sky130_fd_pr__pfet_01v8  sky130_fd_pr__pfet_01v8_lvt sky130_fd_pr__pfet_01v8_hvt  sky130_fd_pr__pfet_g5v0d10v5} full_metal 0 viasrc 70 viadrn 70 viagate 70 viagb 0 viagr 0 viagl 0 viagt 0
-<< end >>
diff --git a/mag/myinv_layout2/sky130_fd_pr__pfet_01v8_RP57DD.mag b/mag/myinv_layout2/sky130_fd_pr__pfet_01v8_RP57DD.mag
deleted file mode 100755
index 2b87be0..0000000
--- a/mag/myinv_layout2/sky130_fd_pr__pfet_01v8_RP57DD.mag
+++ /dev/null
@@ -1,257 +0,0 @@
-magic
-tech sky130A
-magscale 1 2
-timestamp 1646259600
-<< error_p >>
-rect -353 262 449 300
-rect -449 -262 449 262
-rect -449 -300 353 -262
-<< nwell >>
-rect -353 262 449 300
-rect -449 -262 449 262
-rect -449 -300 353 -262
-<< pmos >>
-rect -351 -200 -321 200
-rect -255 -200 -225 200
-rect -159 -200 -129 200
-rect -63 -200 -33 200
-rect 33 -200 63 200
-rect 129 -200 159 200
-rect 225 -200 255 200
-rect 321 -200 351 200
-<< pdiff >>
-rect -409 144 -351 200
-rect -413 132 -351 144
-rect -413 -132 -401 132
-rect -367 -132 -351 132
-rect -413 -144 -351 -132
-rect -409 -200 -351 -144
-rect -321 132 -255 200
-rect -321 -132 -305 132
-rect -271 -132 -255 132
-rect -321 -200 -255 -132
-rect -225 132 -159 200
-rect -225 -132 -209 132
-rect -175 -132 -159 132
-rect -225 -200 -159 -132
-rect -129 132 -63 200
-rect -129 -132 -113 132
-rect -79 -132 -63 132
-rect -129 -200 -63 -132
-rect -33 132 33 200
-rect -33 -132 -17 132
-rect 17 -132 33 132
-rect -33 -200 33 -132
-rect 63 132 129 200
-rect 63 -132 79 132
-rect 113 -132 129 132
-rect 63 -200 129 -132
-rect 159 132 225 200
-rect 159 -132 175 132
-rect 209 -132 225 132
-rect 159 -200 225 -132
-rect 255 132 321 200
-rect 255 -132 271 132
-rect 305 -132 321 132
-rect 255 -200 321 -132
-rect 351 144 409 200
-rect 351 132 413 144
-rect 351 -132 367 132
-rect 401 -132 413 132
-rect 351 -144 413 -132
-rect 351 -200 409 -144
-<< pdiffc >>
-rect -401 -132 -367 132
-rect -305 -132 -271 132
-rect -209 -132 -175 132
-rect -113 -132 -79 132
-rect -17 -132 17 132
-rect 79 -132 113 132
-rect 175 -132 209 132
-rect 271 -132 305 132
-rect 367 -132 401 132
-<< poly >>
-rect -273 281 -207 297
-rect -273 247 -257 281
-rect -223 247 -207 281
-rect -273 231 -207 247
-rect -81 281 -15 297
-rect -81 247 -65 281
-rect -31 247 -15 281
-rect -81 231 -15 247
-rect 111 281 177 297
-rect 111 247 127 281
-rect 161 247 177 281
-rect 111 231 177 247
-rect 303 281 369 297
-rect 303 247 319 281
-rect 353 247 369 281
-rect 303 231 369 247
-rect -351 200 -321 226
-rect -255 200 -225 231
-rect -159 200 -129 226
-rect -63 200 -33 231
-rect 33 200 63 226
-rect 129 200 159 231
-rect 225 200 255 226
-rect 321 200 351 231
-rect -351 -231 -321 -200
-rect -255 -226 -225 -200
-rect -159 -231 -129 -200
-rect -63 -226 -33 -200
-rect 33 -231 63 -200
-rect 129 -226 159 -200
-rect 225 -231 255 -200
-rect 321 -226 351 -200
-rect -369 -247 -303 -231
-rect -369 -281 -353 -247
-rect -319 -281 -303 -247
-rect -369 -297 -303 -281
-rect -177 -247 -111 -231
-rect -177 -281 -161 -247
-rect -127 -281 -111 -247
-rect -177 -297 -111 -281
-rect 15 -247 81 -231
-rect 15 -281 31 -247
-rect 65 -281 81 -247
-rect 15 -297 81 -281
-rect 207 -247 273 -231
-rect 207 -281 223 -247
-rect 257 -281 273 -247
-rect 207 -297 273 -281
-<< polycont >>
-rect -257 247 -223 281
-rect -65 247 -31 281
-rect 127 247 161 281
-rect 319 247 353 281
-rect -353 -281 -319 -247
-rect -161 -281 -127 -247
-rect 31 -281 65 -247
-rect 223 -281 257 -247
-<< locali >>
-rect -273 247 -257 281
-rect -223 247 -207 281
-rect -81 247 -65 281
-rect -31 247 -15 281
-rect 111 247 127 281
-rect 161 247 177 281
-rect 303 247 319 281
-rect 353 247 369 281
-rect -401 132 -367 148
-rect -401 -148 -367 -132
-rect -305 132 -271 148
-rect -305 -148 -271 -132
-rect -209 132 -175 148
-rect -209 -148 -175 -132
-rect -113 132 -79 148
-rect -113 -148 -79 -132
-rect -17 132 17 148
-rect -17 -148 17 -132
-rect 79 132 113 148
-rect 79 -148 113 -132
-rect 175 132 209 148
-rect 175 -148 209 -132
-rect 271 132 305 148
-rect 271 -148 305 -132
-rect 367 132 401 148
-rect 367 -148 401 -132
-rect -369 -281 -353 -247
-rect -319 -281 -303 -247
-rect -177 -281 -161 -247
-rect -127 -281 -111 -247
-rect 15 -281 31 -247
-rect 65 -281 81 -247
-rect 207 -281 223 -247
-rect 257 -281 273 -247
-<< viali >>
-rect -257 247 -223 281
-rect -65 247 -31 281
-rect 127 247 161 281
-rect 319 247 353 281
-rect -401 -132 -367 132
-rect -305 -132 -271 132
-rect -209 -132 -175 132
-rect -113 -132 -79 132
-rect -17 -132 17 132
-rect 79 -132 113 132
-rect 175 -132 209 132
-rect 271 -132 305 132
-rect 367 -132 401 132
-rect -353 -281 -319 -247
-rect -161 -281 -127 -247
-rect 31 -281 65 -247
-rect 223 -281 257 -247
-<< metal1 >>
-rect -269 281 -211 287
-rect -269 247 -257 281
-rect -223 247 -211 281
-rect -269 241 -211 247
-rect -77 281 -19 287
-rect -77 247 -65 281
-rect -31 247 -19 281
-rect -77 241 -19 247
-rect 115 281 173 287
-rect 115 247 127 281
-rect 161 247 173 281
-rect 115 241 173 247
-rect 307 281 365 287
-rect 307 247 319 281
-rect 353 247 365 281
-rect 307 241 365 247
-rect -407 132 -361 144
-rect -407 -132 -401 132
-rect -367 -132 -361 132
-rect -407 -144 -361 -132
-rect -311 132 -265 144
-rect -311 -132 -305 132
-rect -271 -132 -265 132
-rect -311 -144 -265 -132
-rect -215 132 -169 144
-rect -215 -132 -209 132
-rect -175 -132 -169 132
-rect -215 -144 -169 -132
-rect -119 132 -73 144
-rect -119 -132 -113 132
-rect -79 -132 -73 132
-rect -119 -144 -73 -132
-rect -23 132 23 144
-rect -23 -132 -17 132
-rect 17 -132 23 132
-rect -23 -144 23 -132
-rect 73 132 119 144
-rect 73 -132 79 132
-rect 113 -132 119 132
-rect 73 -144 119 -132
-rect 169 132 215 144
-rect 169 -132 175 132
-rect 209 -132 215 132
-rect 169 -144 215 -132
-rect 265 132 311 144
-rect 265 -132 271 132
-rect 305 -132 311 132
-rect 265 -144 311 -132
-rect 361 132 407 144
-rect 361 -132 367 132
-rect 401 -132 407 132
-rect 361 -144 407 -132
-rect -365 -247 -307 -241
-rect -365 -281 -353 -247
-rect -319 -281 -307 -247
-rect -365 -287 -307 -281
-rect -173 -247 -115 -241
-rect -173 -281 -161 -247
-rect -127 -281 -115 -247
-rect -173 -287 -115 -281
-rect 19 -247 77 -241
-rect 19 -281 31 -247
-rect 65 -281 77 -247
-rect 19 -287 77 -281
-rect 211 -247 269 -241
-rect 211 -281 223 -247
-rect 257 -281 269 -247
-rect 211 -287 269 -281
-<< properties >>
-string gencell sky130_fd_pr__pfet_01v8
-string library sky130
-string parameters w 2 l 0.15 m 1 nf 8 diffcov 70 polycov 70 guard 0 glc 0 grc 0 gtc 0 gbc 0 tbcov 70 rlcov 70 topc 0 botc 0 poverlap 0 doverlap 1 lmin 0.15 wmin 0.42 compatible {sky130_fd_pr__pfet_01v8  sky130_fd_pr__pfet_01v8_lvt sky130_fd_pr__pfet_01v8_hvt  sky130_fd_pr__pfet_g5v0d10v5} full_metal 0 viasrc 70 viadrn 70 viagate 70 viagb 0 viagr 0 viagl 0 viagt 0
-<< end >>
diff --git a/mag/myinv_layout2/sky130_fd_pr__pfet_01v8_SBMASV.mag b/mag/myinv_layout2/sky130_fd_pr__pfet_01v8_SBMASV.mag
deleted file mode 100755
index b6f661d..0000000
--- a/mag/myinv_layout2/sky130_fd_pr__pfet_01v8_SBMASV.mag
+++ /dev/null
@@ -1,87 +0,0 @@
-magic
-tech sky130A
-magscale 1 2
-timestamp 1645025748
-<< error_p >>
-rect 19 181 77 187
-rect 19 147 31 181
-rect 19 141 77 147
-<< nwell >>
-rect -317 -202 169 204
-<< pmos >>
-rect -63 -100 -33 100
-rect 33 -100 63 100
-<< pdiff >>
-rect -139 62 -63 100
-rect -139 -62 -113 62
-rect -79 -62 -63 62
-rect -139 -100 -63 -62
-rect -33 62 33 100
-rect -33 -62 -17 62
-rect 17 -62 33 62
-rect -33 -100 33 -62
-rect 63 74 121 100
-rect 63 62 125 74
-rect 63 -62 79 62
-rect 113 -62 125 62
-rect 63 -74 125 -62
-rect 63 -100 121 -74
-<< pdiffc >>
-rect -113 -62 -79 62
-rect -17 -62 17 62
-rect 79 -62 113 62
-<< nsubdiff >>
-rect -267 100 -179 124
-rect -179 -100 -139 100
-rect -267 -124 -179 -100
-<< nsubdiffcont >>
-rect -267 -100 -179 100
-<< poly >>
-rect 15 181 81 197
-rect 15 147 31 181
-rect 65 147 81 181
-rect 15 131 81 147
-rect -63 100 -33 126
-rect 33 100 63 131
-rect -63 -130 -33 -100
-rect 33 -126 63 -100
-<< polycont >>
-rect 31 147 65 181
-<< locali >>
-rect 15 147 31 181
-rect 65 147 81 181
-rect -267 100 -179 116
-rect -113 62 -79 78
-rect -113 -78 -79 -62
-rect -17 62 17 78
-rect -17 -78 17 -62
-rect 79 62 113 78
-rect 79 -78 113 -62
-rect -267 -116 -179 -100
-<< viali >>
-rect 31 147 65 181
-rect -113 -44 -79 44
-rect -17 -44 17 44
-rect 79 -44 113 44
-<< metal1 >>
-rect 19 181 77 187
-rect 19 147 31 181
-rect 65 147 77 181
-rect 19 141 77 147
-rect -119 44 -73 56
-rect -119 -44 -113 44
-rect -79 -44 -73 44
-rect -119 -56 -73 -44
-rect -23 44 23 56
-rect -23 -44 -17 44
-rect 17 -44 23 44
-rect -23 -56 23 -44
-rect 73 44 119 56
-rect 73 -44 79 44
-rect 113 -44 119 44
-rect 73 -56 119 -44
-<< properties >>
-string gencell sky130_fd_pr__pfet_01v8
-string library sky130
-string parameters w 1 l 0.15 m 1 nf 2 diffcov 70 polycov 70 guard 0 glc 0 grc 0 gtc 0 gbc 0 tbcov 70 rlcov 70 topc 1 botc 1 poverlap 0 doverlap 1 lmin 0.15 wmin 0.42 compatible {sky130_fd_pr__pfet_01v8  sky130_fd_pr__pfet_01v8_lvt sky130_fd_pr__pfet_01v8_hvt  sky130_fd_pr__pfet_g5v0d10v5} full_metal 0 viasrc 50 viadrn 50 viagate 50 viagb 0 viagr 0 viagl 0 viagt 0
-<< end >>
diff --git a/mag/myinv_layout2/sky130_fd_pr__pfet_01v8_U9MAPM.mag b/mag/myinv_layout2/sky130_fd_pr__pfet_01v8_U9MAPM.mag
deleted file mode 100755
index 6d34b43..0000000
--- a/mag/myinv_layout2/sky130_fd_pr__pfet_01v8_U9MAPM.mag
+++ /dev/null
@@ -1,8 +0,0 @@
-magic
-tech sky130A
-timestamp 1645025748
-<< properties >>
-string gencell sky130_fd_pr__pfet_01v8
-string library sky130
-string parameters w 1 l 0.15 m 1 nf 2 diffcov 70 polycov 70 guard 0 glc 0 grc 0 gtc 0 gbc 0 tbcov 70 rlcov 70 topc 1 botc 1 poverlap 0 doverlap 1 lmin 0.15 wmin 0.42 compatible {sky130_fd_pr__pfet_01v8  sky130_fd_pr__pfet_01v8_lvt sky130_fd_pr__pfet_01v8_hvt  sky130_fd_pr__pfet_g5v0d10v5} full_metal 0 viasrc 20 viadrn 20 viagate 20 viagb 0 viagr 0 viagl 0 viagt 0
-<< end >>
diff --git a/mag/myinv_layout2/sky130_fd_pr__pfet_01v8_YHFVVH.mag b/mag/myinv_layout2/sky130_fd_pr__pfet_01v8_YHFVVH.mag
deleted file mode 100755
index aaf2415..0000000
--- a/mag/myinv_layout2/sky130_fd_pr__pfet_01v8_YHFVVH.mag
+++ /dev/null
@@ -1,337 +0,0 @@
-magic
-tech sky130A
-magscale 1 2
-timestamp 1646261223
-<< error_p >>
-rect -737 162 833 200
-rect -833 -162 833 162
-rect -833 -200 737 -162
-rect -637 -412 -625 -384
-rect -637 -414 -607 -412
-<< nwell >>
-rect -737 162 833 200
-rect -833 -162 833 162
-rect -833 -200 737 -162
-<< pmos >>
-rect -735 -100 -705 100
-rect -639 -100 -609 100
-rect -543 -100 -513 100
-rect -447 -100 -417 100
-rect -351 -100 -321 100
-rect -255 -100 -225 100
-rect -159 -100 -129 100
-rect -63 -100 -33 100
-rect 33 -100 63 100
-rect 129 -100 159 100
-rect 225 -100 255 100
-rect 321 -100 351 100
-rect 417 -100 447 100
-rect 513 -100 543 100
-rect 609 -100 639 100
-rect 705 -100 735 100
-<< ndiff >>
-rect -637 -414 -625 -412
-<< pdiff >>
-rect -793 74 -735 100
-rect -797 62 -735 74
-rect -797 -62 -785 62
-rect -751 -62 -735 62
-rect -797 -74 -735 -62
-rect -793 -100 -735 -74
-rect -705 62 -639 100
-rect -705 -62 -689 62
-rect -655 -62 -639 62
-rect -705 -100 -639 -62
-rect -609 62 -543 100
-rect -609 -62 -593 62
-rect -559 -62 -543 62
-rect -609 -100 -543 -62
-rect -513 62 -447 100
-rect -513 -62 -497 62
-rect -463 -62 -447 62
-rect -513 -100 -447 -62
-rect -417 62 -351 100
-rect -417 -62 -401 62
-rect -367 -62 -351 62
-rect -417 -100 -351 -62
-rect -321 62 -255 100
-rect -321 -62 -305 62
-rect -271 -62 -255 62
-rect -321 -100 -255 -62
-rect -225 62 -159 100
-rect -225 -62 -209 62
-rect -175 -62 -159 62
-rect -225 -100 -159 -62
-rect -129 62 -63 100
-rect -129 -62 -113 62
-rect -79 -62 -63 62
-rect -129 -100 -63 -62
-rect -33 62 33 100
-rect -33 -62 -17 62
-rect 17 -62 33 62
-rect -33 -100 33 -62
-rect 63 62 129 100
-rect 63 -62 79 62
-rect 113 -62 129 62
-rect 63 -100 129 -62
-rect 159 62 225 100
-rect 159 -62 175 62
-rect 209 -62 225 62
-rect 159 -100 225 -62
-rect 255 62 321 100
-rect 255 -62 271 62
-rect 305 -62 321 62
-rect 255 -100 321 -62
-rect 351 62 417 100
-rect 351 -62 367 62
-rect 401 -62 417 62
-rect 351 -100 417 -62
-rect 447 62 513 100
-rect 447 -62 463 62
-rect 497 -62 513 62
-rect 447 -100 513 -62
-rect 543 62 609 100
-rect 543 -62 559 62
-rect 593 -62 609 62
-rect 543 -100 609 -62
-rect 639 62 705 100
-rect 639 -62 655 62
-rect 689 -62 705 62
-rect 639 -100 705 -62
-rect 735 74 793 100
-rect 735 62 797 74
-rect 735 -62 751 62
-rect 785 -62 797 62
-rect 735 -74 797 -62
-rect 735 -100 793 -74
-<< pdiffc >>
-rect -785 -62 -751 62
-rect -689 -62 -655 62
-rect -593 -62 -559 62
-rect -497 -62 -463 62
-rect -401 -62 -367 62
-rect -305 -62 -271 62
-rect -209 -62 -175 62
-rect -113 -62 -79 62
-rect -17 -62 17 62
-rect 79 -62 113 62
-rect 175 -62 209 62
-rect 271 -62 305 62
-rect 367 -62 401 62
-rect 463 -62 497 62
-rect 559 -62 593 62
-rect 655 -62 689 62
-rect 751 -62 785 62
-<< poly >>
-rect -735 100 -705 126
-rect -639 100 -609 130
-rect -543 100 -513 126
-rect -447 100 -417 130
-rect -351 100 -321 126
-rect -255 100 -225 130
-rect -159 100 -129 126
-rect -63 100 -33 130
-rect 33 100 63 126
-rect 129 100 159 130
-rect 225 100 255 126
-rect 321 100 351 130
-rect 417 100 447 126
-rect 513 100 543 130
-rect 609 100 639 126
-rect 705 100 735 130
-rect -735 -116 -705 -100
-rect -639 -116 -609 -100
-rect -543 -116 -513 -100
-rect -447 -116 -417 -100
-rect -351 -116 -321 -100
-rect -255 -116 -225 -100
-rect -159 -116 -129 -100
-rect -63 -116 -33 -100
-rect 33 -116 63 -100
-rect 129 -116 159 -100
-rect 225 -116 255 -100
-rect 321 -116 351 -100
-rect 417 -116 447 -100
-rect 513 -116 543 -100
-rect 609 -116 639 -100
-rect 705 -116 735 -100
-rect -737 -168 735 -116
-rect -603 -216 -543 -168
-rect -667 -236 -543 -216
-rect -667 -282 -651 -236
-rect -601 -282 -543 -236
-rect -667 -300 -543 -282
-rect -603 -366 -543 -300
-<< polycont >>
-rect -651 -282 -601 -236
-<< locali >>
-rect -333 226 893 228
-rect -883 176 893 226
-rect -785 88 -751 176
-rect -593 88 -559 176
-rect -401 88 -367 176
-rect -211 88 -177 176
-rect -17 88 17 176
-rect 175 88 209 176
-rect 367 88 401 176
-rect 559 88 593 176
-rect 751 88 785 176
-rect -691 -88 -689 -78
-rect -211 68 -209 88
-rect 497 -88 499 -84
-rect 689 -88 691 -84
-rect -691 -118 -655 -88
-rect -497 -118 -463 -88
-rect -305 -118 -271 -88
-rect -113 -118 -79 -88
-rect 79 -118 113 -88
-rect 271 -118 305 -88
-rect 463 -118 499 -88
-rect 655 -118 691 -88
-rect -691 -156 691 -118
-rect -667 -232 -583 -216
-rect -925 -236 -583 -232
-rect -925 -282 -651 -236
-rect -601 -282 -583 -236
-rect -925 -288 -583 -282
-rect -667 -300 -583 -288
-rect -63 -232 25 -156
-rect -63 -288 869 -232
-rect -63 -340 25 -288
-rect -637 -374 25 -340
-rect -637 -376 -23 -374
-rect -637 -414 -603 -376
-rect -445 -424 -409 -376
-rect -255 -422 -219 -376
-rect -61 -416 -25 -376
-rect -733 -698 -699 -556
-rect -541 -684 -507 -542
-rect -349 -688 -315 -546
-rect -155 -694 -121 -552
-rect 35 -698 69 -556
-<< viali >>
-rect -785 62 -751 88
-rect -785 -62 -751 62
-rect -785 -88 -751 -62
-rect -689 62 -655 88
-rect -689 -62 -655 62
-rect -689 -88 -655 -62
-rect -593 62 -559 88
-rect -593 -62 -559 62
-rect -593 -88 -559 -62
-rect -497 62 -463 88
-rect -497 -62 -463 62
-rect -497 -88 -463 -62
-rect -401 62 -367 88
-rect -401 -62 -367 62
-rect -401 -88 -367 -62
-rect -305 62 -271 88
-rect -305 -62 -271 62
-rect -305 -88 -271 -62
-rect -209 62 -175 88
-rect -209 -62 -175 62
-rect -209 -88 -175 -62
-rect -113 62 -79 88
-rect -113 -62 -79 62
-rect -113 -88 -79 -62
-rect -17 62 17 88
-rect -17 -62 17 62
-rect -17 -88 17 -62
-rect 79 62 113 88
-rect 79 -62 113 62
-rect 79 -88 113 -62
-rect 175 62 209 88
-rect 175 -62 209 62
-rect 175 -88 209 -62
-rect 271 62 305 88
-rect 271 -62 305 62
-rect 271 -88 305 -62
-rect 367 62 401 88
-rect 367 -62 401 62
-rect 367 -88 401 -62
-rect 463 62 497 88
-rect 463 -62 497 62
-rect 463 -88 497 -62
-rect 559 62 593 88
-rect 559 -62 593 62
-rect 559 -88 593 -62
-rect 655 62 689 88
-rect 655 -62 689 62
-rect 655 -88 689 -62
-rect 751 62 785 88
-rect 751 -62 785 62
-rect 751 -88 785 -62
-<< metal1 >>
-rect -791 88 -745 100
-rect -791 -88 -785 88
-rect -751 -88 -745 88
-rect -791 -100 -745 -88
-rect -695 88 -649 100
-rect -695 -88 -689 88
-rect -655 -88 -649 88
-rect -695 -100 -649 -88
-rect -599 88 -553 100
-rect -599 -88 -593 88
-rect -559 -88 -553 88
-rect -599 -100 -553 -88
-rect -503 88 -457 100
-rect -503 -88 -497 88
-rect -463 -88 -457 88
-rect -503 -100 -457 -88
-rect -407 88 -361 100
-rect -407 -88 -401 88
-rect -367 -88 -361 88
-rect -407 -100 -361 -88
-rect -311 88 -265 100
-rect -311 -88 -305 88
-rect -271 -88 -265 88
-rect -311 -100 -265 -88
-rect -215 88 -169 100
-rect -215 -88 -209 88
-rect -175 -88 -169 88
-rect -215 -100 -169 -88
-rect -119 88 -73 100
-rect -119 -88 -113 88
-rect -79 -88 -73 88
-rect -119 -100 -73 -88
-rect -23 88 23 100
-rect -23 -88 -17 88
-rect 17 -88 23 88
-rect -23 -100 23 -88
-rect 73 88 119 100
-rect 73 -88 79 88
-rect 113 -88 119 88
-rect 73 -100 119 -88
-rect 169 88 215 100
-rect 169 -88 175 88
-rect 209 -88 215 88
-rect 169 -100 215 -88
-rect 265 88 311 100
-rect 265 -88 271 88
-rect 305 -88 311 88
-rect 265 -100 311 -88
-rect 361 88 407 100
-rect 361 -88 367 88
-rect 401 -88 407 88
-rect 361 -100 407 -88
-rect 457 88 503 100
-rect 457 -88 463 88
-rect 497 -88 503 88
-rect 457 -100 503 -88
-rect 553 88 599 100
-rect 553 -88 559 88
-rect 593 -88 599 88
-rect 553 -100 599 -88
-rect 649 88 695 100
-rect 649 -88 655 88
-rect 689 -88 695 88
-rect 649 -100 695 -88
-rect 745 88 791 100
-rect 745 -88 751 88
-rect 785 -88 791 88
-rect 745 -100 791 -88
-<< properties >>
-string gencell sky130_fd_pr__pfet_01v8
-string library sky130
-string parameters w 1 l 0.15 m 1 nf 16 diffcov 70 polycov 70 guard 0 glc 0 grc 0 gtc 0 gbc 0 tbcov 70 rlcov 70 topc 0 botc 0 poverlap 0 doverlap 1 lmin 0.15 wmin 0.42 compatible {sky130_fd_pr__pfet_01v8  sky130_fd_pr__pfet_01v8_lvt sky130_fd_pr__pfet_01v8_hvt  sky130_fd_pr__pfet_g5v0d10v5} full_metal 0 viasrc 100 viadrn 100 viagate 100 viagb 0 viagr 0 viagl 0 viagt 0
-<< end >>
diff --git a/mag/preamp/preamp_part1.ext b/mag/preamp/preamp_part1.ext
index 2f1d4ac..8705896 100644
--- a/mag/preamp/preamp_part1.ext
+++ b/mag/preamp/preamp_part1.ext
@@ -1,181 +1,113 @@
-timestamp 1651643300
+timestamp 1646568821
 version 8.3
 tech sky130A
 style ngspice()
 scale 1000 1 500000
 resistclasses 4400000 2200000 1700000 3050000 120000 197000 114000 191000 120000 197000 114000 191000 48200 319800 2000000 48200 48200 12200 125 125 47 47 29 5
-use sky130_fd_pr__pfet_01v8_RFM3CD#0 sky130_fd_pr__pfet_01v8_RFM3CD_1 1 0 721 0 1 1160
-use sky130_fd_pr__pfet_01v8_RFM3CD#0 sky130_fd_pr__pfet_01v8_RFM3CD_0 1 0 -447 0 1 1160
-use sky130_fd_pr__nfet_01v8_RURP52 sky130_fd_pr__nfet_01v8_RURP52_1 1 0 381 0 1 630
-use sky130_fd_pr__nfet_01v8_RURP52 sky130_fd_pr__nfet_01v8_RURP52_0 1 0 -105 0 1 630
+use sky130_fd_pr__pfet_01v8_RFM3CD sky130_fd_pr__pfet_01v8_RFM3CD_1 1 0 539 0 1 648
+use sky130_fd_pr__pfet_01v8_RFM3CD sky130_fd_pr__pfet_01v8_RFM3CD_0 1 0 -267 0 1 648
 use sky130_fd_pr__nfet_01v8_G6PLX8 sky130_fd_pr__nfet_01v8_G6PLX8_1 1 0 547 0 1 122
 use sky130_fd_pr__nfet_01v8_G6PLX8 sky130_fd_pr__nfet_01v8_G6PLX8_0 1 0 -275 0 1 122
 use sky130_fd_pr__nfet_01v8_F5U58G#1 sky130_fd_pr__nfet_01v8_F5U58G_1 1 0 897 0 1 120
 use sky130_fd_pr__nfet_01v8_F5U58G#1 sky130_fd_pr__nfet_01v8_F5U58G_0 1 0 -625 0 1 116
 use sky130_fd_pr__nfet_01v8_8FHE5N sky130_fd_pr__nfet_01v8_8FHE5N_0 1 0 143 0 1 126
-node "m1_338_180#" 1 122.121 338 180 m1 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 13376 848 0 0 0 0 0 0 0 0 0 0
-node "m1_n128_236#" 2 208.781 -128 236 m1 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 19420 1184 0 0 0 0 0 0 0 0 0 0
 node "m1_n692_190#" 0 17.1792 -692 190 m1 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 968 132 0 0 0 0 0 0 0 0 0 0
 node "li_954_42#" 29 100.544 954 42 li 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 10296 444 0 0 0 0 0 0 0 0 0 0 0 0
-node "li_122_87#" 17 45.5327 122 87 li 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 2684 210 0 0 0 0 0 0 0 0 0 0 0 0
 node "li_n720_n74#" 442 605.241 -720 -74 li 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 114132 4180 0 0 0 0 0 0 0 0 0 0 0 0
-node "li_338_192#" 199 258.503 338 192 li 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 18836 1176 0 0 0 0 0 0 0 0 0 0 0 0
 node "li_n718_44#" 29 100.544 -718 44 li 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 10296 444 0 0 0 0 0 0 0 0 0 0 0 0
-node "li_n484_188#" 201 261.152 -484 188 li 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 19040 1188 0 0 0 0 0 0 0 0 0 0 0 0
-node "li_n218_392#" 649 917.393 -218 392 li 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 72510 3998 20044 880 0 0 0 0 0 0 0 0 0 0
-node "li_n720_1336#" 508 779.211 -720 1336 li 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 95636 4088 0 0 0 0 0 0 0 0 0 0 0 0
+node "li_n484_188#" 577 723.792 -484 188 li 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 54672 3284 0 0 0 0 0 0 0 0 0 0 0 0
+node "li_n720_824#" 508 779.211 -720 824 li 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 95636 4088 0 0 0 0 0 0 0 0 0 0 0 0
 node "a_388_n260#" 122 549.325 388 -260 p 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 82764 1200 0 0 30396 800 30396 800 0 0 0 0 0 0 0 0 0 0
 node "a_80_n258#" 135 463.845 80 -258 p 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 39816 884 0 0 13824 472 13568 468 0 0 0 0 0 0 0 0 0 0
 node "a_n434_n260#" 105 547.609 -434 -260 p 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 84192 1180 0 0 29988 792 30576 796 0 0 0 0 0 0 0 0 0 0
-node "a_n656_268#" 664 1385.51 -656 268 p 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 32496 1176 0 0 39600 1884 74764 3348 0 0 0 0 0 0 0 0 0 0
-node "a_n72_436#" 48 35.385 -72 436 p 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 900 120 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0
-node "a_n168_434#" 48 35.385 -168 434 p 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 900 120 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0
-node "a_414_798#" 121 24.054 414 798 p 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 360 84 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0
-node "a_318_800#" 121 24.054 318 800 p 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 360 84 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0
-node "a_n170_802#" 386 1281.62 -170 802 p 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 19236 812 0 0 13012 644 117060 5044 0 0 0 0 0 0 0 0 0 0
-node "a_688_1452#" 368 272.18 688 1452 p 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 10800 640 0 0 5168 288 5168 288 0 0 0 0 0 0 0 0 0 0
-node "a_n482_1452#" 400 273.412 -482 1452 p 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 10748 660 0 0 5168 288 5168 288 0 0 0 0 0 0 0 0 0 0
-node "w_n720_994#" 8846 1741.48 -720 994 nw 0 0 0 0 580492 4144 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0
+node "a_864_270#" 156 548.981 864 270 p 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 5668 344 0 0 4588 272 47476 2284 0 0 0 0 0 0 0 0 0 0
+node "a_n656_268#" 138 563.634 -656 268 p 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 5368 324 0 0 4588 272 48220 2324 0 0 0 0 0 0 0 0 0 0
+node "a_506_940#" 368 272.18 506 940 p 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 10800 640 0 0 5168 288 5168 288 0 0 0 0 0 0 0 0 0 0
+node "a_n302_940#" 400 273.412 -302 940 p 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 10748 660 0 0 5168 288 5168 288 0 0 0 0 0 0 0 0 0 0
+node "w_n720_482#" 8846 1741.48 -720 482 nw 0 0 0 0 580492 4144 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0
 substrate "VSUBS" 0 0 -1073741817 -1073741817 space 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0
-cap "li_n484_188#" "a_n656_268#" 402.207
-cap "li_122_87#" "a_80_n258#" 6.07531
-cap "a_80_n258#" "a_n434_n260#" 69.5567
-cap "li_954_42#" "li_n720_n74#" 38.7455
-cap "li_n218_392#" "li_n720_n74#" 22.6759
-cap "a_n72_436#" "a_n656_268#" 2.28244
-cap "li_n720_1336#" "a_688_1452#" 66.9
-cap "w_n720_994#" "a_688_1452#" 37.4
-cap "a_n170_802#" "w_n720_994#" 70.7256
-cap "m1_338_180#" "a_n656_268#" 43.817
-cap "m1_n128_236#" "a_n170_802#" 10.2
-cap "a_388_n260#" "li_338_192#" 25.8506
-cap "li_n218_392#" "a_n168_434#" 11.55
-cap "a_n482_1452#" "a_n656_268#" 1.81034
-cap "li_n484_188#" "a_n434_n260#" 25.375
-cap "a_n170_802#" "li_338_192#" 72.9079
-cap "li_122_87#" "a_n656_268#" 9.075
-cap "a_n434_n260#" "a_n656_268#" 11.325
-cap "li_n718_44#" "li_n720_n74#" 37.8889
-cap "a_388_n260#" "li_n720_n74#" 350.111
-cap "a_n170_802#" "li_n720_n74#" 7.24543
-cap "a_n170_802#" "li_n218_392#" 44.5573
-cap "m1_n128_236#" "li_n484_188#" 45.889
-cap "a_n170_802#" "a_n168_434#" 2.04142
-cap "w_n720_994#" "a_n656_268#" 58.372
-cap "m1_n128_236#" "a_n656_268#" 314.8
-cap "a_80_n258#" "li_n720_n74#" 186.298
-cap "li_338_192#" "a_n656_268#" 5.21053
-cap "li_n218_392#" "a_80_n258#" 7.72388
-cap "li_n720_1336#" "a_n482_1452#" 65.625
-cap "a_n482_1452#" "w_n720_994#" 42.35
-cap "a_318_800#" "a_n170_802#" 0.638889
-cap "m1_338_180#" "li_338_192#" 41.3766
-cap "li_n718_44#" "m1_n692_190#" 24.64
-cap "a_318_800#" "a_414_798#" 3.48485
-cap "a_n170_802#" "a_388_n260#" 9.58613
-cap "li_n484_188#" "li_n720_n74#" 55.9374
-cap "a_n170_802#" "a_688_1452#" 1.96875
-cap "li_n218_392#" "li_n484_188#" 41.8
-cap "li_n720_n74#" "a_n656_268#" 88.6242
-cap "li_n218_392#" "a_n656_268#" 677.907
-cap "m1_338_180#" "li_n218_392#" 108.194
-cap "a_n168_434#" "a_n656_268#" 1.79888
-cap "a_80_n258#" "a_388_n260#" 73.7878
-cap "a_n72_436#" "a_n168_434#" 9.75758
-cap "li_122_87#" "li_n720_n74#" 37.8201
 cap "li_n720_n74#" "a_n434_n260#" 344.877
-cap "li_n718_44#" "li_n484_188#" 2.90714
-cap "li_n720_1336#" "w_n720_994#" 119.005
-cap "li_n218_392#" "li_122_87#" 36.3936
-cap "li_n718_44#" "a_n656_268#" 1.94118
-cap "a_318_800#" "a_n656_268#" 2.04142
-cap "a_388_n260#" "a_n656_268#" 3.48418
-cap "a_n170_802#" "a_n656_268#" 19.7143
-cap "a_n72_436#" "a_n170_802#" 2.05357
-cap "m1_338_180#" "a_388_n260#" 0.932642
-cap "a_414_798#" "a_n656_268#" 2.05357
-cap "m1_338_180#" "a_n170_802#" 219.311
+cap "li_n484_188#" "a_n656_268#" 75.2844
+cap "li_n484_188#" "li_n718_44#" 2.90714
+cap "a_n656_268#" "a_n434_n260#" 11.325
+cap "li_n720_n74#" "a_388_n260#" 350.111
+cap "a_506_940#" "a_864_270#" 1.96875
+cap "li_n720_n74#" "a_80_n258#" 186.298
+cap "li_n484_188#" "a_864_270#" 72.9079
 cap "m1_n692_190#" "a_n656_268#" 32.7658
-cap "m1_n128_236#" "li_n218_392#" 114.747
-cap "li_n720_n74#" "li_338_192#" 55.7881
-cap "li_954_42#" "li_338_192#" 1.33846
-cap "li_n218_392#" "li_338_192#" 42.9
-cap "sky130_fd_pr__nfet_01v8_G6PLX8_0/a_n159_n122#" "sky130_fd_pr__pfet_01v8_RFM3CD_0/a_15_n100#" 77.3742
-cap "sky130_fd_pr__pfet_01v8_RFM3CD_1/a_n73_n100#" "sky130_fd_pr__nfet_01v8_RURP52_0/a_n33_n150#" 42.7168
-cap "sky130_fd_pr__nfet_01v8_RURP52_1/a_n33_n150#" "sky130_fd_pr__nfet_01v8_F5U58G_1/a_15_n100#" 16.3288
-cap "sky130_fd_pr__pfet_01v8_RFM3CD_0/a_15_n100#" "sky130_fd_pr__nfet_01v8_RURP52_0/a_n33_n150#" 83.8503
-cap "sky130_fd_pr__nfet_01v8_RURP52_0/a_n33_n150#" "sky130_fd_pr__nfet_01v8_RURP52_1/a_n125_n150#" 132.636
-cap "sky130_fd_pr__nfet_01v8_RURP52_1/a_n33_n150#" "sky130_fd_pr__nfet_01v8_F5U58G_1/a_n73_n100#" 202.444
+cap "li_n484_188#" "a_n434_n260#" 25.375
+cap "li_n718_44#" "m1_n692_190#" 24.64
+cap "a_388_n260#" "a_864_270#" 9.58613
+cap "li_n484_188#" "a_388_n260#" 25.8506
+cap "li_n484_188#" "a_80_n258#" 11.6938
+cap "a_n302_940#" "li_n720_824#" 65.625
+cap "a_n302_940#" "w_n720_482#" 42.35
+cap "li_n720_n74#" "li_954_42#" 38.7455
+cap "a_80_n258#" "a_n434_n260#" 69.5567
+cap "li_n720_824#" "w_n720_482#" 119.005
+cap "a_n302_940#" "a_n656_268#" 1.81034
+cap "a_388_n260#" "a_80_n258#" 73.7878
+cap "li_n720_n74#" "a_n656_268#" 7.21111
+cap "w_n720_482#" "a_n656_268#" 58.372
+cap "li_n720_n74#" "li_n718_44#" 37.8889
+cap "li_n718_44#" "a_n656_268#" 1.94118
+cap "li_n484_188#" "li_954_42#" 1.33846
+cap "li_n720_824#" "a_506_940#" 66.9
+cap "li_n720_n74#" "a_864_270#" 7.24543
+cap "w_n720_482#" "a_506_940#" 37.4
+cap "w_n720_482#" "a_864_270#" 70.7256
+cap "li_n720_n74#" "li_n484_188#" 182.029
+cap "sky130_fd_pr__nfet_01v8_G6PLX8_1/a_n221_n74#" "sky130_fd_pr__nfet_01v8_8FHE5N_0/a_n63_n76#" 9.69556
+cap "sky130_fd_pr__nfet_01v8_G6PLX8_1/a_n159_n122#" "sky130_fd_pr__pfet_01v8_RFM3CD_1/a_n73_n100#" 71.0899
+cap "sky130_fd_pr__pfet_01v8_RFM3CD_0/a_15_n100#" "sky130_fd_pr__pfet_01v8_RFM3CD_1/a_15_n100#" 20.76
+cap "sky130_fd_pr__nfet_01v8_G6PLX8_0/a_n159_n122#" "sky130_fd_pr__pfet_01v8_RFM3CD_0/a_n15_n126#" 2.76
+cap "sky130_fd_pr__nfet_01v8_F5U58G_1/a_n73_n100#" "sky130_fd_pr__pfet_01v8_RFM3CD_0/a_15_n100#" 237.661
+cap "sky130_fd_pr__nfet_01v8_G6PLX8_1/a_n159_n122#" "sky130_fd_pr__pfet_01v8_RFM3CD_1/a_n15_n126#" 2.76
+cap "sky130_fd_pr__nfet_01v8_G6PLX8_1/a_n159_n122#" "sky130_fd_pr__nfet_01v8_8FHE5N_0/a_n63_n76#" 4.87089
+cap "sky130_fd_pr__pfet_01v8_RFM3CD_0/a_n15_n126#" "sky130_fd_pr__pfet_01v8_RFM3CD_1/w_n109_n162#" -27.5
+cap "sky130_fd_pr__pfet_01v8_RFM3CD_1/a_n73_n100#" "sky130_fd_pr__pfet_01v8_RFM3CD_1/a_15_n100#" 22.26
+cap "sky130_fd_pr__nfet_01v8_G6PLX8_1/a_n159_n122#" "sky130_fd_pr__nfet_01v8_G6PLX8_1/a_n221_n74#" 81.2839
+cap "sky130_fd_pr__nfet_01v8_F5U58G_1/a_n73_n100#" "sky130_fd_pr__pfet_01v8_RFM3CD_1/a_n73_n100#" 170.488
+cap "sky130_fd_pr__nfet_01v8_G6PLX8_0/a_n159_n122#" "sky130_fd_pr__pfet_01v8_RFM3CD_0/a_15_n100#" 76.9611
 cap "sky130_fd_pr__nfet_01v8_F5U58G_1/a_n73_n100#" "sky130_fd_pr__nfet_01v8_F5U58G_0/a_n73_n100#" -3.18889
-cap "sky130_fd_pr__nfet_01v8_8FHE5N_0/a_n63_n76#" "sky130_fd_pr__nfet_01v8_RURP52_1/a_n125_n150#" 1.01711
-cap "sky130_fd_pr__nfet_01v8_8FHE5N_0/a_n63_n76#" "sky130_fd_pr__nfet_01v8_G6PLX8_1/a_n159_n122#" 4.87089
-cap "sky130_fd_pr__pfet_01v8_RFM3CD_1/a_n73_n100#" "sky130_fd_pr__nfet_01v8_G6PLX8_1/a_n159_n122#" 71.0899
-cap "sky130_fd_pr__pfet_01v8_RFM3CD_1/a_n73_n100#" "sky130_fd_pr__nfet_01v8_RURP52_1/a_n125_n150#" 199.694
-cap "sky130_fd_pr__pfet_01v8_RFM3CD_1/a_n73_n100#" "sky130_fd_pr__pfet_01v8_RFM3CD_0/a_15_n100#" 2.55556
-cap "sky130_fd_pr__pfet_01v8_RFM3CD_1/a_15_n100#" "sky130_fd_pr__pfet_01v8_RFM3CD_0/a_15_n100#" 0.964286
-cap "sky130_fd_pr__nfet_01v8_G6PLX8_1/a_n159_n122#" "sky130_fd_pr__pfet_01v8_RFM3CD_0/a_15_n100#" 11.6631
-cap "sky130_fd_pr__pfet_01v8_RFM3CD_0/a_15_n100#" "sky130_fd_pr__nfet_01v8_RURP52_1/a_n125_n150#" 129.7
-cap "sky130_fd_pr__nfet_01v8_F5U58G_1/a_n73_n100#" "sky130_fd_pr__nfet_01v8_RURP52_0/a_n33_n150#" 372.168
-cap "sky130_fd_pr__nfet_01v8_RURP52_1/a_n33_n150#" "sky130_fd_pr__nfet_01v8_RURP52_0/a_n33_n150#" 16.9898
-cap "sky130_fd_pr__nfet_01v8_F5U58G_1/a_15_n100#" "sky130_fd_pr__pfet_01v8_RFM3CD_1/a_n73_n100#" 22.6607
-cap "sky130_fd_pr__nfet_01v8_F5U58G_0/a_n73_n100#" "sky130_fd_pr__nfet_01v8_RURP52_0/a_n33_n150#" 103.84
-cap "sky130_fd_pr__nfet_01v8_G6PLX8_0/a_n159_n122#" "sky130_fd_pr__nfet_01v8_RURP52_0/a_n33_n150#" 118.85
-cap "sky130_fd_pr__pfet_01v8_RFM3CD_0/a_15_n100#" "sky130_fd_pr__pfet_01v8_RFM3CD_0/a_n15_n126#" -0.775862
-cap "sky130_fd_pr__pfet_01v8_RFM3CD_1/a_n73_n100#" "sky130_fd_pr__nfet_01v8_F5U58G_1/a_n73_n100#" 170.488
-cap "sky130_fd_pr__nfet_01v8_RURP52_1/a_n33_n150#" "sky130_fd_pr__pfet_01v8_RFM3CD_1/a_n73_n100#" 128.302
-cap "sky130_fd_pr__nfet_01v8_F5U58G_1/a_n73_n100#" "sky130_fd_pr__pfet_01v8_RFM3CD_0/a_15_n100#" 245.966
-cap "sky130_fd_pr__nfet_01v8_F5U58G_1/a_n73_n100#" "sky130_fd_pr__nfet_01v8_RURP52_1/a_n125_n150#" 11.2131
-cap "sky130_fd_pr__nfet_01v8_RURP52_1/a_n33_n150#" "sky130_fd_pr__nfet_01v8_RURP52_1/a_n125_n150#" 146.944
-cap "sky130_fd_pr__pfet_01v8_RFM3CD_1/a_n73_n100#" "sky130_fd_pr__pfet_01v8_RFM3CD_1/w_n109_n162#" -21.7168
-cap "sky130_fd_pr__nfet_01v8_RURP52_1/a_n33_n150#" "sky130_fd_pr__nfet_01v8_G6PLX8_1/a_n159_n122#" 97.2439
-cap "sky130_fd_pr__nfet_01v8_8FHE5N_0/a_n63_n76#" "sky130_fd_pr__nfet_01v8_G6PLX8_0/a_n159_n122#" 4.08628
-cap "sky130_fd_pr__pfet_01v8_RFM3CD_1/a_n73_n100#" "sky130_fd_pr__nfet_01v8_G6PLX8_0/a_n159_n122#" 4.25926
+cap "sky130_fd_pr__pfet_01v8_RFM3CD_1/w_n109_n162#" "sky130_fd_pr__pfet_01v8_RFM3CD_1/a_15_n100#" -54.8679
 cap "sky130_fd_pr__nfet_01v8_F5U58G_0/a_n73_n100#" "sky130_fd_pr__pfet_01v8_RFM3CD_0/a_15_n100#" 46.0275
-cap "sky130_fd_pr__pfet_01v8_RFM3CD_1/w_n109_n162#" "sky130_fd_pr__pfet_01v8_RFM3CD_0/a_15_n100#" -27.6128
-cap "sky130_fd_pr__pfet_01v8_RFM3CD_1/a_n15_n126#" "sky130_fd_pr__pfet_01v8_RFM3CD_1/a_n73_n100#" -0.84375
-cap "sky130_fd_pr__nfet_01v8_F5U58G_1/a_15_n100#" "sky130_fd_pr__nfet_01v8_F5U58G_1/a_n73_n100#" 10.7545
-cap "sky130_fd_pr__nfet_01v8_RURP52_1/a_n33_n150#" "sky130_fd_pr__nfet_01v8_G6PLX8_1/a_n159_n122#" -327.173
-cap "sky130_fd_pr__nfet_01v8_F5U58G_1/a_n73_n100#" "sky130_fd_pr__pfet_01v8_RFM3CD_1/a_n73_n100#" 76.539
-cap "sky130_fd_pr__nfet_01v8_RURP52_1/a_n63_n172#" "sky130_fd_pr__nfet_01v8_G6PLX8_1/a_n159_n122#" 0.181579
-cap "sky130_fd_pr__nfet_01v8_F5U58G_1/a_15_n100#" "sky130_fd_pr__nfet_01v8_RURP52_1/a_n33_n150#" 87.6941
-cap "sky130_fd_pr__pfet_01v8_RFM3CD_1/w_n109_n162#" "sky130_fd_pr__pfet_01v8_RFM3CD_1/a_n73_n100#" -13.3296
-cap "sky130_fd_pr__pfet_01v8_RFM3CD_1/a_n73_n100#" "sky130_fd_pr__nfet_01v8_RURP52_1/a_n33_n150#" 40.0977
-cap "sky130_fd_pr__nfet_01v8_RURP52_1/a_63_n150#" "sky130_fd_pr__pfet_01v8_RFM3CD_1/a_n73_n100#" 40.4355
-cap "sky130_fd_pr__pfet_01v8_RFM3CD_1/a_n73_n100#" "sky130_fd_pr__nfet_01v8_G6PLX8_1/a_n159_n122#" 13.0498
-cap "sky130_fd_pr__nfet_01v8_F5U58G_1/a_n73_n100#" "sky130_fd_pr__nfet_01v8_RURP52_1/a_n33_n150#" 193.876
-cap "sky130_fd_pr__pfet_01v8_RFM3CD_1/a_n73_n100#" "sky130_fd_pr__pfet_01v8_RFM3CD_1/a_15_n100#" 2.46429
-cap "sky130_fd_pr__nfet_01v8_F5U58G_1/a_15_n100#" "sky130_fd_pr__pfet_01v8_RFM3CD_1/a_n73_n100#" 47.3142
-cap "sky130_fd_pr__pfet_01v8_RFM3CD_0/a_n15_n126#" "sky130_fd_pr__pfet_01v8_RFM3CD_1/a_15_n100#" 2.88991
-cap "sky130_fd_pr__pfet_01v8_RFM3CD_1/w_n109_n162#" "sky130_fd_pr__pfet_01v8_RFM3CD_1/a_15_n100#" -27.8754
-cap "sky130_fd_pr__pfet_01v8_RFM3CD_1/a_15_n100#" "sky130_fd_pr__pfet_01v8_RFM3CD_0/a_15_n100#" 11.449
-cap "sky130_fd_pr__pfet_01v8_RFM3CD_1/w_n109_n162#" "sky130_fd_pr__pfet_01v8_RFM3CD_0/a_n15_n126#" -27.5
-cap "sky130_fd_pr__pfet_01v8_RFM3CD_0/a_n15_n126#" "sky130_fd_pr__pfet_01v8_RFM3CD_0/a_15_n100#" 2.62644
-cap "sky130_fd_pr__pfet_01v8_RFM3CD_1/a_n73_n100#" "sky130_fd_pr__pfet_01v8_RFM3CD_1/a_15_n100#" 6.73469
-cap "sky130_fd_pr__pfet_01v8_RFM3CD_1/a_n73_n100#" "sky130_fd_pr__pfet_01v8_RFM3CD_1/a_n15_n126#" 2.55855
 cap "sky130_fd_pr__pfet_01v8_RFM3CD_1/a_n15_n126#" "sky130_fd_pr__pfet_01v8_RFM3CD_1/a_15_n100#" 2.88991
+cap "sky130_fd_pr__pfet_01v8_RFM3CD_1/a_n73_n100#" "sky130_fd_pr__nfet_01v8_F5U58G_1/a_15_n100#" 22.6607
+cap "sky130_fd_pr__pfet_01v8_RFM3CD_1/w_n109_n162#" "sky130_fd_pr__pfet_01v8_RFM3CD_0/a_15_n100#" -27.6128
+cap "sky130_fd_pr__nfet_01v8_G6PLX8_1/a_n221_n74#" "sky130_fd_pr__pfet_01v8_RFM3CD_1/a_15_n100#" 9.91115
+cap "sky130_fd_pr__nfet_01v8_F5U58G_1/a_n73_n100#" "sky130_fd_pr__nfet_01v8_G6PLX8_1/a_n221_n74#" 613.198
+cap "sky130_fd_pr__nfet_01v8_G6PLX8_1/a_n221_n74#" "sky130_fd_pr__pfet_01v8_RFM3CD_0/a_15_n100#" 135.987
+cap "sky130_fd_pr__pfet_01v8_RFM3CD_1/w_n109_n162#" "sky130_fd_pr__pfet_01v8_RFM3CD_1/a_n73_n100#" -39.9664
+cap "sky130_fd_pr__nfet_01v8_G6PLX8_0/a_n159_n122#" "sky130_fd_pr__nfet_01v8_8FHE5N_0/a_n63_n76#" 4.08628
+cap "sky130_fd_pr__nfet_01v8_G6PLX8_1/a_n221_n74#" "sky130_fd_pr__nfet_01v8_F5U58G_1/a_15_n100#" 16.3288
+cap "sky130_fd_pr__pfet_01v8_RFM3CD_1/a_n15_n126#" "sky130_fd_pr__pfet_01v8_RFM3CD_1/a_n73_n100#" 1.7148
+cap "sky130_fd_pr__nfet_01v8_G6PLX8_0/a_n159_n122#" "sky130_fd_pr__nfet_01v8_G6PLX8_1/a_n221_n74#" 103.973
+cap "sky130_fd_pr__pfet_01v8_RFM3CD_0/a_n15_n126#" "sky130_fd_pr__pfet_01v8_RFM3CD_1/a_15_n100#" 2.88991
+cap "sky130_fd_pr__nfet_01v8_G6PLX8_1/a_n221_n74#" "sky130_fd_pr__pfet_01v8_RFM3CD_1/a_n73_n100#" 113.829
+cap "sky130_fd_pr__nfet_01v8_G6PLX8_1/a_n221_n74#" "sky130_fd_pr__nfet_01v8_F5U58G_0/a_n73_n100#" 103.84
+cap "sky130_fd_pr__pfet_01v8_RFM3CD_0/a_n15_n126#" "sky130_fd_pr__pfet_01v8_RFM3CD_0/a_15_n100#" 1.85057
 cap "sky130_fd_pr__pfet_01v8_RFM3CD_1/a_n15_n126#" "sky130_fd_pr__pfet_01v8_RFM3CD_1/w_n109_n162#" -22
-cap "sky130_fd_pr__pfet_01v8_RFM3CD_1/a_n73_n100#" "sky130_fd_pr__pfet_01v8_RFM3CD_1/a_15_n100#" 4.71429
-cap "sky130_fd_pr__pfet_01v8_RFM3CD_1/w_n109_n162#" "sky130_fd_pr__pfet_01v8_RFM3CD_1/a_15_n100#" -26.9925
-merge "sky130_fd_pr__nfet_01v8_G6PLX8_0/a_159_n100#" "sky130_fd_pr__nfet_01v8_RURP52_0/a_n33_n150#" -224.081 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 -952 -260 -7202 -554 0 0 0 0 0 0 0 0 0 0
-merge "sky130_fd_pr__nfet_01v8_RURP52_0/a_n33_n150#" "m1_n128_236#"
-merge "m1_n128_236#" "sky130_fd_pr__nfet_01v8_G6PLX8_0/a_n33_n100#"
+cap "sky130_fd_pr__nfet_01v8_G6PLX8_1/a_n221_n74#" "sky130_fd_pr__nfet_01v8_F5U58G_1/a_n73_n100#" 193.876
+cap "sky130_fd_pr__nfet_01v8_F5U58G_1/a_15_n100#" "sky130_fd_pr__nfet_01v8_F5U58G_1/a_n73_n100#" 10.7545
+cap "sky130_fd_pr__nfet_01v8_G6PLX8_1/a_n221_n74#" "sky130_fd_pr__nfet_01v8_F5U58G_1/a_15_n100#" 87.6941
+cap "sky130_fd_pr__nfet_01v8_F5U58G_1/a_n73_n100#" "sky130_fd_pr__pfet_01v8_RFM3CD_1/a_n73_n100#" 76.539
+cap "sky130_fd_pr__nfet_01v8_G6PLX8_1/a_n221_n74#" "sky130_fd_pr__nfet_01v8_G6PLX8_1/a_n159_n122#" -232.433
+cap "sky130_fd_pr__nfet_01v8_G6PLX8_1/a_n221_n74#" "sky130_fd_pr__pfet_01v8_RFM3CD_1/a_n73_n100#" 23.5227
+cap "sky130_fd_pr__nfet_01v8_F5U58G_1/a_15_n100#" "sky130_fd_pr__pfet_01v8_RFM3CD_1/a_n73_n100#" 47.3142
+cap "sky130_fd_pr__pfet_01v8_RFM3CD_1/a_n73_n100#" "sky130_fd_pr__nfet_01v8_G6PLX8_1/a_n159_n122#" 13.0498
+merge "sky130_fd_pr__nfet_01v8_8FHE5N_0/a_n33_n50#" "sky130_fd_pr__nfet_01v8_G6PLX8_0/a_159_n100#" -41.5877 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 1082 -618 0 0 0 0 0 0 0 0 0 0 0 0
+merge "sky130_fd_pr__nfet_01v8_G6PLX8_0/a_159_n100#" "sky130_fd_pr__nfet_01v8_G6PLX8_0/a_n33_n100#"
 merge "sky130_fd_pr__nfet_01v8_G6PLX8_0/a_n33_n100#" "sky130_fd_pr__nfet_01v8_G6PLX8_0/a_n221_n74#"
-merge "sky130_fd_pr__nfet_01v8_G6PLX8_0/a_n221_n74#" "li_n484_188#"
-merge "sky130_fd_pr__nfet_01v8_F5U58G_0/a_n15_n126#" "sky130_fd_pr__nfet_01v8_G6PLX8_0/a_63_n100#" -616.933 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 -168244 -328 0 0 0 0 -2816 -456 0 0 0 0 0 0 0 0 0 0
-merge "sky130_fd_pr__nfet_01v8_G6PLX8_0/a_63_n100#" "sky130_fd_pr__nfet_01v8_G6PLX8_0/a_n129_n100#"
-merge "sky130_fd_pr__nfet_01v8_G6PLX8_0/a_n129_n100#" "sky130_fd_pr__nfet_01v8_RURP52_1/a_33_n172#"
-merge "sky130_fd_pr__nfet_01v8_RURP52_1/a_33_n172#" "a_414_798#"
-merge "a_414_798#" "sky130_fd_pr__nfet_01v8_RURP52_1/a_n63_n172#"
-merge "sky130_fd_pr__nfet_01v8_RURP52_1/a_n63_n172#" "a_318_800#"
-merge "a_318_800#" "sky130_fd_pr__pfet_01v8_RFM3CD_0/a_15_n100#"
-merge "sky130_fd_pr__pfet_01v8_RFM3CD_0/a_15_n100#" "a_n656_268#"
+merge "sky130_fd_pr__nfet_01v8_G6PLX8_0/a_n221_n74#" "sky130_fd_pr__nfet_01v8_G6PLX8_1/a_159_n100#"
+merge "sky130_fd_pr__nfet_01v8_G6PLX8_1/a_159_n100#" "sky130_fd_pr__nfet_01v8_G6PLX8_1/a_n33_n100#"
+merge "sky130_fd_pr__nfet_01v8_G6PLX8_1/a_n33_n100#" "sky130_fd_pr__nfet_01v8_G6PLX8_1/a_n221_n74#"
+merge "sky130_fd_pr__nfet_01v8_G6PLX8_1/a_n221_n74#" "li_n484_188#"
 merge "sky130_fd_pr__nfet_01v8_8FHE5N_0/VSUBS" "sky130_fd_pr__nfet_01v8_F5U58G_0/VSUBS" 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0
 merge "sky130_fd_pr__nfet_01v8_F5U58G_0/VSUBS" "sky130_fd_pr__nfet_01v8_F5U58G_1/VSUBS"
 merge "sky130_fd_pr__nfet_01v8_F5U58G_1/VSUBS" "sky130_fd_pr__nfet_01v8_G6PLX8_0/VSUBS"
 merge "sky130_fd_pr__nfet_01v8_G6PLX8_0/VSUBS" "sky130_fd_pr__nfet_01v8_G6PLX8_1/VSUBS"
-merge "sky130_fd_pr__nfet_01v8_G6PLX8_1/VSUBS" "sky130_fd_pr__nfet_01v8_RURP52_0/VSUBS"
-merge "sky130_fd_pr__nfet_01v8_RURP52_0/VSUBS" "sky130_fd_pr__nfet_01v8_RURP52_1/VSUBS"
-merge "sky130_fd_pr__nfet_01v8_RURP52_1/VSUBS" "sky130_fd_pr__pfet_01v8_RFM3CD_0/VSUBS"
+merge "sky130_fd_pr__nfet_01v8_G6PLX8_1/VSUBS" "sky130_fd_pr__pfet_01v8_RFM3CD_0/VSUBS"
 merge "sky130_fd_pr__pfet_01v8_RFM3CD_0/VSUBS" "sky130_fd_pr__pfet_01v8_RFM3CD_1/VSUBS"
 merge "sky130_fd_pr__pfet_01v8_RFM3CD_1/VSUBS" "VSUBS"
 merge "sky130_fd_pr__nfet_01v8_8FHE5N_0/a_33_n76#" "sky130_fd_pr__nfet_01v8_8FHE5N_0/a_n63_n76#" -43.072 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 -480 -152 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0
@@ -184,33 +116,22 @@
 merge "sky130_fd_pr__nfet_01v8_8FHE5N_0/a_n125_n39#" "sky130_fd_pr__nfet_01v8_F5U58G_0/a_15_n100#"
 merge "sky130_fd_pr__nfet_01v8_F5U58G_0/a_15_n100#" "sky130_fd_pr__nfet_01v8_F5U58G_1/a_n73_n100#"
 merge "sky130_fd_pr__nfet_01v8_F5U58G_1/a_n73_n100#" "li_n720_n74#"
-merge "sky130_fd_pr__nfet_01v8_F5U58G_1/a_n15_n126#" "sky130_fd_pr__nfet_01v8_G6PLX8_1/a_63_n100#" -138.901 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 1644 -352 0 0 0 0 -440 -352 0 0 0 0 0 0 0 0 0 0
-merge "sky130_fd_pr__nfet_01v8_G6PLX8_1/a_63_n100#" "sky130_fd_pr__nfet_01v8_G6PLX8_1/a_n129_n100#"
-merge "sky130_fd_pr__nfet_01v8_G6PLX8_1/a_n129_n100#" "sky130_fd_pr__nfet_01v8_RURP52_0/a_33_n172#"
-merge "sky130_fd_pr__nfet_01v8_RURP52_0/a_33_n172#" "a_n72_436#"
-merge "a_n72_436#" "a_n168_434#"
-merge "a_n168_434#" "sky130_fd_pr__nfet_01v8_RURP52_0/a_n63_n172#"
-merge "sky130_fd_pr__nfet_01v8_RURP52_0/a_n63_n172#" "sky130_fd_pr__pfet_01v8_RFM3CD_1/a_n73_n100#"
-merge "sky130_fd_pr__pfet_01v8_RFM3CD_1/a_n73_n100#" "a_n170_802#"
 merge "sky130_fd_pr__nfet_01v8_F5U58G_0/a_n73_n100#" "m1_n692_190#" -99.667 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 -5100 -368 0 -88 0 0 0 0 0 0 0 0 0 0
 merge "m1_n692_190#" "li_n718_44#"
-merge "sky130_fd_pr__nfet_01v8_G6PLX8_1/a_159_n100#" "sky130_fd_pr__nfet_01v8_G6PLX8_1/a_n33_n100#" 72.9738 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 2748 -248 8040 -202 0 0 0 0 0 0 0 0 0 0
-merge "sky130_fd_pr__nfet_01v8_G6PLX8_1/a_n33_n100#" "sky130_fd_pr__nfet_01v8_G6PLX8_1/a_n221_n74#"
-merge "sky130_fd_pr__nfet_01v8_G6PLX8_1/a_n221_n74#" "li_338_192#"
-merge "li_338_192#" "sky130_fd_pr__nfet_01v8_RURP52_1/a_n33_n150#"
-merge "sky130_fd_pr__nfet_01v8_RURP52_1/a_n33_n150#" "m1_338_180#"
+merge "sky130_fd_pr__nfet_01v8_F5U58G_0/a_n15_n126#" "sky130_fd_pr__nfet_01v8_G6PLX8_0/a_63_n100#" -98.268 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 -60 0 0 0 0 -4172 -456 0 0 0 0 0 0 0 0 0 0
+merge "sky130_fd_pr__nfet_01v8_G6PLX8_0/a_63_n100#" "sky130_fd_pr__nfet_01v8_G6PLX8_0/a_n129_n100#"
+merge "sky130_fd_pr__nfet_01v8_G6PLX8_0/a_n129_n100#" "sky130_fd_pr__pfet_01v8_RFM3CD_0/a_15_n100#"
+merge "sky130_fd_pr__pfet_01v8_RFM3CD_0/a_15_n100#" "a_n656_268#"
 merge "sky130_fd_pr__nfet_01v8_G6PLX8_1/a_n159_n122#" "a_388_n260#" -70.7932 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 1512 -272 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0
-merge "sky130_fd_pr__pfet_01v8_RFM3CD_0/a_n15_n126#" "a_n482_1452#" 8.427 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 3180 -100 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0
-merge "sky130_fd_pr__pfet_01v8_RFM3CD_1/a_n15_n126#" "a_688_1452#" -0.106 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 -40 -80 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0
-merge "sky130_fd_pr__nfet_01v8_8FHE5N_0/a_n33_n50#" "li_122_87#" -556.672 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 790 -2604 -2254 -190 0 0 0 0 0 0 0 0 0 0
-merge "li_122_87#" "sky130_fd_pr__nfet_01v8_RURP52_0/a_63_n150#"
-merge "sky130_fd_pr__nfet_01v8_RURP52_0/a_63_n150#" "sky130_fd_pr__nfet_01v8_RURP52_0/a_n125_n150#"
-merge "sky130_fd_pr__nfet_01v8_RURP52_0/a_n125_n150#" "sky130_fd_pr__nfet_01v8_RURP52_1/a_63_n150#"
-merge "sky130_fd_pr__nfet_01v8_RURP52_1/a_63_n150#" "sky130_fd_pr__nfet_01v8_RURP52_1/a_n125_n150#"
-merge "sky130_fd_pr__nfet_01v8_RURP52_1/a_n125_n150#" "li_n218_392#"
+merge "sky130_fd_pr__nfet_01v8_F5U58G_1/a_n15_n126#" "sky130_fd_pr__nfet_01v8_G6PLX8_1/a_63_n100#" -59.4702 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 2064 -84 0 0 0 0 6664 -352 0 0 0 0 0 0 0 0 0 0
+merge "sky130_fd_pr__nfet_01v8_G6PLX8_1/a_63_n100#" "sky130_fd_pr__nfet_01v8_G6PLX8_1/a_n129_n100#"
+merge "sky130_fd_pr__nfet_01v8_G6PLX8_1/a_n129_n100#" "sky130_fd_pr__pfet_01v8_RFM3CD_1/a_n73_n100#"
+merge "sky130_fd_pr__pfet_01v8_RFM3CD_1/a_n73_n100#" "a_864_270#"
 merge "sky130_fd_pr__nfet_01v8_G6PLX8_0/a_n159_n122#" "a_n434_n260#" -81.108 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 -720 -288 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0
-merge "sky130_fd_pr__pfet_01v8_RFM3CD_0/w_n109_n162#" "sky130_fd_pr__pfet_01v8_RFM3CD_1/w_n109_n162#" -423.792 0 0 0 0 -141264 -2168 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0
-merge "sky130_fd_pr__pfet_01v8_RFM3CD_1/w_n109_n162#" "w_n720_994#"
-merge "sky130_fd_pr__pfet_01v8_RFM3CD_0/a_n73_n100#" "sky130_fd_pr__pfet_01v8_RFM3CD_1/a_15_n100#" -2.4017 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 -136 -252 0 0 0 0 0 0 0 0 0 0 0 0
-merge "sky130_fd_pr__pfet_01v8_RFM3CD_1/a_15_n100#" "li_n720_1336#"
+merge "sky130_fd_pr__pfet_01v8_RFM3CD_1/a_n15_n126#" "a_506_940#" 2.544 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 960 -80 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0
+merge "sky130_fd_pr__pfet_01v8_RFM3CD_0/a_n15_n126#" "a_n302_940#" -1.59 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 -600 -100 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0
+merge "sky130_fd_pr__pfet_01v8_RFM3CD_0/w_n109_n162#" "sky130_fd_pr__pfet_01v8_RFM3CD_1/w_n109_n162#" -392.688 0 0 0 0 -130896 -2168 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0
+merge "sky130_fd_pr__pfet_01v8_RFM3CD_1/w_n109_n162#" "w_n720_482#"
+merge "sky130_fd_pr__pfet_01v8_RFM3CD_0/a_n73_n100#" "sky130_fd_pr__pfet_01v8_RFM3CD_1/a_15_n100#" -2.028 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 268 -252 0 0 0 0 0 0 0 0 0 0 0 0
+merge "sky130_fd_pr__pfet_01v8_RFM3CD_1/a_15_n100#" "li_n720_824#"
 merge "sky130_fd_pr__nfet_01v8_F5U58G_1/a_15_n100#" "li_954_42#" -65.9972 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 7648 -320 0 0 0 0 0 0 0 0 0 0 0 0
diff --git a/mag/preamp/preamp_part1.mag b/mag/preamp/preamp_part1.mag
index 94be798..d8d50ef 100755
--- a/mag/preamp/preamp_part1.mag
+++ b/mag/preamp/preamp_part1.mag
@@ -1,43 +1,29 @@
 magic
 tech sky130A
 magscale 1 2
-timestamp 1651643300
+timestamp 1646734260
 << nwell >>
-rect -720 994 1018 1328
+rect -720 482 1018 816
 << poly >>
-rect -482 1512 -414 1528
-rect -482 1470 -466 1512
-rect -430 1470 -414 1512
-rect -482 1452 -414 1470
-rect 688 1512 756 1528
-rect 688 1470 704 1512
-rect 744 1470 756 1512
-rect 688 1452 756 1470
-rect -462 1266 -432 1452
-rect 706 1276 738 1452
-rect -170 888 -42 908
-rect -170 842 -148 888
-rect -72 842 -42 888
-rect -170 802 -42 842
-rect 318 800 348 812
-rect 414 798 444 810
-rect -168 434 -138 464
-rect -72 436 -42 466
-rect 220 384 446 462
+rect -302 1000 -234 1016
+rect -302 958 -286 1000
+rect -250 958 -234 1000
+rect -302 940 -234 958
+rect 506 1000 574 1016
+rect 506 958 522 1000
+rect 562 958 574 1000
+rect 506 940 574 958
+rect -282 754 -252 940
+rect 524 764 556 940
 rect -656 326 -594 342
-rect 220 340 298 384
 rect -656 284 -640 326
 rect -606 284 -594 326
 rect -656 268 -594 284
-rect 218 336 300 340
-rect 218 294 236 336
-rect 284 294 300 336
-rect -640 242 -610 268
-rect 218 266 300 294
 rect 864 328 926 344
 rect 864 286 880 328
 rect 914 286 926 328
 rect 864 270 926 286
+rect -640 242 -610 268
 rect 882 234 912 270
 rect -434 4 -404 6
 rect -338 4 -308 6
@@ -60,66 +46,44 @@
 rect 680 -234 706 -164
 rect 388 -260 706 -234
 << polycont >>
-rect -466 1470 -430 1512
-rect 704 1470 744 1512
-rect -148 842 -72 888
+rect -286 958 -250 1000
+rect 522 958 562 1000
 rect -640 284 -606 326
-rect 236 294 284 336
 rect 880 286 914 328
 rect -406 -234 -144 -168
 rect 104 -238 180 -170
 rect 414 -234 680 -164
 << locali >>
-rect -482 1512 -414 1528
-rect -482 1470 -466 1512
-rect -430 1470 -414 1512
-rect -482 1452 -414 1470
-rect 688 1512 756 1528
-rect 688 1470 704 1512
-rect 744 1470 756 1512
-rect 688 1452 756 1470
-rect -720 1336 1020 1386
-rect -508 1208 -474 1336
-rect 748 1210 782 1336
-rect -164 896 -56 904
-rect -164 832 -158 896
-rect -60 832 -56 896
-rect -164 826 -56 832
-rect -218 434 -184 748
-rect -26 434 8 746
-rect 268 434 302 742
-rect 460 434 494 739
-rect -218 392 100 434
-rect 180 392 494 434
+rect -302 1000 -234 1016
+rect -302 958 -286 1000
+rect -250 958 -234 1000
+rect -302 940 -234 958
+rect 506 1000 574 1016
+rect 506 958 522 1000
+rect 562 958 574 1000
+rect 506 940 574 958
+rect -720 824 1020 874
+rect -328 696 -294 824
+rect 566 698 600 824
 rect -656 326 -594 342
 rect -656 284 -642 326
 rect -606 284 -594 326
-rect -418 312 -412 350
-rect -352 340 298 352
-rect -352 336 300 340
-rect -352 312 236 336
-rect -418 308 236 312
-rect -418 306 -352 308
 rect -656 268 -594 284
-rect 218 294 236 308
-rect 284 294 300 336
-rect -484 238 -66 272
-rect 218 266 300 294
 rect 864 328 926 344
 rect 864 286 878 328
 rect 914 286 926 328
+rect -484 238 756 272
+rect 864 270 926 286
 rect -718 44 -652 200
 rect -484 188 -450 238
 rect -292 194 -258 238
 rect -100 190 -66 238
-rect 338 238 756 272
-rect 864 270 926 286
+rect 126 148 160 238
 rect 338 192 372 238
 rect 530 194 564 238
 rect 722 192 756 238
 rect -598 -22 -564 52
 rect 30 48 66 88
-rect 122 87 166 148
 rect 222 48 256 86
 rect 30 -22 256 48
 rect 836 -22 870 54
@@ -138,17 +102,10 @@
 rect 680 -234 696 -164
 rect 398 -250 696 -234
 << viali >>
-rect -466 1470 -430 1512
-rect 704 1470 744 1512
-rect -158 888 -60 896
-rect -158 842 -148 888
-rect -148 842 -72 888
-rect -72 842 -60 888
-rect -158 832 -60 842
-rect 100 386 180 434
+rect -286 958 -250 1000
+rect 522 958 562 1000
 rect -642 284 -640 326
 rect -640 284 -606 326
-rect -412 312 -352 350
 rect 878 286 880 328
 rect 880 286 914 328
 rect -406 -168 -144 -166
@@ -156,52 +113,29 @@
 rect 106 -238 180 -170
 rect 414 -234 680 -164
 << metal1 >>
-rect -482 1512 -414 1528
-rect -482 1470 -466 1512
-rect -430 1470 -414 1512
-rect -482 1452 -414 1470
-rect 688 1512 756 1528
-rect 688 1470 704 1512
-rect 744 1470 756 1512
-rect 688 1452 756 1470
-rect -428 362 -380 1104
-rect -170 896 -46 910
-rect -170 832 -158 896
-rect -60 886 -46 896
-rect 654 886 702 1132
-rect -60 838 702 886
-rect -60 832 -46 838
-rect -170 818 -46 832
-rect -428 350 -346 362
+rect -302 1000 -234 1016
+rect -302 958 -286 1000
+rect -250 958 -234 1000
+rect -302 940 -234 958
+rect 506 1000 574 1016
+rect 506 958 522 1000
+rect 562 958 574 1000
+rect 506 940 574 958
 rect -656 326 -594 342
-rect -428 326 -412 350
+rect -248 326 -200 592
+rect 472 326 520 620
+rect 864 328 926 344
+rect 864 326 878 328
 rect -718 284 -642 326
-rect -606 312 -412 326
-rect -352 330 -346 350
-rect -352 312 -160 330
-rect -606 284 -160 312
+rect -606 284 -160 326
 rect -656 268 -594 284
 rect -692 190 -648 212
 rect -390 154 -352 284
 rect -198 150 -160 284
-rect -122 272 -88 668
-rect 88 434 194 450
-rect 88 386 100 434
-rect 180 386 194 434
-rect 88 372 194 386
-rect -128 236 -66 272
-rect -104 138 -66 236
-rect 120 116 166 372
-rect 364 272 398 536
-rect 654 326 702 838
-rect 864 328 926 344
-rect 864 326 878 328
 rect 434 286 878 326
 rect 914 326 926 328
 rect 914 286 1020 326
 rect 434 284 1020 286
-rect 338 238 406 272
-rect 338 180 374 238
 rect 434 188 470 284
 rect 624 192 660 284
 rect 864 270 926 284
@@ -237,20 +171,12 @@
 timestamp 1646422066
 transform 1 0 547 0 1 122
 box -221 -126 221 150
-use sky130_fd_pr__nfet_01v8_RURP52  sky130_fd_pr__nfet_01v8_RURP52_0
-timestamp 1651643300
-transform 1 0 -105 0 1 630
-box -125 -176 125 176
-use sky130_fd_pr__nfet_01v8_RURP52  sky130_fd_pr__nfet_01v8_RURP52_1
-timestamp 1651643300
-transform 1 0 381 0 1 630
-box -125 -176 125 176
 use sky130_fd_pr__pfet_01v8_RFM3CD#0  sky130_fd_pr__pfet_01v8_RFM3CD_0
 timestamp 1646431323
-transform 1 0 -447 0 1 1160
+transform 1 0 -267 0 1 648
 box -109 -162 109 162
 use sky130_fd_pr__pfet_01v8_RFM3CD#0  sky130_fd_pr__pfet_01v8_RFM3CD_1
 timestamp 1646431323
-transform 1 0 721 0 1 1160
+transform 1 0 539 0 1 648
 box -109 -162 109 162
 << end >>
diff --git a/mag/preamp/preamp_part12.ext b/mag/preamp/preamp_part12.ext
deleted file mode 100644
index acaa79c..0000000
--- a/mag/preamp/preamp_part12.ext
+++ /dev/null
@@ -1,202 +0,0 @@
-timestamp 1652163895
-version 8.3
-tech sky130A
-style ngspice()
-scale 1000 1 500000
-resistclasses 4400000 2200000 1700000 3050000 120000 197000 114000 191000 120000 197000 114000 191000 48200 319800 2000000 48200 48200 12200 125 125 47 47 29 5
-use sky130_fd_pr__pfet_01v8_RFM3CD#0 sky130_fd_pr__pfet_01v8_RFM3CD_1 1 0 721 0 1 1160
-use sky130_fd_pr__pfet_01v8_RFM3CD#0 sky130_fd_pr__pfet_01v8_RFM3CD_0 1 0 -447 0 1 1160
-use sky130_fd_pr__nfet_01v8_RURP52 sky130_fd_pr__nfet_01v8_RURP52_1 1 0 381 0 1 630
-use sky130_fd_pr__nfet_01v8_RURP52 sky130_fd_pr__nfet_01v8_RURP52_0 1 0 -105 0 1 630
-use sky130_fd_pr__nfet_01v8_G6PLX8 sky130_fd_pr__nfet_01v8_G6PLX8_1 1 0 547 0 1 122
-use sky130_fd_pr__nfet_01v8_G6PLX8 sky130_fd_pr__nfet_01v8_G6PLX8_0 1 0 -275 0 1 122
-use sky130_fd_pr__nfet_01v8_F5U58G#1 sky130_fd_pr__nfet_01v8_F5U58G_1 1 0 897 0 1 120
-use sky130_fd_pr__nfet_01v8_F5U58G#1 sky130_fd_pr__nfet_01v8_F5U58G_0 1 0 -625 0 1 116
-use sky130_fd_pr__nfet_01v8_8FHE5N sky130_fd_pr__nfet_01v8_8FHE5N_0 1 0 143 0 1 126
-node "m1_338_n220#" 2 247.366 338 -220 m1 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 20652 1276 0 0 0 0 0 0 0 0 0 0
-node "m1_n128_n164#" 3 314.214 -128 -164 m1 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 26220 1584 0 0 0 0 0 0 0 0 0 0
-node "m1_n692_n210#" 0 17.1792 -692 -210 m1 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 968 132 0 0 0 0 0 0 0 0 0 0
-node "li_954_n358#" 29 100.544 954 -358 li 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 10296 444 0 0 0 0 0 0 0 0 0 0 0 0
-node "li_122_n313#" 17 45.5327 122 -313 li 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 2684 210 0 0 0 0 0 0 0 0 0 0 0 0
-node "li_n720_n474#" 442 605.241 -720 -474 li 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 114132 4180 0 0 0 0 0 0 0 0 0 0 0 0
-node "li_338_n208#" 199 258.503 338 -208 li 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 18836 1176 0 0 0 0 0 0 0 0 0 0 0 0
-node "li_n718_n356#" 29 100.544 -718 -356 li 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 10296 444 0 0 0 0 0 0 0 0 0 0 0 0
-node "li_n484_n212#" 201 261.152 -484 -212 li 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 19040 1188 0 0 0 0 0 0 0 0 0 0 0 0
-node "li_n218_192#" 466 914.73 -218 192 li 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 56660 3016 29244 1280 0 0 0 0 0 0 0 0 0 0
-node "li_n720_1336#" 508 807.498 -720 1336 li 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 95636 4088 0 0 0 0 0 0 0 0 0 0 0 0
-node "a_388_n660#" 122 549.325 388 -660 p 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 82764 1200 0 0 30396 800 30396 800 0 0 0 0 0 0 0 0 0 0
-node "a_80_n658#" 135 463.845 80 -658 p 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 39816 884 0 0 13824 472 13568 468 0 0 0 0 0 0 0 0 0 0
-node "a_n434_n660#" 105 547.609 -434 -660 p 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 84192 1180 0 0 29988 792 30576 796 0 0 0 0 0 0 0 0 0 0
-node "a_414_256#" 181 21.536 414 256 p 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 240 76 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0
-node "a_318_256#" 181 21.536 318 256 p 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 240 76 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0
-node "a_n72_236#" 48 35.385 -72 236 p 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 900 120 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0
-node "a_n168_234#" 48 35.385 -168 234 p 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 900 120 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0
-node "a_n656_n132#" 422 1613.54 -656 -132 p 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 19508 860 0 0 30248 932 135892 5820 0 0 0 0 0 0 0 0 0 0
-node "a_n168_604#" 382 1353.32 -168 604 p 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 20284 828 0 0 13012 644 136076 5840 0 0 0 0 0 0 0 0 0 0
-node "a_706_862#" 293 124.937 706 862 p 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 7712 504 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0
-node "a_n506_870#" 309 119.062 -506 870 p 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 6948 488 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0
-node "w_n720_994#" 8846 1741.48 -720 994 nw 0 0 0 0 580492 4144 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0
-substrate "VSUBS" 0 0 -1073741817 -1073741817 space 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0
-cap "li_n718_n356#" "li_n720_n474#" 37.8889
-cap "a_318_256#" "a_n72_236#" 0.511111
-cap "a_n168_604#" "a_n656_n132#" 593.064
-cap "a_388_n660#" "li_n720_n474#" 350.111
-cap "a_388_n660#" "a_80_n658#" 73.7878
-cap "li_n218_192#" "a_n168_234#" 11.55
-cap "a_n168_604#" "li_n720_n474#" 7.24543
-cap "a_n168_234#" "a_n72_236#" 9.75758
-cap "a_n656_n132#" "a_318_256#" 2.04142
-cap "li_338_n208#" "li_954_n358#" 1.33846
-cap "a_n168_604#" "m1_n128_n164#" 9.44444
-cap "m1_338_n220#" "li_n218_192#" 153.648
-cap "li_n720_1336#" "w_n720_994#" 119.005
-cap "li_338_n208#" "li_n218_192#" 16.0875
-cap "li_n218_192#" "li_n484_n212#" 15.675
-cap "li_n484_n212#" "a_n434_n660#" 25.375
-cap "a_318_256#" "a_414_256#" 2.78788
-cap "li_122_n313#" "li_n218_192#" 30.2928
-cap "a_388_n660#" "a_n168_604#" 9.58613
-cap "m1_n692_n210#" "a_n656_n132#" 32.7658
-cap "li_954_n358#" "li_n720_n474#" 38.7455
-cap "li_338_n208#" "m1_338_n220#" 41.3766
-cap "a_n656_n132#" "w_n720_994#" 58.372
-cap "li_n218_192#" "a_n656_n132#" 28.9286
-cap "a_n656_n132#" "a_n434_n660#" 11.325
-cap "a_n434_n660#" "li_n720_n474#" 344.877
-cap "li_n218_192#" "a_80_n658#" 7.72388
-cap "a_n434_n660#" "a_80_n658#" 69.5567
-cap "a_n656_n132#" "li_n484_n212#" 75.2844
-cap "li_338_n208#" "li_n720_n474#" 55.7881
-cap "li_n484_n212#" "li_n720_n474#" 55.9374
-cap "li_122_n313#" "li_n720_n474#" 37.8201
-cap "li_122_n313#" "a_80_n658#" 6.07531
-cap "li_n718_n356#" "m1_n692_n210#" 24.64
-cap "a_n168_604#" "a_n168_234#" 2.02941
-cap "li_n218_192#" "m1_n128_n164#" 158.016
-cap "a_n656_n132#" "li_n720_n474#" 7.21111
-cap "a_706_862#" "w_n720_994#" 34.1
-cap "a_n168_604#" "w_n720_994#" 70.7256
-cap "m1_n128_n164#" "li_n484_n212#" 45.889
-cap "a_n506_870#" "w_n720_994#" 33.55
-cap "li_n218_192#" "a_n168_604#" 23.1716
-cap "a_n656_n132#" "a_414_256#" 2.12963
-cap "m1_338_n220#" "a_388_n660#" 0.932642
-cap "li_n720_n474#" "a_80_n658#" 186.298
-cap "li_n718_n356#" "li_n484_n212#" 2.90714
-cap "li_338_n208#" "a_388_n660#" 25.8506
-cap "m1_338_n220#" "a_n168_604#" 256.962
-cap "a_n168_604#" "a_n72_236#" 2.04142
-cap "a_n656_n132#" "m1_n128_n164#" 311.585
-cap "li_338_n208#" "a_n168_604#" 72.9079
-cap "li_n718_n356#" "a_n656_n132#" 1.94118
-cap "sky130_fd_pr__nfet_01v8_G6PLX8_1/a_n159_n522#" "sky130_fd_pr__nfet_01v8_RURP52_1/a_n33_n348#" 97.2439
-cap "sky130_fd_pr__nfet_01v8_RURP52_1/a_63_n348#" "sky130_fd_pr__pfet_01v8_RFM3CD_1/a_n73_n100#" 185.003
-cap "sky130_fd_pr__nfet_01v8_RURP52_1/a_n33_n348#" "sky130_fd_pr__nfet_01v8_F5U58G_1/a_15_n500#" 16.3288
-cap "sky130_fd_pr__nfet_01v8_G6PLX8_0/a_n159_n522#" "sky130_fd_pr__nfet_01v8_RURP52_0/a_n33_n348#" 118.85
-cap "sky130_fd_pr__pfet_01v8_RFM3CD_0/a_15_n100#" "sky130_fd_pr__nfet_01v8_F5U58G_1/a_n73_n500#" 237.661
-cap "sky130_fd_pr__pfet_01v8_RFM3CD_1/a_n73_n100#" "sky130_fd_pr__nfet_01v8_F5U58G_1/a_n73_n500#" 170.488
-cap "sky130_fd_pr__nfet_01v8_G6PLX8_1/a_n159_n522#" "sky130_fd_pr__pfet_01v8_RFM3CD_0/a_15_n100#" 1.79688
-cap "sky130_fd_pr__nfet_01v8_G6PLX8_1/a_n159_n522#" "sky130_fd_pr__pfet_01v8_RFM3CD_1/a_n73_n100#" 71.0899
-cap "sky130_fd_pr__pfet_01v8_RFM3CD_1/a_n73_n100#" "sky130_fd_pr__nfet_01v8_F5U58G_1/a_15_n500#" 22.6607
-cap "sky130_fd_pr__nfet_01v8_RURP52_1/a_n33_n348#" "sky130_fd_pr__pfet_01v8_RFM3CD_0/a_15_n100#" 27.8947
-cap "sky130_fd_pr__nfet_01v8_RURP52_0/a_n33_n348#" "sky130_fd_pr__nfet_01v8_F5U58G_0/a_n73_n500#" 103.84
-cap "sky130_fd_pr__nfet_01v8_RURP52_1/a_n33_n348#" "sky130_fd_pr__pfet_01v8_RFM3CD_1/a_n73_n100#" 124.24
-cap "sky130_fd_pr__nfet_01v8_RURP52_0/a_n33_n348#" "sky130_fd_pr__nfet_01v8_RURP52_1/a_63_n348#" 131.421
-cap "sky130_fd_pr__nfet_01v8_G6PLX8_0/a_n159_n522#" "sky130_fd_pr__nfet_01v8_8FHE5N_0/a_n63_n476#" 4.08628
-cap "sky130_fd_pr__nfet_01v8_RURP52_0/a_n33_n348#" "sky130_fd_pr__nfet_01v8_F5U58G_1/a_n73_n500#" 372.168
-cap "sky130_fd_pr__nfet_01v8_F5U58G_0/a_n73_n500#" "sky130_fd_pr__nfet_01v8_F5U58G_1/a_n73_n500#" -3.18889
-cap "sky130_fd_pr__pfet_01v8_RFM3CD_0/a_15_n100#" "sky130_fd_pr__pfet_01v8_RFM3CD_1/a_n73_n100#" 2.55556
-cap "sky130_fd_pr__nfet_01v8_RURP52_1/a_63_n348#" "sky130_fd_pr__nfet_01v8_F5U58G_1/a_n73_n500#" 8.50776
-cap "sky130_fd_pr__nfet_01v8_G6PLX8_0/a_n159_n522#" "sky130_fd_pr__pfet_01v8_RFM3CD_0/a_15_n100#" 76.9611
-cap "sky130_fd_pr__nfet_01v8_RURP52_0/a_n33_n348#" "sky130_fd_pr__nfet_01v8_RURP52_1/a_n33_n348#" 16.9898
-cap "sky130_fd_pr__nfet_01v8_RURP52_1/a_63_n348#" "sky130_fd_pr__nfet_01v8_8FHE5N_0/a_n63_n476#" 1.01711
-cap "sky130_fd_pr__nfet_01v8_G6PLX8_0/a_n159_n522#" "sky130_fd_pr__pfet_01v8_RFM3CD_1/a_n73_n100#" 1.90608
-cap "sky130_fd_pr__nfet_01v8_RURP52_1/a_63_n348#" "sky130_fd_pr__nfet_01v8_RURP52_1/a_n33_n348#" 145.73
-cap "sky130_fd_pr__nfet_01v8_RURP52_0/a_n33_n348#" "sky130_fd_pr__pfet_01v8_RFM3CD_0/a_15_n100#" 77.009
-cap "sky130_fd_pr__nfet_01v8_F5U58G_0/a_n73_n500#" "sky130_fd_pr__pfet_01v8_RFM3CD_0/a_15_n100#" 46.0275
-cap "sky130_fd_pr__nfet_01v8_RURP52_1/a_n33_n348#" "sky130_fd_pr__nfet_01v8_F5U58G_1/a_n73_n500#" 202.444
-cap "sky130_fd_pr__nfet_01v8_RURP52_1/a_63_n348#" "sky130_fd_pr__pfet_01v8_RFM3CD_0/a_15_n100#" 132.419
-cap "sky130_fd_pr__nfet_01v8_RURP52_0/a_n33_n348#" "sky130_fd_pr__pfet_01v8_RFM3CD_1/a_n73_n100#" 35.3909
-cap "sky130_fd_pr__nfet_01v8_G6PLX8_1/a_n159_n522#" "sky130_fd_pr__nfet_01v8_8FHE5N_0/a_n63_n476#" 4.87089
-cap "sky130_fd_pr__nfet_01v8_F5U58G_1/a_n73_n500#" "sky130_fd_pr__nfet_01v8_F5U58G_1/a_15_n500#" 10.7545
-cap "sky130_fd_pr__nfet_01v8_RURP52_1/a_n33_n348#" "sky130_fd_pr__nfet_01v8_G6PLX8_1/a_n159_n522#" -201.753
-cap "sky130_fd_pr__nfet_01v8_G6PLX8_1/a_n159_n522#" "sky130_fd_pr__pfet_01v8_RFM3CD_1/a_n73_n100#" 13.0498
-cap "sky130_fd_pr__nfet_01v8_RURP52_1/a_n33_n348#" "sky130_fd_pr__pfet_01v8_RFM3CD_1/a_n73_n100#" 39.1402
-cap "sky130_fd_pr__nfet_01v8_RURP52_1/a_n33_n348#" "sky130_fd_pr__nfet_01v8_F5U58G_1/a_n73_n500#" 193.876
-cap "sky130_fd_pr__nfet_01v8_F5U58G_1/a_n73_n500#" "sky130_fd_pr__pfet_01v8_RFM3CD_1/a_n73_n100#" 76.539
-cap "sky130_fd_pr__nfet_01v8_RURP52_1/a_n33_n348#" "sky130_fd_pr__nfet_01v8_F5U58G_1/a_15_n500#" 87.6941
-cap "sky130_fd_pr__nfet_01v8_RURP52_1/a_63_n348#" "sky130_fd_pr__pfet_01v8_RFM3CD_1/a_n73_n100#" 40.4355
-cap "sky130_fd_pr__nfet_01v8_F5U58G_1/a_15_n500#" "sky130_fd_pr__pfet_01v8_RFM3CD_1/a_n73_n100#" 47.3142
-cap "sky130_fd_pr__pfet_01v8_RFM3CD_0/a_15_n100#" "sky130_fd_pr__nfet_01v8_RURP52_0/a_n33_n348#" 1.8583
-cap "sky130_fd_pr__pfet_01v8_RFM3CD_1/w_n109_n162#" "sky130_fd_pr__pfet_01v8_RFM3CD_1/a_15_n100#" -27.8754
-cap "sky130_fd_pr__pfet_01v8_RFM3CD_1/w_n109_n162#" "sky130_fd_pr__pfet_01v8_RFM3CD_1/a_n73_n100#" -21.7168
-cap "sky130_fd_pr__pfet_01v8_RFM3CD_1/w_n109_n162#" "sky130_fd_pr__pfet_01v8_RFM3CD_0/a_15_n100#" -27.6128
-cap "sky130_fd_pr__pfet_01v8_RFM3CD_1/a_15_n100#" "sky130_fd_pr__pfet_01v8_RFM3CD_1/a_n73_n100#" 6.73469
-cap "sky130_fd_pr__pfet_01v8_RFM3CD_0/a_15_n100#" "sky130_fd_pr__nfet_01v8_RURP52_0/a_63_n348#" 4.29461
-cap "sky130_fd_pr__pfet_01v8_RFM3CD_0/a_15_n100#" "sky130_fd_pr__pfet_01v8_RFM3CD_1/a_15_n100#" 12.4133
-cap "sky130_fd_pr__pfet_01v8_RFM3CD_0/a_15_n100#" "sky130_fd_pr__nfet_01v8_RURP52_1/a_n125_n348#" 4.29461
-cap "sky130_fd_pr__pfet_01v8_RFM3CD_1/w_n109_n162#" "sky130_fd_pr__pfet_01v8_RFM3CD_0/a_n15_n126#" -19.8
-cap "sky130_fd_pr__pfet_01v8_RFM3CD_0/a_15_n100#" "sky130_fd_pr__nfet_01v8_RURP52_0/a_n125_n348#" 4.29461
-cap "sky130_fd_pr__pfet_01v8_RFM3CD_0/a_15_n100#" "sky130_fd_pr__nfet_01v8_RURP52_1/a_n33_n348#" 4.51965
-cap "sky130_fd_pr__pfet_01v8_RFM3CD_1/a_n73_n100#" "sky130_fd_pr__pfet_01v8_RFM3CD_1/a_15_n100#" 7.17857
-cap "sky130_fd_pr__pfet_01v8_RFM3CD_1/w_n109_n162#" "sky130_fd_pr__pfet_01v8_RFM3CD_1/a_n73_n100#" -13.3296
-cap "sky130_fd_pr__pfet_01v8_RFM3CD_1/w_n109_n162#" "sky130_fd_pr__pfet_01v8_RFM3CD_1/a_n15_n126#" -19.8
-cap "sky130_fd_pr__pfet_01v8_RFM3CD_1/w_n109_n162#" "sky130_fd_pr__pfet_01v8_RFM3CD_1/a_15_n100#" -26.9925
-merge "sky130_fd_pr__nfet_01v8_F5U58G_1/a_n15_n526#" "sky130_fd_pr__nfet_01v8_G6PLX8_1/a_63_n500#" -124.691 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 1764 -344 0 0 0 0 17548 -352 0 0 0 0 0 0 0 0 0 0
-merge "sky130_fd_pr__nfet_01v8_G6PLX8_1/a_63_n500#" "sky130_fd_pr__nfet_01v8_G6PLX8_1/a_n129_n500#"
-merge "sky130_fd_pr__nfet_01v8_G6PLX8_1/a_n129_n500#" "sky130_fd_pr__nfet_01v8_RURP52_0/a_33_n370#"
-merge "sky130_fd_pr__nfet_01v8_RURP52_0/a_33_n370#" "a_n72_236#"
-merge "a_n72_236#" "a_n168_234#"
-merge "a_n168_234#" "sky130_fd_pr__nfet_01v8_RURP52_0/a_n63_n370#"
-merge "sky130_fd_pr__nfet_01v8_RURP52_0/a_n63_n370#" "sky130_fd_pr__pfet_01v8_RFM3CD_1/a_n73_n100#"
-merge "sky130_fd_pr__pfet_01v8_RFM3CD_1/a_n73_n100#" "a_n168_604#"
-merge "sky130_fd_pr__nfet_01v8_8FHE5N_0/VSUBS" "sky130_fd_pr__nfet_01v8_F5U58G_0/VSUBS" 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0
-merge "sky130_fd_pr__nfet_01v8_F5U58G_0/VSUBS" "sky130_fd_pr__nfet_01v8_F5U58G_1/VSUBS"
-merge "sky130_fd_pr__nfet_01v8_F5U58G_1/VSUBS" "sky130_fd_pr__nfet_01v8_G6PLX8_0/VSUBS"
-merge "sky130_fd_pr__nfet_01v8_G6PLX8_0/VSUBS" "sky130_fd_pr__nfet_01v8_G6PLX8_1/VSUBS"
-merge "sky130_fd_pr__nfet_01v8_G6PLX8_1/VSUBS" "sky130_fd_pr__nfet_01v8_RURP52_0/VSUBS"
-merge "sky130_fd_pr__nfet_01v8_RURP52_0/VSUBS" "sky130_fd_pr__nfet_01v8_RURP52_1/VSUBS"
-merge "sky130_fd_pr__nfet_01v8_RURP52_1/VSUBS" "sky130_fd_pr__pfet_01v8_RFM3CD_0/VSUBS"
-merge "sky130_fd_pr__pfet_01v8_RFM3CD_0/VSUBS" "sky130_fd_pr__pfet_01v8_RFM3CD_1/VSUBS"
-merge "sky130_fd_pr__pfet_01v8_RFM3CD_1/VSUBS" "VSUBS"
-merge "sky130_fd_pr__nfet_01v8_F5U58G_0/a_n15_n526#" "sky130_fd_pr__nfet_01v8_G6PLX8_0/a_63_n500#" -337.471 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 -63156 -352 0 0 0 0 8844 -456 0 0 0 0 0 0 0 0 0 0
-merge "sky130_fd_pr__nfet_01v8_G6PLX8_0/a_63_n500#" "sky130_fd_pr__nfet_01v8_G6PLX8_0/a_n129_n500#"
-merge "sky130_fd_pr__nfet_01v8_G6PLX8_0/a_n129_n500#" "sky130_fd_pr__nfet_01v8_RURP52_1/a_33_n370#"
-merge "sky130_fd_pr__nfet_01v8_RURP52_1/a_33_n370#" "a_414_256#"
-merge "a_414_256#" "a_318_256#"
-merge "a_318_256#" "sky130_fd_pr__nfet_01v8_RURP52_1/a_n63_n370#"
-merge "sky130_fd_pr__nfet_01v8_RURP52_1/a_n63_n370#" "sky130_fd_pr__pfet_01v8_RFM3CD_0/a_15_n100#"
-merge "sky130_fd_pr__pfet_01v8_RFM3CD_0/a_15_n100#" "a_n656_n132#"
-merge "sky130_fd_pr__nfet_01v8_8FHE5N_0/a_n33_n450#" "li_122_n313#" -350.605 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 -3818 -1578 -2254 -190 0 0 0 0 0 0 0 0 0 0
-merge "li_122_n313#" "sky130_fd_pr__nfet_01v8_RURP52_0/a_63_n348#"
-merge "sky130_fd_pr__nfet_01v8_RURP52_0/a_63_n348#" "sky130_fd_pr__nfet_01v8_RURP52_0/a_n125_n348#"
-merge "sky130_fd_pr__nfet_01v8_RURP52_0/a_n125_n348#" "sky130_fd_pr__nfet_01v8_RURP52_1/a_n125_n348#"
-merge "sky130_fd_pr__nfet_01v8_RURP52_1/a_n125_n348#" "sky130_fd_pr__nfet_01v8_RURP52_1/a_63_n348#"
-merge "sky130_fd_pr__nfet_01v8_RURP52_1/a_63_n348#" "li_n218_192#"
-merge "sky130_fd_pr__nfet_01v8_F5U58G_1/a_15_n500#" "li_954_n358#" -65.9972 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 7648 -320 0 0 0 0 0 0 0 0 0 0 0 0
-merge "sky130_fd_pr__nfet_01v8_G6PLX8_0/a_159_n500#" "sky130_fd_pr__nfet_01v8_RURP52_0/a_n33_n348#" -217.206 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 -952 -260 2114 -550 0 0 0 0 0 0 0 0 0 0
-merge "sky130_fd_pr__nfet_01v8_RURP52_0/a_n33_n348#" "m1_n128_n164#"
-merge "m1_n128_n164#" "sky130_fd_pr__nfet_01v8_G6PLX8_0/a_n33_n500#"
-merge "sky130_fd_pr__nfet_01v8_G6PLX8_0/a_n33_n500#" "sky130_fd_pr__nfet_01v8_G6PLX8_0/a_n221_n474#"
-merge "sky130_fd_pr__nfet_01v8_G6PLX8_0/a_n221_n474#" "li_n484_n212#"
-merge "sky130_fd_pr__nfet_01v8_G6PLX8_1/a_159_n500#" "sky130_fd_pr__nfet_01v8_G6PLX8_1/a_n33_n500#" -62.9898 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 2748 -248 -85704 -226 0 0 0 0 0 0 0 0 0 0
-merge "sky130_fd_pr__nfet_01v8_G6PLX8_1/a_n33_n500#" "sky130_fd_pr__nfet_01v8_G6PLX8_1/a_n221_n474#"
-merge "sky130_fd_pr__nfet_01v8_G6PLX8_1/a_n221_n474#" "li_338_n208#"
-merge "li_338_n208#" "sky130_fd_pr__nfet_01v8_RURP52_1/a_n33_n348#"
-merge "sky130_fd_pr__nfet_01v8_RURP52_1/a_n33_n348#" "m1_338_n220#"
-merge "sky130_fd_pr__pfet_01v8_RFM3CD_1/a_n15_n126#" "a_706_862#" -0.1272 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 -48 -72 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0
-merge "sky130_fd_pr__nfet_01v8_8FHE5N_0/a_63_n450#" "sky130_fd_pr__nfet_01v8_8FHE5N_0/a_n125_n439#" -67.604 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 716 -340 0 0 0 0 0 0 0 0 0 0 0 0
-merge "sky130_fd_pr__nfet_01v8_8FHE5N_0/a_n125_n439#" "sky130_fd_pr__nfet_01v8_F5U58G_0/a_15_n500#"
-merge "sky130_fd_pr__nfet_01v8_F5U58G_0/a_15_n500#" "sky130_fd_pr__nfet_01v8_F5U58G_1/a_n73_n500#"
-merge "sky130_fd_pr__nfet_01v8_F5U58G_1/a_n73_n500#" "li_n720_n474#"
-merge "sky130_fd_pr__pfet_01v8_RFM3CD_0/w_n109_n162#" "sky130_fd_pr__pfet_01v8_RFM3CD_1/w_n109_n162#" -86.328 0 0 0 0 -28776 -2168 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0
-merge "sky130_fd_pr__pfet_01v8_RFM3CD_1/w_n109_n162#" "w_n720_994#"
-merge "sky130_fd_pr__nfet_01v8_8FHE5N_0/a_33_n476#" "sky130_fd_pr__nfet_01v8_8FHE5N_0/a_n63_n476#" -43.072 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 -480 -152 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0
-merge "sky130_fd_pr__nfet_01v8_8FHE5N_0/a_n63_n476#" "a_80_n658#"
-merge "sky130_fd_pr__nfet_01v8_F5U58G_0/a_n73_n500#" "m1_n692_n210#" -99.667 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 -5100 -368 0 -88 0 0 0 0 0 0 0 0 0 0
-merge "m1_n692_n210#" "li_n718_n356#"
-merge "sky130_fd_pr__nfet_01v8_G6PLX8_1/a_n159_n522#" "a_388_n660#" -70.7932 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 1512 -272 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0
-merge "sky130_fd_pr__pfet_01v8_RFM3CD_0/a_n15_n126#" "a_n506_870#" 22.896 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 8640 -72 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0
-merge "sky130_fd_pr__nfet_01v8_G6PLX8_0/a_n159_n522#" "a_n434_n660#" -81.108 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 -720 -288 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0
-merge "sky130_fd_pr__pfet_01v8_RFM3CD_0/a_n73_n100#" "sky130_fd_pr__pfet_01v8_RFM3CD_1/a_15_n100#" -2.3388 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 -68 -252 0 0 0 0 0 0 0 0 0 0 0 0
-merge "sky130_fd_pr__pfet_01v8_RFM3CD_1/a_15_n100#" "li_n720_1336#"
diff --git a/mag/preamp/preamp_part12.mag b/mag/preamp/preamp_part12.mag
deleted file mode 100644
index c313c25..0000000
--- a/mag/preamp/preamp_part12.mag
+++ /dev/null
@@ -1,238 +0,0 @@
-magic
-tech sky130A
-magscale 1 2
-timestamp 1652163895
-<< nwell >>
-rect -720 994 1018 1328
-<< poly >>
-rect -462 912 -432 1040
-rect -506 870 -432 912
-rect 706 910 738 1040
-rect 706 862 780 910
-rect -168 700 -42 720
-rect -168 654 -148 700
-rect -72 654 -42 700
-rect -168 604 -42 654
-rect 316 688 446 714
-rect 316 650 338 688
-rect 418 650 446 688
-rect 316 614 446 650
-rect 318 602 348 614
-rect 414 588 444 614
-rect -168 234 -138 264
-rect -72 236 -42 266
-rect 318 256 348 264
-rect 414 256 444 264
-rect -656 -74 -594 -58
-rect -656 -116 -640 -74
-rect -606 -116 -594 -74
-rect -656 -132 -594 -116
-rect 864 -72 926 -56
-rect 864 -114 880 -72
-rect 914 -114 926 -72
-rect 864 -130 926 -114
-rect -640 -158 -610 -132
-rect 882 -166 912 -130
-rect -434 -396 -404 -394
-rect -338 -396 -308 -394
-rect -242 -396 -212 -394
-rect -146 -396 -116 -394
-rect -434 -568 -116 -396
-rect -434 -634 -406 -568
-rect -144 -634 -116 -568
-rect -434 -660 -116 -634
-rect 80 -570 206 -342
-rect 80 -638 104 -570
-rect 180 -638 206 -570
-rect 80 -658 206 -638
-rect 388 -402 418 -396
-rect 484 -402 514 -396
-rect 580 -402 610 -396
-rect 676 -402 706 -396
-rect 388 -564 706 -402
-rect 388 -634 414 -564
-rect 680 -634 706 -564
-rect 388 -660 706 -634
-<< polycont >>
-rect -148 654 -72 700
-rect 338 650 418 688
-rect -640 -116 -606 -74
-rect 880 -114 914 -72
-rect -406 -634 -144 -568
-rect 104 -638 180 -570
-rect 414 -634 680 -564
-<< locali >>
-rect -720 1336 1020 1386
-rect -508 1208 -474 1336
-rect 748 1210 782 1336
-rect 314 820 442 832
-rect 314 782 330 820
-rect 426 782 442 820
-rect -164 708 -56 716
-rect -164 644 -158 708
-rect -60 644 -56 708
-rect -164 638 -56 644
-rect 314 714 442 782
-rect 314 688 446 714
-rect 314 650 338 688
-rect 418 650 446 688
-rect 314 636 446 650
-rect 316 634 446 636
-rect -218 234 -184 548
-rect -26 234 8 546
-rect 92 234 182 242
-rect 268 234 302 280
-rect 460 234 494 296
-rect -218 230 494 234
-rect -218 192 104 230
-rect 92 190 104 192
-rect 172 192 494 230
-rect 172 190 182 192
-rect 92 180 182 190
-rect -656 -74 -594 -58
-rect -656 -116 -642 -74
-rect -606 -116 -594 -74
-rect -656 -132 -594 -116
-rect 864 -72 926 -56
-rect 864 -114 878 -72
-rect 914 -114 926 -72
-rect -484 -162 -66 -128
-rect -718 -356 -652 -200
-rect -484 -212 -450 -162
-rect -292 -206 -258 -162
-rect -100 -210 -66 -162
-rect 338 -162 756 -128
-rect 864 -130 926 -114
-rect 338 -208 372 -162
-rect 530 -206 564 -162
-rect 722 -208 756 -162
-rect -598 -422 -564 -348
-rect 30 -352 66 -312
-rect 122 -313 166 -252
-rect 222 -352 256 -314
-rect 30 -422 256 -352
-rect 836 -422 870 -346
-rect 954 -358 1020 -202
-rect -720 -474 1020 -422
-rect -422 -566 -128 -550
-rect -422 -634 -406 -566
-rect -144 -634 -128 -566
-rect -422 -652 -128 -634
-rect 78 -570 206 -552
-rect 78 -638 104 -570
-rect 180 -638 206 -570
-rect 78 -660 206 -638
-rect 398 -564 696 -548
-rect 398 -634 414 -564
-rect 680 -634 696 -564
-rect 398 -650 696 -634
-<< viali >>
-rect 330 782 426 820
-rect -158 700 -60 708
-rect -158 654 -148 700
-rect -148 654 -72 700
-rect -72 654 -60 700
-rect -158 644 -60 654
-rect 104 190 172 230
-rect -642 -116 -640 -74
-rect -640 -116 -606 -74
-rect 878 -114 880 -72
-rect 880 -114 914 -72
-rect -406 -568 -144 -566
-rect -406 -634 -144 -568
-rect 106 -638 180 -570
-rect 414 -634 680 -564
-<< metal1 >>
-rect -428 830 -380 1104
-rect 314 830 442 832
-rect -428 820 442 830
-rect -428 810 330 820
-rect -430 782 330 810
-rect 426 782 442 820
-rect -656 -74 -594 -58
-rect -430 -70 -380 782
-rect 314 770 442 782
-rect -168 708 -46 722
-rect -168 644 -158 708
-rect -60 698 -46 708
-rect 654 698 702 1132
-rect -60 650 702 698
-rect -60 644 -46 650
-rect -168 630 -46 644
-rect -430 -74 -160 -70
-rect -718 -116 -642 -74
-rect -606 -116 -160 -74
-rect -656 -132 -594 -116
-rect -692 -210 -648 -188
-rect -390 -246 -352 -116
-rect -198 -250 -160 -116
-rect -122 -128 -88 468
-rect 88 230 194 250
-rect 88 190 104 230
-rect 172 190 194 230
-rect 88 172 194 190
-rect -128 -164 -66 -128
-rect -104 -262 -66 -164
-rect 120 -284 166 172
-rect 364 -128 398 350
-rect 654 -74 702 650
-rect 864 -72 926 -56
-rect 864 -74 878 -72
-rect 434 -114 878 -74
-rect 914 -74 926 -72
-rect 914 -114 1020 -74
-rect 434 -116 1020 -114
-rect 338 -162 406 -128
-rect 338 -220 374 -162
-rect 434 -212 470 -116
-rect 624 -208 660 -116
-rect 864 -130 926 -116
-rect -422 -566 -128 -550
-rect -422 -634 -406 -566
-rect -144 -634 -128 -566
-rect -422 -654 -128 -634
-rect 78 -570 206 -552
-rect 78 -638 106 -570
-rect 180 -638 206 -570
-rect 78 -658 206 -638
-rect 398 -564 696 -548
-rect 398 -634 414 -564
-rect 680 -634 696 -564
-rect 398 -650 696 -634
-use sky130_fd_pr__nfet_01v8_8FHE5N  sky130_fd_pr__nfet_01v8_8FHE5N_0
-timestamp 1651835070
-transform 1 0 143 0 1 126
-box -125 -476 125 -324
-use sky130_fd_pr__nfet_01v8_F5U58G#1  sky130_fd_pr__nfet_01v8_F5U58G_0
-timestamp 1651835070
-transform 1 0 -625 0 1 116
-box -73 -526 73 -274
-use sky130_fd_pr__nfet_01v8_F5U58G#1  sky130_fd_pr__nfet_01v8_F5U58G_1
-timestamp 1651835070
-transform 1 0 897 0 1 120
-box -73 -526 73 -274
-use sky130_fd_pr__nfet_01v8_G6PLX8  sky130_fd_pr__nfet_01v8_G6PLX8_0
-timestamp 1651835070
-transform 1 0 -275 0 1 122
-box -221 -526 221 -250
-use sky130_fd_pr__nfet_01v8_G6PLX8  sky130_fd_pr__nfet_01v8_G6PLX8_1
-timestamp 1651835070
-transform 1 0 547 0 1 122
-box -221 -526 221 -250
-use sky130_fd_pr__nfet_01v8_RURP52  sky130_fd_pr__nfet_01v8_RURP52_0
-timestamp 1651837652
-transform 1 0 -105 0 1 630
-box -125 -374 125 -22
-use sky130_fd_pr__nfet_01v8_RURP52  sky130_fd_pr__nfet_01v8_RURP52_1
-timestamp 1651837652
-transform 1 0 381 0 1 630
-box -125 -374 125 -22
-use sky130_fd_pr__pfet_01v8_RFM3CD#0  sky130_fd_pr__pfet_01v8_RFM3CD_0
-timestamp 1646431323
-transform 1 0 -447 0 1 1160
-box -109 -162 109 162
-use sky130_fd_pr__pfet_01v8_RFM3CD#0  sky130_fd_pr__pfet_01v8_RFM3CD_1
-timestamp 1646431323
-transform 1 0 721 0 1 1160
-box -109 -162 109 162
-<< end >>
diff --git a/mag/preamp/preamp_part2.ext b/mag/preamp/preamp_part2.ext
index 71f2b25..eaf00fb 100644
--- a/mag/preamp/preamp_part2.ext
+++ b/mag/preamp/preamp_part2.ext
@@ -1,67 +1,98 @@
-timestamp 1651654292
+timestamp 1646595273
 version 8.3
 tech sky130A
 style ngspice()
 scale 1000 1 500000
 resistclasses 4400000 2200000 1700000 3050000 120000 197000 114000 191000 120000 197000 114000 191000 48200 319800 2000000 48200 48200 12200 125 125 47 47 29 5
-use sky130_fd_pr__nfet_01v8_XJTKXQ#0#0 sky130_fd_pr__nfet_01v8_XJTKXQ_0 1 0 443 0 1 346
-use sky130_fd_pr__nfet_01v8_XJTKXQ#0#0 sky130_fd_pr__nfet_01v8_XJTKXQ_1 1 0 975 0 1 346
-use sky130_fd_pr__pfet_01v8_RFM3CD#0 sky130_fd_pr__pfet_01v8_RFM3CD_0 1 0 407 0 1 864
-use sky130_fd_pr__pfet_01v8_RFM3CD#0 sky130_fd_pr__pfet_01v8_RFM3CD#0_1 1 0 989 0 1 464
-use sky130_fd_pr__pfet_01v8_RFM3CD#0 sky130_fd_pr__pfet_01v8_RFM3CD#0_0 1 0 407 0 1 464
-use sky130_fd_pr__pfet_01v8_RFM3CD#0 sky130_fd_pr__pfet_01v8_RFM3CD_1 1 0 989 0 1 864
-node "li_1016_536#" 91 0 1016 536 li 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 8636 576 0 0 0 0 0 0 0 0 0 0 0 0
-node "li_346_530#" 95 0 346 530 li 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 9044 600 0 0 0 0 0 0 0 0 0 0 0 0
-node "li_116_1034#" 327 549.799 116 1034 li 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 69696 2836 0 0 0 0 0 0 0 0 0 0 0 0
-node "a_810_594#" 554 24.275 810 594 p 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 12100 688 0 0 20316 1184 0 0 0 0 0 0 0 0 0 0 0 0
-node "a_392_716#" 495 -0.4206 392 716 p 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 8196 504 0 0 25164 1440 0 0 0 0 0 0 0 0 0 0 0 0
-node "w_296_302#" 1878 1746.76 296 302 nw 0 0 0 0 582252 3056 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0
+use sky130_fd_pr__pfet_01v8_RFM3CD sky130_fd_pr__pfet_01v8_RFM3CD_1 1 0 989 0 1 864
+use sky130_fd_pr__pfet_01v8_RFM3CD sky130_fd_pr__pfet_01v8_RFM3CD_0 1 0 407 0 1 864
+use sky130_fd_pr__nfet_01v8_XJTKXQ#0 sky130_fd_pr__nfet_01v8_XJTKXQ_1 1 0 953 0 1 346
+use sky130_fd_pr__nfet_01v8_XJTKXQ#0 sky130_fd_pr__nfet_01v8_XJTKXQ_0 1 0 443 0 1 346
+use sky130_fd_pr__nfet_01v8_F5U58G#0 sky130_fd_pr__nfet_01v8_F5U58G_2 1 0 1209 0 1 460
+use sky130_fd_pr__nfet_01v8_F5U58G#0 sky130_fd_pr__nfet_01v8_F5U58G_1 1 0 189 0 1 458
+use sky130_fd_pr__nfet_01v8_F5U58G#0 sky130_fd_pr__nfet_01v8_F5U58G_0 1 0 695 0 1 346
+node "m1_322_206#" 3 397.952 322 206 m1 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 38892 2024 0 0 0 0 0 0 0 0 0 0
+node "li_116_138#" 268 538.643 116 138 li 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 70144 2596 0 0 0 0 0 0 0 0 0 0 0 0
+node "li_928_394#" 180 292.614 928 394 li 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 25572 1312 0 0 0 0 0 0 0 0 0 0 0 0
+node "li_210_488#" 177 220.487 210 488 li 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 26088 1316 0 0 0 0 0 0 0 0 0 0 0 0
+node "li_116_1034#" 327 645.849 116 1034 li 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 69696 2836 0 0 0 0 0 0 0 0 0 0 0 0
+node "a_792_2#" 164 872.971 792 2 p 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 37044 896 0 0 8836 376 64452 2328 0 0 0 0 0 0 0 0 0 0
+node "a_380_480#" 146 690.068 380 480 p 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 27360 748 0 0 8836 376 51812 1784 0 0 0 0 0 0 0 0 0 0
+node "a_148_644#" 577 937.83 148 644 p 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 15308 876 0 0 11108 596 11108 596 69948 2372 0 0 0 0 0 0 0 0
 substrate "VSUBS" 0 0 -1073741817 -1073741817 space 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0
-cap "a_392_716#" "li_1016_536#" 130.778
-cap "a_810_594#" "a_392_716#" 284.512
-cap "a_392_716#" "li_116_1034#" 54.9607
-cap "a_392_716#" "li_346_530#" 16.5
-cap "a_810_594#" "li_1016_536#" 17.9143
-cap "li_1016_536#" "li_116_1034#" 4.59836
-cap "a_810_594#" "li_116_1034#" 38.9136
-cap "a_810_594#" "li_346_530#" 66
-cap "li_346_530#" "li_116_1034#" 4.71429
-cap "a_392_716#" "w_296_302#" 451.712
-cap "li_1016_536#" "w_296_302#" 126.068
-cap "a_810_594#" "w_296_302#" 446.072
-cap "w_296_302#" "li_116_1034#" 96.0497
-cap "w_296_302#" "li_346_530#" 131.366
-cap "sky130_fd_pr__pfet_01v8_RFM3CD#0_0/a_15_n100#" "sky130_fd_pr__pfet_01v8_RFM3CD_0/w_n109_n162#" -36.1977
-cap "sky130_fd_pr__pfet_01v8_RFM3CD#0_1/a_15_n100#" "sky130_fd_pr__pfet_01v8_RFM3CD_0/a_15_n100#" 7.59729
-cap "sky130_fd_pr__pfet_01v8_RFM3CD#0_0/a_15_n100#" "sky130_fd_pr__pfet_01v8_RFM3CD#0_1/a_n15_n126#" 16.4286
-cap "sky130_fd_pr__pfet_01v8_RFM3CD_0/a_n15_n126#" "sky130_fd_pr__pfet_01v8_RFM3CD_0/a_15_n100#" 33.2161
-cap "sky130_fd_pr__pfet_01v8_RFM3CD#0_0/a_15_n100#" "sky130_fd_pr__pfet_01v8_RFM3CD_0/a_n73_n100#" -2.44444
-cap "sky130_fd_pr__pfet_01v8_RFM3CD#0_0/a_15_n100#" "sky130_fd_pr__pfet_01v8_RFM3CD_0/a_15_n100#" 12.3861
-cap "sky130_fd_pr__pfet_01v8_RFM3CD_0/w_n109_n162#" "sky130_fd_pr__pfet_01v8_RFM3CD_0/a_15_n100#" -35.4441
-cap "sky130_fd_pr__pfet_01v8_RFM3CD_0/w_n109_n162#" "sky130_fd_pr__pfet_01v8_RFM3CD_0/a_n73_n100#" -38.9757
-cap "sky130_fd_pr__pfet_01v8_RFM3CD_0/a_n15_n126#" "sky130_fd_pr__pfet_01v8_RFM3CD#0_0/a_n15_n126#" 5.47619
-cap "sky130_fd_pr__pfet_01v8_RFM3CD_0/a_n15_n126#" "sky130_fd_pr__pfet_01v8_RFM3CD#0_1/a_15_n100#" -3.66667
-cap "sky130_fd_pr__pfet_01v8_RFM3CD_0/a_n73_n100#" "sky130_fd_pr__pfet_01v8_RFM3CD_0/a_15_n100#" 7.48137
-cap "sky130_fd_pr__pfet_01v8_RFM3CD#0_1/a_15_n100#" "sky130_fd_pr__pfet_01v8_RFM3CD_0/w_n109_n162#" -33.6783
-cap "sky130_fd_pr__pfet_01v8_RFM3CD_0/a_n15_n126#" "sky130_fd_pr__pfet_01v8_RFM3CD#0_0/a_15_n100#" 1.16162
-cap "sky130_fd_pr__pfet_01v8_RFM3CD_0/a_n15_n126#" "sky130_fd_pr__pfet_01v8_RFM3CD_0/w_n109_n162#" -50.0678
-merge "sky130_fd_pr__pfet_01v8_RFM3CD#0_1/a_n73_n100#" "sky130_fd_pr__pfet_01v8_RFM3CD_0/a_n15_n126#" -4.485 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 -300 -80 0 0 -1020 -128 0 0 0 0 0 0 0 0 0 0 0 0
-merge "sky130_fd_pr__pfet_01v8_RFM3CD_0/a_n15_n126#" "a_392_716#"
-merge "sky130_fd_pr__pfet_01v8_RFM3CD_1/VSUBS" "sky130_fd_pr__pfet_01v8_RFM3CD#0_0/VSUBS" 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0
-merge "sky130_fd_pr__pfet_01v8_RFM3CD#0_0/VSUBS" "sky130_fd_pr__pfet_01v8_RFM3CD#0_1/VSUBS"
-merge "sky130_fd_pr__pfet_01v8_RFM3CD#0_1/VSUBS" "sky130_fd_pr__pfet_01v8_RFM3CD_0/VSUBS"
-merge "sky130_fd_pr__pfet_01v8_RFM3CD_0/VSUBS" "sky130_fd_pr__nfet_01v8_XJTKXQ_1/VSUBS"
-merge "sky130_fd_pr__nfet_01v8_XJTKXQ_1/VSUBS" "sky130_fd_pr__nfet_01v8_XJTKXQ_0/VSUBS"
-merge "sky130_fd_pr__nfet_01v8_XJTKXQ_0/VSUBS" "VSUBS"
-merge "sky130_fd_pr__pfet_01v8_RFM3CD#0_0/a_n73_n100#" "sky130_fd_pr__pfet_01v8_RFM3CD_0/a_n73_n100#" -4.1 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 -748 -180 0 0 0 0 0 0 0 0 0 0 0 0
-merge "sky130_fd_pr__pfet_01v8_RFM3CD_0/a_n73_n100#" "li_346_530#"
-merge "sky130_fd_pr__pfet_01v8_RFM3CD_1/a_n73_n100#" "sky130_fd_pr__pfet_01v8_RFM3CD_0/a_15_n100#" -4.1 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 -476 -164 0 0 0 0 0 0 0 0 0 0 0 0
-merge "sky130_fd_pr__pfet_01v8_RFM3CD_0/a_15_n100#" "li_116_1034#"
-merge "sky130_fd_pr__pfet_01v8_RFM3CD_1/w_n109_n162#" "sky130_fd_pr__pfet_01v8_RFM3CD#0_0/w_n109_n162#" -847.584 0 0 0 0 -282528 -4336 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0
-merge "sky130_fd_pr__pfet_01v8_RFM3CD#0_0/w_n109_n162#" "sky130_fd_pr__pfet_01v8_RFM3CD#0_1/w_n109_n162#"
-merge "sky130_fd_pr__pfet_01v8_RFM3CD#0_1/w_n109_n162#" "sky130_fd_pr__pfet_01v8_RFM3CD_0/w_n109_n162#"
-merge "sky130_fd_pr__pfet_01v8_RFM3CD_0/w_n109_n162#" "w_296_302#"
-merge "sky130_fd_pr__pfet_01v8_RFM3CD_1/a_15_n100#" "sky130_fd_pr__pfet_01v8_RFM3CD#0_1/a_15_n100#" -4.1 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 -340 -156 0 0 0 0 0 0 0 0 0 0 0 0
-merge "sky130_fd_pr__pfet_01v8_RFM3CD#0_1/a_15_n100#" "li_1016_536#"
-merge "sky130_fd_pr__pfet_01v8_RFM3CD_1/a_n15_n126#" "sky130_fd_pr__pfet_01v8_RFM3CD#0_0/a_15_n100#" -2.527 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 -180 -72 0 0 -136 -76 0 0 0 0 0 0 0 0 0 0 0 0
-merge "sky130_fd_pr__pfet_01v8_RFM3CD#0_0/a_15_n100#" "a_810_594#"
+cap "li_928_394#" "li_116_138#" 32.2917
+cap "a_380_480#" "li_210_488#" 178.188
+cap "li_116_1034#" "a_148_644#" 16.5106
+cap "a_380_480#" "li_928_394#" 57.6468
+cap "a_380_480#" "li_116_138#" 9.28743
+cap "m1_322_206#" "a_792_2#" 299.669
+cap "a_792_2#" "a_148_644#" 3.65854
+cap "li_210_488#" "li_116_1034#" 4.71429
+cap "li_210_488#" "a_148_644#" 50.1267
+cap "li_928_394#" "li_116_1034#" 4.59836
+cap "m1_322_206#" "li_116_138#" 37.0422
+cap "li_928_394#" "a_148_644#" 46.0691
+cap "m1_322_206#" "a_380_480#" 84.0424
+cap "a_380_480#" "a_148_644#" 146.458
+cap "li_116_138#" "a_792_2#" 228.975
+cap "li_210_488#" "li_116_138#" 31.6529
+cap "sky130_fd_pr__nfet_01v8_XJTKXQ_1/a_n125_n74#" "sky130_fd_pr__nfet_01v8_F5U58G_0/a_n15_n126#" 14.49
+cap "sky130_fd_pr__nfet_01v8_F5U58G_2/a_n15_n126#" "sky130_fd_pr__nfet_01v8_XJTKXQ_1/a_n63_n152#" 8.65496
+cap "sky130_fd_pr__nfet_01v8_F5U58G_0/a_15_n100#" "sky130_fd_pr__nfet_01v8_XJTKXQ_1/a_n63_n152#" 17.5978
+cap "sky130_fd_pr__pfet_01v8_RFM3CD_1/a_n73_n100#" "sky130_fd_pr__nfet_01v8_XJTKXQ_1/a_n63_n152#" 46.9936
+cap "sky130_fd_pr__nfet_01v8_F5U58G_2/a_n15_n126#" "sky130_fd_pr__pfet_01v8_RFM3CD_0/a_15_n100#" 8.03571
+cap "sky130_fd_pr__nfet_01v8_XJTKXQ_1/a_n125_n74#" "sky130_fd_pr__nfet_01v8_XJTKXQ_1/a_n63_n152#" 91.0061
+cap "sky130_fd_pr__nfet_01v8_F5U58G_0/a_15_n100#" "sky130_fd_pr__pfet_01v8_RFM3CD_0/a_15_n100#" 58.6286
+cap "sky130_fd_pr__nfet_01v8_F5U58G_0/a_n15_n126#" "sky130_fd_pr__nfet_01v8_XJTKXQ_1/a_n63_n152#" 9.97778
+cap "sky130_fd_pr__nfet_01v8_XJTKXQ_1/a_n125_n74#" "sky130_fd_pr__pfet_01v8_RFM3CD_0/a_15_n100#" 259.594
+cap "sky130_fd_pr__pfet_01v8_RFM3CD_0/a_15_n100#" "sky130_fd_pr__pfet_01v8_RFM3CD_0/w_n109_n162#" 29.4199
+cap "sky130_fd_pr__pfet_01v8_RFM3CD_1/w_n109_n162#" "sky130_fd_pr__pfet_01v8_RFM3CD_1/a_15_n100#" 29.4199
+cap "sky130_fd_pr__nfet_01v8_XJTKXQ_0/a_n63_n152#" "sky130_fd_pr__pfet_01v8_RFM3CD_0/a_n15_n126#" 5.84746
+cap "sky130_fd_pr__pfet_01v8_RFM3CD_0/a_15_n100#" "sky130_fd_pr__nfet_01v8_XJTKXQ_1/a_n63_n152#" 62.4517
+cap "sky130_fd_pr__nfet_01v8_F5U58G_2/a_n15_n126#" "sky130_fd_pr__pfet_01v8_RFM3CD_1/w_n109_n162#" 42.3584
+cap "sky130_fd_pr__nfet_01v8_XJTKXQ_0/a_n63_n152#" "sky130_fd_pr__pfet_01v8_RFM3CD_1/a_15_n100#" 12.4699
+cap "sky130_fd_pr__pfet_01v8_RFM3CD_1/w_n109_n162#" "sky130_fd_pr__pfet_01v8_RFM3CD_1/a_n73_n100#" 29.4199
+cap "sky130_fd_pr__nfet_01v8_XJTKXQ_0/a_n63_n152#" "sky130_fd_pr__nfet_01v8_F5U58G_2/a_n15_n126#" 13.4145
+cap "sky130_fd_pr__nfet_01v8_XJTKXQ_0/a_n63_n152#" "sky130_fd_pr__pfet_01v8_RFM3CD_1/a_n73_n100#" 124.301
+cap "sky130_fd_pr__nfet_01v8_XJTKXQ_0/a_n63_n152#" "sky130_fd_pr__nfet_01v8_F5U58G_0/a_15_n100#" 70.2119
+cap "sky130_fd_pr__pfet_01v8_RFM3CD_1/a_n73_n100#" "sky130_fd_pr__pfet_01v8_RFM3CD_1/a_15_n100#" 12.0146
+cap "sky130_fd_pr__nfet_01v8_XJTKXQ_0/a_n63_n152#" "sky130_fd_pr__nfet_01v8_XJTKXQ_1/a_n125_n74#" 129.657
+cap "sky130_fd_pr__nfet_01v8_XJTKXQ_1/a_n125_n74#" "sky130_fd_pr__pfet_01v8_RFM3CD_1/a_15_n100#" 10.5791
+cap "sky130_fd_pr__nfet_01v8_XJTKXQ_0/a_n63_n152#" "sky130_fd_pr__nfet_01v8_F5U58G_0/a_n15_n126#" 10.281
+cap "sky130_fd_pr__pfet_01v8_RFM3CD_1/a_15_n100#" "sky130_fd_pr__pfet_01v8_RFM3CD_0/w_n109_n162#" 29.4199
+cap "sky130_fd_pr__nfet_01v8_XJTKXQ_0/a_n63_n152#" "sky130_fd_pr__nfet_01v8_XJTKXQ_1/a_n63_n152#" 7.15771
+cap "sky130_fd_pr__nfet_01v8_F5U58G_2/a_n15_n126#" "sky130_fd_pr__pfet_01v8_RFM3CD_1/a_n73_n100#" 7.03809
+cap "sky130_fd_pr__nfet_01v8_F5U58G_0/a_15_n100#" "sky130_fd_pr__pfet_01v8_RFM3CD_1/a_n73_n100#" 88.1138
+cap "sky130_fd_pr__nfet_01v8_XJTKXQ_1/a_n125_n74#" "sky130_fd_pr__pfet_01v8_RFM3CD_1/a_n73_n100#" 223.402
+cap "sky130_fd_pr__nfet_01v8_F5U58G_0/a_15_n100#" "sky130_fd_pr__nfet_01v8_XJTKXQ_1/a_n125_n74#" 457.398
+cap "sky130_fd_pr__nfet_01v8_XJTKXQ_0/a_n63_n152#" "sky130_fd_pr__pfet_01v8_RFM3CD_0/a_15_n100#" 7.93451
+cap "sky130_fd_pr__nfet_01v8_F5U58G_2/a_n15_n126#" "sky130_fd_pr__pfet_01v8_RFM3CD_0/w_n109_n162#" 42.3584
+cap "sky130_fd_pr__pfet_01v8_RFM3CD_0/a_15_n100#" "sky130_fd_pr__pfet_01v8_RFM3CD_1/a_15_n100#" 12.0056
+cap "sky130_fd_pr__nfet_01v8_XJTKXQ_1/a_n63_n152#" "sky130_fd_pr__pfet_01v8_RFM3CD_1/a_n15_n126#" 2.89916
+merge "sky130_fd_pr__nfet_01v8_F5U58G_2/a_n73_n100#" "sky130_fd_pr__nfet_01v8_XJTKXQ_1/a_n33_n100#" -152.488 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 -2788 -368 0 0 0 0 0 0 0 0 0 0 0 0
+merge "sky130_fd_pr__nfet_01v8_XJTKXQ_1/a_n33_n100#" "sky130_fd_pr__pfet_01v8_RFM3CD_1/a_n73_n100#"
+merge "sky130_fd_pr__pfet_01v8_RFM3CD_1/a_n73_n100#" "li_928_394#"
+merge "sky130_fd_pr__nfet_01v8_F5U58G_0/VSUBS" "sky130_fd_pr__nfet_01v8_F5U58G_1/VSUBS" 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0
+merge "sky130_fd_pr__nfet_01v8_F5U58G_1/VSUBS" "sky130_fd_pr__nfet_01v8_F5U58G_2/VSUBS"
+merge "sky130_fd_pr__nfet_01v8_F5U58G_2/VSUBS" "sky130_fd_pr__nfet_01v8_XJTKXQ_0/VSUBS"
+merge "sky130_fd_pr__nfet_01v8_XJTKXQ_0/VSUBS" "sky130_fd_pr__nfet_01v8_XJTKXQ_1/VSUBS"
+merge "sky130_fd_pr__nfet_01v8_XJTKXQ_1/VSUBS" "sky130_fd_pr__pfet_01v8_RFM3CD_0/VSUBS"
+merge "sky130_fd_pr__pfet_01v8_RFM3CD_0/VSUBS" "sky130_fd_pr__pfet_01v8_RFM3CD_1/VSUBS"
+merge "sky130_fd_pr__pfet_01v8_RFM3CD_1/VSUBS" "VSUBS"
+merge "sky130_fd_pr__nfet_01v8_F5U58G_1/a_n73_n100#" "sky130_fd_pr__nfet_01v8_XJTKXQ_1/a_n63_n152#" -117.641 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 -4032 -316 0 0 0 0 -644 -120 0 0 0 0 0 0 0 0 0 0
+merge "sky130_fd_pr__nfet_01v8_XJTKXQ_1/a_n63_n152#" "a_792_2#"
+merge "sky130_fd_pr__nfet_01v8_F5U58G_0/a_n73_n100#" "sky130_fd_pr__nfet_01v8_XJTKXQ_0/a_63_n100#" -161.835 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 -3864 -628 0 0 0 0 0 0 0 0 0 0
+merge "sky130_fd_pr__nfet_01v8_XJTKXQ_0/a_63_n100#" "sky130_fd_pr__nfet_01v8_XJTKXQ_0/a_n125_n74#"
+merge "sky130_fd_pr__nfet_01v8_XJTKXQ_0/a_n125_n74#" "sky130_fd_pr__nfet_01v8_XJTKXQ_1/a_63_n100#"
+merge "sky130_fd_pr__nfet_01v8_XJTKXQ_1/a_63_n100#" "sky130_fd_pr__nfet_01v8_XJTKXQ_1/a_n125_n74#"
+merge "sky130_fd_pr__nfet_01v8_XJTKXQ_1/a_n125_n74#" "m1_322_206#"
+merge "sky130_fd_pr__nfet_01v8_F5U58G_1/a_15_n100#" "sky130_fd_pr__nfet_01v8_XJTKXQ_0/a_n33_n100#" -141.364 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 -2924 -376 0 0 0 0 0 0 0 0 0 0 0 0
+merge "sky130_fd_pr__nfet_01v8_XJTKXQ_0/a_n33_n100#" "sky130_fd_pr__pfet_01v8_RFM3CD_0/a_15_n100#"
+merge "sky130_fd_pr__pfet_01v8_RFM3CD_0/a_15_n100#" "li_210_488#"
+merge "sky130_fd_pr__nfet_01v8_F5U58G_2/a_15_n100#" "sky130_fd_pr__nfet_01v8_XJTKXQ_0/a_n63_n152#" -113.535 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 -2520 -292 0 0 0 0 -644 -120 0 0 0 0 0 0 0 0 0 0
+merge "sky130_fd_pr__nfet_01v8_XJTKXQ_0/a_n63_n152#" "a_380_480#"
+merge "sky130_fd_pr__pfet_01v8_RFM3CD_0/a_n73_n100#" "sky130_fd_pr__pfet_01v8_RFM3CD_1/a_15_n100#" -98.3839 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 -476 -164 0 0 0 0 0 0 0 0 0 0 0 0
+merge "sky130_fd_pr__pfet_01v8_RFM3CD_1/a_15_n100#" "li_116_1034#"
+merge "sky130_fd_pr__nfet_01v8_F5U58G_0/a_15_n100#" "li_116_138#" -43.6279 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 -68 -72 0 0 0 0 0 0 0 0 0 0 0 0
+merge "sky130_fd_pr__nfet_01v8_F5U58G_1/a_n15_n126#" "sky130_fd_pr__nfet_01v8_F5U58G_2/a_n15_n126#" -126.53 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 -420 -148 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0
+merge "sky130_fd_pr__nfet_01v8_F5U58G_2/a_n15_n126#" "a_148_644#"
diff --git a/mag/preamp/preamp_part2.mag b/mag/preamp/preamp_part2.mag
index 1bb26c9..36d4526 100755
--- a/mag/preamp/preamp_part2.mag
+++ b/mag/preamp/preamp_part2.mag
@@ -1,57 +1,137 @@
 magic
 tech sky130A
 magscale 1 2
-timestamp 1651654292
-<< nwell >>
-rect 296 302 1098 1028
+timestamp 1646734260
 << poly >>
-rect 512 750 578 766
-rect 512 748 528 750
-rect 392 716 528 748
-rect 562 716 578 750
-rect 512 700 578 716
-rect 974 670 1004 744
-rect 810 650 1004 670
-rect 810 612 824 650
-rect 860 632 1004 650
-rect 860 612 876 632
-rect 810 594 876 612
+rect 148 706 230 722
+rect 148 660 164 706
+rect 214 660 230 706
+rect 148 644 230 660
+rect 1170 704 1246 714
+rect 1170 668 1188 704
+rect 1228 668 1246 704
+rect 1170 652 1246 668
+rect 174 576 204 644
+rect 380 608 614 620
+rect 380 546 536 608
+rect 598 546 614 608
+rect 1194 580 1224 652
+rect 380 530 614 546
+rect 380 480 506 530
+rect 890 92 1016 226
+rect 792 80 1016 92
+rect 792 18 808 80
+rect 870 18 1016 80
+rect 792 2 1016 18
 << polycont >>
-rect 528 716 562 750
-rect 824 612 860 650
+rect 164 660 214 706
+rect 1188 668 1228 704
+rect 536 546 598 608
+rect 808 18 870 80
 << locali >>
 rect 116 1034 1282 1088
-rect 434 938 468 1034
-rect 928 932 962 1034
-rect 346 530 380 796
-rect 512 750 578 766
-rect 512 716 528 750
-rect 562 716 962 750
-rect 512 700 578 716
-rect 810 650 876 670
-rect 810 646 824 650
-rect 434 612 824 646
-rect 860 612 876 650
-rect 434 538 468 612
-rect 810 594 876 612
-rect 924 512 962 716
-rect 1016 536 1050 790
+rect 346 938 380 1034
+rect 1016 932 1050 1034
+rect 148 706 230 722
+rect 148 660 164 706
+rect 214 660 230 706
+rect 148 644 230 660
+rect 434 538 468 796
+rect 210 488 468 538
+rect 520 608 614 624
+rect 520 546 536 608
+rect 598 546 614 608
+rect 520 530 614 546
+rect 928 532 962 790
+rect 1170 704 1246 714
+rect 1170 668 1188 704
+rect 1228 668 1246 704
+rect 1170 652 1246 668
+rect 420 396 468 488
+rect 928 484 1188 532
+rect 928 394 976 484
+rect 722 196 756 270
+rect 116 138 1282 196
+rect 792 80 886 96
+rect 792 18 808 80
+rect 870 18 886 80
+rect 792 2 886 18
+<< viali >>
+rect 164 660 214 706
+rect 536 546 598 608
+rect 1188 668 1228 704
+rect 808 18 870 80
+<< metal1 >>
+rect 148 712 230 722
+rect 148 654 158 712
+rect 220 654 230 712
+rect 148 644 230 654
+rect 1170 710 1246 714
+rect 1170 658 1180 710
+rect 1236 658 1246 710
+rect 1170 652 1246 658
+rect 520 608 1276 624
+rect 520 546 536 608
+rect 598 562 1276 608
+rect 598 546 614 562
+rect 520 530 614 546
+rect 1230 520 1276 562
+rect 122 92 168 398
+rect 322 244 370 288
+rect 516 244 562 284
+rect 628 244 674 292
+rect 834 244 880 296
+rect 1026 244 1072 284
+rect 322 206 1072 244
+rect 792 92 886 96
+rect 122 80 886 92
+rect 122 30 808 80
+rect 792 18 808 30
+rect 870 18 886 80
+rect 792 2 886 18
+<< via1 >>
+rect 158 706 220 712
+rect 158 660 164 706
+rect 164 660 214 706
+rect 214 660 220 706
+rect 158 654 220 660
+rect 1180 704 1236 710
+rect 1180 668 1188 704
+rect 1188 668 1228 704
+rect 1228 668 1236 704
+rect 1180 658 1236 668
+<< metal2 >>
+rect 148 714 230 722
+rect 1180 714 1236 720
+rect 148 712 1246 714
+rect 148 654 158 712
+rect 220 710 1246 712
+rect 220 658 1180 710
+rect 1236 658 1246 710
+rect 220 654 1246 658
+rect 148 652 1246 654
+rect 148 644 230 652
+rect 1180 648 1236 652
+use sky130_fd_pr__nfet_01v8_F5U58G#0#0  sky130_fd_pr__nfet_01v8_F5U58G_0
+timestamp 1646431323
+transform 1 0 695 0 1 346
+box -73 -126 73 126
+use sky130_fd_pr__nfet_01v8_F5U58G#0#0  sky130_fd_pr__nfet_01v8_F5U58G_1
+timestamp 1646431323
+transform 1 0 189 0 1 458
+box -73 -126 73 126
+use sky130_fd_pr__nfet_01v8_F5U58G#0#0  sky130_fd_pr__nfet_01v8_F5U58G_2
+timestamp 1646431323
+transform 1 0 1209 0 1 460
+box -73 -126 73 126
 use sky130_fd_pr__nfet_01v8_XJTKXQ#0#0  sky130_fd_pr__nfet_01v8_XJTKXQ_0
-timestamp 0
+timestamp 1646429429
 transform 1 0 443 0 1 346
-box 0 0 1 1
+box -125 -152 125 154
 use sky130_fd_pr__nfet_01v8_XJTKXQ#0#0  sky130_fd_pr__nfet_01v8_XJTKXQ_1
-timestamp 0
-transform 1 0 975 0 1 346
-box 0 0 1 1
-use sky130_fd_pr__pfet_01v8_RFM3CD#0  sky130_fd_pr__pfet_01v8_RFM3CD#0_0
-timestamp 1646431323
-transform 1 0 407 0 1 464
-box -109 -162 109 162
-use sky130_fd_pr__pfet_01v8_RFM3CD#0  sky130_fd_pr__pfet_01v8_RFM3CD#0_1
-timestamp 1646431323
-transform 1 0 989 0 1 464
-box -109 -162 109 162
+timestamp 1646429429
+transform 1 0 953 0 1 346
+box -125 -152 125 154
 use sky130_fd_pr__pfet_01v8_RFM3CD#0  sky130_fd_pr__pfet_01v8_RFM3CD_0
 timestamp 1646431323
 transform 1 0 407 0 1 864
diff --git a/mag/preamp/preamp_part22.ext b/mag/preamp/preamp_part22.ext
deleted file mode 100644
index 8bbc869..0000000
--- a/mag/preamp/preamp_part22.ext
+++ /dev/null
@@ -1,86 +0,0 @@
-timestamp 1652012215
-version 8.3
-tech sky130A
-style ngspice()
-scale 1000 1 500000
-resistclasses 4400000 2200000 1700000 3050000 120000 197000 114000 191000 120000 197000 114000 191000 48200 319800 2000000 48200 48200 12200 125 125 47 47 29 5
-use sky130_fd_pr__pfet_01v8_RFM3CD#0 sky130_fd_pr__pfet_01v8_RFM3CD_1 1 0 989 0 1 864
-use sky130_fd_pr__pfet_01v8_RFM3CD#0 sky130_fd_pr__pfet_01v8_RFM3CD_0 1 0 407 0 1 864
-use sky130_fd_pr__pfet_01v8_RFM3CD#0 sky130_fd_pr__pfet_01v8_RFM3CD#0_3 1 0 1207 0 1 464
-use sky130_fd_pr__pfet_01v8_RFM3CD#0 sky130_fd_pr__pfet_01v8_RFM3CD#0_2 1 0 187 0 1 466
-use sky130_fd_pr__pfet_01v8_RFM3CD#0 sky130_fd_pr__pfet_01v8_RFM3CD#0_1 1 0 989 0 1 464
-use sky130_fd_pr__pfet_01v8_RFM3CD#0 sky130_fd_pr__pfet_01v8_RFM3CD#0_0 1 0 407 0 1 464
-use sky130_fd_pr__nfet_01v8_XJTKXQ#0#0 sky130_fd_pr__nfet_01v8_XJTKXQ_1 1 0 975 0 1 346
-use sky130_fd_pr__nfet_01v8_XJTKXQ#0#0 sky130_fd_pr__nfet_01v8_XJTKXQ_0 1 0 443 0 1 346
-node "li_1016_402#" 16 68.4454 1016 402 li 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 20336 576 0 0 0 0 0 0 0 0 0 0 0 0
-node "li_1016_536#" 91 0 1016 536 li 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 8636 576 0 0 0 0 0 0 0 0 0 0 0 0
-node "li_214_402#" 100 0 214 402 li 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 30292 1120 0 0 0 0 0 0 0 0 0 0 0 0
-node "li_116_1034#" 327 506.749 116 1034 li 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 69696 2836 0 0 0 0 0 0 0 0 0 0 0 0
-node "a_810_594#" 604 24.42 810 594 p 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 12000 720 0 0 20316 1184 0 0 0 0 0 0 0 0 0 0 0 0
-node "a_392_716#" 495 -11.9006 392 716 p 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 8196 504 0 0 25164 1440 0 0 0 0 0 0 0 0 0 0 0 0
-node "w_78_306#" 3805 2485.01 78 306 nw 0 0 0 0 828336 3940 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0
-substrate "VSUBS" 0 0 -1073741817 -1073741817 space 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0
-cap "li_214_402#" "w_78_306#" 257.62
-cap "li_1016_402#" "w_78_306#" 68.4454
-cap "a_810_594#" "li_116_1034#" 38.9136
-cap "w_78_306#" "li_116_1034#" 139.1
-cap "a_810_594#" "li_1016_536#" 17.9143
-cap "w_78_306#" "li_1016_536#" 126.068
-cap "li_214_402#" "li_116_1034#" 4.71429
-cap "li_1016_402#" "li_1016_536#" 112.2
-cap "li_116_1034#" "li_1016_536#" 4.59836
-cap "a_392_716#" "a_810_594#" 322.495
-cap "a_392_716#" "w_78_306#" 449.403
-cap "a_392_716#" "li_214_402#" 16.5
-cap "li_1016_402#" "a_392_716#" 8.55556
-cap "a_392_716#" "li_116_1034#" 54.9607
-cap "a_392_716#" "li_1016_536#" 130.778
-cap "a_810_594#" "w_78_306#" 454.872
-cap "li_214_402#" "a_810_594#" 66
-cap "sky130_fd_pr__pfet_01v8_RFM3CD_0/a_n73_n100#" "sky130_fd_pr__pfet_01v8_RFM3CD_1/a_n15_n126#" 40.2819
-cap "sky130_fd_pr__pfet_01v8_RFM3CD_1/w_n109_n162#" "sky130_fd_pr__pfet_01v8_RFM3CD_1/a_n73_n100#" -35.4441
-cap "sky130_fd_pr__pfet_01v8_RFM3CD#0_1/a_n15_n126#" "sky130_fd_pr__pfet_01v8_RFM3CD_1/a_n15_n126#" 5.56452
-cap "sky130_fd_pr__pfet_01v8_RFM3CD_0/a_n15_n126#" "sky130_fd_pr__pfet_01v8_RFM3CD_1/a_15_n100#" 32.2378
-cap "sky130_fd_pr__pfet_01v8_RFM3CD_0/a_n15_n126#" "sky130_fd_pr__pfet_01v8_RFM3CD#0_3/a_15_n100#" 44.5419
-cap "sky130_fd_pr__pfet_01v8_RFM3CD_1/w_n109_n162#" "sky130_fd_pr__pfet_01v8_RFM3CD_0/a_n15_n126#" -50.0678
-cap "sky130_fd_pr__pfet_01v8_RFM3CD_1/w_n109_n162#" "sky130_fd_pr__pfet_01v8_RFM3CD_1/a_n15_n126#" -36.1977
-cap "sky130_fd_pr__pfet_01v8_RFM3CD#0_1/a_n15_n126#" "sky130_fd_pr__pfet_01v8_RFM3CD#0_3/a_n15_n126#" 6.3617
-cap "sky130_fd_pr__pfet_01v8_RFM3CD_0/a_n15_n126#" "sky130_fd_pr__pfet_01v8_RFM3CD_1/a_n73_n100#" 33.2161
-cap "sky130_fd_pr__pfet_01v8_RFM3CD_1/a_n15_n126#" "sky130_fd_pr__pfet_01v8_RFM3CD_1/a_n73_n100#" 12.3861
-cap "sky130_fd_pr__pfet_01v8_RFM3CD#0_0/a_n15_n126#" "sky130_fd_pr__pfet_01v8_RFM3CD_0/a_n15_n126#" 5.47619
-cap "sky130_fd_pr__pfet_01v8_RFM3CD#0_0/a_n15_n126#" "sky130_fd_pr__pfet_01v8_RFM3CD#0_2/a_n15_n126#" 5.81053
-cap "sky130_fd_pr__pfet_01v8_RFM3CD#0_2/a_n73_n100#" "sky130_fd_pr__pfet_01v8_RFM3CD_1/a_n15_n126#" 43.8647
-cap "sky130_fd_pr__pfet_01v8_RFM3CD_1/w_n109_n162#" "sky130_fd_pr__pfet_01v8_RFM3CD_0/a_n73_n100#" -168.768
-cap "sky130_fd_pr__pfet_01v8_RFM3CD_0/a_n15_n126#" "sky130_fd_pr__pfet_01v8_RFM3CD_1/a_n15_n126#" 1.16162
-cap "sky130_fd_pr__pfet_01v8_RFM3CD_0/a_n73_n100#" "sky130_fd_pr__pfet_01v8_RFM3CD_1/a_n73_n100#" 7.48137
-cap "sky130_fd_pr__pfet_01v8_RFM3CD_1/w_n109_n162#" "sky130_fd_pr__pfet_01v8_RFM3CD_1/a_15_n100#" -105.345
-cap "sky130_fd_pr__pfet_01v8_RFM3CD_1/a_15_n100#" "sky130_fd_pr__pfet_01v8_RFM3CD#0_3/a_15_n100#" 44.4601
-cap "sky130_fd_pr__pfet_01v8_RFM3CD#0_2/a_n73_n100#" "sky130_fd_pr__pfet_01v8_RFM3CD_0/a_n73_n100#" 42.7264
-cap "sky130_fd_pr__pfet_01v8_RFM3CD_1/a_n73_n100#" "sky130_fd_pr__pfet_01v8_RFM3CD_1/a_15_n100#" 7.59729
-merge "sky130_fd_pr__pfet_01v8_RFM3CD#0_1/a_n73_n100#" "sky130_fd_pr__pfet_01v8_RFM3CD_0/a_n15_n126#" -4.485 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 -300 -80 0 0 -1020 -128 0 0 0 0 0 0 0 0 0 0 0 0
-merge "sky130_fd_pr__pfet_01v8_RFM3CD_0/a_n15_n126#" "a_392_716#"
-merge "sky130_fd_pr__nfet_01v8_XJTKXQ_0/VSUBS" "sky130_fd_pr__nfet_01v8_XJTKXQ_1/VSUBS" 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0
-merge "sky130_fd_pr__nfet_01v8_XJTKXQ_1/VSUBS" "sky130_fd_pr__pfet_01v8_RFM3CD#0_0/VSUBS"
-merge "sky130_fd_pr__pfet_01v8_RFM3CD#0_0/VSUBS" "sky130_fd_pr__pfet_01v8_RFM3CD#0_1/VSUBS"
-merge "sky130_fd_pr__pfet_01v8_RFM3CD#0_1/VSUBS" "sky130_fd_pr__pfet_01v8_RFM3CD#0_2/VSUBS"
-merge "sky130_fd_pr__pfet_01v8_RFM3CD#0_2/VSUBS" "sky130_fd_pr__pfet_01v8_RFM3CD#0_3/VSUBS"
-merge "sky130_fd_pr__pfet_01v8_RFM3CD#0_3/VSUBS" "sky130_fd_pr__pfet_01v8_RFM3CD_0/VSUBS"
-merge "sky130_fd_pr__pfet_01v8_RFM3CD_0/VSUBS" "sky130_fd_pr__pfet_01v8_RFM3CD_1/VSUBS"
-merge "sky130_fd_pr__pfet_01v8_RFM3CD_1/VSUBS" "VSUBS"
-merge "sky130_fd_pr__pfet_01v8_RFM3CD#0_0/a_n73_n100#" "sky130_fd_pr__pfet_01v8_RFM3CD#0_2/a_15_n100#" -13.94 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 -9452 -760 0 0 0 0 0 0 0 0 0 0 0 0
-merge "sky130_fd_pr__pfet_01v8_RFM3CD#0_2/a_15_n100#" "sky130_fd_pr__pfet_01v8_RFM3CD_0/a_n73_n100#"
-merge "sky130_fd_pr__pfet_01v8_RFM3CD_0/a_n73_n100#" "li_214_402#"
-merge "sky130_fd_pr__pfet_01v8_RFM3CD_0/a_15_n100#" "sky130_fd_pr__pfet_01v8_RFM3CD_1/a_n73_n100#" -4.1 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 -476 -164 0 0 0 0 0 0 0 0 0 0 0 0
-merge "sky130_fd_pr__pfet_01v8_RFM3CD_1/a_n73_n100#" "li_116_1034#"
-merge "sky130_fd_pr__pfet_01v8_RFM3CD#0_0/w_n109_n162#" "sky130_fd_pr__pfet_01v8_RFM3CD#0_1/w_n109_n162#" -1058.17 0 0 0 0 -352724 -6504 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0
-merge "sky130_fd_pr__pfet_01v8_RFM3CD#0_1/w_n109_n162#" "sky130_fd_pr__pfet_01v8_RFM3CD#0_2/w_n109_n162#"
-merge "sky130_fd_pr__pfet_01v8_RFM3CD#0_2/w_n109_n162#" "sky130_fd_pr__pfet_01v8_RFM3CD#0_3/w_n109_n162#"
-merge "sky130_fd_pr__pfet_01v8_RFM3CD#0_3/w_n109_n162#" "sky130_fd_pr__pfet_01v8_RFM3CD_0/w_n109_n162#"
-merge "sky130_fd_pr__pfet_01v8_RFM3CD_0/w_n109_n162#" "sky130_fd_pr__pfet_01v8_RFM3CD_1/w_n109_n162#"
-merge "sky130_fd_pr__pfet_01v8_RFM3CD_1/w_n109_n162#" "w_78_306#"
-merge "sky130_fd_pr__pfet_01v8_RFM3CD#0_1/a_15_n100#" "sky130_fd_pr__pfet_01v8_RFM3CD_1/a_15_n100#" -82.3854 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 -8772 -788 0 0 0 0 0 0 0 0 0 0 0 0
-merge "sky130_fd_pr__pfet_01v8_RFM3CD_1/a_15_n100#" "li_1016_536#"
-merge "li_1016_536#" "sky130_fd_pr__pfet_01v8_RFM3CD#0_3/a_n73_n100#"
-merge "sky130_fd_pr__pfet_01v8_RFM3CD#0_3/a_n73_n100#" "li_1016_402#"
-merge "sky130_fd_pr__pfet_01v8_RFM3CD#0_0/a_15_n100#" "sky130_fd_pr__pfet_01v8_RFM3CD_1/a_n15_n126#" -2.527 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 -180 -72 0 0 -136 -76 0 0 0 0 0 0 0 0 0 0 0 0
-merge "sky130_fd_pr__pfet_01v8_RFM3CD_1/a_n15_n126#" "a_810_594#"
diff --git a/mag/preamp/preamp_part22.mag b/mag/preamp/preamp_part22.mag
deleted file mode 100644
index c59496d..0000000
--- a/mag/preamp/preamp_part22.mag
+++ /dev/null
@@ -1,77 +0,0 @@
-magic
-tech sky130A
-magscale 1 2
-timestamp 1652012215
-<< nwell >>
-rect 78 1028 326 1034
-rect 78 1026 1098 1028
-rect 78 626 1316 1026
-rect 78 306 1098 626
-rect 296 302 1098 306
-<< poly >>
-rect 512 750 578 766
-rect 512 748 528 750
-rect 392 716 528 748
-rect 562 716 578 750
-rect 512 700 578 716
-rect 824 714 1004 744
-rect 824 670 860 714
-rect 810 650 876 670
-rect 810 612 824 650
-rect 860 612 876 650
-rect 810 594 876 612
-<< polycont >>
-rect 528 716 562 750
-rect 824 612 860 650
-<< locali >>
-rect 116 1034 1282 1088
-rect 434 938 468 1034
-rect 928 932 962 1034
-rect 346 530 380 796
-rect 512 750 578 766
-rect 512 716 528 750
-rect 562 716 962 750
-rect 512 700 578 716
-rect 810 650 876 670
-rect 810 646 824 650
-rect 434 612 824 646
-rect 860 612 876 650
-rect 434 538 468 612
-rect 810 594 876 612
-rect 214 402 380 530
-rect 924 512 962 716
-rect 1016 536 1050 790
-rect 1016 402 1180 526
-use sky130_fd_pr__nfet_01v8_XJTKXQ#0#0  sky130_fd_pr__nfet_01v8_XJTKXQ_0
-timestamp 1651654828
-transform 1 0 443 0 1 346
-box 0 0 1 1
-use sky130_fd_pr__nfet_01v8_XJTKXQ#0#0  sky130_fd_pr__nfet_01v8_XJTKXQ_1
-timestamp 1651654828
-transform 1 0 975 0 1 346
-box 0 0 1 1
-use sky130_fd_pr__pfet_01v8_RFM3CD#0  sky130_fd_pr__pfet_01v8_RFM3CD#0_0
-timestamp 1646431323
-transform 1 0 407 0 1 464
-box -109 -162 109 162
-use sky130_fd_pr__pfet_01v8_RFM3CD#0  sky130_fd_pr__pfet_01v8_RFM3CD#0_1
-timestamp 1646431323
-transform 1 0 989 0 1 464
-box -109 -162 109 162
-use sky130_fd_pr__pfet_01v8_RFM3CD#0  sky130_fd_pr__pfet_01v8_RFM3CD#0_2
-timestamp 1646431323
-transform 1 0 187 0 1 466
-box -109 -162 109 162
-use sky130_fd_pr__pfet_01v8_RFM3CD#0  sky130_fd_pr__pfet_01v8_RFM3CD#0_3
-timestamp 1646431323
-transform 1 0 1207 0 1 464
-box -109 -162 109 162
-use sky130_fd_pr__pfet_01v8_RFM3CD#0  sky130_fd_pr__pfet_01v8_RFM3CD_0
-timestamp 1646431323
-transform 1 0 407 0 1 864
-box -109 -162 109 162
-use sky130_fd_pr__pfet_01v8_RFM3CD#0  sky130_fd_pr__pfet_01v8_RFM3CD_1
-timestamp 1646431323
-transform 1 0 989 0 1 864
-box -109 -162 109 162
-<< end >>
diff --git a/mag/preamp/sky130_fd_pr__nfet_01v8_8FHE5N.ext b/mag/preamp/sky130_fd_pr__nfet_01v8_8FHE5N.ext
index 1a630b7..ef1fa55 100644
--- a/mag/preamp/sky130_fd_pr__nfet_01v8_8FHE5N.ext
+++ b/mag/preamp/sky130_fd_pr__nfet_01v8_8FHE5N.ext
@@ -1,19 +1,19 @@
-timestamp 1651835070
+timestamp 1646423143
 version 8.3
 tech sky130A
 style ngspice()
 scale 1000 1 500000
 resistclasses 4400000 2200000 1700000 3050000 120000 197000 114000 191000 120000 197000 114000 191000 48200 319800 2000000 48200 48200 12200 125 125 47 47 29 5
 parameters sky130_fd_pr__nfet_01v8 l=l w=w a1=as p1=ps a2=ad p2=pd
-node "a_63_n450#" 236 -13.94 63 -450 ndif 0 0 0 0 0 0 0 0 6112 324 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 2924 240 3588 248 0 0 0 0 0 0 0 0 0 0
-node "a_n33_n450#" 213 -13.94 -33 -450 ndif 0 0 0 0 0 0 0 0 6600 332 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 2924 240 3588 248 0 0 0 0 0 0 0 0 0 0
-node "a_n125_n439#" 236 -13.94 -125 -439 ndif 0 0 0 0 0 0 0 0 6112 324 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 2924 240 3588 248 0 0 0 0 0 0 0 0 0 0
-node "a_33_n476#" 244 49.234 33 -476 p 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 4560 364 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0
-node "a_n63_n476#" 244 49.234 -63 -476 p 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 4560 364 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0
+node "a_63_n50#" 236 -13.94 63 -50 ndif 0 0 0 0 0 0 0 0 6112 324 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 2924 240 3588 248 0 0 0 0 0 0 0 0 0 0
+node "a_n33_n50#" 213 -13.94 -33 -50 ndif 0 0 0 0 0 0 0 0 6600 332 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 2924 240 3588 248 0 0 0 0 0 0 0 0 0 0
+node "a_n125_n39#" 236 -13.94 -125 -39 ndif 0 0 0 0 0 0 0 0 6112 324 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 2924 240 3588 248 0 0 0 0 0 0 0 0 0 0
+node "a_33_n76#" 244 49.234 33 -76 p 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 4560 364 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0
+node "a_n63_n76#" 244 49.234 -63 -76 p 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 4560 364 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0
 substrate "VSUBS" 0 0 -1073741817 -1073741817 space 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0
-cap "a_n33_n450#" "a_63_n450#" 115.974
-cap "a_n125_n439#" "a_n33_n450#" 115.974
-cap "a_33_n476#" "a_n63_n476#" 18.1212
-cap "a_n125_n439#" "a_63_n450#" 42.0031
-device msubckt sky130_fd_pr__nfet_01v8 33 -450 34 -449 l=30 w=100 "VSUBS" "a_33_n476#" 60 0 "a_n33_n450#" 100 0 "a_63_n450#" 100 0
-device msubckt sky130_fd_pr__nfet_01v8 -63 -450 -62 -449 l=30 w=100 "VSUBS" "a_n63_n476#" 60 0 "a_n125_n439#" 100 0 "a_n33_n450#" 100 0
+cap "a_n125_n39#" "a_n33_n50#" 115.974
+cap "a_n33_n50#" "a_63_n50#" 115.974
+cap "a_n125_n39#" "a_63_n50#" 42.0031
+cap "a_33_n76#" "a_n63_n76#" 18.1212
+device msubckt sky130_fd_pr__nfet_01v8 33 -50 34 -49 l=30 w=100 "VSUBS" "a_33_n76#" 60 0 "a_n33_n50#" 100 0 "a_63_n50#" 100 0
+device msubckt sky130_fd_pr__nfet_01v8 -63 -50 -62 -49 l=30 w=100 "VSUBS" "a_n63_n76#" 60 0 "a_n125_n39#" 100 0 "a_n33_n50#" 100 0
diff --git a/mag/preamp/sky130_fd_pr__nfet_01v8_8FHE5N.mag b/mag/preamp/sky130_fd_pr__nfet_01v8_8FHE5N.mag
index d10b9df..1b9cf1d 100755
--- a/mag/preamp/sky130_fd_pr__nfet_01v8_8FHE5N.mag
+++ b/mag/preamp/sky130_fd_pr__nfet_01v8_8FHE5N.mag
@@ -1,60 +1,60 @@
 magic
 tech sky130A
 magscale 1 2
-timestamp 1651835070
+timestamp 1646423143
 << nmos >>
-rect -63 -450 -33 -350
-rect 33 -450 63 -350
+rect -63 -50 -33 50
+rect 33 -50 63 50
 << ndiff >>
-rect -121 -361 -63 -350
-rect -125 -373 -63 -361
-rect -125 -427 -113 -373
-rect -79 -427 -63 -373
-rect -125 -439 -63 -427
-rect -121 -450 -63 -439
-rect -33 -373 33 -350
-rect -33 -427 -17 -373
-rect 17 -427 33 -373
-rect -33 -450 33 -427
-rect 63 -361 121 -350
-rect 63 -373 125 -361
-rect 63 -427 79 -373
-rect 113 -427 125 -373
-rect 63 -439 125 -427
-rect 63 -450 121 -439
+rect -121 39 -63 50
+rect -125 27 -63 39
+rect -125 -27 -113 27
+rect -79 -27 -63 27
+rect -125 -39 -63 -27
+rect -121 -50 -63 -39
+rect -33 27 33 50
+rect -33 -27 -17 27
+rect 17 -27 33 27
+rect -33 -50 33 -27
+rect 63 39 121 50
+rect 63 27 125 39
+rect 63 -27 79 27
+rect 113 -27 125 27
+rect 63 -39 125 -27
+rect 63 -50 121 -39
 << ndiffc >>
-rect -113 -427 -79 -373
-rect -17 -427 17 -373
-rect 79 -427 113 -373
+rect -113 -27 -79 27
+rect -17 -27 17 27
+rect 79 -27 113 27
 << poly >>
-rect -63 -350 -33 -324
-rect 33 -350 63 -324
-rect -63 -476 -33 -450
-rect 33 -476 63 -450
+rect -63 50 -33 76
+rect 33 50 63 76
+rect -63 -76 -33 -50
+rect 33 -76 63 -50
 << locali >>
-rect -113 -373 -79 -357
-rect -113 -443 -79 -427
-rect -17 -373 17 -357
-rect -17 -443 17 -427
-rect 79 -373 113 -357
-rect 79 -443 113 -427
+rect -113 27 -79 43
+rect -113 -43 -79 -27
+rect -17 27 17 43
+rect -17 -43 17 -27
+rect 79 27 113 43
+rect 79 -43 113 -27
 << viali >>
-rect -113 -427 -79 -373
-rect -17 -427 17 -373
-rect 79 -427 113 -373
+rect -113 -27 -79 27
+rect -17 -27 17 27
+rect 79 -27 113 27
 << metal1 >>
-rect -119 -373 -73 -361
-rect -119 -427 -113 -373
-rect -79 -427 -73 -373
-rect -119 -439 -73 -427
-rect -23 -373 23 -361
-rect -23 -427 -17 -373
-rect 17 -427 23 -373
-rect -23 -439 23 -427
-rect 73 -373 119 -361
-rect 73 -427 79 -373
-rect 113 -427 119 -373
-rect 73 -439 119 -427
+rect -119 27 -73 39
+rect -119 -27 -113 27
+rect -79 -27 -73 27
+rect -119 -39 -73 -27
+rect -23 27 23 39
+rect -23 -27 -17 27
+rect 17 -27 23 27
+rect -23 -39 23 -27
+rect 73 27 119 39
+rect 73 -27 79 27
+rect 113 -27 119 27
+rect 73 -39 119 -27
 << properties >>
 string gencell sky130_fd_pr__nfet_01v8
 string library sky130
diff --git "a/mag/preamp/sky130_fd_pr__nfet_01v8_F5U58G\0431.ext" "b/mag/preamp/sky130_fd_pr__nfet_01v8_F5U58G\0431.ext"
index c88c7a2..470e5e2 100644
--- "a/mag/preamp/sky130_fd_pr__nfet_01v8_F5U58G\0431.ext"
+++ "b/mag/preamp/sky130_fd_pr__nfet_01v8_F5U58G\0431.ext"
@@ -1,13 +1,13 @@
-timestamp 1651835070
+timestamp 1646431323
 version 8.3
 tech sky130A
 style ngspice()
 scale 1000 1 500000
 resistclasses 4400000 2200000 1700000 3050000 120000 197000 114000 191000 120000 197000 114000 191000 48200 319800 2000000 48200 48200 12200 125 125 47 47 29 5
 parameters sky130_fd_pr__nfet_01v8 l=l w=w a1=as p1=ps a2=ad p2=pd
-node "a_15_n500#" 470 -13.94 15 -500 ndif 0 0 0 0 0 0 0 0 11600 516 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 5304 380 6808 388 0 0 0 0 0 0 0 0 0 0
-node "a_n73_n500#" 470 -13.94 -73 -500 ndif 0 0 0 0 0 0 0 0 11600 516 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 5304 380 6808 388 0 0 0 0 0 0 0 0 0 0
-node "a_n15_n526#" 405 49.234 -15 -526 p 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 7560 564 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0
+node "a_15_n100#" 470 -13.94 15 -100 ndif 0 0 0 0 0 0 0 0 11600 516 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 5304 380 6808 388 0 0 0 0 0 0 0 0 0 0
+node "a_n73_n100#" 470 -13.94 -73 -100 ndif 0 0 0 0 0 0 0 0 11600 516 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 5304 380 6808 388 0 0 0 0 0 0 0 0 0 0
+node "a_n15_n126#" 405 49.234 -15 -126 p 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 7560 564 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0
 substrate "VSUBS" 0 0 -1073741817 -1073741817 space 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0
-cap "a_n73_n500#" "a_15_n500#" 253.905
-device msubckt sky130_fd_pr__nfet_01v8 -15 -500 -14 -499 l=30 w=200 "VSUBS" "a_n15_n526#" 60 0 "a_n73_n500#" 200 0 "a_15_n500#" 200 0
+cap "a_n73_n100#" "a_15_n100#" 253.905
+device msubckt sky130_fd_pr__nfet_01v8 -15 -100 -14 -99 l=30 w=200 "VSUBS" "a_n15_n126#" 60 0 "a_n73_n100#" 200 0 "a_15_n100#" 200 0
diff --git "a/mag/preamp/sky130_fd_pr__nfet_01v8_F5U58G\0431.mag" "b/mag/preamp/sky130_fd_pr__nfet_01v8_F5U58G\0431.mag"
index 4e8b516..8dc9818 100755
--- "a/mag/preamp/sky130_fd_pr__nfet_01v8_F5U58G\0431.mag"
+++ "b/mag/preamp/sky130_fd_pr__nfet_01v8_F5U58G\0431.mag"
@@ -1,41 +1,41 @@
 magic
 tech sky130A
 magscale 1 2
-timestamp 1651835070
+timestamp 1646431323
 << nmos >>
-rect -15 -500 15 -300
+rect -15 -100 15 100
 << ndiff >>
-rect -73 -338 -15 -300
-rect -73 -462 -61 -338
-rect -27 -462 -15 -338
-rect -73 -500 -15 -462
-rect 15 -338 73 -300
-rect 15 -462 27 -338
-rect 61 -462 73 -338
-rect 15 -500 73 -462
+rect -73 62 -15 100
+rect -73 -62 -61 62
+rect -27 -62 -15 62
+rect -73 -100 -15 -62
+rect 15 62 73 100
+rect 15 -62 27 62
+rect 61 -62 73 62
+rect 15 -100 73 -62
 << ndiffc >>
-rect -61 -462 -27 -338
-rect 27 -462 61 -338
+rect -61 -62 -27 62
+rect 27 -62 61 62
 << poly >>
-rect -15 -300 15 -274
-rect -15 -526 15 -500
+rect -15 100 15 126
+rect -15 -126 15 -100
 << locali >>
-rect -61 -338 -27 -322
-rect -61 -478 -27 -462
-rect 27 -338 61 -322
-rect 27 -478 61 -462
+rect -61 62 -27 78
+rect -61 -78 -27 -62
+rect 27 62 61 78
+rect 27 -78 61 -62
 << viali >>
-rect -61 -462 -27 -338
-rect 27 -462 61 -338
+rect -61 -62 -27 62
+rect 27 -62 61 62
 << metal1 >>
-rect -67 -338 -21 -326
-rect -67 -462 -61 -338
-rect -27 -462 -21 -338
-rect -67 -474 -21 -462
-rect 21 -338 67 -326
-rect 21 -462 27 -338
-rect 61 -462 67 -338
-rect 21 -474 67 -462
+rect -67 62 -21 74
+rect -67 -62 -61 62
+rect -27 -62 -21 62
+rect -67 -74 -21 -62
+rect 21 62 67 74
+rect 21 -62 27 62
+rect 61 -62 67 62
+rect 21 -74 67 -62
 << properties >>
 string gencell sky130_fd_pr__nfet_01v8
 string library sky130
diff --git a/mag/preamp/sky130_fd_pr__nfet_01v8_G6PLX8.ext b/mag/preamp/sky130_fd_pr__nfet_01v8_G6PLX8.ext
index c8c9b2a..01cc9c5 100644
--- a/mag/preamp/sky130_fd_pr__nfet_01v8_G6PLX8.ext
+++ b/mag/preamp/sky130_fd_pr__nfet_01v8_G6PLX8.ext
@@ -1,28 +1,28 @@
-timestamp 1651835070
+timestamp 1646422066
 version 8.3
 tech sky130A
 style ngspice()
 scale 1000 1 500000
 resistclasses 4400000 2200000 1700000 3050000 120000 197000 114000 191000 120000 197000 114000 191000 48200 319800 2000000 48200 48200 12200 125 125 47 47 29 5
 parameters sky130_fd_pr__nfet_01v8 l=l w=w a1=as p1=ps a2=ad p2=pd
-node "a_159_n500#" 456 -13.94 159 -500 ndif 0 0 0 0 0 0 0 0 12192 524 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 5304 380 6808 388 0 0 0 0 0 0 0 0 0 0
-node "a_63_n500#" 420 -13.94 63 -500 ndif 0 0 0 0 0 0 0 0 13200 532 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 5304 380 6808 388 0 0 0 0 0 0 0 0 0 0
-node "a_n33_n500#" 420 -13.94 -33 -500 ndif 0 0 0 0 0 0 0 0 13200 532 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 5304 380 6808 388 0 0 0 0 0 0 0 0 0 0
-node "a_n129_n500#" 420 -13.94 -129 -500 ndif 0 0 0 0 0 0 0 0 13200 532 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 5304 380 6808 388 0 0 0 0 0 0 0 0 0 0
-node "a_n221_n474#" 456 -13.94 -221 -474 ndif 0 0 0 0 0 0 0 0 12192 524 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 5304 380 6808 388 0 0 0 0 0 0 0 0 0 0
-node "a_n159_n522#" 2066 322.437 -159 -522 p 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 38580 2632 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0
+node "a_159_n100#" 456 -13.94 159 -100 ndif 0 0 0 0 0 0 0 0 12192 524 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 5304 380 6808 388 0 0 0 0 0 0 0 0 0 0
+node "a_63_n100#" 420 -13.94 63 -100 ndif 0 0 0 0 0 0 0 0 13200 532 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 5304 380 6808 388 0 0 0 0 0 0 0 0 0 0
+node "a_n33_n100#" 420 -13.94 -33 -100 ndif 0 0 0 0 0 0 0 0 13200 532 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 5304 380 6808 388 0 0 0 0 0 0 0 0 0 0
+node "a_n129_n100#" 420 -13.94 -129 -100 ndif 0 0 0 0 0 0 0 0 13200 532 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 5304 380 6808 388 0 0 0 0 0 0 0 0 0 0
+node "a_n221_n74#" 456 -13.94 -221 -74 ndif 0 0 0 0 0 0 0 0 12192 524 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 5304 380 6808 388 0 0 0 0 0 0 0 0 0 0
+node "a_n159_n122#" 2066 322.437 -159 -122 p 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 38580 2632 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0
 substrate "VSUBS" 0 0 -1073741817 -1073741817 space 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0
-cap "a_n33_n500#" "a_63_n500#" 216.232
-cap "a_n221_n474#" "a_63_n500#" 47.7884
-cap "a_63_n500#" "a_159_n500#" 216.232
-cap "a_n129_n500#" "a_n33_n500#" 216.232
-cap "a_n221_n474#" "a_n129_n500#" 216.232
-cap "a_n221_n474#" "a_n33_n500#" 78.1987
-cap "a_n129_n500#" "a_159_n500#" 47.7884
-cap "a_n33_n500#" "a_159_n500#" 78.1987
-cap "a_n221_n474#" "a_159_n500#" 34.4127
-cap "a_n129_n500#" "a_63_n500#" 78.1987
-device msubckt sky130_fd_pr__nfet_01v8 129 -500 130 -499 l=30 w=200 "VSUBS" "a_n159_n522#" 60 0 "a_63_n500#" 200 0 "a_159_n500#" 200 0
-device msubckt sky130_fd_pr__nfet_01v8 33 -500 34 -499 l=30 w=200 "VSUBS" "a_n159_n522#" 60 0 "a_n33_n500#" 200 0 "a_63_n500#" 200 0
-device msubckt sky130_fd_pr__nfet_01v8 -63 -500 -62 -499 l=30 w=200 "VSUBS" "a_n159_n522#" 60 0 "a_n129_n500#" 200 0 "a_n33_n500#" 200 0
-device msubckt sky130_fd_pr__nfet_01v8 -159 -500 -158 -499 l=30 w=200 "VSUBS" "a_n159_n522#" 60 0 "a_n221_n474#" 200 0 "a_n129_n500#" 200 0
+cap "a_n221_n74#" "a_159_n100#" 34.4127
+cap "a_n129_n100#" "a_n33_n100#" 216.232
+cap "a_n33_n100#" "a_63_n100#" 216.232
+cap "a_n33_n100#" "a_159_n100#" 78.1987
+cap "a_n129_n100#" "a_63_n100#" 78.1987
+cap "a_n129_n100#" "a_159_n100#" 47.7884
+cap "a_159_n100#" "a_63_n100#" 216.232
+cap "a_n221_n74#" "a_n33_n100#" 78.1987
+cap "a_n221_n74#" "a_n129_n100#" 216.232
+cap "a_n221_n74#" "a_63_n100#" 47.7884
+device msubckt sky130_fd_pr__nfet_01v8 129 -100 130 -99 l=30 w=200 "VSUBS" "a_n159_n122#" 60 0 "a_63_n100#" 200 0 "a_159_n100#" 200 0
+device msubckt sky130_fd_pr__nfet_01v8 33 -100 34 -99 l=30 w=200 "VSUBS" "a_n159_n122#" 60 0 "a_n33_n100#" 200 0 "a_63_n100#" 200 0
+device msubckt sky130_fd_pr__nfet_01v8 -63 -100 -62 -99 l=30 w=200 "VSUBS" "a_n159_n122#" 60 0 "a_n129_n100#" 200 0 "a_n33_n100#" 200 0
+device msubckt sky130_fd_pr__nfet_01v8 -159 -100 -158 -99 l=30 w=200 "VSUBS" "a_n159_n122#" 60 0 "a_n221_n74#" 200 0 "a_n129_n100#" 200 0
diff --git a/mag/preamp/sky130_fd_pr__nfet_01v8_G6PLX8.mag b/mag/preamp/sky130_fd_pr__nfet_01v8_G6PLX8.mag
index be4de9f..866bb9e 100755
--- a/mag/preamp/sky130_fd_pr__nfet_01v8_G6PLX8.mag
+++ b/mag/preamp/sky130_fd_pr__nfet_01v8_G6PLX8.mag
@@ -1,96 +1,96 @@
 magic
 tech sky130A
 magscale 1 2
-timestamp 1651835070
+timestamp 1646422066
 << error_p >>
-rect -159 -526 -129 -522
-rect -63 -526 -33 -522
-rect 33 -526 63 -522
-rect 129 -526 159 -522
+rect -159 -126 -129 -122
+rect -63 -126 -33 -122
+rect 33 -126 63 -122
+rect 129 -126 159 -122
 << nmos >>
-rect -159 -500 -129 -300
-rect -63 -500 -33 -300
-rect 33 -500 63 -300
-rect 129 -500 159 -300
+rect -159 -100 -129 100
+rect -63 -100 -33 100
+rect 33 -100 63 100
+rect 129 -100 159 100
 << ndiff >>
-rect -217 -326 -159 -300
-rect -221 -338 -159 -326
-rect -221 -462 -209 -338
-rect -175 -462 -159 -338
-rect -221 -474 -159 -462
-rect -217 -500 -159 -474
-rect -129 -338 -63 -300
-rect -129 -462 -113 -338
-rect -79 -462 -63 -338
-rect -129 -500 -63 -462
-rect -33 -338 33 -300
-rect -33 -462 -17 -338
-rect 17 -462 33 -338
-rect -33 -500 33 -462
-rect 63 -338 129 -300
-rect 63 -462 79 -338
-rect 113 -462 129 -338
-rect 63 -500 129 -462
-rect 159 -326 217 -300
-rect 159 -338 221 -326
-rect 159 -462 175 -338
-rect 209 -462 221 -338
-rect 159 -474 221 -462
-rect 159 -500 217 -474
+rect -217 74 -159 100
+rect -221 62 -159 74
+rect -221 -62 -209 62
+rect -175 -62 -159 62
+rect -221 -74 -159 -62
+rect -217 -100 -159 -74
+rect -129 62 -63 100
+rect -129 -62 -113 62
+rect -79 -62 -63 62
+rect -129 -100 -63 -62
+rect -33 62 33 100
+rect -33 -62 -17 62
+rect 17 -62 33 62
+rect -33 -100 33 -62
+rect 63 62 129 100
+rect 63 -62 79 62
+rect 113 -62 129 62
+rect 63 -100 129 -62
+rect 159 74 217 100
+rect 159 62 221 74
+rect 159 -62 175 62
+rect 209 -62 221 62
+rect 159 -74 221 -62
+rect 159 -100 217 -74
 << ndiffc >>
-rect -209 -462 -175 -338
-rect -113 -462 -79 -338
-rect -17 -462 17 -338
-rect 79 -462 113 -338
-rect 175 -462 209 -338
+rect -209 -62 -175 62
+rect -113 -62 -79 62
+rect -17 -62 17 62
+rect 79 -62 113 62
+rect 175 -62 209 62
 << poly >>
-rect -159 -280 159 -250
-rect -159 -300 -129 -280
-rect -63 -300 -33 -280
-rect 33 -300 63 -280
-rect 129 -300 159 -280
-rect -159 -522 -129 -500
-rect -63 -522 -33 -500
-rect 33 -522 63 -500
-rect 129 -522 159 -500
+rect -159 120 159 150
+rect -159 100 -129 120
+rect -63 100 -33 120
+rect 33 100 63 120
+rect 129 100 159 120
+rect -159 -122 -129 -100
+rect -63 -122 -33 -100
+rect 33 -122 63 -100
+rect 129 -122 159 -100
 << locali >>
-rect -209 -338 -175 -322
-rect -209 -478 -175 -462
-rect -113 -338 -79 -322
-rect -113 -478 -79 -462
-rect -17 -338 17 -322
-rect -17 -478 17 -462
-rect 79 -338 113 -322
-rect 79 -478 113 -462
-rect 175 -338 209 -322
-rect 175 -478 209 -462
+rect -209 62 -175 78
+rect -209 -78 -175 -62
+rect -113 62 -79 78
+rect -113 -78 -79 -62
+rect -17 62 17 78
+rect -17 -78 17 -62
+rect 79 62 113 78
+rect 79 -78 113 -62
+rect 175 62 209 78
+rect 175 -78 209 -62
 << viali >>
-rect -209 -462 -175 -338
-rect -113 -462 -79 -338
-rect -17 -462 17 -338
-rect 79 -462 113 -338
-rect 175 -462 209 -338
+rect -209 -62 -175 62
+rect -113 -62 -79 62
+rect -17 -62 17 62
+rect 79 -62 113 62
+rect 175 -62 209 62
 << metal1 >>
-rect -215 -338 -169 -326
-rect -215 -462 -209 -338
-rect -175 -462 -169 -338
-rect -215 -474 -169 -462
-rect -119 -338 -73 -326
-rect -119 -462 -113 -338
-rect -79 -462 -73 -338
-rect -119 -474 -73 -462
-rect -23 -338 23 -326
-rect -23 -462 -17 -338
-rect 17 -462 23 -338
-rect -23 -474 23 -462
-rect 73 -338 119 -326
-rect 73 -462 79 -338
-rect 113 -462 119 -338
-rect 73 -474 119 -462
-rect 169 -338 215 -326
-rect 169 -462 175 -338
-rect 209 -462 215 -338
-rect 169 -474 215 -462
+rect -215 62 -169 74
+rect -215 -62 -209 62
+rect -175 -62 -169 62
+rect -215 -74 -169 -62
+rect -119 62 -73 74
+rect -119 -62 -113 62
+rect -79 -62 -73 62
+rect -119 -74 -73 -62
+rect -23 62 23 74
+rect -23 -62 -17 62
+rect 17 -62 23 62
+rect -23 -74 23 -62
+rect 73 62 119 74
+rect 73 -62 79 62
+rect 113 -62 119 62
+rect 73 -74 119 -62
+rect 169 62 215 74
+rect 169 -62 175 62
+rect 209 -62 215 62
+rect 169 -74 215 -62
 << properties >>
 string gencell sky130_fd_pr__nfet_01v8
 string library sky130
diff --git a/mag/preamp/sky130_fd_pr__nfet_01v8_RURP52.ext b/mag/preamp/sky130_fd_pr__nfet_01v8_RURP52.ext
deleted file mode 100644
index 216c6fb..0000000
--- a/mag/preamp/sky130_fd_pr__nfet_01v8_RURP52.ext
+++ /dev/null
@@ -1,19 +0,0 @@
-timestamp 1651837652
-version 8.3
-tech sky130A
-style ngspice()
-scale 1000 1 500000
-resistclasses 4400000 2200000 1700000 3050000 120000 197000 114000 191000 120000 197000 114000 191000 48200 319800 2000000 48200 48200 12200 125 125 47 47 29 5
-parameters sky130_fd_pr__nfet_01v8 l=l w=w a1=as p1=ps a2=ad p2=pd
-node "a_63_n348#" 692 3.5316 63 -348 ndif 0 0 0 0 0 0 0 0 18600 724 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 10472 684 10028 528 0 0 0 0 0 0 0 0 0 0
-node "a_n33_n348#" 657 3.5316 -33 -348 ndif 0 0 0 0 0 0 0 0 19800 732 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 10472 684 10028 528 0 0 0 0 0 0 0 0 0 0
-node "a_n125_n348#" 692 3.5316 -125 -348 ndif 0 0 0 0 0 0 0 0 18600 724 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 10472 684 10028 528 0 0 0 0 0 0 0 0 0 0
-node "a_33_n370#" 553 44.198 33 -370 p 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 10320 748 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0
-node "a_n63_n370#" 553 44.198 -63 -370 p 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 10320 748 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0
-substrate "VSUBS" 0 0 -1073741817 -1073741817 space 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0
-cap "a_n33_n348#" "a_63_n348#" 360.135
-cap "a_n125_n348#" "a_n33_n348#" 360.135
-cap "a_33_n370#" "a_n63_n370#" 15.3333
-cap "a_n125_n348#" "a_63_n348#" 131.521
-device msubckt sky130_fd_pr__nfet_01v8 33 -348 34 -347 l=30 w=300 "VSUBS" "a_33_n370#" 60 0 "a_n33_n348#" 300 0 "a_63_n348#" 300 0
-device msubckt sky130_fd_pr__nfet_01v8 -63 -348 -62 -347 l=30 w=300 "VSUBS" "a_n63_n370#" 60 0 "a_n125_n348#" 300 0 "a_n33_n348#" 300 0
diff --git a/mag/preamp/sky130_fd_pr__nfet_01v8_RURP52.mag b/mag/preamp/sky130_fd_pr__nfet_01v8_RURP52.mag
deleted file mode 100644
index 06c27a1..0000000
--- a/mag/preamp/sky130_fd_pr__nfet_01v8_RURP52.mag
+++ /dev/null
@@ -1,63 +0,0 @@
-magic
-tech sky130A
-magscale 1 2
-timestamp 1651837652
-<< error_p >>
-rect -63 -26 -33 -22
-rect 33 -26 63 -22
-rect -63 -374 -33 -370
-rect 33 -374 63 -370
-<< nmos >>
-rect -63 -348 -33 -48
-rect 33 -348 63 -48
-<< ndiff >>
-rect -125 -60 -63 -48
-rect -125 -336 -113 -60
-rect -79 -336 -63 -60
-rect -125 -348 -63 -336
-rect -33 -60 33 -48
-rect -33 -336 -17 -60
-rect 17 -336 33 -60
-rect -33 -348 33 -336
-rect 63 -60 125 -48
-rect 63 -336 79 -60
-rect 113 -336 125 -60
-rect 63 -348 125 -336
-<< ndiffc >>
-rect -113 -336 -79 -60
-rect -17 -336 17 -60
-rect 79 -336 113 -60
-<< poly >>
-rect -63 -48 -33 -26
-rect 33 -48 63 -26
-rect -63 -370 -33 -348
-rect 33 -370 63 -348
-<< locali >>
-rect -113 -60 -79 -44
-rect -113 -352 -79 -336
-rect -17 -60 17 -44
-rect -17 -352 17 -336
-rect 79 -60 113 -44
-rect 79 -352 113 -336
-<< viali >>
-rect -113 -295 -79 -101
-rect -17 -295 17 -101
-rect 79 -295 113 -101
-<< metal1 >>
-rect -119 -101 -73 -89
-rect -119 -295 -113 -101
-rect -79 -295 -73 -101
-rect -119 -307 -73 -295
-rect -23 -101 23 -89
-rect -23 -295 -17 -101
-rect 17 -295 23 -101
-rect -23 -307 23 -295
-rect 73 -101 119 -89
-rect 73 -295 79 -101
-rect 113 -295 119 -101
-rect 73 -307 119 -295
-<< properties >>
-string gencell sky130_fd_pr__nfet_01v8
-string library sky130
-string parameters w 1.5 l 0.150 m 1 nf 2 diffcov 100 polycov 100 guard 0 glc 0 grc 0 gtc 0 gbc 0 tbcov 100 rlcov 100 topc 0 botc 0 poverlap 0 doverlap 1 lmin 0.15 wmin 0.42 compatible {sky130_fd_pr__nfet_01v8 sky130_fd_pr__nfet_01v8_lvt  sky130_fd_bs_flash__special_sonosfet_star  sky130_fd_pr__nfet_g5v0d10v5 sky130_fd_pr__nfet_05v0_nvt  sky130_fd_pr__nfet_03v3_nvt} full_metal 0 viasrc 70 viadrn 70 viagate 70 viagb 0 viagr 0 viagl 0 viagt 0
-<< end >>
diff --git "a/mag/preamp/sky130_fd_pr__nfet_01v8_XJTKXQ\0430\0430.ext" "b/mag/preamp/sky130_fd_pr__nfet_01v8_XJTKXQ\0430\0430.ext"
deleted file mode 100644
index b21a189..0000000
--- "a/mag/preamp/sky130_fd_pr__nfet_01v8_XJTKXQ\0430\0430.ext"
+++ /dev/null
@@ -1,7 +0,0 @@
-timestamp 1651654828
-version 8.3
-tech sky130A
-style ngspice()
-scale 1000 1 500000
-resistclasses 4400000 2200000 1700000 3050000 120000 197000 114000 191000 120000 197000 114000 191000 48200 319800 2000000 48200 48200 12200 125 125 47 47 29 5
-substrate "VSUBS" 0 0 -1073741817 -1073741817 space 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0
diff --git "a/mag/preamp/sky130_fd_pr__nfet_01v8_XJTKXQ\0430\0430.mag" "b/mag/preamp/sky130_fd_pr__nfet_01v8_XJTKXQ\0430\0430.mag"
deleted file mode 100644
index 7921c68..0000000
--- "a/mag/preamp/sky130_fd_pr__nfet_01v8_XJTKXQ\0430\0430.mag"
+++ /dev/null
@@ -1,4 +0,0 @@
-magic
-tech sky130A
-timestamp 1651654828
-<< end >>
diff --git "a/mag/preamp/sky130_fd_pr__pfet_01v8_RFM3CD\0430.ext" b/mag/preamp/sky130_fd_pr__pfet_01v8_RFM3CD.ext
similarity index 96%
rename from "mag/preamp/sky130_fd_pr__pfet_01v8_RFM3CD\0430.ext"
rename to mag/preamp/sky130_fd_pr__pfet_01v8_RFM3CD.ext
index ed68149..ba30850 100644
--- "a/mag/preamp/sky130_fd_pr__pfet_01v8_RFM3CD\0430.ext"
+++ b/mag/preamp/sky130_fd_pr__pfet_01v8_RFM3CD.ext
@@ -10,8 +10,8 @@
 node "a_n15_n126#" 405 4.134 -15 -126 p 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 7560 564 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0
 node "w_n109_n162#" 2527 211.896 -109 -162 nw 0 0 0 0 70632 1084 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0
 substrate "VSUBS" 0 0 -1073741817 -1073741817 space 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0
+cap "a_15_n100#" "w_n109_n162#" 157.44
 cap "a_n73_n100#" "a_15_n100#" 253.905
-cap "w_n109_n162#" "a_15_n100#" 157.44
-cap "a_n15_n126#" "w_n109_n162#" 45.1
 cap "a_n73_n100#" "w_n109_n162#" 157.44
+cap "a_n15_n126#" "w_n109_n162#" 45.1
 device msubckt sky130_fd_pr__pfet_01v8 -15 -100 -14 -99 l=30 w=200 "w_n109_n162#" "a_n15_n126#" 60 0 "a_n73_n100#" 200 0 "a_15_n100#" 200 0
diff --git "a/mag/preamp/sky130_fd_pr__pfet_01v8_RFM3CD\0430.mag" b/mag/preamp/sky130_fd_pr__pfet_01v8_RFM3CD.mag
similarity index 100%
rename from "mag/preamp/sky130_fd_pr__pfet_01v8_RFM3CD\0430.mag"
rename to mag/preamp/sky130_fd_pr__pfet_01v8_RFM3CD.mag
diff --git a/mag/sky130_fd_pr__diode_pd2nw_05v5_G4XDRY.ext b/mag/sky130_fd_pr__diode_pd2nw_05v5_G4XDRY.ext
index da16471..c77e7a3 100644
--- a/mag/sky130_fd_pr__diode_pd2nw_05v5_G4XDRY.ext
+++ b/mag/sky130_fd_pr__diode_pd2nw_05v5_G4XDRY.ext
@@ -10,6 +10,6 @@
 node "w_n238_n238#" 7187 679.728 -238 -238 nw 0 0 0 0 226576 1904 0 0 50320 2960 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 50320 2960 0 0 0 0 0 0 0 0 0 0 0 0
 substrate "w_n376_n376#" 0 0 -376 -376 pw 338928 4912 0 0 0 0 0 0 0 0 87856 5168 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0
 cap "li_n340_n340#" "a_n100_n100#" 120.477
-cap "w_n238_n238#" "li_n340_n340#" 512.769
+cap "li_n340_n340#" "w_n238_n238#" 512.769
 cap "w_n238_n238#" "a_n100_n100#" 670.922
 device pdiode sky130_fd_pr__diode_pd2nw_05v5 -100 -100 -99 -99 a=40000 p=800 "w_n238_n238#" "a_n100_n100#" 352 0 "w_n238_n238#" 200 0
diff --git a/mag/sky130_fd_pr__diode_pd2nw_05v5_RT56W3.ext b/mag/sky130_fd_pr__diode_pd2nw_05v5_RT56W3.ext
new file mode 100644
index 0000000..83a8a69
--- /dev/null
+++ b/mag/sky130_fd_pr__diode_pd2nw_05v5_RT56W3.ext
@@ -0,0 +1,15 @@
+timestamp 1654068905
+version 8.3
+tech sky130A
+style ngspice()
+scale 1000 1 500000
+resistclasses 4400000 2200000 1700000 3050000 120000 197000 114000 191000 120000 197000 114000 191000 48200 319800 2000000 48200 48200 12200 125 125 47 47 29 5
+parameters sky130_fd_pr__diode_pd2nw_05v5 a=area p=pj
+node "li_n285_n285#" 745 1825.51 -285 -285 li 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 72896 4288 0 0 0 0 0 0 0 0 0 0 0 0
+node "a_n45_n45#" 18 -100.86 -45 -45 pdi 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 6468 328 7020 336 0 0 0 0 0 0 0 0 0 0
+node "w_n183_n183#" 5475 401.868 -183 -183 nw 0 0 0 0 133956 1464 0 0 35360 2080 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 35360 2080 0 0 0 0 0 0 0 0 0 0 0 0
+substrate "w_n321_n321#" 0 0 -321 -321 pw 278208 4032 0 0 0 0 0 0 0 0 72896 4288 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0
+cap "li_n285_n285#" "a_n45_n45#" 51.2341
+cap "w_n183_n183#" "a_n45_n45#" 285.521
+cap "w_n183_n183#" "li_n285_n285#" 455.11
+device pdiode sky130_fd_pr__diode_pd2nw_05v5 -45 -45 -44 -44 a=8100 p=360 "w_n183_n183#" "a_n45_n45#" 132 0 "w_n183_n183#" 128 0
diff --git a/mag/sky130_fd_pr__diode_pd2nw_05v5_RT56W3.mag b/mag/sky130_fd_pr__diode_pd2nw_05v5_RT56W3.mag
new file mode 100644
index 0000000..00e62ec
--- /dev/null
+++ b/mag/sky130_fd_pr__diode_pd2nw_05v5_RT56W3.mag
@@ -0,0 +1,59 @@
+magic
+tech sky130A
+magscale 1 2
+timestamp 1654068905
+<< nwell >>
+rect -183 -183 183 183
+<< pwell >>
+rect -321 183 321 321
+rect -321 -183 -183 183
+rect 183 -183 321 183
+rect -321 -321 321 -183
+<< psubdiff >>
+rect -285 251 285 285
+rect -285 -251 -251 251
+rect 251 -251 285 251
+rect -285 -285 285 -251
+<< nsubdiff >>
+rect -147 113 147 147
+rect -147 51 -113 113
+rect 113 51 147 113
+rect -147 -113 -113 -51
+rect 113 -113 147 -51
+rect -147 -147 147 -113
+<< nsubdiffcont >>
+rect -147 -51 -113 51
+rect 113 -51 147 51
+<< pdiode >>
+rect -45 33 45 45
+rect -45 -33 -33 33
+rect 33 -33 45 33
+rect -45 -45 45 -33
+<< pdiodec >>
+rect -33 -33 33 33
+<< locali >>
+rect -285 251 285 285
+rect -285 -251 -251 251
+rect -147 113 147 147
+rect -147 51 -113 113
+rect 113 51 147 113
+rect -49 -33 -33 33
+rect 33 -33 49 33
+rect -147 -113 -113 -51
+rect 113 -113 147 -51
+rect -147 -147 147 -113
+rect 251 -251 285 251
+rect -285 -285 285 -251
+<< viali >>
+rect -33 -33 33 33
+<< metal1 >>
+rect -45 33 45 39
+rect -45 -33 -33 33
+rect 33 -33 45 33
+rect -45 -39 45 -33
+<< properties >>
+string FIXED_BBOX -130 -130 130 130
+string gencell sky130_fd_pr__diode_pd2nw_05v5
+string library sky130
+string parameters w 0.45 l 0.45 area 202.5m peri 1.8 nx 1 ny 1 dummy 0 lmin 0.45 wmin 0.45 elc 1 erc 1 etc 0 ebc 0 glc 0 grc 0 gtc 0 gbc 0 doverlap 0 compatible {sky130_fd_pr__diode_pd2nw_05v5 sky130_fd_pr__diode_pd2nw_05v5_lvt  sky130_fd_pr__diode_pd2nw_05v5_hvt sky130_fd_pr__diode_pd2nw_11v0} full_metal 1 vias 1 viagb 0 viagt 0 viagl 0 viagr 0
+<< end >>
diff --git a/mag/sky130_fd_pr__diode_pw2nd_05v5_GT7G3L.ext b/mag/sky130_fd_pr__diode_pw2nd_05v5_GT7G3L.ext
new file mode 100644
index 0000000..7a8bd74
--- /dev/null
+++ b/mag/sky130_fd_pr__diode_pw2nd_05v5_GT7G3L.ext
@@ -0,0 +1,10 @@
+timestamp 1654065255
+version 8.3
+tech sky130A
+style ngspice()
+scale 1000 1 500000
+resistclasses 4400000 2200000 1700000 3050000 120000 197000 114000 191000 120000 197000 114000 191000 48200 319800 2000000 48200 48200 12200 125 125 47 47 29 5
+parameters sky130_fd_pr__diode_pw2nd_05v5 a=area p=pj
+node "a_n45_n45#" 18 219.921 -45 -45 ndi 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 6468 328 7020 336 0 0 0 0 0 0 0 0 0 0
+substrate "w_n183_n183#" 0 0 -183 -183 pw 133956 1464 0 0 0 0 0 0 0 0 35360 2080 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 35360 2080 0 0 0 0 0 0 0 0 0 0 0 0
+device ndiode sky130_fd_pr__diode_pw2nd_05v5 -45 -45 -44 -44 a=8100 p=360 "w_n183_n183#" "a_n45_n45#" 132 0 "w_n183_n183#" 128 0
diff --git a/mag/sky130_fd_pr__diode_pw2nd_05v5_GT7G3L.mag b/mag/sky130_fd_pr__diode_pw2nd_05v5_GT7G3L.mag
index bf4185d..c5d57fe 100644
--- a/mag/sky130_fd_pr__diode_pw2nd_05v5_GT7G3L.mag
+++ b/mag/sky130_fd_pr__diode_pw2nd_05v5_GT7G3L.mag
@@ -1,7 +1,7 @@
 magic
 tech sky130A
 magscale 1 2
-timestamp 1646995406
+timestamp 1654065255
 << pwell >>
 rect -183 -183 183 183
 << psubdiff >>
diff --git a/mag/user_analog_project_wrapper.ext b/mag/user_analog_project_wrapper.ext
index ae1756e..21933df 100644
--- a/mag/user_analog_project_wrapper.ext
+++ b/mag/user_analog_project_wrapper.ext
@@ -1,31 +1,30 @@
-timestamp 1653478184
+timestamp 1654328526
 version 8.3
 tech sky130A
 style ngspice()
 scale 1000 1 500000
 resistclasses 4400000 2200000 1700000 3050000 120000 197000 114000 191000 120000 197000 114000 191000 48200 319800 2000000 48200 48200 12200 125 125 47 47 29 5
+use sky130_fd_pr__diode_pd2nw_05v5_RT56W3 sky130_fd_pr__diode_pd2nw_05v5_RT56W3_0 1 0 73460 0 1 687284
+use sky130_fd_pr__diode_pw2nd_05v5_GT7G3L sky130_fd_pr__diode_pw2nd_05v5_GT7G3L_0 1 0 67548 0 1 687302
 use sky130_fd_sc_hd__buf_2 sky130_fd_sc_hd__buf_2_2 0 1 70516 -1 0 673768
-use sky130_fd_pr__diode_pd2nw_05v5_G4XDRY sky130_fd_pr__diode_pd2nw_05v5_G4XDRY_0 1 0 125433 0 1 695295
+use sky130_fd_pr__diode_pd2nw_05v5_RT56W3 sky130_fd_pr__diode_pd2nw_05v5_RT56W3_1 1 0 125458 0 1 695284
 use sky130_fd_pr__diode_pw2nd_05v5_3P6M5Y sky130_fd_pr__diode_pw2nd_05v5_3P6M5Y_0 1 0 119582 0 1 695306
 use sky130_fd_sc_hd__buf_2 sky130_fd_sc_hd__buf_2_3 0 1 122500 -1 0 673516
+use comparator_v6 comparator_v6_0 0 1 419250 1 0 584722
 use sky130_fd_sc_hd__buf_16 sky130_fd_sc_hd__buf_16_0 0 -1 415748 1 0 686664
 use sky130_fd_sc_hd__buf_16 sky130_fd_sc_hd__buf_16_2 1 0 406656 0 1 587358
 use sky130_fd_sc_hd__buf_16 sky130_fd_sc_hd__buf_16_3 1 0 406646 0 1 585256
-use comparator_v6 comparator_v6_0 0 1 419250 1 0 584722
 use sky130_fd_sc_hd__buf_2 sky130_fd_sc_hd__buf_2_0 0 -1 440640 1 0 591294
 use sky130_fd_sc_hd__buf_2 sky130_fd_sc_hd__buf_2_1 0 -1 448994 1 0 591314
 use sky130_fd_sc_hd__buf_16 sky130_fd_sc_hd__buf_16_1 0 -1 467556 1 0 686698
 use sky130_fd_sc_hd__buf_2 sky130_fd_sc_hd__buf_2_4 0 1 569338 -1 0 689064
 use sky130_fd_sc_hd__buf_16 sky130_fd_sc_hd__buf_16_4 0 1 569338 -1 0 688388
-parameters sky130_fd_pr__diode_pd2nw_05v5 a=area p=pj
-parameters sky130_fd_pr__diode_pw2nd_05v5 a=area p=pj
-port "VGND" 2 448448 591294 448544 591662 m1
 port "io_analog[4]" 41 329294 702300 334294 704800 m5
 port "io_analog[4]" 47 318994 702300 323994 704800 m5
 port "io_analog[4]" 41 329294 702300 334294 704800 m4
 port "io_analog[4]" 47 318994 702300 323994 704800 m4
-port "io_analog[5]" 48 217294 702300 222294 704800 m4
 port "io_analog[5]" 42 227594 702300 232594 704800 m4
+port "io_analog[5]" 48 217294 702300 222294 704800 m4
 port "io_in_3v3[0]" 83 583520 1544 584800 1656 m3
 port "io_oeb[26]" 128 -800 1544 480 1656 m3
 port "io_in[0]" 56 583520 2726 584800 2838 m3
@@ -194,8 +193,8 @@
 port "io_analog[5]" 42 227594 702300 232594 704800 m3
 port "io_analog[5]" 48 217294 702300 222294 704800 m3
 port "io_analog[6]" 43 175896 702300 180896 704800 m3
-port "io_analog[6]" 49 165596 702300 170596 704800 m3
 port "io_analog[9]" 46 16194 702300 21194 704800 m3
+port "io_analog[6]" 49 165596 702300 170596 704800 m3
 port "user_irq[2]" 551 583250 -800 583362 480 m2
 port "user_irq[1]" 550 582068 -800 582180 480 m2
 port "user_irq[0]" 549 580886 -800 580998 480 m2
@@ -692,30 +691,34 @@
 port "wb_clk_i" 572 524 -800 636 480 m2
 port "io_analog[6]" 49 165594 702300 170594 704800 m5
 port "io_analog[6]" 43 175894 702300 180894 704800 m5
-port "io_analog[5]" 48 217294 702300 222294 704800 m5
 port "io_analog[5]" 42 227594 702300 232594 704800 m5
+port "io_analog[5]" 48 217294 702300 222294 704800 m5
+port "io_analog[8]" 45 68194 702300 73194 704800 m3
 port "io_analog[7]" 44 120194 702300 125194 704800 m3
 port "io_analog[0]" 36 582300 677984 584800 682984 m3
 port "io_analog[1]" 38 566594 702300 571594 704800 m3
 port "io_analog[3]" 40 413394 702300 418394 704800 m3
 port "io_analog[2]" 39 465394 702300 470394 704800 m3
-port "io_analog[8]" 45 68194 702300 73194 704800 m3
-port "vccd1" 553 582340 629784 584800 634584 m3
-port "vccd1" 552 582340 639784 584800 644584 m3
-port "io_clamp_high[2]" 52 173394 702300 175594 704800 m3
 port "io_clamp_high[1]" 51 225094 702300 227294 704800 m3
-port "vssa1" 563 510594 702340 515394 704800 m3
-port "vssa1" 562 520594 702340 525394 704800 m3
-port "io_clamp_low[2]" 55 170894 702300 173094 704800 m3
+port "io_clamp_high[2]" 52 173394 702300 175594 704800 m3
+port "vccd1" 552 582340 639784 584800 644584 m3
+port "vccd1" 553 582340 629784 584800 634584 m3
 port "io_clamp_low[1]" 54 222594 702300 224794 704800 m3
-node "VGND" 0 0 448448 591294 m1 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0
+port "io_clamp_low[2]" 55 170894 702300 173094 704800 m3
+port "vssa1" 562 520594 702340 525394 704800 m3
+port "vssa1" 563 510594 702340 515394 704800 m3
 node "io_analog[4]" 0 2925 329294 702300 m5 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 12500000 15000 0 0
 node "io_analog[4]" 0 2925 318994 702300 m5 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 12500000 15000 0 0
-node "m4_141154_541976#" 0 136634 141154 541976 m4 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 64946256 32764 0 0 0 0
+node "m4_141154_541976#" 0 19050.6 141154 541976 m4 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 64946256 32764 0 0 0 0
+node "m4_204098_586508#" 0 29225.1 204098 586508 m4 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 102988136 48164 0 0 0 0
+node "m4_186716_584374#" 0 28885.8 186716 584374 m4 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 99919296 50928 0 0 0 0
 node "io_analog[4]" 0 2775 329294 702300 m4 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 12500000 15000 0 0 0 0
 node "io_analog[4]" 0 2775 318994 702300 m4 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 12500000 15000 0 0 0 0
-node "io_analog[5]" 0 123171 227594 702300 m4 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 379159248 156980 0 0 0 0
-node "m4_165510_677212#" 0 110872 165510 677212 m4 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 365806656 152224 0 0 0 0
+node "m4_180902_677200#" 0 18042.4 180902 677200 m4 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 59779532 32900 0 0 0 0
+node "m4_170578_677212#" 0 3193.02 170578 677212 m4 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 29023892 21576 0 0 0 0
+node "io_analog[5]" 0 93614.5 217294 702300 m4 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 379084312 156980 0 0 0 0
+node "m4_175880_702434#" 0 1813.64 175880 702434 m4 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 11866480 14764 0 0 0 0
+node "m4_165578_698240#" 0 2671.13 165578 698240 m4 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 32786308 23156 0 0 0 0
 node "io_in_3v3[0]" 1 613.728 583520 1544 m3 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 143360 2784 0 0 0 0 0 0
 node "io_oeb[26]" 1 613.728 -800 1544 m3 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 143360 2784 0 0 0 0 0 0
 node "io_in[0]" 1 613.728 583520 2726 m3 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 143360 2784 0 0 0 0 0 0
@@ -882,10 +885,10 @@
 node "io_clamp_low[0]" 0 3577 324294 702300 m3 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 5500000 9400 0 0 0 0 0 0
 node "io_analog[4]" 0 6825 318994 702300 m3 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 12500000 15000 0 0 0 0 0 0
 node "io_analog[5]" 0 6825 227594 702300 m3 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 12500000 15000 0 0 0 0 0 0
-node "io_analog[5]" 0 7448.51 217294 702300 m3 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 12500000 15000 0 0 0 0 0 0
+node "io_analog[5]" 0 6825 217294 702300 m3 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 12500000 15000 0 0 0 0 0 0
 node "io_analog[6]" 0 6825 175896 702300 m3 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 12500000 15000 0 0 0 0 0 0
-node "io_analog[6]" 0 25262.4 165596 702300 m3 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 54473936 31816 0 0 0 0 0 0
 node "io_analog[9]" 0 6825 16194 702300 m3 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 12500000 15000 0 0 0 0 0 0
+node "io_analog[6]" 0 14616.2 165596 702300 m3 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 32889088 23168 0 0 0 0 0 0
 node "user_irq[2]" 1 589.888 583250 -800 m2 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 143360 2784 0 0 0 0 0 0 0 0
 node "user_irq[1]" 1 589.888 582068 -800 m2 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 143360 2784 0 0 0 0 0 0 0 0
 node "user_irq[0]" 1 589.888 580886 -800 m2 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 143360 2784 0 0 0 0 0 0 0 0
@@ -1380,33 +1383,39 @@
 node "wbs_ack_o" 1 589.888 2888 -800 m2 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 143360 2784 0 0 0 0 0 0 0 0
 node "wb_rst_i" 1 589.888 1706 -800 m2 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 143360 2784 0 0 0 0 0 0 0 0
 node "wb_clk_i" 1 589.888 524 -800 m2 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 143360 2784 0 0 0 0 0 0 0 0
-node "Vn" 1 209269 416356 584730 m1 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 758240 4648 487552 2836 487552 2836 487552 2836 931981468 795520 0 0
+node "Vn" 1 232817 416356 584730 m1 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 758240 4648 487552 2836 487552 2836 487552 2836 930839508 795488 0 0
 equiv "Vn" "io_analog[6]"
-node "Vp" 1 208842 416354 585850 m1 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 753048 4592 497772 2864 497772 2864 497772 2864 985570040 756744 0 0
+node "Vp" 1 197225 416354 585850 m1 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 753048 4592 497772 2864 497772 2864 497772 2864 985495104 756744 0 0
 equiv "Vp" "io_analog[5]"
-node "li_408616_585444#" 200 4813.02 408616 585444 li 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 185508 3680 47824 880 47824 880 1431072 15116 0 0 0 0 0 0
-node "li_408624_587536#" 210 7054.83 408624 587536 li 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 177152 3668 47824 880 47824 880 2521520 24668 0 0 0 0 0 0
+node "li_408616_585444#" 200 4663.84 408616 585444 li 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 185508 3680 47824 880 47824 880 1431072 15116 0 0 0 0 0 0
+node "li_408624_587536#" 210 6944.82 408624 587536 li 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 177152 3668 47824 880 47824 880 2521520 24668 0 0 0 0 0 0
 node "li_448544_589824#" 161 26682.3 448544 589824 li 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 302976 4280 8447328 56284 952444 8292 17361320 17592 0 0 0 0 0 0
 node "li_440216_589824#" 152 19839.3 440216 589824 li 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 326300 4232 5978996 39464 1130228 8540 13391408 14952 0 0 0 0 0 0
 node "li_122782_673260#" 51 21.7085 122782 673260 li 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 420 104 0 0 0 0 0 0 0 0 0 0 0 0
 node "li_122514_671986#" 334 303038 122514 671986 li 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 480132 7504 293164 2892 293164 2892 493763220 741464 0 0 0 0 0 0
-node "li_70526_671944#" 396 354390 70526 671944 li 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 471968 8052 297084 2932 297084 2932 510970164 849980 0 0 0 0 0 0
-node "CLKBAR" 146 71926.8 122524 674586 li 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 323456 4244 9102564 17864 8707556 14796 155929968 71968 0 0 0 0 0 0
+node "li_70526_671944#" 396 330220 70526 671944 li 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 471968 8052 297084 2932 297084 2932 510970164 849980 0 0 0 0 0 0
+node "CLK" 115 71314.8 70536 674544 li 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 299876 3704 8993628 17764 8707556 14796 155062342 72188 0 0 0 0 0 0
+equiv "CLK" "io_analog[8]"
+node "CLKBAR" 146 71664.8 122524 674586 li 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 323456 4244 9096896 17828 8707556 14796 155929756 71972 0 0 0 0 0 0
 equiv "CLKBAR" "io_analog[7]"
-node "io_analog[0]" 313 433200 582300 677984 m3 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 450868 7068 236588 1968 236588 1968 789330364 774148 0 0 0 0 0 0
-node "L2" 407 190200 448556 593328 li 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 692668 9884 491168 4040 491168 4040 398242964 232504 0 0 0 0 0 0
-node "L1" 416 194969 415494 686562 li 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 703472 10064 487892 3988 487892 3988 424194036 244140 0 0 0 0 0 0
+node "io_analog[0]" 313 398012 582300 677984 m3 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 450868 7068 236588 1968 236588 1968 789330364 774148 0 0 0 0 0 0
+node "li_448556_593328#" 407 171095 448556 593328 li 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 692668 9884 491168 4040 491168 4040 398242964 232504 0 0 0 0 0 0
+node "li_415494_686562#" 416 181328 415494 686562 li 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 703472 10064 487892 3988 487892 3988 424194036 244140 0 0 0 0 0 0
 node "li_569558_688285#" 197 256.737 569558 688285 li 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 18700 1168 0 0 0 0 0 0 0 0 0 0 0 0
-node "li_73093_686955#" 903 2200.15 73093 686955 li 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 87856 5168 0 0 0 0 0 0 0 0 0 0 0 0
 node "li_415508_688595#" 46 40.3375 415508 688595 li 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 1500 190 0 0 0 0 0 0 0 0 0 0 0 0
-node "Iin" 108 31775.7 569216 691028 li 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 827428 6012 241116 1984 241116 1984 71338080 38544 0 0 0 0 0 0
-equiv "Iin" "io_analog[1]"
+node "io_analog[1]" 108 31775.7 566594 702300 m3 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 827428 6012 241116 1984 241116 1984 71338080 38544 0 0 0 0 0 0
 node "Outn" 289 33689.3 415348 690926 li 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 415052 6536 244512 1996 244512 1996 76835756 40900 0 0 0 0 0 0
 equiv "Outn" "io_analog[3]"
 node "Outp" 278 32990.3 467252 691026 li 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 453936 6712 242248 1988 242248 1988 74700256 39932 0 0 0 0 0 0
 equiv "Outp" "io_analog[2]"
-node "CLK" 201 75637.3 67482 687206 ndi 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 373092 5240 9102564 17864 8707556 14796 154969172 71548 0 0 0 0 0 0
-equiv "CLK" "io_analog[8]"
+node "VDD" 13 2.26749e+06 73573 687233 v0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 22500516 60264 24136520 73492 11832200 57460 2523126180 832076 7255638204 1901932 0 0 0 0
+equiv "VDD" "io_clamp_high[1]"
+equiv "VDD" "io_clamp_high[2]"
+equiv "VDD" "vccd1"
+node "GND" 16 2.38017e+06 67401 687251 v0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 22197424 55932 46510364 82040 13104640 41468 492659612 334300 518484004 311836 10560063916 3114056 0 0
+equiv "GND" "io_clamp_low[1]"
+equiv "GND" "io_clamp_low[2]"
+equiv "GND" "vssa1"
 node "w_408694_585520#" 2464 281.238 408694 585520 nw 0 0 0 0 87680 1188 0 0 13184 540 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 19240 864 0 0 0 0 0 0 0 0 0 0 0 0
 node "w_408706_587622#" 2274 350.61 408706 587622 nw 0 0 0 0 107484 1312 0 0 12288 512 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 23184 1004 0 0 0 0 0 0 0 0 0 0 0 0
 node "w_448410_591030#" 2561 277.399 448410 591030 nw 0 0 0 0 85536 1176 0 0 11160 492 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 22472 972 0 0 0 0 0 0 0 0 0 0 0 0
@@ -1416,427 +1425,481 @@
 node "w_466974_686458#" 2894 224.716 466974 686458 nw 0 0 0 0 71680 1088 0 0 10556 480 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 17500 812 0 0 0 0 0 0 0 0 0 0 0 0
 node "w_415450_686636#" 8500 0.24 415450 686636 nw 0 0 0 0 80 48 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0
 node "w_569596_688404#" 4306 366.647 569596 688404 nw 0 0 0 0 97296 1356 0 0 15688 572 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 44436 1208 0 0 0 0 0 0 0 0 0 0 0 0
-node "VDD" 6693 2.89789e+06 73195 687057 nw 0 0 0 0 226576 1904 0 0 50320 2960 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 22553744 63200 24123600 73388 11832200 57460 2523126180 832076 7726247920 2083020 0 0 0 0
-equiv "VDD" "vccd1"
-equiv "VDD" "io_clamp_high[2]"
-equiv "VDD" "io_clamp_high[1]"
 node "w_415106_686340#" 37235 1263.75 415106 686340 nw 0 0 0 0 429724 6380 0 0 11656 500 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 16784 808 0 0 0 0 0 0 0 0 0 0 0 0
-substrate "GND" 0 0 67344 687068 pw 565504 6816 0 0 0 0 0 0 0 0 138176 8128 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 22244276 58620 46505336 81996 13104640 41468 492659612 334300 626217612 338864 10667706496 3141104 0 0
-equiv "GND" "vssa1"
-equiv "GND" "io_clamp_low[2]"
-equiv "GND" "io_clamp_low[1]"
-cap "CLK" "w_70778_673802#" 80.5226
-cap "m4_165510_677212#" "io_analog[6]" 27348.2
-cap "VDD" "io_analog[6]" 832.378
-cap "VDD" "io_analog[5]" 525
-cap "CLKBAR" "VDD" 209.423
-cap "Vn" "io_analog[6]" 715.12
-cap "li_122514_671986#" "Vp" 75646.4
-cap "io_analog[4]" "io_analog[4]" 26250
-cap "w_408706_587622#" "VDD" 97.5825
-cap "L1" "w_415106_686340#" 21.7895
-cap "io_analog[5]" "io_analog[5]" 27459.6
-cap "li_408616_585444#" "VDD" 11.5413
-cap "Outn" "w_415106_686340#" 81.9456
-cap "li_408624_587536#" "Vp" 3070.78
-cap "li_440216_589824#" "VDD" 8992.53
-cap "li_408616_585444#" "Vn" 534.831
-cap "w_408694_585520#" "li_408616_585444#" 119.086
-cap "li_569558_688285#" "Iin" 6.13115
-cap "w_122758_673528#" "CLKBAR" 89.216
-cap "m4_165510_677212#" "VDD" 30182.1
-cap "L2" "li_448544_589824#" 7.61538
-cap "io_analog[4]" "io_analog[4]" 26250
-cap "Outn" "li_415508_688595#" 11.6471
-cap "li_122514_671986#" "CLKBAR" 7.7
-cap "io_analog[5]" "io_analog[5]" 27466.5
-cap "m4_165510_677212#" "Vn" 623011
-cap "Vn" "VDD" 522669
-cap "VDD" "io_analog[5]" 27239.7
-cap "L2" "VDD" 66349.8
-cap "CLK" "VDD" 1215
-cap "w_408694_585520#" "VDD" 63.3697
-cap "li_408624_587536#" "w_408706_587622#" 148.376
-cap "io_analog[4]" "io_clamp_low[0]" 525
-cap "Vp" "io_analog[5]" 787.64
-cap "w_408706_587622#" "Vp" 161.518
-cap "li_440216_589824#" "L1" 7.61538
-cap "li_408616_585444#" "Vp" 221.824
-cap "io_analog[4]" "io_analog[4]" 21250
-cap "li_122514_671986#" "li_122782_673260#" 17.0116
-cap "li_122514_671986#" "VDD" 130902
-cap "io_analog[0]" "VDD" 115675
-cap "io_analog[4]" "io_clamp_high[0]" 525
-cap "io_analog[4]" "io_analog[4]" 21250
-cap "L2" "w_466974_686458#" 36.8428
-cap "li_440216_589824#" "w_440058_591014#" 119.695
-cap "li_122514_671986#" "Vn" 1462.39
-cap "li_408624_587536#" "VDD" 23.0057
-cap "w_415106_686340#" "VDD" 516.95
-cap "li_73093_686955#" "VDD" 646.398
-cap "L1" "VDD" 66349.8
-cap "io_analog[5]" "Vp" 792.12
-cap "Outn" "VDD" 35146.4
-cap "Vp" "VDD" 544023
-cap "m4_165510_677212#" "io_analog[6]" 115952
-cap "li_73093_686955#" "CLK" 419.101
-cap "VDD" "w_440058_591014#" 222.609
-cap "Vp" "io_analog[5]" 645879
-cap "io_analog[6]" "Vn" 1013.88
-cap "li_70526_671944#" "VDD" 4197.46
-cap "li_448544_589824#" "w_448410_591030#" 52.0925
-cap "io_clamp_low[0]" "io_clamp_high[0]" 525
-cap "li_70526_671944#" "Vn" 1462.39
-cap "li_569558_688285#" "w_569596_688404#" 149.685
-cap "VDD" "w_448410_591030#" 208.239
-cap "li_70526_671944#" "CLK" 8.3494
+substrate "VSUBS" 0 0 -1073741817 -1073741817 space 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0
+cap "Vn" "io_analog[6]" 2714.58
 cap "VDD" "w_569596_688404#" 7.26786
-device pdiode sky130_fd_pr__diode_pd2nw_05v5 73333 687195 73334 687196 a=40000 p=800 "VDD" "CLK" 352 0 "VDD" 128 0
-device ndiode sky130_fd_pr__diode_pw2nd_05v5 67482 687206 67483 687207 a=40000 p=800 "GND" "CLK" 352 0 "GND" 128 0
-cap "Vn" "comparator_v6_0/GND" 0.368431
+cap "Vp" "w_408706_587622#" 161.518
+cap "VDD" "CLKBAR" 276.75
+cap "CLKBAR" "li_122514_671986#" 7.7
+cap "li_408616_585444#" "Vp" 221.824
+cap "Vp" "io_analog[5]" 787.64
+cap "Vp" "io_analog[5]" 645751
+cap "li_408624_587536#" "Vp" 3070.78
+cap "io_clamp_high[0]" "io_clamp_low[0]" 525
+cap "VDD" "w_408694_585520#" 63.3697
+cap "GND" "m4_141154_541976#" 117584
+cap "li_408624_587536#" "w_408706_587622#" 148.376
+cap "li_448556_593328#" "li_448544_589824#" 7.61538
+cap "io_analog[5]" "io_analog[5]" 27459.6
+cap "Vn" "GND" 32278.7
+cap "w_70778_673802#" "CLK" 80.5226
+cap "io_analog[4]" "io_analog[4]" 21250
+cap "VDD" "w_415106_686340#" 516.95
+cap "GND" "CLK" 4000.28
+cap "VDD" "GND" 503037
+cap "GND" "li_122514_671986#" 0.370787
+cap "VDD" "li_415494_686562#" 66349.8
+cap "io_analog[4]" "io_analog[4]" 26250
+cap "io_analog[4]" "io_clamp_low[0]" 525
+cap "io_analog[6]" "m4_165578_698240#" 71958
+cap "Vn" "m4_175880_702434#" 23461.2
+cap "m4_186716_584374#" "li_70526_671944#" 4197.46
+cap "li_122782_673260#" "li_122514_671986#" 17.0116
+cap "VDD" "li_448556_593328#" 66349.8
+cap "io_analog[1]" "li_569558_688285#" 6.13115
+cap "li_408616_585444#" "w_408694_585520#" 119.086
+cap "Vp" "GND" 33079.8
+cap "VDD" "io_analog[0]" 115675
+cap "VDD" "w_440058_591014#" 222.609
+cap "m4_170578_677212#" "GND" 29547.4
+cap "VDD" "Outn" 35146.4
+cap "w_466974_686458#" "li_448556_593328#" 36.8428
+cap "li_408616_585444#" "GND" 149.185
+cap "Vn" "li_70526_671944#" 1462.39
+cap "li_415494_686562#" "li_440216_589824#" 7.61538
+cap "io_analog[5]" "GND" 29541.5
+cap "li_408624_587536#" "GND" 110.014
+cap "io_analog[4]" "io_analog[4]" 26250
+cap "li_70526_671944#" "CLK" 8.3494
+cap "Vn" "m4_180902_677200#" 104054
+cap "Vn" "m4_186716_584374#" 185468
+cap "CLKBAR" "GND" 252.196
+cap "io_analog[6]" "GND" 1481.76
+cap "io_analog[6]" "m4_175880_702434#" 27108.2
+cap "li_440216_589824#" "w_440058_591014#" 119.695
+cap "m4_186716_584374#" "li_122514_671986#" 4197.46
+cap "io_analog[5]" "Vp" 792.12
+cap "li_415508_688595#" "Outn" 11.6471
+cap "io_analog[5]" "io_analog[5]" 27466.5
+cap "VDD" "Vn" 110982
+cap "Vn" "li_122514_671986#" 1462.39
+cap "io_analog[4]" "io_analog[4]" 21250
+cap "w_415106_686340#" "li_415494_686562#" 21.7895
+cap "VDD" "CLK" 201.667
+cap "li_415494_686562#" "GND" 13641.3
+cap "m4_204098_586508#" "li_122514_671986#" 4772.33
+cap "VDD" "li_122514_671986#" 121932
+cap "CLKBAR" "w_122758_673528#" 89.216
+cap "li_448556_593328#" "GND" 19104.9
+cap "w_448410_591030#" "li_448544_589824#" 52.0925
+cap "io_analog[0]" "GND" 35187.8
+cap "m4_204098_586508#" "Vp" 176617
+cap "VDD" "Vp" 126787
+cap "io_clamp_high[0]" "io_analog[4]" 525
+cap "m4_170578_677212#" "Vn" 53585.1
+cap "Vn" "m4_165578_698240#" 57937.7
+cap "Vp" "li_122514_671986#" 75646.4
+cap "Outn" "w_415106_686340#" 81.9456
+cap "Vn" "li_408616_585444#" 534.831
+cap "VDD" "w_408706_587622#" 97.5825
+cap "VDD" "li_440216_589824#" 8992.53
+cap "m4_170578_677212#" "VDD" 30182.1
+cap "Vn" "io_analog[6]" 2083.88
+cap "VDD" "li_408616_585444#" 11.5413
+cap "io_analog[5]" "GND" 623.508
+cap "VDD" "io_analog[5]" 525
+cap "VDD" "io_analog[5]" 27239.7
+cap "li_70526_671944#" "GND" 24170.3
+cap "VDD" "li_408624_587536#" 23.0057
+cap "w_569596_688404#" "li_569558_688285#" 149.685
+cap "VDD" "io_analog[6]" 832.378
+cap "VDD" "w_448410_591030#" 208.239
 cap "comparator_v6_0/GND" "comparator_v6_0/GND" 18.88
+cap "Vn" "comparator_v6_0/GND" 0.368431
 cap "comparator_v6_0/GND" "comparator_v6_0/GND" 56
-cap "Vn" "comparator_v6_0/GND" 6.79235
-cap "sky130_fd_sc_hd__buf_16_3/VPWR" "sky130_fd_sc_hd__buf_16_3/A" 2.07235
-cap "sky130_fd_sc_hd__buf_16_3/VPWR" "sky130_fd_sc_hd__buf_16_3/X" 41.0217
-cap "sky130_fd_sc_hd__buf_16_3/A" "sky130_fd_sc_hd__buf_16_3/VGND" 42.7374
-cap "sky130_fd_sc_hd__buf_16_3/X" "sky130_fd_sc_hd__buf_16_3/VGND" 89.7633
+cap "comparator_v6_0/GND" "Vn" 6.79235
+cap "sky130_fd_sc_hd__buf_16_3/VGND" "sky130_fd_sc_hd__buf_16_3/X" 89.7633
+cap "sky130_fd_sc_hd__buf_16_3/VGND" "sky130_fd_sc_hd__buf_16_3/a_109_47#" 121.607
 cap "sky130_fd_sc_hd__buf_16_3/a_109_47#" "sky130_fd_sc_hd__buf_16_3/VPWR" 25.5145
-cap "sky130_fd_sc_hd__buf_16_3/a_109_47#" "sky130_fd_sc_hd__buf_16_3/VGND" 121.607
+cap "sky130_fd_sc_hd__buf_16_3/VGND" "sky130_fd_sc_hd__buf_16_3/A" 42.7374
+cap "sky130_fd_sc_hd__buf_16_3/X" "sky130_fd_sc_hd__buf_16_3/VPWR" 41.0217
+cap "sky130_fd_sc_hd__buf_16_3/A" "sky130_fd_sc_hd__buf_16_3/VPWR" 2.07235
 cap "sky130_fd_sc_hd__buf_16_3/X" "sky130_fd_sc_hd__buf_16_3/VPWR" 80.0331
 cap "sky130_fd_sc_hd__buf_16_3/VPWR" "sky130_fd_sc_hd__buf_16_3/a_109_47#" -4.125
 cap "sky130_fd_sc_hd__buf_16_3/X" "sky130_fd_sc_hd__buf_16_3/VGND" 76.1998
-cap "sky130_fd_sc_hd__buf_16_3/a_109_47#" "sky130_fd_sc_hd__buf_16_3/VGND" 44.3359
+cap "sky130_fd_sc_hd__buf_16_3/VGND" "sky130_fd_sc_hd__buf_16_3/a_109_47#" 44.3359
 cap "comparator_v6_0/CLK" "comparator_v6_0/Vn" 1295.87
-cap "comparator_v6_0/preamp_part12_0/a_80_n658#" "comparator_v6_0/Vn" 334.65
-cap "comparator_v6_0/Dp" "comparator_v6_0/Outp" 416.18
-cap "comparator_v6_0/Outn" "comparator_v6_0/Dn" 32.552
-cap "comparator_v6_0/Outn" "comparator_v6_0/SR_latch_0/GND" 134.887
-cap "comparator_v6_0/Outp" "comparator_v6_0/SR_latch_0/VDD" 76.7922
+cap "comparator_v6_0/Vn" "comparator_v6_0/preamp_part12_0/a_80_n658#" 334.65
+cap "comparator_v6_0/SR_latch_0/VDD" "comparator_v6_0/Outp" 76.7922
 cap "comparator_v6_0/Outn" "comparator_v6_0/SR_latch_0/VDD" 8.67188
+cap "comparator_v6_0/Outn" "comparator_v6_0/Dn" 32.552
 cap "comparator_v6_0/SR_latch_0/GND" "comparator_v6_0/Outp" 4.29936
-cap "sky130_fd_sc_hd__buf_16_3/X" "sky130_fd_sc_hd__buf_16_3/VPWR" 41.0217
-cap "sky130_fd_sc_hd__buf_16_2/VGND" "sky130_fd_sc_hd__buf_16_2/X" 40.4144
-cap "sky130_fd_sc_hd__buf_16_3/VPWR" "sky130_fd_sc_hd__buf_16_3/VPB" 0.8876
-cap "sky130_fd_sc_hd__buf_16_3/a_109_47#" "sky130_fd_sc_hd__buf_16_3/VPWR" 25.5145
+cap "comparator_v6_0/Dp" "comparator_v6_0/Outp" 416.18
+cap "comparator_v6_0/Outn" "comparator_v6_0/SR_latch_0/GND" 134.887
 cap "sky130_fd_sc_hd__buf_16_3/A" "sky130_fd_sc_hd__buf_16_3/VPWR" 0.97495
-cap "sky130_fd_sc_hd__buf_16_2/VGND" "sky130_fd_sc_hd__buf_16_2/a_109_47#" 25.548
-cap "sky130_fd_sc_hd__buf_16_2/VGND" "sky130_fd_sc_hd__buf_16_2/X" 33.6443
+cap "sky130_fd_sc_hd__buf_16_3/VPWR" "sky130_fd_sc_hd__buf_16_3/X" 41.0217
+cap "sky130_fd_sc_hd__buf_16_3/VPWR" "sky130_fd_sc_hd__buf_16_3/a_109_47#" 25.5145
+cap "sky130_fd_sc_hd__buf_16_3/VPB" "sky130_fd_sc_hd__buf_16_3/VPWR" 0.8876
+cap "sky130_fd_sc_hd__buf_16_2/X" "sky130_fd_sc_hd__buf_16_2/VGND" 40.4144
+cap "sky130_fd_sc_hd__buf_16_2/a_109_47#" "sky130_fd_sc_hd__buf_16_2/VGND" 25.548
+cap "sky130_fd_sc_hd__buf_16_2/X" "sky130_fd_sc_hd__buf_16_2/VGND" 33.6443
 cap "sky130_fd_sc_hd__buf_16_3/X" "sky130_fd_sc_hd__buf_16_3/VPWR" 19.9896
-cap "comparator_v6_0/CLK" "comparator_v6_0/Vp" 792.396
-cap "comparator_v6_0/CLK" "li_408624_587536#" 3.18987
+cap "comparator_v6_0/Vp" "comparator_v6_0/CLK" 792.396
 cap "comparator_v6_0/CLK" "comparator_v6_0/Vp" 522.139
+cap "comparator_v6_0/CLK" "li_408624_587536#" 3.18987
 cap "li_408624_587536#" "comparator_v6_0/CLK" 61.2057
 cap "comparator_v6_0/CLK" "comparator_v6_0/CLKBAR" 4.78481
-cap "comparator_v6_0/Outn" "comparator_v6_0/SR_latch_0/GND" 10.9087
-cap "comparator_v6_0/SR_latch_0/VDD" "comparator_v6_0/Outn" 242.649
+cap "comparator_v6_0/Outn" "comparator_v6_0/SR_latch_0/VDD" 242.649
 cap "comparator_v6_0/Dn" "comparator_v6_0/Outn" 257.721
-cap "Vp" "sky130_fd_sc_hd__buf_16_2/A" 0.32
-cap "sky130_fd_sc_hd__buf_16_2/VPWR" "sky130_fd_sc_hd__buf_16_2/a_109_47#" 55.8092
-cap "sky130_fd_sc_hd__buf_16_2/VGND" "Vp" 785.081
-cap "sky130_fd_sc_hd__buf_16_2/X" "Vp" 137.559
-cap "sky130_fd_sc_hd__buf_16_2/VPWR" "Vp" 835.704
-cap "sky130_fd_sc_hd__buf_16_2/X" "Vp" 105.991
-cap "sky130_fd_sc_hd__buf_16_2/X" "sky130_fd_sc_hd__buf_16_2/VGND" 40.4144
-cap "sky130_fd_sc_hd__buf_16_2/VPB" "Vp" 275.516
-cap "sky130_fd_sc_hd__buf_16_2/X" "sky130_fd_sc_hd__buf_16_2/VPWR" 88.865
-cap "sky130_fd_sc_hd__buf_16_2/A" "Vp" 31.2338
-cap "sky130_fd_sc_hd__buf_16_2/VPB" "sky130_fd_sc_hd__buf_16_2/VPWR" 0.4516
-cap "sky130_fd_sc_hd__buf_16_2/VGND" "sky130_fd_sc_hd__buf_16_2/A" 2.8191
+cap "comparator_v6_0/Outn" "comparator_v6_0/SR_latch_0/GND" 10.9087
+cap "sky130_fd_sc_hd__buf_16_2/A" "Vp" 0.32
 cap "sky130_fd_sc_hd__buf_16_2/a_109_47#" "Vp" 360.782
+cap "sky130_fd_sc_hd__buf_16_2/VPWR" "Vp" 835.704
+cap "sky130_fd_sc_hd__buf_16_2/VPB" "Vp" 275.516
+cap "sky130_fd_sc_hd__buf_16_2/VPWR" "sky130_fd_sc_hd__buf_16_2/a_109_47#" 55.8092
 cap "sky130_fd_sc_hd__buf_16_2/VNB" "Vp" 9.9804
-cap "sky130_fd_sc_hd__buf_16_2/VGND" "sky130_fd_sc_hd__buf_16_2/a_109_47#" 25.548
+cap "sky130_fd_sc_hd__buf_16_2/VPB" "sky130_fd_sc_hd__buf_16_2/VPWR" 0.4516
+cap "Vp" "sky130_fd_sc_hd__buf_16_2/X" 137.559
+cap "sky130_fd_sc_hd__buf_16_2/VGND" "sky130_fd_sc_hd__buf_16_2/X" 40.4144
+cap "sky130_fd_sc_hd__buf_16_2/VGND" "sky130_fd_sc_hd__buf_16_2/A" 2.8191
+cap "Vp" "sky130_fd_sc_hd__buf_16_2/X" 105.991
+cap "sky130_fd_sc_hd__buf_16_2/VPWR" "sky130_fd_sc_hd__buf_16_2/X" 88.865
+cap "Vp" "sky130_fd_sc_hd__buf_16_2/A" 31.2338
 cap "sky130_fd_sc_hd__buf_16_2/VPWR" "sky130_fd_sc_hd__buf_16_2/A" 2.05495
+cap "Vp" "sky130_fd_sc_hd__buf_16_2/VGND" 785.081
+cap "sky130_fd_sc_hd__buf_16_2/a_109_47#" "sky130_fd_sc_hd__buf_16_2/VGND" 25.548
 cap "sky130_fd_sc_hd__buf_16_2/VNB" "Vp" -154.743
-cap "sky130_fd_sc_hd__buf_16_2/X" "sky130_fd_sc_hd__buf_16_2/VPWR" 123.49
-cap "Vp" "sky130_fd_sc_hd__buf_16_2/X" -1084.42
-cap "Vp" "sky130_fd_sc_hd__buf_16_2/VPWR" 114.093
+cap "sky130_fd_sc_hd__buf_16_2/VPWR" "sky130_fd_sc_hd__buf_16_2/X" 123.49
+cap "sky130_fd_sc_hd__buf_16_2/VPWR" "Vp" 114.093
 cap "sky130_fd_sc_hd__buf_16_2/X" "sky130_fd_sc_hd__buf_16_2/a_109_47#" -104.885
-cap "sky130_fd_sc_hd__buf_16_2/VPWR" "sky130_fd_sc_hd__buf_16_2/a_109_47#" -1.375
 cap "Vp" "sky130_fd_sc_hd__buf_16_2/a_109_47#" -787.061
-cap "sky130_fd_sc_hd__buf_16_2/VGND" "sky130_fd_sc_hd__buf_16_2/X" 37.1288
-cap "sky130_fd_sc_hd__buf_16_2/VNB" "sky130_fd_sc_hd__buf_16_2/X" -164.41
+cap "sky130_fd_sc_hd__buf_16_2/X" "sky130_fd_sc_hd__buf_16_2/VGND" 37.1288
+cap "sky130_fd_sc_hd__buf_16_2/VPWR" "sky130_fd_sc_hd__buf_16_2/a_109_47#" -1.375
+cap "sky130_fd_sc_hd__buf_16_2/X" "Vp" -1084.42
 cap "sky130_fd_sc_hd__buf_16_2/VGND" "Vp" 116.101
-cap "li_408624_587536#" "comparator_v6_0/CLK" 274.582
-cap "comparator_v6_0/CLK" "li_408624_587536#" 795.539
-cap "comparator_v6_0/CLK" "li_408624_587536#" 801.818
+cap "sky130_fd_sc_hd__buf_16_2/VNB" "sky130_fd_sc_hd__buf_16_2/X" -164.41
+cap "comparator_v6_0/CLK" "li_408624_587536#" 274.582
+cap "li_408624_587536#" "comparator_v6_0/CLK" 795.539
 cap "comparator_v6_0/VDD" "li_408624_587536#" 208.476
+cap "comparator_v6_0/CLK" "li_408624_587536#" 801.818
 cap "comparator_v6_0/VDD" "comparator_v6_0/CLKBAR" 12.6
-cap "comparator_v6_0/CLKBAR" "comparator_v6_0/CLK" 27.4909
-cap "comparator_v6_0/VDD" "comparator_v6_0/VDD" 13.2
+cap "comparator_v6_0/CLK" "comparator_v6_0/CLKBAR" 27.4909
 cap "comparator_v6_0/VDD" "comparator_v6_0/VDD" 29.04
-cap "comparator_v6_0/VDD" "comparator_v6_0/VDD" 70.1
+cap "comparator_v6_0/VDD" "comparator_v6_0/VDD" 13.2
 cap "comparator_v6_0/VDD" "comparator_v6_0/VDD" 154.22
-cap "sky130_fd_sc_hd__buf_2_0/VPWR" "sky130_fd_sc_hd__buf_2_0/a_27_47#" 9.77095
-cap "sky130_fd_sc_hd__buf_2_0/VPWR" "sky130_fd_sc_hd__buf_2_0/X" 2.45646
-cap "sky130_fd_sc_hd__buf_2_0/VPWR" "sky130_fd_sc_hd__buf_2_0/A" -2.36455
-cap "sky130_fd_sc_hd__buf_2_0/VGND" "sky130_fd_sc_hd__buf_2_0/A" 3.09945
+cap "comparator_v6_0/VDD" "comparator_v6_0/VDD" 70.1
+cap "sky130_fd_sc_hd__buf_2_0/a_27_47#" "sky130_fd_sc_hd__buf_2_0/VPWR" 9.77095
+cap "sky130_fd_sc_hd__buf_2_0/X" "sky130_fd_sc_hd__buf_2_0/VPWR" 2.45646
+cap "sky130_fd_sc_hd__buf_2_0/A" "sky130_fd_sc_hd__buf_2_0/VPWR" -2.36455
+cap "sky130_fd_sc_hd__buf_2_0/a_27_47#" "sky130_fd_sc_hd__buf_2_0/A" 1.43638
 cap "sky130_fd_sc_hd__buf_2_0/VPWR" "sky130_fd_sc_hd__buf_2_0/X" 4.17135
-cap "sky130_fd_sc_hd__buf_2_0/VPWR" "sky130_fd_sc_hd__buf_2_0/A" -2.08797
-cap "sky130_fd_sc_hd__buf_2_0/A" "sky130_fd_sc_hd__buf_2_0/X" -3.69695
 cap "sky130_fd_sc_hd__buf_2_0/VPWR" "sky130_fd_sc_hd__buf_2_0/a_27_47#" 16.5922
-cap "sky130_fd_sc_hd__buf_2_0/A" "sky130_fd_sc_hd__buf_2_0/a_27_47#" 1.43638
-cap "VGND" "sky130_fd_sc_hd__buf_2_1/a_27_47#" 17.8525
-cap "VGND" "sky130_fd_sc_hd__buf_2_1/A" -1.27009
-cap "VGND" "sky130_fd_sc_hd__buf_2_1/X" 4.5375
-cap "sky130_fd_sc_hd__buf_2_1/VGND" "sky130_fd_sc_hd__buf_2_1/A" 2.90674
-cap "VGND" "sky130_fd_sc_hd__buf_2_1/a_27_47#" 11.1803
-cap "VGND" "sky130_fd_sc_hd__buf_2_1/A" -1.27009
+cap "sky130_fd_sc_hd__buf_2_0/VPWR" "sky130_fd_sc_hd__buf_2_0/A" -2.08797
+cap "sky130_fd_sc_hd__buf_2_0/X" "sky130_fd_sc_hd__buf_2_0/A" -3.69695
+cap "sky130_fd_sc_hd__buf_2_0/VGND" "sky130_fd_sc_hd__buf_2_0/A" 3.09945
+cap "sky130_fd_sc_hd__buf_2_1/X" "sky130_fd_sc_hd__buf_2_1/VPWR" 4.5375
+cap "sky130_fd_sc_hd__buf_2_1/VPWR" "sky130_fd_sc_hd__buf_2_1/a_27_47#" 17.8525
+cap "sky130_fd_sc_hd__buf_2_1/A" "sky130_fd_sc_hd__buf_2_1/VPWR" -1.27009
 cap "sky130_fd_sc_hd__buf_2_1/A" "sky130_fd_sc_hd__buf_2_1/X" -3.80769
-cap "VGND" "sky130_fd_sc_hd__buf_2_1/X" 2.84167
-cap "sky130_fd_sc_hd__buf_2_0/a_27_47#" "sky130_fd_sc_hd__buf_2_0/VPWR" -2.84217e-14
-cap "sky130_fd_sc_hd__buf_2_0/X" "sky130_fd_sc_hd__buf_2_0/VPWR" 7.29753
-cap "sky130_fd_sc_hd__buf_2_0/VPWR" "sky130_fd_sc_hd__buf_2_0/A" 1.77636e-15
-cap "sky130_fd_sc_hd__buf_2_0/A" "sky130_fd_sc_hd__buf_2_0/X" -3.69695
-cap "sky130_fd_sc_hd__buf_2_0/a_27_47#" "sky130_fd_sc_hd__buf_2_0/VPWR" 1.42109e-14
-cap "sky130_fd_sc_hd__buf_2_0/VGND" "sky130_fd_sc_hd__buf_2_0/X" 58.4558
-cap "sky130_fd_sc_hd__buf_2_0/A" "sky130_fd_sc_hd__buf_2_0/a_27_47#" 0.257812
-cap "sky130_fd_sc_hd__buf_2_0/VPWR" "sky130_fd_sc_hd__buf_2_0/X" 49.2519
+cap "sky130_fd_sc_hd__buf_2_1/X" "sky130_fd_sc_hd__buf_2_1/VPWR" 2.84167
+cap "sky130_fd_sc_hd__buf_2_1/A" "sky130_fd_sc_hd__buf_2_1/VPWR" -1.27009
+cap "sky130_fd_sc_hd__buf_2_1/VGND" "sky130_fd_sc_hd__buf_2_1/A" 2.90674
+cap "sky130_fd_sc_hd__buf_2_1/VPWR" "sky130_fd_sc_hd__buf_2_1/a_27_47#" 11.1803
+cap "sky130_fd_sc_hd__buf_2_0/VPWR" "sky130_fd_sc_hd__buf_2_0/a_27_47#" -2.84217e-14
+cap "sky130_fd_sc_hd__buf_2_0/VPWR" "sky130_fd_sc_hd__buf_2_0/X" 7.29753
+cap "sky130_fd_sc_hd__buf_2_0/A" "sky130_fd_sc_hd__buf_2_0/VPWR" 1.77636e-15
+cap "sky130_fd_sc_hd__buf_2_0/X" "sky130_fd_sc_hd__buf_2_0/VPWR" 49.2519
 cap "sky130_fd_sc_hd__buf_2_0/a_27_47#" "sky130_fd_sc_hd__buf_2_0/X" 10.255
-cap "sky130_fd_sc_hd__buf_2_1/X" "VGND" 9.97225
-cap "sky130_fd_sc_hd__buf_2_1/a_27_47#" "VGND" -5.68434e-14
+cap "sky130_fd_sc_hd__buf_2_0/VGND" "sky130_fd_sc_hd__buf_2_0/X" 58.4558
+cap "sky130_fd_sc_hd__buf_2_0/a_27_47#" "sky130_fd_sc_hd__buf_2_0/A" 0.257812
+cap "sky130_fd_sc_hd__buf_2_0/a_27_47#" "sky130_fd_sc_hd__buf_2_0/VPWR" 1.42109e-14
+cap "sky130_fd_sc_hd__buf_2_0/A" "sky130_fd_sc_hd__buf_2_0/X" -3.69695
+cap "sky130_fd_sc_hd__buf_2_1/VPWR" "sky130_fd_sc_hd__buf_2_1/X" 9.97225
+cap "sky130_fd_sc_hd__buf_2_1/a_27_47#" "sky130_fd_sc_hd__buf_2_1/VPWR" -5.68434e-14
+cap "sky130_fd_sc_hd__buf_2_1/VPWR" "sky130_fd_sc_hd__buf_2_1/X" 50.0926
+cap "sky130_fd_sc_hd__buf_2_1/A" "sky130_fd_sc_hd__buf_2_1/X" -3.80769
 cap "sky130_fd_sc_hd__buf_2_1/X" "sky130_fd_sc_hd__buf_2_1/a_27_47#" 10.1025
-cap "sky130_fd_sc_hd__buf_2_1/a_27_47#" "VGND" 1.42109e-14
-cap "sky130_fd_sc_hd__buf_2_1/X" "VGND" 50.0926
-cap "sky130_fd_sc_hd__buf_2_1/X" "sky130_fd_sc_hd__buf_2_1/A" -3.80769
 cap "sky130_fd_sc_hd__buf_2_1/X" "sky130_fd_sc_hd__buf_2_1/VGND" 57.7722
-cap "sky130_fd_sc_hd__buf_2_2/VGND" "sky130_fd_sc_hd__buf_2_2/X" 6.49171
-cap "sky130_fd_sc_hd__buf_2_2/VGND" "sky130_fd_sc_hd__buf_2_2/A" 1.51622
-cap "sky130_fd_sc_hd__buf_2_2/A" "sky130_fd_sc_hd__buf_2_2/X" -8.3494
-cap "sky130_fd_sc_hd__buf_2_2/a_27_47#" "sky130_fd_sc_hd__buf_2_2/VPWR" 50.7913
-cap "sky130_fd_sc_hd__buf_2_2/VGND" "sky130_fd_sc_hd__buf_2_2/X" 56.3969
-cap "sky130_fd_sc_hd__buf_2_2/A" "sky130_fd_sc_hd__buf_2_2/VPWR" 7.83918
-cap "sky130_fd_sc_hd__buf_2_2/X" "sky130_fd_sc_hd__buf_2_2/a_27_47#" 9.7975
+cap "sky130_fd_sc_hd__buf_2_1/VPWR" "sky130_fd_sc_hd__buf_2_1/a_27_47#" 1.42109e-14
 cap "sky130_fd_sc_hd__buf_2_2/A" "sky130_fd_sc_hd__buf_2_2/VGND" 1.51622
+cap "sky130_fd_sc_hd__buf_2_2/X" "sky130_fd_sc_hd__buf_2_2/VGND" 6.49171
+cap "sky130_fd_sc_hd__buf_2_2/VGND" "sky130_fd_sc_hd__buf_2_2/A" 1.51622
 cap "sky130_fd_sc_hd__buf_2_2/X" "sky130_fd_sc_hd__buf_2_2/VPWR" 104.851
-cap "sky130_fd_sc_hd__buf_2_3/VGND" "sky130_fd_sc_hd__buf_2_3/X" -91.02
-cap "sky130_fd_sc_hd__buf_2_3/VGND" "sky130_fd_sc_hd__buf_2_3/VNB" -606.8
-cap "sky130_fd_sc_hd__buf_2_3/VGND" "sky130_fd_sc_hd__buf_2_3/a_27_47#" -160.58
-cap "sky130_fd_sc_hd__buf_2_3/VGND" "sky130_fd_sc_hd__buf_2_3/A" -34.78
-cap "sky130_fd_sc_hd__buf_2_3/X" "sky130_fd_sc_hd__buf_2_3/VGND" 61.0651
+cap "sky130_fd_sc_hd__buf_2_2/X" "sky130_fd_sc_hd__buf_2_2/VGND" 56.3969
+cap "sky130_fd_sc_hd__buf_2_2/X" "sky130_fd_sc_hd__buf_2_2/A" -8.3494
+cap "sky130_fd_sc_hd__buf_2_2/VPWR" "sky130_fd_sc_hd__buf_2_2/A" 7.83918
+cap "sky130_fd_sc_hd__buf_2_2/X" "sky130_fd_sc_hd__buf_2_2/a_27_47#" 9.7975
+cap "sky130_fd_sc_hd__buf_2_2/VPWR" "sky130_fd_sc_hd__buf_2_2/a_27_47#" 50.7913
+cap "sky130_fd_sc_hd__buf_2_3/X" "sky130_fd_sc_hd__buf_2_3/VGND" -91.02
+cap "sky130_fd_sc_hd__buf_2_3/A" "sky130_fd_sc_hd__buf_2_3/VGND" -34.78
+cap "sky130_fd_sc_hd__buf_2_3/VNB" "sky130_fd_sc_hd__buf_2_3/VGND" -606.8
+cap "sky130_fd_sc_hd__buf_2_3/a_27_47#" "sky130_fd_sc_hd__buf_2_3/VGND" -160.58
 cap "sky130_fd_sc_hd__buf_2_3/VPWR" "sky130_fd_sc_hd__buf_2_3/X" 103.043
-cap "sky130_fd_sc_hd__buf_2_3/A" "sky130_fd_sc_hd__buf_2_3/a_27_47#" 0.803934
-cap "sky130_fd_sc_hd__buf_2_3/A" "sky130_fd_sc_hd__buf_2_3/VGND" 3.16993
-cap "sky130_fd_sc_hd__buf_2_3/A" "sky130_fd_sc_hd__buf_2_3/VPWR" 1.66384
+cap "sky130_fd_sc_hd__buf_2_3/VPWR" "sky130_fd_sc_hd__buf_2_3/A" 1.66384
 cap "sky130_fd_sc_hd__buf_2_3/A" "sky130_fd_sc_hd__buf_2_3/X" -7.51356
+cap "sky130_fd_sc_hd__buf_2_3/VGND" "sky130_fd_sc_hd__buf_2_3/X" 61.0651
+cap "sky130_fd_sc_hd__buf_2_3/A" "sky130_fd_sc_hd__buf_2_3/VGND" 3.16993
 cap "sky130_fd_sc_hd__buf_2_3/VPWR" "sky130_fd_sc_hd__buf_2_3/a_27_47#" 46.2
-cap "sky130_fd_sc_hd__buf_2_3/X" "sky130_fd_sc_hd__buf_2_3/a_27_47#" 17.3874
+cap "sky130_fd_sc_hd__buf_2_3/a_27_47#" "sky130_fd_sc_hd__buf_2_3/X" 17.3874
+cap "sky130_fd_sc_hd__buf_2_3/A" "sky130_fd_sc_hd__buf_2_3/a_27_47#" 0.803934
 cap "sky130_fd_sc_hd__buf_16_0/VGND" "sky130_fd_sc_hd__buf_16_0/A" 22.5281
-cap "sky130_fd_sc_hd__buf_16_0/a_109_47#" "sky130_fd_sc_hd__buf_16_0/VPB" 6.40588
 cap "sky130_fd_sc_hd__buf_16_0/a_109_47#" "sky130_fd_sc_hd__buf_16_0/A" 15.6479
-cap "sky130_fd_sc_hd__buf_16_1/a_109_47#" "sky130_fd_sc_hd__buf_16_1/VPB" 19.0207
-cap "sky130_fd_sc_hd__buf_16_4/VGND" "sky130_fd_sc_hd__buf_16_4/X" -79.13
+cap "sky130_fd_sc_hd__buf_16_0/a_109_47#" "sky130_fd_sc_hd__buf_16_0/VPB" 6.40588
+cap "sky130_fd_sc_hd__buf_16_1/VPB" "sky130_fd_sc_hd__buf_16_1/a_109_47#" 19.0207
 cap "sky130_fd_sc_hd__buf_16_4/VGND" "sky130_fd_sc_hd__buf_16_4/VGND" -79.13
-cap "GND" "sky130_fd_sc_hd__buf_16_4/VGND" 11.287
+cap "sky130_fd_sc_hd__buf_16_4/X" "sky130_fd_sc_hd__buf_16_4/VGND" -79.13
+cap "sky130_fd_sc_hd__buf_16_4/VGND" "GND" 11.287
 cap "sky130_fd_sc_hd__buf_16_4/VGND" "sky130_fd_sc_hd__buf_16_4/a_109_47#" -90.71
 cap "sky130_fd_sc_hd__buf_16_4/VNB" "sky130_fd_sc_hd__buf_16_4/VGND" -316.52
-cap "sky130_fd_sc_hd__buf_16_4/VGND" "GND" 11.287
+cap "VDD" "sky130_fd_sc_hd__buf_16_4/VPWR" 27.1359
 cap "sky130_fd_sc_hd__buf_16_4/VPB" "sky130_fd_sc_hd__buf_16_4/VPWR" 0.578
-cap "sky130_fd_sc_hd__buf_16_4/X" "VDD" 10.4976
-cap "sky130_fd_sc_hd__buf_16_4/X" "sky130_fd_sc_hd__buf_16_4/VPWR" 2.14943
+cap "VDD" "sky130_fd_sc_hd__buf_16_4/X" 10.4976
 cap "sky130_fd_sc_hd__buf_16_4/VPB" "sky130_fd_sc_hd__buf_16_4/X" 10.5044
 cap "sky130_fd_sc_hd__buf_16_4/VGND" "sky130_fd_sc_hd__buf_16_4/X" 3.4
-cap "VDD" "sky130_fd_sc_hd__buf_16_4/VPWR" 27.1359
+cap "sky130_fd_sc_hd__buf_16_4/VPWR" "sky130_fd_sc_hd__buf_16_4/X" 2.14943
+cap "GND" "sky130_fd_sc_hd__buf_16_4/VGND" 11.287
+cap "sky130_fd_pr__diode_pw2nd_05v5_GT7G3L_0/a_n45_n45#" "sky130_fd_pr__diode_pw2nd_05v5_GT7G3L_0/w_n183_n183#" 281.903
+cap "sky130_fd_pr__diode_pw2nd_05v5_GT7G3L_0/a_n45_n45#" "sky130_fd_pr__diode_pw2nd_05v5_GT7G3L_0/w_n183_n183#" -4.21875
+cap "sky130_fd_pr__diode_pd2nw_05v5_RT56W3_0/li_n285_n285#" "sky130_fd_pr__diode_pd2nw_05v5_RT56W3_0/a_n45_n45#" 153.236
+cap "sky130_fd_pr__diode_pd2nw_05v5_RT56W3_0/li_n285_n285#" "CLK" 141.633
+cap "sky130_fd_pr__diode_pd2nw_05v5_RT56W3_0/a_n45_n45#" "sky130_fd_pr__diode_pd2nw_05v5_RT56W3_0/w_n321_n321#" 133.305
+cap "sky130_fd_pr__diode_pd2nw_05v5_RT56W3_0/a_n45_n45#" "sky130_fd_pr__diode_pd2nw_05v5_RT56W3_0/w_n183_n183#" -207.137
+cap "sky130_fd_pr__diode_pd2nw_05v5_RT56W3_0/w_n321_n321#" "CLK" 213.226
+cap "sky130_fd_pr__diode_pd2nw_05v5_RT56W3_0/w_n183_n183#" "CLK" 19.24
+cap "sky130_fd_pr__diode_pd2nw_05v5_RT56W3_0/w_n183_n183#" "sky130_fd_pr__diode_pd2nw_05v5_RT56W3_0/a_n45_n45#" -849.88
+cap "CLK" "sky130_fd_pr__diode_pd2nw_05v5_RT56W3_0/w_n183_n183#" -245.085
+cap "sky130_fd_pr__diode_pd2nw_05v5_RT56W3_0/w_n183_n183#" "sky130_fd_pr__diode_pd2nw_05v5_RT56W3_0/w_n321_n321#" 129.519
+cap "CLK" "sky130_fd_pr__diode_pd2nw_05v5_RT56W3_0/a_n45_n45#" -304.22
+cap "sky130_fd_pr__diode_pd2nw_05v5_RT56W3_0/li_n285_n285#" "sky130_fd_pr__diode_pd2nw_05v5_RT56W3_0/w_n183_n183#" 128.21
+cap "sky130_fd_sc_hd__buf_16_0/X" "sky130_fd_sc_hd__buf_16_0/VPB" -103.32
+cap "sky130_fd_sc_hd__buf_16_0/A" "sky130_fd_sc_hd__buf_16_0/VPB" -59.22
+cap "sky130_fd_sc_hd__buf_16_0/a_109_47#" "sky130_fd_sc_hd__buf_16_0/VPB" -59.22
+cap "sky130_fd_sc_hd__buf_16_0/a_109_47#" "sky130_fd_sc_hd__buf_16_0/VPB" -59.22
 cap "sky130_fd_sc_hd__buf_16_0/VPB" "sky130_fd_sc_hd__buf_16_0/a_109_47#" -59.22
-cap "sky130_fd_sc_hd__buf_16_0/VPB" "sky130_fd_sc_hd__buf_16_0/a_109_47#" -59.22
-cap "sky130_fd_sc_hd__buf_16_0/VPB" "sky130_fd_sc_hd__buf_16_0/a_109_47#" -103.32
-cap "VDD" "sky130_fd_sc_hd__buf_16_0/a_109_47#" 3.42941
-cap "VDD" "sky130_fd_sc_hd__buf_16_0/X" 4.27059
-cap "sky130_fd_sc_hd__buf_16_0/VPB" "sky130_fd_sc_hd__buf_16_0/X" -103.32
-cap "sky130_fd_sc_hd__buf_16_0/VPB" "sky130_fd_sc_hd__buf_16_0/a_109_47#" -59.22
-cap "sky130_fd_sc_hd__buf_16_0/VPB" "sky130_fd_sc_hd__buf_16_0/a_109_47#" -59.22
-cap "VDD" "sky130_fd_sc_hd__buf_16_0/X" 4.27059
-cap "sky130_fd_sc_hd__buf_16_0/VPB" "sky130_fd_sc_hd__buf_16_0/X" -154.98
-cap "sky130_fd_sc_hd__buf_16_0/VPB" "sky130_fd_sc_hd__buf_16_0/a_109_47#" -59.22
-cap "sky130_fd_sc_hd__buf_16_0/VPB" "sky130_fd_sc_hd__buf_16_0/a_109_47#" -59.22
-cap "VDD" "sky130_fd_sc_hd__buf_16_0/a_109_47#" 4.27059
-cap "sky130_fd_sc_hd__buf_16_0/VPB" "sky130_fd_sc_hd__buf_16_0/a_109_47#" -103.32
-cap "sky130_fd_sc_hd__buf_16_0/VPB" "sky130_fd_sc_hd__buf_16_0/A" -59.22
-cap "sky130_fd_sc_hd__buf_16_0/VPB" "sky130_fd_sc_hd__buf_16_0/a_109_47#" -59.22
-cap "VDD" "sky130_fd_sc_hd__buf_16_0/X" 4.27059
-cap "sky130_fd_sc_hd__buf_16_0/VPB" "sky130_fd_sc_hd__buf_16_0/A" -59.22
-cap "sky130_fd_sc_hd__buf_16_0/VPB" "sky130_fd_sc_hd__buf_16_0/X" -103.32
-cap "sky130_fd_sc_hd__buf_16_0/VPB" "sky130_fd_sc_hd__buf_16_0/a_109_47#" -59.22
-cap "sky130_fd_sc_hd__buf_16_0/VPB" "VDD" -180.876
-cap "sky130_fd_sc_hd__buf_16_0/VPB" "sky130_fd_sc_hd__buf_16_0/A" -59.22
-cap "sky130_fd_sc_hd__buf_16_0/VPB" "sky130_fd_sc_hd__buf_16_0/X" -154.98
-cap "VDD" "sky130_fd_sc_hd__buf_16_0/X" 4.27059
-cap "sky130_fd_sc_hd__buf_16_0/VPB" "sky130_fd_sc_hd__buf_16_0/X" -103.32
+cap "sky130_fd_sc_hd__buf_16_0/a_109_47#" "sky130_fd_sc_hd__buf_16_0/VPB" -59.22
+cap "sky130_fd_sc_hd__buf_16_0/a_109_47#" "VDD" 4.27059
+cap "sky130_fd_sc_hd__buf_16_0/a_109_47#" "sky130_fd_sc_hd__buf_16_0/VPB" -103.32
+cap "sky130_fd_sc_hd__buf_16_0/a_109_47#" "sky130_fd_sc_hd__buf_16_0/VPB" -59.22
+cap "sky130_fd_sc_hd__buf_16_0/a_109_47#" "VDD" 3.42941
 cap "VDD" "sky130_fd_sc_hd__buf_16_0/X" 3.68824
-cap "sky130_fd_sc_hd__buf_16_0/VPB" "sky130_fd_sc_hd__buf_16_0/a_109_47#" 6.40588
-cap "sky130_fd_sc_hd__buf_16_0/A" "sky130_fd_sc_hd__buf_16_0/a_109_47#" 33.3896
-cap "sky130_fd_sc_hd__buf_16_0/VPB" "sky130_fd_sc_hd__buf_16_0/A" 0.127938
+cap "sky130_fd_sc_hd__buf_16_0/a_109_47#" "sky130_fd_sc_hd__buf_16_0/VPB" -103.32
+cap "sky130_fd_sc_hd__buf_16_0/a_109_47#" "sky130_fd_sc_hd__buf_16_0/VPB" -59.22
+cap "sky130_fd_sc_hd__buf_16_0/VPB" "sky130_fd_sc_hd__buf_16_0/X" -103.32
+cap "VDD" "sky130_fd_sc_hd__buf_16_0/X" 4.27059
+cap "sky130_fd_sc_hd__buf_16_0/a_109_47#" "sky130_fd_sc_hd__buf_16_0/VPB" -59.22
+cap "sky130_fd_sc_hd__buf_16_0/VPB" "sky130_fd_sc_hd__buf_16_0/X" -154.98
+cap "sky130_fd_sc_hd__buf_16_0/X" "VDD" 4.27059
+cap "VDD" "sky130_fd_sc_hd__buf_16_0/VPB" -180.876
+cap "sky130_fd_sc_hd__buf_16_0/X" "sky130_fd_sc_hd__buf_16_0/VPB" -103.32
+cap "sky130_fd_sc_hd__buf_16_0/A" "sky130_fd_sc_hd__buf_16_0/VPB" -59.22
+cap "VDD" "sky130_fd_sc_hd__buf_16_0/X" 4.27059
+cap "sky130_fd_sc_hd__buf_16_0/A" "sky130_fd_sc_hd__buf_16_0/VPB" -59.22
+cap "sky130_fd_sc_hd__buf_16_0/VPB" "sky130_fd_sc_hd__buf_16_0/X" -154.98
+cap "sky130_fd_sc_hd__buf_16_0/a_109_47#" "sky130_fd_sc_hd__buf_16_0/VPB" -59.22
+cap "sky130_fd_sc_hd__buf_16_0/X" "VDD" 4.27059
 cap "GND" "sky130_fd_sc_hd__buf_16_0/VGND" 130.528
-cap "sky130_fd_sc_hd__buf_16_0/VGND" "sky130_fd_sc_hd__buf_16_0/a_109_47#" 0.4243
-cap "sky130_fd_sc_hd__buf_16_0/VGND" "sky130_fd_sc_hd__buf_16_0/A" 53.6071
-cap "GND" "sky130_fd_sc_hd__buf_16_0/X" 31.3744
-cap "GND" "sky130_fd_sc_hd__buf_16_0/a_109_47#" 12.7899
-cap "VDD" "sky130_fd_sc_hd__buf_16_0/X" 94.3613
-cap "sky130_fd_sc_hd__buf_16_0/VPB" "sky130_fd_sc_hd__buf_16_0/X" 3.86535e-12
-cap "VDD" "sky130_fd_sc_hd__buf_16_0/a_109_47#" 36.7143
-cap "sky130_fd_sc_hd__buf_16_0/VGND" "sky130_fd_sc_hd__buf_16_0/VNB" 1.2625
+cap "sky130_fd_sc_hd__buf_16_0/X" "VDD" 94.3613
+cap "sky130_fd_sc_hd__buf_16_0/VNB" "sky130_fd_sc_hd__buf_16_0/VGND" 1.2625
+cap "sky130_fd_sc_hd__buf_16_0/a_109_47#" "GND" 12.7899
+cap "sky130_fd_sc_hd__buf_16_0/X" "GND" 31.3744
+cap "sky130_fd_sc_hd__buf_16_0/A" "sky130_fd_sc_hd__buf_16_0/VPB" 0.127938
+cap "sky130_fd_sc_hd__buf_16_0/a_109_47#" "sky130_fd_sc_hd__buf_16_0/VPB" 6.40588
 cap "VDD" "sky130_fd_sc_hd__buf_16_0/VPB" -2356.72
-cap "sky130_fd_sc_hd__buf_16_1/A" "sky130_fd_sc_hd__buf_16_1/VPB" -61.1
+cap "sky130_fd_sc_hd__buf_16_0/X" "sky130_fd_sc_hd__buf_16_0/VPB" 3.86535e-12
+cap "sky130_fd_sc_hd__buf_16_0/A" "sky130_fd_sc_hd__buf_16_0/VGND" 53.6071
+cap "sky130_fd_sc_hd__buf_16_0/a_109_47#" "sky130_fd_sc_hd__buf_16_0/VGND" 0.4243
+cap "sky130_fd_sc_hd__buf_16_0/a_109_47#" "sky130_fd_sc_hd__buf_16_0/A" 33.3896
+cap "VDD" "sky130_fd_sc_hd__buf_16_0/a_109_47#" 36.7143
 cap "sky130_fd_sc_hd__buf_16_1/VPB" "sky130_fd_sc_hd__buf_16_1/A" -61.1
+cap "sky130_fd_sc_hd__buf_16_1/a_109_47#" "sky130_fd_sc_hd__buf_16_1/VPB" -61.1
+cap "sky130_fd_sc_hd__buf_16_1/VPB" "sky130_fd_sc_hd__buf_16_1/X" -106.6
+cap "sky130_fd_sc_hd__buf_16_1/a_109_47#" "sky130_fd_sc_hd__buf_16_1/VPB" -61.1
+cap "sky130_fd_sc_hd__buf_16_1/VPB" "sky130_fd_sc_hd__buf_16_1/a_109_47#" -61.1
+cap "sky130_fd_sc_hd__buf_16_1/VPB" "sky130_fd_sc_hd__buf_16_1/X" -159.9
 cap "sky130_fd_sc_hd__buf_16_1/VPB" "sky130_fd_sc_hd__buf_16_1/a_109_47#" -61.1
 cap "sky130_fd_sc_hd__buf_16_1/VPB" "sky130_fd_sc_hd__buf_16_1/a_109_47#" -61.1
 cap "sky130_fd_sc_hd__buf_16_1/VPB" "sky130_fd_sc_hd__buf_16_1/X" -106.6
+cap "sky130_fd_sc_hd__buf_16_1/VPB" "sky130_fd_sc_hd__buf_16_1/a_109_47#" -106.6
 cap "sky130_fd_sc_hd__buf_16_1/VPB" "sky130_fd_sc_hd__buf_16_1/a_109_47#" -61.1
 cap "sky130_fd_sc_hd__buf_16_1/VPB" "sky130_fd_sc_hd__buf_16_1/a_109_47#" -61.1
-cap "sky130_fd_sc_hd__buf_16_1/X" "sky130_fd_sc_hd__buf_16_1/VPB" -159.9
 cap "sky130_fd_sc_hd__buf_16_1/VPB" "sky130_fd_sc_hd__buf_16_1/X" -159.9
 cap "sky130_fd_sc_hd__buf_16_1/VPB" "sky130_fd_sc_hd__buf_16_1/A" -61.1
-cap "sky130_fd_sc_hd__buf_16_1/VPB" "sky130_fd_sc_hd__buf_16_1/a_109_47#" -61.1
-cap "sky130_fd_sc_hd__buf_16_1/VPB" "sky130_fd_sc_hd__buf_16_1/a_109_47#" -106.6
-cap "sky130_fd_sc_hd__buf_16_1/VPB" "sky130_fd_sc_hd__buf_16_1/a_109_47#" -61.1
 cap "sky130_fd_sc_hd__buf_16_1/VPB" "sky130_fd_sc_hd__buf_16_1/A" -61.1
 cap "sky130_fd_sc_hd__buf_16_1/VPB" "sky130_fd_sc_hd__buf_16_1/a_109_47#" -61.1
-cap "sky130_fd_sc_hd__buf_16_1/VPB" "sky130_fd_sc_hd__buf_16_1/a_109_47#" -61.1
 cap "sky130_fd_sc_hd__buf_16_1/VPB" "sky130_fd_sc_hd__buf_16_1/a_109_47#" -106.6
+cap "sky130_fd_sc_hd__buf_16_1/VPB" "sky130_fd_sc_hd__buf_16_1/A" -61.1
 cap "sky130_fd_sc_hd__buf_16_1/VPB" "sky130_fd_sc_hd__buf_16_1/X" -106.6
-cap "sky130_fd_sc_hd__buf_16_1/VPB" "sky130_fd_sc_hd__buf_16_1/X" -106.6
-cap "sky130_fd_sc_hd__buf_16_1/VGND" "sky130_fd_sc_hd__buf_16_1/A" 2.85533
-cap "sky130_fd_sc_hd__buf_16_1/VPB" "sky130_fd_sc_hd__buf_16_1/X" 3.18323e-12
 cap "sky130_fd_sc_hd__buf_16_1/VPB" "sky130_fd_sc_hd__buf_16_1/A" 0.126957
-cap "sky130_fd_sc_hd__buf_16_1/a_109_47#" "sky130_fd_sc_hd__buf_16_1/VPB" 19.0207
-cap "sky130_fd_sc_hd__buf_16_4/VGND" "sky130_fd_sc_hd__buf_16_4/VGND" -79.13
-cap "sky130_fd_sc_hd__buf_16_4/VGND" "sky130_fd_sc_hd__buf_16_4/VGND" -79.13
-cap "sky130_fd_sc_hd__buf_16_4/VGND" "sky130_fd_sc_hd__buf_16_4/a_109_47#" -90.71
-cap "sky130_fd_sc_hd__buf_16_4/VGND" "sky130_fd_sc_hd__buf_16_4/a_109_47#" -79.13
-cap "sky130_fd_sc_hd__buf_16_4/A" "sky130_fd_sc_hd__buf_16_4/VGND" -90.71
-cap "sky130_fd_sc_hd__buf_16_4/VGND" "sky130_fd_sc_hd__buf_16_4/X" -79.13
-cap "sky130_fd_sc_hd__buf_16_4/VGND" "sky130_fd_sc_hd__buf_16_4/X" -79.13
-cap "sky130_fd_sc_hd__buf_16_4/VGND" "sky130_fd_sc_hd__buf_16_4/a_109_47#" -90.71
-cap "sky130_fd_sc_hd__buf_16_4/VGND" "sky130_fd_sc_hd__buf_16_4/A" -90.71
-cap "sky130_fd_sc_hd__buf_16_4/VGND" "sky130_fd_sc_hd__buf_16_4/VGND" -79.13
-cap "sky130_fd_sc_hd__buf_16_4/VGND" "sky130_fd_sc_hd__buf_16_4/a_109_47#" -90.71
-cap "sky130_fd_sc_hd__buf_16_4/VGND" "sky130_fd_sc_hd__buf_16_4/VGND" -79.13
-cap "sky130_fd_sc_hd__buf_16_4/VGND" "sky130_fd_sc_hd__buf_16_4/VGND" -79.13
-cap "sky130_fd_sc_hd__buf_16_4/VGND" "sky130_fd_sc_hd__buf_16_4/VGND" -79.13
-cap "sky130_fd_sc_hd__buf_16_4/VGND" "sky130_fd_sc_hd__buf_16_4/a_109_47#" -90.71
-cap "sky130_fd_sc_hd__buf_16_4/VGND" "sky130_fd_sc_hd__buf_16_4/X" -79.13
-cap "sky130_fd_sc_hd__buf_16_4/VGND" "sky130_fd_sc_hd__buf_16_4/a_109_47#" -90.71
-cap "GND" "sky130_fd_sc_hd__buf_16_4/VGND" -2.82
-cap "sky130_fd_sc_hd__buf_16_4/VGND" "sky130_fd_sc_hd__buf_16_4/a_109_47#" -90.71
-cap "sky130_fd_sc_hd__buf_16_4/VGND" "sky130_fd_sc_hd__buf_16_4/X" -79.13
-cap "sky130_fd_sc_hd__buf_16_4/VGND" "GND" 41.2915
-cap "sky130_fd_sc_hd__buf_16_4/VGND" "sky130_fd_sc_hd__buf_16_4/X" -158.26
-cap "sky130_fd_sc_hd__buf_16_4/VGND" "sky130_fd_sc_hd__buf_16_4/VNB" -2532.16
-cap "sky130_fd_sc_hd__buf_16_4/VGND" "sky130_fd_sc_hd__buf_16_4/X" -79.13
-cap "sky130_fd_sc_hd__buf_16_4/VGND" "sky130_fd_sc_hd__buf_16_4/a_109_47#" -90.71
-cap "sky130_fd_sc_hd__buf_16_4/VGND" "sky130_fd_sc_hd__buf_16_4/a_109_47#" -90.71
+cap "sky130_fd_sc_hd__buf_16_1/VPB" "sky130_fd_sc_hd__buf_16_1/X" 3.18323e-12
+cap "sky130_fd_sc_hd__buf_16_1/A" "sky130_fd_sc_hd__buf_16_1/VGND" 2.85533
+cap "sky130_fd_sc_hd__buf_16_1/VPB" "sky130_fd_sc_hd__buf_16_1/a_109_47#" 19.0207
 cap "sky130_fd_sc_hd__buf_16_4/VGND" "sky130_fd_sc_hd__buf_16_4/a_109_47#" -90.71
 cap "sky130_fd_sc_hd__buf_16_4/a_109_47#" "sky130_fd_sc_hd__buf_16_4/VGND" -90.71
 cap "sky130_fd_sc_hd__buf_16_4/VGND" "sky130_fd_sc_hd__buf_16_4/X" -79.13
 cap "sky130_fd_sc_hd__buf_16_4/VGND" "sky130_fd_sc_hd__buf_16_4/VGND" -79.13
+cap "sky130_fd_sc_hd__buf_16_4/VGND" "GND" 41.2915
+cap "sky130_fd_sc_hd__buf_16_4/VGND" "sky130_fd_sc_hd__buf_16_4/VGND" -79.13
+cap "sky130_fd_sc_hd__buf_16_4/VGND" "sky130_fd_sc_hd__buf_16_4/X" -79.13
+cap "sky130_fd_sc_hd__buf_16_4/VGND" "sky130_fd_sc_hd__buf_16_4/a_109_47#" -90.71
+cap "sky130_fd_sc_hd__buf_16_4/VGND" "sky130_fd_sc_hd__buf_16_4/VGND" -79.13
+cap "sky130_fd_sc_hd__buf_16_4/VGND" "sky130_fd_sc_hd__buf_16_4/VGND" -79.13
+cap "sky130_fd_sc_hd__buf_16_4/a_109_47#" "sky130_fd_sc_hd__buf_16_4/VGND" -90.71
+cap "sky130_fd_sc_hd__buf_16_4/VGND" "sky130_fd_sc_hd__buf_16_4/A" -90.71
+cap "sky130_fd_sc_hd__buf_16_4/VGND" "sky130_fd_sc_hd__buf_16_4/a_109_47#" -90.71
+cap "sky130_fd_sc_hd__buf_16_4/VGND" "sky130_fd_sc_hd__buf_16_4/VGND" -79.13
+cap "sky130_fd_sc_hd__buf_16_4/a_109_47#" "sky130_fd_sc_hd__buf_16_4/VGND" -90.71
+cap "sky130_fd_sc_hd__buf_16_4/VGND" "sky130_fd_sc_hd__buf_16_4/a_109_47#" -90.71
+cap "sky130_fd_sc_hd__buf_16_4/VGND" "sky130_fd_sc_hd__buf_16_4/X" -79.13
+cap "sky130_fd_sc_hd__buf_16_4/VGND" "sky130_fd_sc_hd__buf_16_4/X" -79.13
+cap "sky130_fd_sc_hd__buf_16_4/VGND" "sky130_fd_sc_hd__buf_16_4/a_109_47#" -79.13
+cap "sky130_fd_sc_hd__buf_16_4/VGND" "sky130_fd_sc_hd__buf_16_4/a_109_47#" -90.71
+cap "sky130_fd_sc_hd__buf_16_4/VGND" "sky130_fd_sc_hd__buf_16_4/A" -90.71
+cap "sky130_fd_sc_hd__buf_16_4/VGND" "sky130_fd_sc_hd__buf_16_4/a_109_47#" -90.71
 cap "sky130_fd_sc_hd__buf_16_4/VGND" "sky130_fd_sc_hd__buf_16_4/VGND" -79.13
 cap "sky130_fd_sc_hd__buf_16_4/VGND" "sky130_fd_sc_hd__buf_16_4/a_109_47#" -90.71
+cap "sky130_fd_sc_hd__buf_16_4/VGND" "sky130_fd_sc_hd__buf_16_4/X" -79.13
 cap "sky130_fd_sc_hd__buf_16_4/VGND" "sky130_fd_sc_hd__buf_16_4/a_109_47#" -90.71
-cap "sky130_fd_sc_hd__buf_16_4/VGND" "sky130_fd_sc_hd__buf_16_4/a_109_47#" -90.71
+cap "sky130_fd_sc_hd__buf_16_4/VGND" "sky130_fd_sc_hd__buf_16_4/VGND" -79.13
+cap "sky130_fd_sc_hd__buf_16_4/VGND" "GND" -2.82
 cap "sky130_fd_sc_hd__buf_16_4/VGND" "sky130_fd_sc_hd__buf_16_4/VGND" -158.26
+cap "sky130_fd_sc_hd__buf_16_4/VGND" "sky130_fd_sc_hd__buf_16_4/VNB" -2532.16
 cap "sky130_fd_sc_hd__buf_16_4/VGND" "sky130_fd_sc_hd__buf_16_4/a_109_47#" -90.71
+cap "sky130_fd_sc_hd__buf_16_4/VGND" "sky130_fd_sc_hd__buf_16_4/a_109_47#" -90.71
+cap "sky130_fd_sc_hd__buf_16_4/VGND" "sky130_fd_sc_hd__buf_16_4/a_109_47#" -90.71
+cap "sky130_fd_sc_hd__buf_16_4/VGND" "sky130_fd_sc_hd__buf_16_4/VGND" -79.13
+cap "sky130_fd_sc_hd__buf_16_4/VGND" "sky130_fd_sc_hd__buf_16_4/X" -79.13
+cap "sky130_fd_sc_hd__buf_16_4/VGND" "sky130_fd_sc_hd__buf_16_4/X" -158.26
 cap "VDD" "sky130_fd_sc_hd__buf_16_4/X" 43.4113
-cap "sky130_fd_sc_hd__buf_16_4/VGND" "GND" 66.9565
-cap "VDD" "sky130_fd_sc_hd__buf_16_4/VPWR" 160.976
 cap "VDD" "sky130_fd_sc_hd__buf_16_4/a_109_47#" 6.13521
-cap "VDD" "sky130_fd_sc_hd__buf_16_0/VPWR" -101.053
-cap "VDD" "sky130_fd_sc_hd__buf_16_0/X" 0.582353
-cap "sky130_fd_sc_hd__buf_16_0/a_109_47#" "sky130_fd_sc_hd__buf_16_0/VPWR" -59.22
-cap "sky130_fd_sc_hd__buf_16_0/a_109_47#" "sky130_fd_sc_hd__buf_16_0/VPWR" -59.22
+cap "sky130_fd_sc_hd__buf_16_4/VPWR" "VDD" 160.976
+cap "GND" "sky130_fd_sc_hd__buf_16_4/VGND" 66.9565
 cap "sky130_fd_sc_hd__buf_16_0/X" "sky130_fd_sc_hd__buf_16_0/VPWR" -154.98
+cap "sky130_fd_sc_hd__buf_16_0/VPB" "sky130_fd_sc_hd__buf_16_0/VPWR" -315.7
+cap "sky130_fd_sc_hd__buf_16_0/a_109_47#" "sky130_fd_sc_hd__buf_16_0/VPWR" -59.22
+cap "sky130_fd_sc_hd__buf_16_0/a_109_47#" "sky130_fd_sc_hd__buf_16_0/VPWR" -59.22
 cap "sky130_fd_sc_hd__buf_16_0/VPWR" "sky130_fd_sc_hd__buf_16_0/a_109_47#" -59.22
 cap "sky130_fd_sc_hd__buf_16_0/a_109_47#" "sky130_fd_sc_hd__buf_16_0/VPWR" -59.22
-cap "sky130_fd_sc_hd__buf_16_0/X" "sky130_fd_sc_hd__buf_16_0/VPWR" -103.32
 cap "sky130_fd_sc_hd__buf_16_0/a_109_47#" "sky130_fd_sc_hd__buf_16_0/VPWR" -59.22
-cap "sky130_fd_sc_hd__buf_16_0/X" "sky130_fd_sc_hd__buf_16_0/VPWR" -154.98
-cap "sky130_fd_sc_hd__buf_16_0/a_109_47#" "sky130_fd_sc_hd__buf_16_0/VPWR" -59.22
-cap "sky130_fd_sc_hd__buf_16_0/X" "VDD" 4.27059
 cap "VDD" "sky130_fd_sc_hd__buf_16_0/X" 4.27059
-cap "sky130_fd_sc_hd__buf_16_0/VPB" "sky130_fd_sc_hd__buf_16_0/VPWR" -315.7
+cap "sky130_fd_sc_hd__buf_16_0/X" "sky130_fd_sc_hd__buf_16_0/VPWR" -103.32
+cap "sky130_fd_sc_hd__buf_16_0/X" "sky130_fd_sc_hd__buf_16_0/VPWR" -154.98
+cap "VDD" "sky130_fd_sc_hd__buf_16_0/X" 0.582353
 cap "sky130_fd_sc_hd__buf_16_0/X" "VDD" 4.27059
+cap "sky130_fd_sc_hd__buf_16_0/VPWR" "sky130_fd_sc_hd__buf_16_0/a_109_47#" -59.22
 cap "sky130_fd_sc_hd__buf_16_0/a_109_47#" "sky130_fd_sc_hd__buf_16_0/VPWR" -59.22
-cap "VDD" "sky130_fd_sc_hd__buf_16_0/X" 73.5966
+cap "VDD" "sky130_fd_sc_hd__buf_16_0/VPWR" -101.053
+cap "VDD" "sky130_fd_sc_hd__buf_16_0/X" 4.27059
 cap "GND" "sky130_fd_sc_hd__buf_16_0/VGND" -5.68434e-14
 cap "GND" "sky130_fd_sc_hd__buf_16_0/VGND" 72.9734
-cap "GND" "sky130_fd_sc_hd__buf_16_0/X" 20.2101
-cap "VDD" "sky130_fd_sc_hd__buf_16_0/VPWR" -1328.34
+cap "sky130_fd_sc_hd__buf_16_0/VPWR" "VDD" -1328.34
+cap "sky130_fd_sc_hd__buf_16_0/X" "VDD" 73.5966
 cap "GND" "sky130_fd_sc_hd__buf_16_0/VGND" -5.68434e-14
+cap "sky130_fd_sc_hd__buf_16_0/VPWR" "sky130_fd_sc_hd__buf_16_0/VPB" -146.165
+cap "sky130_fd_sc_hd__buf_16_0/X" "GND" 20.2101
+cap "sky130_fd_sc_hd__buf_16_0/X" "sky130_fd_sc_hd__buf_16_0/VPB" 9.55145
 cap "sky130_fd_sc_hd__buf_16_0/X" "sky130_fd_sc_hd__buf_16_0/VGND" 2.18288
-cap "sky130_fd_sc_hd__buf_16_0/VPB" "sky130_fd_sc_hd__buf_16_0/X" 9.55145
-cap "sky130_fd_sc_hd__buf_16_0/VPWR" "sky130_fd_sc_hd__buf_16_0/X" 3
-cap "sky130_fd_sc_hd__buf_16_0/VPB" "sky130_fd_sc_hd__buf_16_0/VPWR" -146.165
-cap "sky130_fd_sc_hd__buf_16_1/X" "sky130_fd_sc_hd__buf_16_1/VPWR" -106.6
-cap "sky130_fd_sc_hd__buf_16_1/X" "sky130_fd_sc_hd__buf_16_1/VPWR" -159.9
-cap "sky130_fd_sc_hd__buf_16_1/a_109_47#" "sky130_fd_sc_hd__buf_16_1/VPWR" -61.1
+cap "sky130_fd_sc_hd__buf_16_0/X" "sky130_fd_sc_hd__buf_16_0/VPWR" 3
+cap "sky130_fd_sc_hd__buf_16_1/VPWR" "sky130_fd_sc_hd__buf_16_1/a_109_47#" -61.1
+cap "sky130_fd_sc_hd__buf_16_1/VPWR" "sky130_fd_sc_hd__buf_16_1/a_109_47#" -61.1
+cap "sky130_fd_sc_hd__buf_16_1/VPWR" "sky130_fd_sc_hd__buf_16_1/a_109_47#" -61.1
+cap "sky130_fd_sc_hd__buf_16_1/VPWR" "sky130_fd_sc_hd__buf_16_1/X" -159.9
+cap "sky130_fd_sc_hd__buf_16_1/VPWR" "sky130_fd_sc_hd__buf_16_1/a_109_47#" -61.1
+cap "sky130_fd_sc_hd__buf_16_1/VPWR" "sky130_fd_sc_hd__buf_16_1/a_109_47#" -61.1
+cap "sky130_fd_sc_hd__buf_16_1/VPWR" "sky130_fd_sc_hd__buf_16_1/X" -106.6
+cap "sky130_fd_sc_hd__buf_16_1/VPWR" "sky130_fd_sc_hd__buf_16_1/VPB" -426.4
 cap "sky130_fd_sc_hd__buf_16_1/a_109_47#" "sky130_fd_sc_hd__buf_16_1/VPWR" -61.1
 cap "sky130_fd_sc_hd__buf_16_1/VPWR" "sky130_fd_sc_hd__buf_16_1/X" -159.9
+cap "sky130_fd_sc_hd__buf_16_1/VPWR" "sky130_fd_sc_hd__buf_16_1/a_109_47#" -61.1
 cap "sky130_fd_sc_hd__buf_16_1/VPWR" "sky130_fd_sc_hd__buf_16_1/X" -53.3
-cap "sky130_fd_sc_hd__buf_16_1/VPWR" "sky130_fd_sc_hd__buf_16_1/a_109_47#" -61.1
-cap "sky130_fd_sc_hd__buf_16_1/a_109_47#" "sky130_fd_sc_hd__buf_16_1/VPWR" -61.1
-cap "sky130_fd_sc_hd__buf_16_1/VPB" "sky130_fd_sc_hd__buf_16_1/VPWR" -426.4
-cap "sky130_fd_sc_hd__buf_16_1/a_109_47#" "sky130_fd_sc_hd__buf_16_1/VPWR" -61.1
-cap "sky130_fd_sc_hd__buf_16_1/a_109_47#" "sky130_fd_sc_hd__buf_16_1/VPWR" -61.1
-cap "sky130_fd_sc_hd__buf_16_1/VPWR" "sky130_fd_sc_hd__buf_16_1/a_109_47#" -61.1
 cap "sky130_fd_sc_hd__buf_16_1/X" "sky130_fd_sc_hd__buf_16_1/VPWR" 1.73684
+cap "sky130_fd_sc_hd__buf_16_1/VPWR" "sky130_fd_sc_hd__buf_16_1/VPB" -1.13687e-13
 cap "sky130_fd_sc_hd__buf_16_1/X" "sky130_fd_sc_hd__buf_16_1/VGND" 5.4466
-cap "sky130_fd_sc_hd__buf_16_1/VPB" "sky130_fd_sc_hd__buf_16_1/VPWR" -1.13687e-13
-cap "sky130_fd_sc_hd__buf_2_4/VGND" "sky130_fd_sc_hd__buf_16_4/a_109_47#" -158.26
-cap "sky130_fd_sc_hd__buf_2_4/VGND" "sky130_fd_sc_hd__buf_2_4/a_27_47#" -158.26
-cap "sky130_fd_sc_hd__buf_2_4/VGND" "sky130_fd_sc_hd__buf_16_4/a_109_47#" -79.13
-cap "sky130_fd_sc_hd__buf_2_4/VGND" "sky130_fd_sc_hd__buf_16_4/A" -90.71
-cap "sky130_fd_sc_hd__buf_2_4/VGND" "sky130_fd_sc_hd__buf_16_4/A" -90.71
-cap "sky130_fd_sc_hd__buf_2_4/VGND" "sky130_fd_sc_hd__buf_2_4/X" -158.26
-cap "sky130_fd_sc_hd__buf_2_4/VGND" "sky130_fd_sc_hd__buf_16_4/VGND" -79.13
-cap "sky130_fd_sc_hd__buf_2_4/VGND" "GND" 36.1565
 cap "sky130_fd_sc_hd__buf_2_4/a_27_47#" "sky130_fd_sc_hd__buf_2_4/VGND" -90.71
-cap "sky130_fd_sc_hd__buf_2_4/VGND" "sky130_fd_sc_hd__buf_16_4/VGND" -158.26
 cap "sky130_fd_sc_hd__buf_2_4/VGND" "sky130_fd_sc_hd__buf_2_4/VNB" -1740.86
-cap "sky130_fd_sc_hd__buf_2_4/VGND" "sky130_fd_sc_hd__buf_2_4/a_27_47#" -90.71
 cap "sky130_fd_sc_hd__buf_2_4/VGND" "sky130_fd_sc_hd__buf_16_4/A" -90.71
-cap "sky130_fd_sc_hd__buf_2_4/A" "sky130_fd_sc_hd__buf_2_4/VGND" -90.71
-cap "sky130_fd_sc_hd__buf_2_4/VGND" "sky130_fd_sc_hd__buf_16_4/VGND" -79.13
 cap "sky130_fd_sc_hd__buf_2_4/VGND" "sky130_fd_sc_hd__buf_16_4/A" -90.71
+cap "sky130_fd_sc_hd__buf_2_4/VGND" "sky130_fd_sc_hd__buf_2_4/A" -90.71
+cap "sky130_fd_sc_hd__buf_16_4/VGND" "sky130_fd_sc_hd__buf_2_4/VGND" -79.13
+cap "sky130_fd_sc_hd__buf_2_4/X" "sky130_fd_sc_hd__buf_2_4/VGND" -158.26
 cap "sky130_fd_sc_hd__buf_2_4/VGND" "sky130_fd_sc_hd__buf_2_4/VGND" -158.26
-cap "VDD" "sky130_fd_sc_hd__buf_2_4/a_27_47#" 3.16056
-cap "sky130_fd_sc_hd__buf_2_4/VGND" "sky130_fd_sc_hd__buf_2_4/A" 6.40592
-cap "sky130_fd_sc_hd__buf_16_4/a_109_47#" "VDD" 12.2704
-cap "sky130_fd_sc_hd__buf_2_4/A" "sky130_fd_sc_hd__buf_2_4/VPWR" 13.3934
-cap "VDD" "sky130_fd_sc_hd__buf_2_4/X" 4.74085
-cap "sky130_fd_sc_hd__buf_2_4/a_27_47#" "sky130_fd_sc_hd__buf_2_4/VPWR" 3.42453
-cap "sky130_fd_sc_hd__buf_16_4/a_109_47#" "sky130_fd_sc_hd__buf_2_4/VPWR" 22.0027
-cap "sky130_fd_sc_hd__buf_2_4/VGND" "sky130_fd_sc_hd__buf_2_4/X" 60.4079
-cap "sky130_fd_sc_hd__buf_2_4/VGND" "sky130_fd_sc_hd__buf_2_4/VNB" 5.68434e-14
-cap "sky130_fd_sc_hd__buf_2_4/X" "sky130_fd_sc_hd__buf_2_4/VPWR" 49.363
-cap "sky130_fd_sc_hd__buf_2_4/A" "sky130_fd_sc_hd__buf_2_4/a_27_47#" 29.4706
-cap "sky130_fd_sc_hd__buf_2_4/VGND" "GND" 36.1565
-cap "sky130_fd_sc_hd__buf_2_4/A" "sky130_fd_sc_hd__buf_2_4/X" -6.13115
-cap "VDD" "sky130_fd_sc_hd__buf_2_4/VPWR" 86.9268
-cap "sky130_fd_sc_hd__buf_2_4/X" "sky130_fd_sc_hd__buf_2_4/a_27_47#" 10.4075
-cap "sky130_fd_sc_hd__buf_2_4/VGND" "sky130_fd_sc_hd__buf_2_4/VPWR" 5.14902
+cap "sky130_fd_sc_hd__buf_2_4/VGND" "sky130_fd_sc_hd__buf_16_4/a_109_47#" -158.26
+cap "GND" "sky130_fd_sc_hd__buf_2_4/VGND" 36.1565
+cap "sky130_fd_sc_hd__buf_2_4/VGND" "sky130_fd_sc_hd__buf_16_4/A" -90.71
+cap "sky130_fd_sc_hd__buf_2_4/VGND" "sky130_fd_sc_hd__buf_2_4/a_27_47#" -158.26
+cap "sky130_fd_sc_hd__buf_2_4/VGND" "sky130_fd_sc_hd__buf_16_4/VGND" -79.13
+cap "sky130_fd_sc_hd__buf_16_4/VGND" "sky130_fd_sc_hd__buf_2_4/VGND" -158.26
+cap "sky130_fd_sc_hd__buf_2_4/VGND" "sky130_fd_sc_hd__buf_16_4/A" -90.71
+cap "sky130_fd_sc_hd__buf_2_4/a_27_47#" "sky130_fd_sc_hd__buf_2_4/VGND" -90.71
+cap "sky130_fd_sc_hd__buf_2_4/VGND" "sky130_fd_sc_hd__buf_16_4/a_109_47#" -79.13
+cap "sky130_fd_sc_hd__buf_2_4/VPWR" "VDD" 86.9268
+cap "sky130_fd_sc_hd__buf_2_4/X" "sky130_fd_sc_hd__buf_2_4/A" -6.13115
+cap "sky130_fd_sc_hd__buf_2_4/a_27_47#" "sky130_fd_sc_hd__buf_2_4/A" 29.4706
 cap "sky130_fd_sc_hd__buf_2_4/VNB" "sky130_fd_sc_hd__buf_2_4/X" -4.26326e-14
-cap "sky130_fd_sc_hd__buf_2_4/A" "sky130_fd_sc_hd__buf_2_4/a_27_47#" 4.66981
-cap "sky130_fd_sc_hd__buf_2_4/A" "sky130_fd_sc_hd__buf_2_4/a_27_47#" 3.51348
-cap "sky130_fd_pr__diode_pd2nw_05v5_G4XDRY_0/w_n376_n376#" "CLKBAR" 35.4249
-cap "CLKBAR" "sky130_fd_pr__diode_pd2nw_05v5_G4XDRY_0/li_n340_n340#" 27.1249
-cap "sky130_fd_pr__diode_pd2nw_05v5_G4XDRY_0/w_n238_n238#" "sky130_fd_pr__diode_pd2nw_05v5_G4XDRY_0/li_n340_n340#" 0.497487
+cap "sky130_fd_sc_hd__buf_2_4/VGND" "sky130_fd_sc_hd__buf_2_4/VPWR" 5.14902
+cap "sky130_fd_sc_hd__buf_2_4/VPWR" "sky130_fd_sc_hd__buf_16_4/a_109_47#" 22.0027
+cap "sky130_fd_sc_hd__buf_2_4/X" "sky130_fd_sc_hd__buf_2_4/a_27_47#" 10.4075
+cap "sky130_fd_sc_hd__buf_2_4/VPWR" "sky130_fd_sc_hd__buf_2_4/A" 13.3934
+cap "sky130_fd_sc_hd__buf_2_4/VGND" "GND" 36.1565
+cap "VDD" "sky130_fd_sc_hd__buf_16_4/a_109_47#" 12.2704
+cap "sky130_fd_sc_hd__buf_2_4/VPWR" "sky130_fd_sc_hd__buf_2_4/X" 49.363
+cap "sky130_fd_sc_hd__buf_2_4/VPWR" "sky130_fd_sc_hd__buf_2_4/a_27_47#" 3.42453
+cap "sky130_fd_sc_hd__buf_2_4/VGND" "sky130_fd_sc_hd__buf_2_4/A" 6.40592
+cap "sky130_fd_sc_hd__buf_2_4/X" "VDD" 4.74085
+cap "sky130_fd_sc_hd__buf_2_4/a_27_47#" "VDD" 3.16056
+cap "sky130_fd_sc_hd__buf_2_4/VGND" "sky130_fd_sc_hd__buf_2_4/VNB" 5.68434e-14
+cap "sky130_fd_sc_hd__buf_2_4/VGND" "sky130_fd_sc_hd__buf_2_4/X" 60.4079
+cap "sky130_fd_sc_hd__buf_2_4/a_27_47#" "sky130_fd_sc_hd__buf_2_4/A" 3.51348
+cap "sky130_fd_sc_hd__buf_2_4/a_27_47#" "sky130_fd_sc_hd__buf_2_4/A" 4.66981
+cap "CLKBAR" "sky130_fd_pr__diode_pd2nw_05v5_RT56W3_1/li_n285_n285#" 2.04707
+cap "sky130_fd_pr__diode_pd2nw_05v5_RT56W3_1/w_n321_n321#" "CLKBAR" 15.818
 cap "sky130_fd_pr__diode_pw2nd_05v5_3P6M5Y_0/a_n100_n100#" "sky130_fd_pr__diode_pw2nd_05v5_3P6M5Y_0/w_n238_n238#" 209.017
 cap "sky130_fd_pr__diode_pw2nd_05v5_3P6M5Y_0/w_n238_n238#" "sky130_fd_pr__diode_pw2nd_05v5_3P6M5Y_0/a_n100_n100#" 48.9819
-cap "CLKBAR" "sky130_fd_pr__diode_pd2nw_05v5_G4XDRY_0/li_n340_n340#" 120.944
-cap "sky130_fd_pr__diode_pd2nw_05v5_G4XDRY_0/w_n238_n238#" "sky130_fd_pr__diode_pd2nw_05v5_G4XDRY_0/a_n100_n100#" -407.821
-cap "CLKBAR" "sky130_fd_pr__diode_pd2nw_05v5_G4XDRY_0/w_n238_n238#" 97.6352
-cap "sky130_fd_pr__diode_pd2nw_05v5_G4XDRY_0/a_n100_n100#" "sky130_fd_pr__diode_pd2nw_05v5_G4XDRY_0/w_n376_n376#" 132.899
-cap "CLKBAR" "sky130_fd_pr__diode_pd2nw_05v5_G4XDRY_0/w_n376_n376#" 91.4967
-cap "sky130_fd_pr__diode_pd2nw_05v5_G4XDRY_0/li_n340_n340#" "sky130_fd_pr__diode_pd2nw_05v5_G4XDRY_0/a_n100_n100#" 150.555
-cap "sky130_fd_pr__diode_pd2nw_05v5_G4XDRY_0/a_n100_n100#" "VDD" -10.2591
-cap "sky130_fd_pr__diode_pd2nw_05v5_G4XDRY_0/w_n238_n238#" "sky130_fd_pr__diode_pd2nw_05v5_G4XDRY_0/li_n340_n340#" 133.132
-cap "sky130_fd_pr__diode_pd2nw_05v5_G4XDRY_0/w_n238_n238#" "sky130_fd_pr__diode_pd2nw_05v5_G4XDRY_0/a_n100_n100#" -99.5117
-cap "sky130_fd_pr__diode_pd2nw_05v5_G4XDRY_0/w_n238_n238#" "sky130_fd_pr__diode_pd2nw_05v5_G4XDRY_0/w_n376_n376#" 129.519
-merge "sky130_fd_pr__diode_pd2nw_05v5_G4XDRY_0/w_n238_n238#" "sky130_fd_sc_hd__buf_2_4/VPWR" -21210.3 0 0 0 0 52356 -11136 0 0 -23092 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 702517 -13274 -5366114 -22492 1655230 -1060 -32960770 -48900 -4883910 -20318 0 0 0 0
+cap "CLKBAR" "sky130_fd_pr__diode_pd2nw_05v5_RT56W3_1/a_n45_n45#" -5.68434e-14
+cap "sky130_fd_pr__diode_pd2nw_05v5_RT56W3_1/w_n183_n183#" "sky130_fd_pr__diode_pd2nw_05v5_RT56W3_1/a_n45_n45#" -966.098
+cap "sky130_fd_pr__diode_pd2nw_05v5_RT56W3_1/li_n285_n285#" "sky130_fd_pr__diode_pd2nw_05v5_RT56W3_1/a_n45_n45#" 82.8705
+cap "CLKBAR" "sky130_fd_pr__diode_pd2nw_05v5_RT56W3_1/w_n321_n321#" 253.34
+cap "sky130_fd_pr__diode_pd2nw_05v5_RT56W3_1/a_n45_n45#" "sky130_fd_pr__diode_pd2nw_05v5_RT56W3_1/w_n321_n321#" 58.446
+cap "sky130_fd_pr__diode_pd2nw_05v5_RT56W3_1/li_n285_n285#" "CLKBAR" 184.038
+cap "sky130_fd_pr__diode_pd2nw_05v5_RT56W3_1/a_n45_n45#" "sky130_fd_pr__diode_pd2nw_05v5_RT56W3_1/w_n321_n321#" -800.827
+cap "sky130_fd_pr__diode_pd2nw_05v5_RT56W3_1/w_n183_n183#" "sky130_fd_pr__diode_pd2nw_05v5_RT56W3_1/w_n321_n321#" 129.79
+cap "sky130_fd_pr__diode_pd2nw_05v5_RT56W3_1/a_n45_n45#" "sky130_fd_pr__diode_pd2nw_05v5_RT56W3_1/w_n183_n183#" 486.588
+cap "sky130_fd_pr__diode_pd2nw_05v5_RT56W3_1/li_n285_n285#" "sky130_fd_pr__diode_pd2nw_05v5_RT56W3_1/a_n45_n45#" -378.019
+cap "sky130_fd_pr__diode_pd2nw_05v5_RT56W3_1/li_n285_n285#" "sky130_fd_pr__diode_pd2nw_05v5_RT56W3_1/w_n183_n183#" 129.997
+merge "sky130_fd_pr__diode_pd2nw_05v5_RT56W3_1/w_n321_n321#" "sky130_fd_pr__diode_pw2nd_05v5_3P6M5Y_0/w_n238_n238#" -20886.4 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 -79671 -10307 -5171677 -12156 224944 -3442 -34453848 -65550 313996 -3470 385655 -9731 0 0
+merge "sky130_fd_pr__diode_pw2nd_05v5_3P6M5Y_0/w_n238_n238#" "sky130_fd_sc_hd__buf_2_4/VNB"
+merge "sky130_fd_sc_hd__buf_2_4/VNB" "sky130_fd_sc_hd__buf_2_4/VGND"
+merge "sky130_fd_sc_hd__buf_2_4/VGND" "sky130_fd_pr__diode_pw2nd_05v5_GT7G3L_0/w_n183_n183#"
+merge "sky130_fd_pr__diode_pw2nd_05v5_GT7G3L_0/w_n183_n183#" "sky130_fd_sc_hd__buf_16_4/VGND"
+merge "sky130_fd_sc_hd__buf_16_4/VGND" "sky130_fd_sc_hd__buf_16_1/VGND"
+merge "sky130_fd_sc_hd__buf_16_1/VGND" "sky130_fd_sc_hd__buf_16_0/VGND"
+merge "sky130_fd_sc_hd__buf_16_0/VGND" "sky130_fd_sc_hd__buf_2_3/VGND"
+merge "sky130_fd_sc_hd__buf_2_3/VGND" "sky130_fd_sc_hd__buf_2_2/VGND"
+merge "sky130_fd_sc_hd__buf_2_2/VGND" "sky130_fd_sc_hd__buf_2_1/VGND"
+merge "sky130_fd_sc_hd__buf_2_1/VGND" "sky130_fd_sc_hd__buf_2_0/VGND"
+merge "sky130_fd_sc_hd__buf_2_0/VGND" "sky130_fd_sc_hd__buf_16_2/VGND"
+merge "sky130_fd_sc_hd__buf_16_2/VGND" "sky130_fd_sc_hd__buf_16_3/VGND"
+merge "sky130_fd_sc_hd__buf_16_3/VGND" "comparator_v6_0/GND"
+merge "comparator_v6_0/GND" "GND"
+merge "GND" "sky130_fd_sc_hd__buf_16_4/VNB"
+merge "sky130_fd_sc_hd__buf_16_4/VNB" "sky130_fd_sc_hd__buf_16_1/VNB"
+merge "sky130_fd_sc_hd__buf_16_1/VNB" "sky130_fd_sc_hd__buf_16_0/VNB"
+merge "sky130_fd_sc_hd__buf_16_0/VNB" "sky130_fd_pr__diode_pd2nw_05v5_RT56W3_0/w_n321_n321#"
+merge "sky130_fd_pr__diode_pd2nw_05v5_RT56W3_0/w_n321_n321#" "sky130_fd_sc_hd__buf_2_3/VNB"
+merge "sky130_fd_sc_hd__buf_2_3/VNB" "sky130_fd_sc_hd__buf_2_2/VNB"
+merge "sky130_fd_sc_hd__buf_2_2/VNB" "sky130_fd_sc_hd__buf_2_1/VNB"
+merge "sky130_fd_sc_hd__buf_2_1/VNB" "sky130_fd_sc_hd__buf_2_0/VNB"
+merge "sky130_fd_sc_hd__buf_2_0/VNB" "sky130_fd_sc_hd__buf_16_2/VNB"
+merge "sky130_fd_sc_hd__buf_16_2/VNB" "sky130_fd_sc_hd__buf_16_3/VNB"
+merge "sky130_fd_sc_hd__buf_16_3/VNB" "comparator_v6_0/a_86_n1150#"
+merge "comparator_v6_0/a_86_n1150#" "VSUBS"
+merge "sky130_fd_pr__diode_pd2nw_05v5_RT56W3_1/w_n183_n183#" "sky130_fd_sc_hd__buf_2_4/VPWR" -23417.2 0 0 0 0 46578 -11814 0 0 -23092 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 684318 -13464 -5954470 -23340 1489870 -2004 -33126130 -54244 -5097974 -21474 0 0 0 0
 merge "sky130_fd_sc_hd__buf_2_4/VPWR" "sky130_fd_sc_hd__buf_2_4/VPB"
-merge "sky130_fd_sc_hd__buf_2_4/VPB" "sky130_fd_sc_hd__buf_16_4/VPWR"
-merge "sky130_fd_sc_hd__buf_16_4/VPWR" "sky130_fd_sc_hd__buf_16_4/VPB"
+merge "sky130_fd_sc_hd__buf_2_4/VPB" "sky130_fd_pr__diode_pd2nw_05v5_RT56W3_0/w_n183_n183#"
+merge "sky130_fd_pr__diode_pd2nw_05v5_RT56W3_0/w_n183_n183#" "sky130_fd_sc_hd__buf_16_4/VPB"
 merge "sky130_fd_sc_hd__buf_16_4/VPB" "w_569596_688404#"
-merge "w_569596_688404#" "sky130_fd_sc_hd__buf_16_1/VPWR"
+merge "w_569596_688404#" "sky130_fd_sc_hd__buf_16_4/VPWR"
+merge "sky130_fd_sc_hd__buf_16_4/VPWR" "sky130_fd_sc_hd__buf_16_1/VPWR"
 merge "sky130_fd_sc_hd__buf_16_1/VPWR" "sky130_fd_sc_hd__buf_16_1/VPB"
 merge "sky130_fd_sc_hd__buf_16_1/VPB" "w_466974_686458#"
 merge "w_466974_686458#" "sky130_fd_sc_hd__buf_16_0/VPWR"
@@ -1863,57 +1926,35 @@
 merge "sky130_fd_sc_hd__buf_16_3/VPWR" "VDD"
 merge "VDD" "sky130_fd_sc_hd__buf_16_3/VPB"
 merge "sky130_fd_sc_hd__buf_16_3/VPB" "w_408694_585520#"
-merge "sky130_fd_pr__diode_pd2nw_05v5_G4XDRY_0/w_n376_n376#" "sky130_fd_pr__diode_pw2nd_05v5_3P6M5Y_0/w_n238_n238#" -25688.6 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 15119 -11472 -8362095 -24460 178160 -3442 -34500632 -51550 144588 -3470 -8918894 -28524 0 0
-merge "sky130_fd_pr__diode_pw2nd_05v5_3P6M5Y_0/w_n238_n238#" "sky130_fd_sc_hd__buf_2_4/VNB"
-merge "sky130_fd_sc_hd__buf_2_4/VNB" "sky130_fd_sc_hd__buf_2_4/VGND"
-merge "sky130_fd_sc_hd__buf_2_4/VGND" "sky130_fd_sc_hd__buf_16_4/VNB"
-merge "sky130_fd_sc_hd__buf_16_4/VNB" "sky130_fd_sc_hd__buf_16_4/VGND"
-merge "sky130_fd_sc_hd__buf_16_4/VGND" "sky130_fd_sc_hd__buf_16_1/VGND"
-merge "sky130_fd_sc_hd__buf_16_1/VGND" "sky130_fd_sc_hd__buf_16_1/VNB"
-merge "sky130_fd_sc_hd__buf_16_1/VNB" "sky130_fd_sc_hd__buf_16_0/VGND"
-merge "sky130_fd_sc_hd__buf_16_0/VGND" "sky130_fd_sc_hd__buf_16_0/VNB"
-merge "sky130_fd_sc_hd__buf_16_0/VNB" "sky130_fd_sc_hd__buf_2_3/VNB"
-merge "sky130_fd_sc_hd__buf_2_3/VNB" "sky130_fd_sc_hd__buf_2_3/VGND"
-merge "sky130_fd_sc_hd__buf_2_3/VGND" "sky130_fd_sc_hd__buf_2_2/VNB"
-merge "sky130_fd_sc_hd__buf_2_2/VNB" "sky130_fd_sc_hd__buf_2_2/VGND"
-merge "sky130_fd_sc_hd__buf_2_2/VGND" "sky130_fd_sc_hd__buf_2_1/VNB"
-merge "sky130_fd_sc_hd__buf_2_1/VNB" "sky130_fd_sc_hd__buf_2_1/VGND"
-merge "sky130_fd_sc_hd__buf_2_1/VGND" "sky130_fd_sc_hd__buf_2_0/VGND"
-merge "sky130_fd_sc_hd__buf_2_0/VGND" "sky130_fd_sc_hd__buf_2_0/VNB"
-merge "sky130_fd_sc_hd__buf_2_0/VNB" "sky130_fd_sc_hd__buf_16_2/VNB"
-merge "sky130_fd_sc_hd__buf_16_2/VNB" "sky130_fd_sc_hd__buf_16_2/VGND"
-merge "sky130_fd_sc_hd__buf_16_2/VGND" "sky130_fd_sc_hd__buf_16_3/VNB"
-merge "sky130_fd_sc_hd__buf_16_3/VNB" "sky130_fd_sc_hd__buf_16_3/VGND"
-merge "sky130_fd_sc_hd__buf_16_3/VGND" "comparator_v6_0/a_86_n1150#"
-merge "comparator_v6_0/a_86_n1150#" "comparator_v6_0/GND"
-merge "comparator_v6_0/GND" "GND"
-merge "sky130_fd_pr__diode_pd2nw_05v5_G4XDRY_0/a_n100_n100#" "sky130_fd_pr__diode_pw2nd_05v5_3P6M5Y_0/a_n100_n100#" -8908.82 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 -36254 -216 -775439 -1552 -132408 -3324 -13281102 -21938 0 0 0 0 0 0
+merge "sky130_fd_pr__diode_pd2nw_05v5_RT56W3_1/a_n45_n45#" "sky130_fd_pr__diode_pw2nd_05v5_3P6M5Y_0/a_n100_n100#" -8657.11 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 -36254 -216 -857453 -1112 -29400 -2944 -13102342 -26562 0 0 0 0 0 0
 merge "sky130_fd_pr__diode_pw2nd_05v5_3P6M5Y_0/a_n100_n100#" "sky130_fd_sc_hd__buf_2_3/A"
 merge "sky130_fd_sc_hd__buf_2_3/A" "CLKBAR"
 merge "sky130_fd_sc_hd__buf_2_4/X" "sky130_fd_sc_hd__buf_16_4/A" -87.6249 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 -7004 -376 0 0 0 0 0 0 0 0 0 0 0 0
 merge "sky130_fd_sc_hd__buf_16_4/A" "li_569558_688285#"
 merge "sky130_fd_sc_hd__buf_2_1/A" "comparator_v6_0/Outp" -371.169 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 6524 -226 -123728 -1298 0 0 0 0 0 0 0 0 0 0
 merge "comparator_v6_0/Outp" "li_448544_589824#"
-merge "sky130_fd_sc_hd__buf_16_1/X" "Outp" -6860.87 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 16632 -250 0 0 0 0 -12500000 -15000 0 0 0 0 0 0
+merge "sky130_fd_sc_hd__buf_16_1/X" "Outp" -7885.87 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 16632 -250 0 0 0 0 -12500000 -20000 0 0 0 0 0 0
 merge "sky130_fd_sc_hd__buf_16_0/A" "sky130_fd_sc_hd__buf_2_0/X" -44.3971 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 7422 -232 0 0 0 0 0 0 0 0 0 0 0 0
-merge "sky130_fd_sc_hd__buf_2_0/X" "L1"
+merge "sky130_fd_sc_hd__buf_2_0/X" "li_415494_686562#"
 merge "comparator_v6_0/CLK" "sky130_fd_sc_hd__buf_16_3/X" -662.445 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 -77592 -354 0 0 0 0 -139600 -400 0 0 0 0 0 0
 merge "sky130_fd_sc_hd__buf_16_3/X" "li_408616_585444#"
 merge "sky130_fd_sc_hd__buf_2_2/X" "sky130_fd_sc_hd__buf_16_3/A" -477.479 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 -435685 -208 0 0 0 0 0 0 0 0 0 0 0 0
 merge "sky130_fd_sc_hd__buf_16_3/A" "li_70526_671944#"
 merge "comparator_v6_0/CLKBAR" "sky130_fd_sc_hd__buf_16_2/X" -2312.81 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 -433496 -286 0 0 0 0 350736 -10730 0 0 0 0 0 0
 merge "sky130_fd_sc_hd__buf_16_2/X" "li_408624_587536#"
-merge "sky130_fd_sc_hd__buf_16_1/A" "sky130_fd_sc_hd__buf_2_1/X" -203.39 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 -8391 -938 0 0 0 0 0 0 0 0 0 0 0 0
-merge "sky130_fd_sc_hd__buf_2_1/X" "L2"
-merge "sky130_fd_sc_hd__buf_2_4/A" "Iin" -6835.76 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 38842 -178 0 0 0 0 -12500000 -15000 0 0 0 0 0 0
+merge "sky130_fd_pr__diode_pd2nw_05v5_RT56W3_0/a_n45_n45#" "sky130_fd_pr__diode_pw2nd_05v5_GT7G3L_0/a_n45_n45#" -9163.64 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 3276 -258 2572 -792 -37544 -2940 -12625630 -26852 0 0 0 0 0 0
+merge "sky130_fd_pr__diode_pw2nd_05v5_GT7G3L_0/a_n45_n45#" "sky130_fd_sc_hd__buf_2_2/A"
+merge "sky130_fd_sc_hd__buf_2_2/A" "CLK"
+merge "sky130_fd_sc_hd__buf_2_4/A" "io_analog[1]" -7860.76 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 38842 -178 0 0 0 0 -12500000 -20000 0 0 0 0 0 0
 merge "sky130_fd_sc_hd__buf_2_0/A" "comparator_v6_0/Outn" -386.897 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 14180 -272 -1796 -1456 0 0 0 0 0 0 0 0 0 0
 merge "comparator_v6_0/Outn" "li_440216_589824#"
 merge "sky130_fd_sc_hd__buf_2_3/X" "li_122782_673260#" -130.151 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 -32714 -332 0 0 0 0 0 0 0 0 0 0 0 0
 merge "li_122782_673260#" "sky130_fd_sc_hd__buf_16_2/A"
 merge "sky130_fd_sc_hd__buf_16_2/A" "li_122514_671986#"
-merge "sky130_fd_sc_hd__buf_2_2/A" "CLK" -6884.21 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 3276 -258 0 0 0 0 -12500000 -15000 0 0 0 0 0 0
+merge "sky130_fd_sc_hd__buf_16_1/A" "sky130_fd_sc_hd__buf_2_1/X" -203.39 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 -8391 -938 0 0 0 0 0 0 0 0 0 0 0 0
+merge "sky130_fd_sc_hd__buf_2_1/X" "li_448556_593328#"
 merge "sky130_fd_sc_hd__buf_16_4/X" "io_analog[0]" -55.687 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 -3192 -206 0 0 0 0 0 0 0 0 0 0 0 0
-merge "comparator_v6_0/Vn" "Vn" -8097.78 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 37044 -752 0 0 0 0 0 0 -25000748 -30008 0 0
-merge "sky130_fd_sc_hd__buf_16_0/X" "li_415508_688595#" -6889.39 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 17844 -348 0 0 0 0 -12500000 -15000 0 0 0 0 0 0
+merge "comparator_v6_0/Vn" "Vn" -10186.3 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 37044 -752 0 0 0 0 0 0 -24939248 -40010 0 0
+merge "sky130_fd_sc_hd__buf_16_0/X" "li_415508_688595#" -7914.39 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 17844 -348 0 0 0 0 -12500000 -20000 0 0 0 0 0 0
 merge "li_415508_688595#" "Outn"
-merge "comparator_v6_0/Vp" "Vp" -10565 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 -750736 -672 0 0 0 0 0 0 -25990578 -38399 0 0
+merge "comparator_v6_0/Vp" "Vp" -12500.1 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 -750736 -672 0 0 0 0 0 0 -25965118 -48323 0 0
diff --git a/mag/user_analog_project_wrapper.mag b/mag/user_analog_project_wrapper.mag
index 9cabaa4..4703ef1 100644
--- a/mag/user_analog_project_wrapper.mag
+++ b/mag/user_analog_project_wrapper.mag
@@ -1,10 +1,9 @@
 magic
 tech sky130A
 magscale 1 2
-timestamp 1653478184
+timestamp 1654329807
 << nwell >>
 rect 415108 688720 415488 688888
-rect 73195 687057 73671 687533
 rect 415108 686652 415228 688720
 rect 569596 688682 569878 688684
 rect 569596 688404 569920 688682
@@ -23,32 +22,9 @@
 rect 448410 591030 448734 591294
 rect 408706 587622 409044 587940
 rect 408694 585520 408968 585840
-<< pwell >>
-rect 67344 687068 67820 687544
-rect 73057 687533 73809 687671
-rect 73057 687057 73195 687533
-rect 73671 687057 73809 687533
-rect 73057 686919 73809 687057
-<< psubdiff >>
-rect 73093 687601 73773 687635
-rect 67380 687474 67784 687508
-rect 67380 687412 67414 687474
-rect 67750 687412 67784 687474
-rect 67380 687138 67414 687200
-rect 67750 687138 67784 687200
-rect 67380 687104 67784 687138
-rect 73093 686989 73127 687601
-rect 73739 686989 73773 687601
-rect 73093 686955 73773 686989
 << nsubdiff >>
 rect 569646 688494 569670 688568
 rect 569834 688494 569858 688568
-rect 73231 687463 73635 687497
-rect 73231 687401 73265 687463
-rect 73601 687401 73635 687463
-rect 73231 687127 73265 687189
-rect 73601 687127 73635 687189
-rect 73231 687093 73635 687127
 rect 467044 686534 467068 686592
 rect 467202 686534 467226 686592
 rect 415174 686450 415198 686512
@@ -65,13 +41,8 @@
 rect 408830 587678 408894 587702
 rect 408794 585778 408858 585802
 rect 408794 585596 408858 585620
-<< psubdiffcont >>
-rect 67380 687200 67414 687412
-rect 67750 687200 67784 687412
 << nsubdiffcont >>
 rect 569670 688494 569834 688568
-rect 73231 687189 73265 687401
-rect 73601 687189 73635 687401
 rect 467068 686534 467202 686592
 rect 415198 686450 415338 686512
 rect 70848 673848 70976 673896
@@ -80,20 +51,6 @@
 rect 448502 591098 448640 591158
 rect 408830 587702 408894 587846
 rect 408794 585620 408858 585778
-<< pdiode >>
-rect 73333 687383 73533 687395
-rect 73333 687207 73345 687383
-rect 73521 687207 73533 687383
-rect 73333 687195 73533 687207
-<< ndiode >>
-rect 67482 687394 67682 687406
-rect 67482 687218 67494 687394
-rect 67670 687218 67682 687394
-rect 67482 687206 67682 687218
-<< pdiodec >>
-rect 73345 687207 73521 687383
-<< ndiodec >>
-rect 67494 687218 67670 687394
 << locali >>
 rect 467252 691416 467818 691454
 rect 415410 691358 415468 691360
@@ -143,26 +100,6 @@
 rect 412670 687812 413812 687976
 rect 413996 687812 414212 687976
 rect 414396 687812 415068 687976
-rect 73093 687601 73773 687635
-rect 67380 687474 67784 687508
-rect 67380 687412 67414 687474
-rect 67750 687412 67784 687474
-rect 67478 687218 67494 687394
-rect 67670 687218 67686 687394
-rect 67380 687138 67414 687200
-rect 67750 687138 67784 687200
-rect 67380 687104 67784 687138
-rect 73093 686989 73127 687601
-rect 73231 687463 73635 687497
-rect 73231 687401 73265 687463
-rect 73601 687402 73635 687463
-rect 73329 687207 73345 687383
-rect 73521 687207 73537 687383
-rect 73231 687127 73265 687189
-rect 73601 687127 73635 687188
-rect 73231 687093 73635 687127
-rect 73739 686989 73773 687601
-rect 73093 686955 73773 686989
 rect 412670 687576 415068 687812
 rect 412670 687412 413812 687576
 rect 413996 687412 414212 687576
@@ -648,7 +585,7 @@
 rect 405242 584562 410086 584626
 << viali >>
 rect 119380 695200 119414 695412
-rect 125600 695188 125640 695402
+rect 125571 695233 125605 695335
 rect 415392 691208 415494 691316
 rect 415752 691208 415854 691316
 rect 415392 690968 415494 691076
@@ -665,16 +602,10 @@
 rect 414212 688212 414396 688376
 rect 413812 687812 413996 687976
 rect 414212 687812 414396 687976
-rect 67380 687200 67414 687412
-rect 67494 687218 67670 687394
-rect 73600 687401 73640 687402
-rect 73345 687207 73521 687383
-rect 73600 687189 73601 687401
-rect 73601 687189 73635 687401
-rect 73635 687189 73640 687401
-rect 73600 687188 73640 687189
 rect 413812 687412 413996 687576
 rect 414212 687412 414396 687576
+rect 67401 687251 67435 687353
+rect 73573 687233 73607 687335
 rect 413812 687012 413996 687176
 rect 414212 687012 414396 687176
 rect 416278 688212 416396 688376
@@ -874,7 +805,6 @@
 rect 118982 695430 119314 695452
 rect 119474 695442 119988 695450
 rect 120218 695446 124754 696194
-rect 126154 695464 126622 695526
 rect 120218 695442 120660 695446
 rect 118982 695156 119008 695430
 rect 119292 695412 119428 695430
@@ -890,15 +820,17 @@
 rect 122352 694918 122860 695446
 rect 123452 694918 123960 695446
 rect 124552 695442 124754 695446
-rect 124988 695442 125534 695450
-rect 124552 695160 125534 695442
+rect 126154 695464 126622 695526
+rect 124552 695160 125518 695442
+rect 125558 695416 125884 695418
 rect 126154 695416 126230 695464
-rect 125586 695402 126230 695416
-rect 125586 695188 125600 695402
-rect 125640 695188 126230 695402
-rect 125586 695174 126230 695188
+rect 125558 695335 126230 695416
+rect 125558 695233 125571 695335
+rect 125605 695233 126230 695335
+rect 125558 695174 126230 695233
+rect 125558 695172 125884 695174
 rect 124552 694918 124754 695160
-rect 124988 695158 125534 695160
+rect 124980 695152 125518 695160
 rect 126154 695110 126230 695174
 rect 126562 695110 126622 695464
 rect 126154 695056 126622 695110
@@ -945,7 +877,6 @@
 rect 413996 688212 414212 688376
 rect 414396 688212 415224 688376
 rect 66982 687430 67314 687452
-rect 67474 687442 67988 687450
 rect 68218 687446 72754 688194
 rect 413726 687976 415224 688212
 rect 413726 687812 413812 687976
@@ -953,36 +884,34 @@
 rect 414396 687812 415224 687976
 rect 413726 687576 415224 687812
 rect 74154 687464 74622 687526
-rect 68218 687442 68660 687446
+rect 73002 687450 73512 687454
+rect 67374 687430 67448 687432
 rect 66982 687156 67008 687430
-rect 67292 687412 67428 687430
-rect 67292 687200 67380 687412
-rect 67414 687200 67428 687412
-rect 67292 687186 67428 687200
-rect 67474 687394 68660 687442
-rect 67474 687218 67494 687394
-rect 67670 687218 68660 687394
+rect 67292 687353 67448 687430
+rect 68218 687384 68660 687446
+rect 67874 687382 68660 687384
+rect 67292 687251 67401 687353
+rect 67435 687251 67448 687353
+rect 67292 687186 67448 687251
+rect 67498 687232 68660 687382
+rect 67874 687230 68660 687232
 rect 67292 687156 67314 687186
-rect 67474 687160 68660 687218
-rect 67474 687158 67988 687160
 rect 66982 687134 67314 687156
-rect 68218 686918 68660 687160
+rect 68218 686918 68660 687230
 rect 69252 686918 69760 687446
 rect 70352 686918 70860 687446
 rect 71452 686918 71960 687446
 rect 72552 687442 72754 687446
-rect 72988 687442 73534 687450
-rect 72552 687383 73534 687442
+rect 72988 687442 73512 687450
+rect 72552 687160 73512 687442
 rect 74154 687416 74230 687464
-rect 72552 687207 73345 687383
-rect 73521 687207 73534 687383
-rect 72552 687160 73534 687207
-rect 73586 687402 74230 687416
-rect 73586 687188 73600 687402
-rect 73640 687188 74230 687402
-rect 73586 687174 74230 687188
+rect 73566 687335 74230 687416
+rect 73566 687233 73573 687335
+rect 73607 687233 74230 687335
+rect 73566 687174 74230 687233
 rect 72552 686918 72754 687160
-rect 72988 687158 73534 687160
+rect 72988 687158 73512 687160
+rect 73002 687156 73512 687158
 rect 74154 687110 74230 687174
 rect 74562 687110 74622 687464
 rect 74154 687056 74622 687110
@@ -2784,14 +2713,10 @@
 rect 68194 702928 73194 704800
 rect 120194 703294 125194 704800
 rect 68150 691494 73222 702928
-rect 118982 695430 119314 695454
-rect 118982 695156 119008 695430
-rect 119292 695156 119314 695430
-rect 118982 695134 119314 695156
-rect 120124 695446 125196 703294
-rect 165596 702540 170596 704800
+rect 120124 695548 125196 703294
+rect 165594 700170 170596 704800
 rect 170894 702714 173094 704800
-rect 165554 702300 170596 702540
+rect 165578 698240 170596 700170
 rect 170854 702300 173094 702714
 rect 173394 703810 175594 704800
 rect 173394 702300 175744 703810
@@ -2809,36 +2734,45 @@
 rect 413390 702300 418394 703906
 rect 465394 702880 470394 704800
 rect 510594 702892 515394 704800
+rect 118982 695430 119314 695454
+rect 118982 695156 119008 695430
+rect 119292 695156 119314 695430
+rect 118982 695134 119314 695156
+rect 120124 695446 125194 695548
 rect 120124 694918 120660 695446
 rect 121252 694918 121760 695446
 rect 122352 694918 122860 695446
 rect 123452 694918 123960 695446
-rect 124552 694918 125196 695446
+rect 124552 695442 125194 695446
 rect 126152 695464 126622 695524
+rect 124552 694918 125196 695442
 rect 126152 695110 126230 695464
 rect 126562 695110 126622 695464
 rect 126152 695052 126622 695110
 rect 120124 693214 125196 694918
-rect 165554 693934 170570 702300
 rect 119960 692034 125224 693214
 rect 170854 692700 173082 702300
 rect 170832 692140 173082 692700
 rect 68096 691136 73222 691494
 rect 68096 689728 73170 691136
+rect 68096 687716 73196 689728
+rect 68096 687454 73194 687716
+rect 74152 687464 74622 687524
 rect 66982 687430 67314 687454
 rect 66982 687156 67008 687430
 rect 67292 687156 67314 687430
 rect 66982 687134 67314 687156
-rect 68096 687446 73196 689728
+rect 68096 687446 73512 687454
 rect 68096 686918 68660 687446
 rect 69252 686918 69760 687446
 rect 70352 686918 70860 687446
 rect 71452 686918 71960 687446
-rect 72552 686918 73196 687446
-rect 74152 687464 74622 687524
+rect 72552 687156 73512 687446
+rect 72552 686919 73194 687156
 rect 74152 687110 74230 687464
 rect 74562 687110 74622 687464
 rect 74152 687052 74622 687110
+rect 72552 686918 73196 686919
 rect 68096 685364 73196 686918
 rect -800 680242 1700 685242
 rect 68096 683432 73170 685364
@@ -3711,12 +3645,11 @@
 rect 408022 580628 408800 581174
 rect 409222 580628 410000 581174
 << metal4 >>
-rect 165596 702540 170596 704800
-rect 165554 702300 170596 702540
-rect 175896 702434 180896 704800
-rect 175864 702300 180896 702434
+rect 165594 700170 170596 704800
+rect 175896 704714 180896 704800
+rect 175894 702434 180896 704714
 rect 217294 702970 222294 704800
-rect 217294 702300 222336 702970
+rect 217294 702300 222298 702970
 rect 227594 702926 232594 704800
 rect 74154 687464 74702 687572
 rect 86764 687466 98118 697602
@@ -3728,6 +3661,8 @@
 rect 126154 695110 126230 695464
 rect 126562 695454 126702 695464
 rect 136728 695456 148082 698648
+rect 165578 698240 170596 700170
+rect 217306 700998 222298 702300
 rect 128578 695454 148082 695456
 rect 126562 695134 148082 695454
 rect 126562 695110 126698 695134
@@ -3745,28 +3680,11 @@
 rect 74154 686992 74698 687110
 rect 86764 676416 98118 687134
 rect 86766 674816 98118 676416
-rect 71292 673756 71840 673864
-rect 78430 673758 81324 673770
-rect 86764 673758 98118 674816
-rect 69982 673732 70314 673756
-rect 69982 673458 70008 673732
-rect 70292 673458 70314 673732
-rect 69982 673436 70314 673458
-rect 71292 673402 71368 673756
-rect 71700 673746 71840 673756
-rect 75168 673746 98118 673758
-rect 71700 673426 98118 673746
-rect 71700 673402 71836 673426
-rect 71292 673284 71836 673402
-rect 86764 648996 98118 673426
-rect 103262 673632 111234 688592
 rect 136728 676412 148082 695132
-rect 165554 696162 170570 702300
-rect 165554 693934 170586 696162
-rect 165556 682686 170586 693934
-rect 175864 682686 180870 702300
-rect 217306 684124 222336 702300
-rect 165510 682660 187876 682686
+rect 217306 684124 222336 700998
+rect 170578 677212 175880 682686
+rect 175818 677200 175880 677212
+rect 180902 682660 187876 682686
 rect 217280 682660 222336 684124
 rect 227582 694624 232594 702926
 rect 318994 702300 323994 704800
@@ -3818,9 +3736,10 @@
 rect 416120 686950 416568 686962
 rect 416852 686950 416882 687254
 rect 416120 686948 416882 686950
-rect 165510 682658 191412 682660
+rect 180902 682658 191412 682660
 rect 208250 682658 232548 682660
-rect 165510 677212 191572 682658
+rect 180902 677212 191572 682658
+rect 180902 677200 181542 677212
 rect 185920 677168 191572 677212
 rect 186724 676918 191572 677168
 rect 204138 677168 232548 682658
@@ -3920,6 +3839,21 @@
 rect 570574 685480 575926 685684
 rect 570574 683716 575942 685480
 rect 204138 676918 209704 677168
+rect 71292 673756 71840 673864
+rect 78430 673758 81324 673770
+rect 86764 673758 98118 674816
+rect 69982 673732 70314 673756
+rect 69982 673458 70008 673732
+rect 70292 673458 70314 673732
+rect 69982 673436 70314 673458
+rect 71292 673402 71368 673756
+rect 71700 673746 71840 673756
+rect 75168 673746 98118 673758
+rect 71700 673426 98118 673746
+rect 71700 673402 71836 673426
+rect 71292 673284 71836 673402
+rect 86764 648996 98118 673426
+rect 103262 673632 111234 675078
 rect 136838 674412 148082 676412
 rect 119710 673632 121470 673634
 rect 103262 673494 122298 673632
@@ -3986,8 +3920,10 @@
 rect 116546 631162 135504 631184
 rect 151526 631162 353834 631184
 rect 116546 631140 135360 631162
-rect 186722 585092 191570 631162
-rect 204138 591614 209704 631162
+rect 186722 630690 191570 631162
+rect 204138 630690 209704 631162
+rect 186722 585092 191570 604984
+rect 204138 591614 209704 604984
 rect 204098 590968 209704 591614
 rect 417434 592326 424178 631874
 rect 565206 629758 567668 632060
@@ -4132,7 +4068,6 @@
 << via4 >>
 rect 119008 695156 119292 695430
 rect 67008 687156 67292 687430
-rect 70008 673458 70292 673732
 rect 416166 687976 416450 688042
 rect 416166 687812 416212 687976
 rect 416212 687812 416396 687976
@@ -4195,6 +4130,7 @@
 rect 568622 687012 568806 687176
 rect 568806 687012 568852 687176
 rect 568568 686950 568852 687012
+rect 70008 673458 70292 673732
 rect 121808 673220 122092 673494
 rect 222764 656624 224356 664238
 rect 442366 591844 442650 591910
@@ -4244,15 +4180,14 @@
 rect 408022 580628 408800 581174
 rect 409222 580628 410000 581174
 << metal5 >>
-rect 165596 702540 170596 704800
-rect 165554 702300 170596 702540
-rect 175896 702434 180896 704800
-rect 175864 702300 180896 702434
+rect 165594 700170 170596 704800
+rect 175894 702300 180896 704800
 rect 217294 702970 222294 704800
-rect 217294 702300 222336 702970
+rect 217294 702300 222298 702970
 rect 227594 702926 232594 704800
+rect 175894 702254 180892 702300
+rect 165578 698240 170596 700170
 rect 44678 696132 54410 697566
-rect 165554 696162 170570 702300
 rect 44678 695462 54460 696132
 rect 44678 695454 116830 695462
 rect 118970 695454 119334 695486
@@ -4263,30 +4198,24 @@
 rect 44678 695062 116830 695134
 rect 118970 695110 119334 695134
 rect 44678 691616 54460 695062
-rect 165554 693934 170586 696162
-rect 44804 687444 54460 691616
+rect 44804 687468 54460 691616
+rect 44804 687454 66236 687468
 rect 66970 687454 67334 687486
-rect 66094 687444 67334 687454
-rect 44804 687430 67334 687444
+rect 44804 687430 67334 687454
 rect 44804 687156 67008 687430
 rect 67292 687156 67334 687430
 rect 44804 687134 67334 687156
-rect 44804 687110 66354 687134
+rect 44804 687126 66354 687134
+rect 44804 687110 54468 687126
 rect 66970 687110 67334 687134
 rect 44804 673902 54460 687110
-rect 44804 673888 66874 673902
-rect 67330 673888 70382 673902
-rect 44804 673732 70382 673888
-rect 44804 673458 70008 673732
-rect 70292 673458 70382 673732
-rect 44804 673316 70382 673458
-rect 44804 661200 54460 673316
-rect 66380 673302 70382 673316
-rect 103262 673632 111234 688592
-rect 165556 682686 170586 693934
-rect 175864 682686 180870 702300
-rect 217306 684124 222336 702300
-rect 165510 682660 187876 682686
+rect 165596 684280 170596 698240
+rect 165600 682626 170596 684280
+rect 175888 683670 180892 702254
+rect 217306 700998 222298 702300
+rect 217306 684124 222336 700998
+rect 175908 682686 180892 683670
+rect 175908 682660 187876 682686
 rect 217280 682660 222336 684124
 rect 227582 694624 232594 702926
 rect 318994 702300 323994 704800
@@ -4346,10 +4275,21 @@
 rect 524152 686950 568568 686962
 rect 568852 686950 568936 687254
 rect 524152 686746 568936 686950
-rect 165510 682658 191412 682660
+rect 175908 682658 191412 682660
 rect 208250 682658 232548 682660
-rect 165510 677212 191572 682658
+rect 175908 682626 191572 682658
+rect 165600 677212 191572 682626
+rect 175818 677200 181542 677212
 rect 185920 677168 191572 677212
+rect 44804 673888 66874 673902
+rect 67330 673888 70382 673902
+rect 44804 673732 70382 673888
+rect 44804 673458 70008 673732
+rect 70292 673458 70382 673732
+rect 44804 673316 70382 673458
+rect 44804 661200 54460 673316
+rect 66380 673302 70382 673316
+rect 103262 673632 111234 675078
 rect 119710 673632 121470 673634
 rect 103262 673494 122298 673632
 rect 103262 673220 121808 673494
@@ -4489,14 +4429,22 @@
 timestamp 1653472115
 transform 0 1 419250 1 0 584722
 box -2598 -1934 4390 5556
-use sky130_fd_pr__diode_pd2nw_05v5_G4XDRY  sky130_fd_pr__diode_pd2nw_05v5_G4XDRY_0
-timestamp 1647842470
-transform 1 0 125433 0 1 695295
-box -376 -376 376 376
+use sky130_fd_pr__diode_pd2nw_05v5_RT56W3  sky130_fd_pr__diode_pd2nw_05v5_RT56W3_0
+timestamp 1654068905
+transform 1 0 73460 0 1 687284
+box -321 -321 321 321
+use sky130_fd_pr__diode_pd2nw_05v5_RT56W3  sky130_fd_pr__diode_pd2nw_05v5_RT56W3_1
+timestamp 1654068905
+transform 1 0 125458 0 1 695284
+box -321 -321 321 321
 use sky130_fd_pr__diode_pw2nd_05v5_3P6M5Y  sky130_fd_pr__diode_pw2nd_05v5_3P6M5Y_0
 timestamp 1647842470
 transform 1 0 119582 0 1 695306
 box -238 -238 238 238
+use sky130_fd_pr__diode_pw2nd_05v5_GT7G3L  sky130_fd_pr__diode_pw2nd_05v5_GT7G3L_0
+timestamp 1654065255
+transform 1 0 67548 0 1 687302
+box -183 -183 183 183
 use sky130_fd_sc_hd__buf_2  sky130_fd_sc_hd__buf_2_0 $PDKPATH/libs.ref/sky130_fd_sc_hd/mag
 timestamp 1643856600
 transform 0 -1 440640 1 0 591294
@@ -4538,1395 +4486,1390 @@
 transform 0 1 569338 -1 0 688388
 box -38 -48 2062 592
 << labels >>
-flabel metal3 s 583520 269230 584800 269342 0 FreeSans 1120 0 0 0 gpio_analog[0]
-port 0 nsew signal bidirectional
-flabel metal3 s -800 381864 480 381976 0 FreeSans 1120 0 0 0 gpio_analog[10]
-port 1 nsew signal bidirectional
-flabel metal3 s -800 338642 480 338754 0 FreeSans 1120 0 0 0 gpio_analog[11]
-port 2 nsew signal bidirectional
-flabel metal3 s -800 295420 480 295532 0 FreeSans 1120 0 0 0 gpio_analog[12]
-port 3 nsew signal bidirectional
-flabel metal3 s -800 252398 480 252510 0 FreeSans 1120 0 0 0 gpio_analog[13]
-port 4 nsew signal bidirectional
-flabel metal3 s -800 124776 480 124888 0 FreeSans 1120 0 0 0 gpio_analog[14]
-port 5 nsew signal bidirectional
-flabel metal3 s -800 81554 480 81666 0 FreeSans 1120 0 0 0 gpio_analog[15]
-port 6 nsew signal bidirectional
-flabel metal3 s -800 38332 480 38444 0 FreeSans 1120 0 0 0 gpio_analog[16]
-port 7 nsew signal bidirectional
-flabel metal3 s -800 16910 480 17022 0 FreeSans 1120 0 0 0 gpio_analog[17]
-port 8 nsew signal bidirectional
-flabel metal3 s 583520 313652 584800 313764 0 FreeSans 1120 0 0 0 gpio_analog[1]
-port 9 nsew signal bidirectional
-flabel metal3 s 583520 358874 584800 358986 0 FreeSans 1120 0 0 0 gpio_analog[2]
-port 10 nsew signal bidirectional
-flabel metal3 s 583520 405296 584800 405408 0 FreeSans 1120 0 0 0 gpio_analog[3]
-port 11 nsew signal bidirectional
-flabel metal3 s 583520 449718 584800 449830 0 FreeSans 1120 0 0 0 gpio_analog[4]
-port 12 nsew signal bidirectional
-flabel metal3 s 583520 494140 584800 494252 0 FreeSans 1120 0 0 0 gpio_analog[5]
-port 13 nsew signal bidirectional
-flabel metal3 s 583520 583562 584800 583674 0 FreeSans 1120 0 0 0 gpio_analog[6]
-port 14 nsew signal bidirectional
-flabel metal3 s -800 511530 480 511642 0 FreeSans 1120 0 0 0 gpio_analog[7]
-port 15 nsew signal bidirectional
-flabel metal3 s -800 468308 480 468420 0 FreeSans 1120 0 0 0 gpio_analog[8]
-port 16 nsew signal bidirectional
-flabel metal3 s -800 425086 480 425198 0 FreeSans 1120 0 0 0 gpio_analog[9]
-port 17 nsew signal bidirectional
-flabel metal3 s 583520 270412 584800 270524 0 FreeSans 1120 0 0 0 gpio_noesd[0]
-port 18 nsew signal bidirectional
-flabel metal3 s -800 380682 480 380794 0 FreeSans 1120 0 0 0 gpio_noesd[10]
-port 19 nsew signal bidirectional
-flabel metal3 s -800 337460 480 337572 0 FreeSans 1120 0 0 0 gpio_noesd[11]
-port 20 nsew signal bidirectional
-flabel metal3 s -800 294238 480 294350 0 FreeSans 1120 0 0 0 gpio_noesd[12]
-port 21 nsew signal bidirectional
-flabel metal3 s -800 251216 480 251328 0 FreeSans 1120 0 0 0 gpio_noesd[13]
-port 22 nsew signal bidirectional
-flabel metal3 s -800 123594 480 123706 0 FreeSans 1120 0 0 0 gpio_noesd[14]
-port 23 nsew signal bidirectional
-flabel metal3 s -800 80372 480 80484 0 FreeSans 1120 0 0 0 gpio_noesd[15]
-port 24 nsew signal bidirectional
-flabel metal3 s -800 37150 480 37262 0 FreeSans 1120 0 0 0 gpio_noesd[16]
-port 25 nsew signal bidirectional
-flabel metal3 s -800 15728 480 15840 0 FreeSans 1120 0 0 0 gpio_noesd[17]
-port 26 nsew signal bidirectional
-flabel metal3 s 583520 314834 584800 314946 0 FreeSans 1120 0 0 0 gpio_noesd[1]
-port 27 nsew signal bidirectional
-flabel metal3 s 583520 360056 584800 360168 0 FreeSans 1120 0 0 0 gpio_noesd[2]
-port 28 nsew signal bidirectional
-flabel metal3 s 583520 406478 584800 406590 0 FreeSans 1120 0 0 0 gpio_noesd[3]
-port 29 nsew signal bidirectional
-flabel metal3 s 583520 450900 584800 451012 0 FreeSans 1120 0 0 0 gpio_noesd[4]
-port 30 nsew signal bidirectional
-flabel metal3 s 583520 495322 584800 495434 0 FreeSans 1120 0 0 0 gpio_noesd[5]
-port 31 nsew signal bidirectional
-flabel metal3 s 583520 584744 584800 584856 0 FreeSans 1120 0 0 0 gpio_noesd[6]
-port 32 nsew signal bidirectional
-flabel metal3 s -800 510348 480 510460 0 FreeSans 1120 0 0 0 gpio_noesd[7]
-port 33 nsew signal bidirectional
-flabel metal3 s -800 467126 480 467238 0 FreeSans 1120 0 0 0 gpio_noesd[8]
-port 34 nsew signal bidirectional
-flabel metal3 s -800 423904 480 424016 0 FreeSans 1120 0 0 0 gpio_noesd[9]
-port 35 nsew signal bidirectional
-flabel metal3 s 582300 677984 584800 682984 0 FreeSans 1120 0 0 0 io_analog[0]
-port 36 nsew signal bidirectional
-flabel metal3 s 0 680242 1700 685242 0 FreeSans 1120 0 0 0 io_analog[10]
-port 37 nsew signal bidirectional
-flabel metal3 s 566594 702300 571594 704800 0 FreeSans 1920 180 0 0 io_analog[1]
-port 38 nsew signal bidirectional
-flabel metal3 s 465394 702300 470394 704800 0 FreeSans 1920 180 0 0 io_analog[2]
-port 39 nsew signal bidirectional
-flabel metal3 s 413394 702300 418394 704800 0 FreeSans 1920 180 0 0 io_analog[3]
-port 40 nsew signal bidirectional
-flabel metal3 s 329294 702300 334294 704800 0 FreeSans 1920 180 0 0 io_analog[4]
-port 41 nsew signal bidirectional
-flabel metal4 s 329294 702300 334294 704800 0 FreeSans 1920 180 0 0 io_analog[4]
-port 41 nsew signal bidirectional
-flabel metal5 s 329294 702300 334294 704800 0 FreeSans 1920 180 0 0 io_analog[4]
-port 41 nsew signal bidirectional
-flabel metal3 s 227594 702300 232594 704800 0 FreeSans 1920 180 0 0 io_analog[5]
-port 42 nsew signal bidirectional
-flabel metal4 s 227594 702300 232594 704800 0 FreeSans 1920 180 0 0 io_analog[5]
-port 42 nsew signal bidirectional
-flabel metal5 s 227594 702300 232594 704800 0 FreeSans 1920 180 0 0 io_analog[5]
-port 42 nsew signal bidirectional
-flabel metal5 s 175894 702300 180894 704800 0 FreeSans 1920 180 0 0 io_analog[6]
-port 43 nsew signal bidirectional
-flabel metal3 s 120194 702300 125194 704800 0 FreeSans 1920 180 0 0 io_analog[7]
-port 44 nsew signal bidirectional
-flabel metal3 s 68194 702300 73194 704800 0 FreeSans 1920 180 0 0 io_analog[8]
-port 45 nsew signal bidirectional
-flabel metal3 s 16194 702300 21194 704800 0 FreeSans 1920 180 0 0 io_analog[9]
-port 46 nsew signal bidirectional
-flabel metal3 s 318994 702300 323994 704800 0 FreeSans 1920 180 0 0 io_analog[4]
-port 47 nsew signal bidirectional
-flabel metal4 s 318994 702300 323994 704800 0 FreeSans 1920 180 0 0 io_analog[4]
-port 47 nsew signal bidirectional
-flabel metal5 s 318994 702300 323994 704800 0 FreeSans 1920 180 0 0 io_analog[4]
-port 47 nsew signal bidirectional
-flabel metal3 s 217294 702300 222294 704800 0 FreeSans 1920 180 0 0 io_analog[5]
+flabel metal3 s 582340 191430 584800 196230 0 FreeSans 1120 0 0 0 vssd1
+port 568 nsew signal bidirectional
+flabel metal3 s 582340 181430 584800 186230 0 FreeSans 1120 0 0 0 vssd1
+port 569 nsew signal bidirectional
+flabel metal2 s 6434 -800 6546 480 0 FreeSans 1120 90 0 0 wbs_we_i
+port 677 nsew signal input
+flabel metal2 s 5252 -800 5364 480 0 FreeSans 1120 90 0 0 wbs_stb_i
+port 676 nsew signal input
+flabel metal2 s 25346 -800 25458 480 0 FreeSans 1120 90 0 0 wbs_sel_i[3]
+port 675 nsew signal input
+flabel metal2 s 20618 -800 20730 480 0 FreeSans 1120 90 0 0 wbs_sel_i[2]
+port 674 nsew signal input
+flabel metal2 s 15890 -800 16002 480 0 FreeSans 1120 90 0 0 wbs_sel_i[1]
+port 673 nsew signal input
+flabel metal2 s 11162 -800 11274 480 0 FreeSans 1120 90 0 0 wbs_sel_i[0]
+port 672 nsew signal input
+flabel metal2 s 46622 -800 46734 480 0 FreeSans 1120 90 0 0 wbs_dat_o[9]
+port 671 nsew signal tristate
+flabel metal2 s 43076 -800 43188 480 0 FreeSans 1120 90 0 0 wbs_dat_o[8]
+port 670 nsew signal tristate
+flabel metal2 s 39530 -800 39642 480 0 FreeSans 1120 90 0 0 wbs_dat_o[7]
+port 669 nsew signal tristate
+flabel metal2 s 35984 -800 36096 480 0 FreeSans 1120 90 0 0 wbs_dat_o[6]
+port 668 nsew signal tristate
+flabel metal2 s 32438 -800 32550 480 0 FreeSans 1120 90 0 0 wbs_dat_o[5]
+port 667 nsew signal tristate
+flabel metal2 s 28892 -800 29004 480 0 FreeSans 1120 90 0 0 wbs_dat_o[4]
+port 666 nsew signal tristate
+flabel metal2 s 24164 -800 24276 480 0 FreeSans 1120 90 0 0 wbs_dat_o[3]
+port 665 nsew signal tristate
+flabel metal2 s 124634 -800 124746 480 0 FreeSans 1120 90 0 0 wbs_dat_o[31]
+port 664 nsew signal tristate
+flabel metal2 s 121088 -800 121200 480 0 FreeSans 1120 90 0 0 wbs_dat_o[30]
+port 663 nsew signal tristate
+flabel metal2 s 19436 -800 19548 480 0 FreeSans 1120 90 0 0 wbs_dat_o[2]
+port 662 nsew signal tristate
+flabel metal2 s 117542 -800 117654 480 0 FreeSans 1120 90 0 0 wbs_dat_o[29]
+port 661 nsew signal tristate
+flabel metal2 s 113996 -800 114108 480 0 FreeSans 1120 90 0 0 wbs_dat_o[28]
+port 660 nsew signal tristate
+flabel metal2 s 110450 -800 110562 480 0 FreeSans 1120 90 0 0 wbs_dat_o[27]
+port 659 nsew signal tristate
+flabel metal2 s 106904 -800 107016 480 0 FreeSans 1120 90 0 0 wbs_dat_o[26]
+port 658 nsew signal tristate
+flabel metal2 s 103358 -800 103470 480 0 FreeSans 1120 90 0 0 wbs_dat_o[25]
+port 657 nsew signal tristate
+flabel metal2 s 99812 -800 99924 480 0 FreeSans 1120 90 0 0 wbs_dat_o[24]
+port 656 nsew signal tristate
+flabel metal2 s 96266 -800 96378 480 0 FreeSans 1120 90 0 0 wbs_dat_o[23]
+port 655 nsew signal tristate
+flabel metal2 s 92720 -800 92832 480 0 FreeSans 1120 90 0 0 wbs_dat_o[22]
+port 654 nsew signal tristate
+flabel metal2 s 89174 -800 89286 480 0 FreeSans 1120 90 0 0 wbs_dat_o[21]
+port 653 nsew signal tristate
+flabel metal2 s 85628 -800 85740 480 0 FreeSans 1120 90 0 0 wbs_dat_o[20]
+port 652 nsew signal tristate
+flabel metal2 s 14708 -800 14820 480 0 FreeSans 1120 90 0 0 wbs_dat_o[1]
+port 651 nsew signal tristate
+flabel metal2 s 82082 -800 82194 480 0 FreeSans 1120 90 0 0 wbs_dat_o[19]
+port 650 nsew signal tristate
+flabel metal2 s 78536 -800 78648 480 0 FreeSans 1120 90 0 0 wbs_dat_o[18]
+port 649 nsew signal tristate
+flabel metal2 s 74990 -800 75102 480 0 FreeSans 1120 90 0 0 wbs_dat_o[17]
+port 648 nsew signal tristate
+flabel metal2 s 71444 -800 71556 480 0 FreeSans 1120 90 0 0 wbs_dat_o[16]
+port 647 nsew signal tristate
+flabel metal2 s 67898 -800 68010 480 0 FreeSans 1120 90 0 0 wbs_dat_o[15]
+port 646 nsew signal tristate
+flabel metal2 s 64352 -800 64464 480 0 FreeSans 1120 90 0 0 wbs_dat_o[14]
+port 645 nsew signal tristate
+flabel metal2 s 60806 -800 60918 480 0 FreeSans 1120 90 0 0 wbs_dat_o[13]
+port 644 nsew signal tristate
+flabel metal2 s 57260 -800 57372 480 0 FreeSans 1120 90 0 0 wbs_dat_o[12]
+port 643 nsew signal tristate
+flabel metal2 s 53714 -800 53826 480 0 FreeSans 1120 90 0 0 wbs_dat_o[11]
+port 642 nsew signal tristate
+flabel metal2 s 50168 -800 50280 480 0 FreeSans 1120 90 0 0 wbs_dat_o[10]
+port 641 nsew signal tristate
+flabel metal2 s 9980 -800 10092 480 0 FreeSans 1120 90 0 0 wbs_dat_o[0]
+port 640 nsew signal tristate
+flabel metal2 s 45440 -800 45552 480 0 FreeSans 1120 90 0 0 wbs_dat_i[9]
+port 639 nsew signal input
+flabel metal2 s 41894 -800 42006 480 0 FreeSans 1120 90 0 0 wbs_dat_i[8]
+port 638 nsew signal input
+flabel metal2 s 38348 -800 38460 480 0 FreeSans 1120 90 0 0 wbs_dat_i[7]
+port 637 nsew signal input
+flabel metal2 s 34802 -800 34914 480 0 FreeSans 1120 90 0 0 wbs_dat_i[6]
+port 636 nsew signal input
+flabel metal2 s 31256 -800 31368 480 0 FreeSans 1120 90 0 0 wbs_dat_i[5]
+port 635 nsew signal input
+flabel metal2 s 27710 -800 27822 480 0 FreeSans 1120 90 0 0 wbs_dat_i[4]
+port 634 nsew signal input
+flabel metal2 s 22982 -800 23094 480 0 FreeSans 1120 90 0 0 wbs_dat_i[3]
+port 633 nsew signal input
+flabel metal2 s 123452 -800 123564 480 0 FreeSans 1120 90 0 0 wbs_dat_i[31]
+port 632 nsew signal input
+flabel metal2 s 119906 -800 120018 480 0 FreeSans 1120 90 0 0 wbs_dat_i[30]
+port 631 nsew signal input
+flabel metal2 s 18254 -800 18366 480 0 FreeSans 1120 90 0 0 wbs_dat_i[2]
+port 630 nsew signal input
+flabel metal2 s 116360 -800 116472 480 0 FreeSans 1120 90 0 0 wbs_dat_i[29]
+port 629 nsew signal input
+flabel metal2 s 112814 -800 112926 480 0 FreeSans 1120 90 0 0 wbs_dat_i[28]
+port 628 nsew signal input
+flabel metal2 s 109268 -800 109380 480 0 FreeSans 1120 90 0 0 wbs_dat_i[27]
+port 627 nsew signal input
+flabel metal2 s 105722 -800 105834 480 0 FreeSans 1120 90 0 0 wbs_dat_i[26]
+port 626 nsew signal input
+flabel metal2 s 102176 -800 102288 480 0 FreeSans 1120 90 0 0 wbs_dat_i[25]
+port 625 nsew signal input
+flabel metal2 s 98630 -800 98742 480 0 FreeSans 1120 90 0 0 wbs_dat_i[24]
+port 624 nsew signal input
+flabel metal2 s 95084 -800 95196 480 0 FreeSans 1120 90 0 0 wbs_dat_i[23]
+port 623 nsew signal input
+flabel metal2 s 91538 -800 91650 480 0 FreeSans 1120 90 0 0 wbs_dat_i[22]
+port 622 nsew signal input
+flabel metal2 s 87992 -800 88104 480 0 FreeSans 1120 90 0 0 wbs_dat_i[21]
+port 621 nsew signal input
+flabel metal2 s 84446 -800 84558 480 0 FreeSans 1120 90 0 0 wbs_dat_i[20]
+port 620 nsew signal input
+flabel metal2 s 13526 -800 13638 480 0 FreeSans 1120 90 0 0 wbs_dat_i[1]
+port 619 nsew signal input
+flabel metal2 s 80900 -800 81012 480 0 FreeSans 1120 90 0 0 wbs_dat_i[19]
+port 618 nsew signal input
+flabel metal2 s 77354 -800 77466 480 0 FreeSans 1120 90 0 0 wbs_dat_i[18]
+port 617 nsew signal input
+flabel metal2 s 73808 -800 73920 480 0 FreeSans 1120 90 0 0 wbs_dat_i[17]
+port 616 nsew signal input
+flabel metal2 s 70262 -800 70374 480 0 FreeSans 1120 90 0 0 wbs_dat_i[16]
+port 615 nsew signal input
+flabel metal2 s 66716 -800 66828 480 0 FreeSans 1120 90 0 0 wbs_dat_i[15]
+port 614 nsew signal input
+flabel metal2 s 63170 -800 63282 480 0 FreeSans 1120 90 0 0 wbs_dat_i[14]
+port 613 nsew signal input
+flabel metal2 s 59624 -800 59736 480 0 FreeSans 1120 90 0 0 wbs_dat_i[13]
+port 612 nsew signal input
+flabel metal2 s 56078 -800 56190 480 0 FreeSans 1120 90 0 0 wbs_dat_i[12]
+port 611 nsew signal input
+flabel metal2 s 52532 -800 52644 480 0 FreeSans 1120 90 0 0 wbs_dat_i[11]
+port 610 nsew signal input
+flabel metal2 s 48986 -800 49098 480 0 FreeSans 1120 90 0 0 wbs_dat_i[10]
+port 609 nsew signal input
+flabel metal2 s 8798 -800 8910 480 0 FreeSans 1120 90 0 0 wbs_dat_i[0]
+port 608 nsew signal input
+flabel metal2 s 4070 -800 4182 480 0 FreeSans 1120 90 0 0 wbs_cyc_i
+port 607 nsew signal input
+flabel metal2 s 44258 -800 44370 480 0 FreeSans 1120 90 0 0 wbs_adr_i[9]
+port 606 nsew signal input
+flabel metal2 s 40712 -800 40824 480 0 FreeSans 1120 90 0 0 wbs_adr_i[8]
+port 605 nsew signal input
+flabel metal2 s 37166 -800 37278 480 0 FreeSans 1120 90 0 0 wbs_adr_i[7]
+port 604 nsew signal input
+flabel metal2 s 33620 -800 33732 480 0 FreeSans 1120 90 0 0 wbs_adr_i[6]
+port 603 nsew signal input
+flabel metal2 s 30074 -800 30186 480 0 FreeSans 1120 90 0 0 wbs_adr_i[5]
+port 602 nsew signal input
+flabel metal2 s 26528 -800 26640 480 0 FreeSans 1120 90 0 0 wbs_adr_i[4]
+port 601 nsew signal input
+flabel metal2 s 21800 -800 21912 480 0 FreeSans 1120 90 0 0 wbs_adr_i[3]
+port 600 nsew signal input
+flabel metal2 s 122270 -800 122382 480 0 FreeSans 1120 90 0 0 wbs_adr_i[31]
+port 599 nsew signal input
+flabel metal2 s 118724 -800 118836 480 0 FreeSans 1120 90 0 0 wbs_adr_i[30]
+port 598 nsew signal input
+flabel metal2 s 17072 -800 17184 480 0 FreeSans 1120 90 0 0 wbs_adr_i[2]
+port 597 nsew signal input
+flabel metal2 s 115178 -800 115290 480 0 FreeSans 1120 90 0 0 wbs_adr_i[29]
+port 596 nsew signal input
+flabel metal2 s 111632 -800 111744 480 0 FreeSans 1120 90 0 0 wbs_adr_i[28]
+port 595 nsew signal input
+flabel metal2 s 108086 -800 108198 480 0 FreeSans 1120 90 0 0 wbs_adr_i[27]
+port 594 nsew signal input
+flabel metal2 s 104540 -800 104652 480 0 FreeSans 1120 90 0 0 wbs_adr_i[26]
+port 593 nsew signal input
+flabel metal2 s 100994 -800 101106 480 0 FreeSans 1120 90 0 0 wbs_adr_i[25]
+port 592 nsew signal input
+flabel metal2 s 97448 -800 97560 480 0 FreeSans 1120 90 0 0 wbs_adr_i[24]
+port 591 nsew signal input
+flabel metal2 s 93902 -800 94014 480 0 FreeSans 1120 90 0 0 wbs_adr_i[23]
+port 590 nsew signal input
+flabel metal2 s 90356 -800 90468 480 0 FreeSans 1120 90 0 0 wbs_adr_i[22]
+port 589 nsew signal input
+flabel metal2 s 86810 -800 86922 480 0 FreeSans 1120 90 0 0 wbs_adr_i[21]
+port 588 nsew signal input
+flabel metal2 s 83264 -800 83376 480 0 FreeSans 1120 90 0 0 wbs_adr_i[20]
+port 587 nsew signal input
+flabel metal2 s 12344 -800 12456 480 0 FreeSans 1120 90 0 0 wbs_adr_i[1]
+port 586 nsew signal input
+flabel metal2 s 79718 -800 79830 480 0 FreeSans 1120 90 0 0 wbs_adr_i[19]
+port 585 nsew signal input
+flabel metal2 s 76172 -800 76284 480 0 FreeSans 1120 90 0 0 wbs_adr_i[18]
+port 584 nsew signal input
+flabel metal2 s 72626 -800 72738 480 0 FreeSans 1120 90 0 0 wbs_adr_i[17]
+port 583 nsew signal input
+flabel metal2 s 69080 -800 69192 480 0 FreeSans 1120 90 0 0 wbs_adr_i[16]
+port 582 nsew signal input
+flabel metal2 s 65534 -800 65646 480 0 FreeSans 1120 90 0 0 wbs_adr_i[15]
+port 581 nsew signal input
+flabel metal2 s 61988 -800 62100 480 0 FreeSans 1120 90 0 0 wbs_adr_i[14]
+port 580 nsew signal input
+flabel metal2 s 58442 -800 58554 480 0 FreeSans 1120 90 0 0 wbs_adr_i[13]
+port 579 nsew signal input
+flabel metal2 s 54896 -800 55008 480 0 FreeSans 1120 90 0 0 wbs_adr_i[12]
+port 578 nsew signal input
+flabel metal2 s 51350 -800 51462 480 0 FreeSans 1120 90 0 0 wbs_adr_i[11]
+port 577 nsew signal input
+flabel metal2 s 47804 -800 47916 480 0 FreeSans 1120 90 0 0 wbs_adr_i[10]
+port 576 nsew signal input
+flabel metal2 s 7616 -800 7728 480 0 FreeSans 1120 90 0 0 wbs_adr_i[0]
+port 575 nsew signal input
+flabel metal2 s 2888 -800 3000 480 0 FreeSans 1120 90 0 0 wbs_ack_o
+port 574 nsew signal tristate
+flabel metal2 s 1706 -800 1818 480 0 FreeSans 1120 90 0 0 wb_rst_i
+port 573 nsew signal input
+flabel metal2 s 524 -800 636 480 0 FreeSans 1120 90 0 0 wb_clk_i
+port 572 nsew signal input
+flabel metal3 s 0 162888 1660 167688 0 FreeSans 1120 0 0 0 vssd2
+port 571 nsew signal bidirectional
+flabel metal3 s 0 172888 1660 177688 0 FreeSans 1120 0 0 0 vssd2
+port 570 nsew signal bidirectional
+flabel metal3 s 0 549442 1660 554242 0 FreeSans 1120 0 0 0 vssa2
+port 567 nsew signal bidirectional
+flabel metal3 s 0 559442 1660 564242 0 FreeSans 1120 0 0 0 vssa2
+port 566 nsew signal bidirectional
+flabel metal3 s 582340 136830 584800 141630 0 FreeSans 1120 0 0 0 vssa1
+port 565 nsew signal bidirectional
+flabel metal3 s 582340 146830 584800 151630 0 FreeSans 1120 0 0 0 vssa1
+port 564 nsew signal bidirectional
+flabel metal3 s 510594 702340 515394 704800 0 FreeSans 1920 180 0 0 vssa1
+port 563 nsew signal bidirectional
+flabel metal3 s 520594 702340 525394 704800 0 FreeSans 1920 180 0 0 vssa1
+port 562 nsew signal bidirectional
+flabel metal3 s 0 214888 1660 219688 0 FreeSans 1120 0 0 0 vdda2
+port 561 nsew signal bidirectional
+flabel metal3 s 0 204888 1660 209688 0 FreeSans 1120 0 0 0 vdda2
+port 560 nsew signal bidirectional
+flabel metal3 s 582340 225230 584800 230030 0 FreeSans 1120 0 0 0 vdda1
+port 559 nsew signal bidirectional
+flabel metal3 s 582340 235230 584800 240030 0 FreeSans 1120 0 0 0 vdda1
+port 558 nsew signal bidirectional
+flabel metal3 s 582340 550562 584800 555362 0 FreeSans 1120 0 0 0 vdda1
+port 557 nsew signal bidirectional
+flabel metal3 s 582340 540562 584800 545362 0 FreeSans 1120 0 0 0 vdda1
+port 556 nsew signal bidirectional
+flabel metal3 s 0 633842 1660 638642 0 FreeSans 1120 0 0 0 vccd2
+port 555 nsew signal bidirectional
+flabel metal3 s 0 643842 1660 648642 0 FreeSans 1120 0 0 0 vccd2
+port 554 nsew signal bidirectional
+flabel metal3 s 582340 629784 584800 634584 0 FreeSans 1120 0 0 0 vccd1
+port 553 nsew signal bidirectional
+flabel metal3 s 582340 639784 584800 644584 0 FreeSans 1120 0 0 0 vccd1
+port 552 nsew signal bidirectional
+flabel metal2 s 583250 -800 583362 480 0 FreeSans 1120 90 0 0 user_irq[2]
+port 551 nsew signal tristate
+flabel metal2 s 582068 -800 582180 480 0 FreeSans 1120 90 0 0 user_irq[1]
+port 550 nsew signal tristate
+flabel metal2 s 580886 -800 580998 480 0 FreeSans 1120 90 0 0 user_irq[0]
+port 549 nsew signal tristate
+flabel metal2 s 579704 -800 579816 480 0 FreeSans 1120 90 0 0 user_clock2
+port 548 nsew signal input
+flabel metal2 s 160094 -800 160206 480 0 FreeSans 1120 90 0 0 la_oenb[9]
+port 547 nsew signal input
+flabel metal2 s 479234 -800 479346 480 0 FreeSans 1120 90 0 0 la_oenb[99]
+port 546 nsew signal input
+flabel metal2 s 475688 -800 475800 480 0 FreeSans 1120 90 0 0 la_oenb[98]
+port 545 nsew signal input
+flabel metal2 s 472142 -800 472254 480 0 FreeSans 1120 90 0 0 la_oenb[97]
+port 544 nsew signal input
+flabel metal2 s 468596 -800 468708 480 0 FreeSans 1120 90 0 0 la_oenb[96]
+port 543 nsew signal input
+flabel metal2 s 465050 -800 465162 480 0 FreeSans 1120 90 0 0 la_oenb[95]
+port 542 nsew signal input
+flabel metal2 s 461504 -800 461616 480 0 FreeSans 1120 90 0 0 la_oenb[94]
+port 541 nsew signal input
+flabel metal2 s 457958 -800 458070 480 0 FreeSans 1120 90 0 0 la_oenb[93]
+port 540 nsew signal input
+flabel metal2 s 454412 -800 454524 480 0 FreeSans 1120 90 0 0 la_oenb[92]
+port 539 nsew signal input
+flabel metal2 s 450866 -800 450978 480 0 FreeSans 1120 90 0 0 la_oenb[91]
+port 538 nsew signal input
+flabel metal2 s 447320 -800 447432 480 0 FreeSans 1120 90 0 0 la_oenb[90]
+port 537 nsew signal input
+flabel metal2 s 156548 -800 156660 480 0 FreeSans 1120 90 0 0 la_oenb[8]
+port 536 nsew signal input
+flabel metal2 s 443774 -800 443886 480 0 FreeSans 1120 90 0 0 la_oenb[89]
+port 535 nsew signal input
+flabel metal2 s 440228 -800 440340 480 0 FreeSans 1120 90 0 0 la_oenb[88]
+port 534 nsew signal input
+flabel metal2 s 436682 -800 436794 480 0 FreeSans 1120 90 0 0 la_oenb[87]
+port 533 nsew signal input
+flabel metal2 s 433136 -800 433248 480 0 FreeSans 1120 90 0 0 la_oenb[86]
+port 532 nsew signal input
+flabel metal2 s 429590 -800 429702 480 0 FreeSans 1120 90 0 0 la_oenb[85]
+port 531 nsew signal input
+flabel metal2 s 426044 -800 426156 480 0 FreeSans 1120 90 0 0 la_oenb[84]
+port 530 nsew signal input
+flabel metal2 s 422498 -800 422610 480 0 FreeSans 1120 90 0 0 la_oenb[83]
+port 529 nsew signal input
+flabel metal2 s 418952 -800 419064 480 0 FreeSans 1120 90 0 0 la_oenb[82]
+port 528 nsew signal input
+flabel metal2 s 415406 -800 415518 480 0 FreeSans 1120 90 0 0 la_oenb[81]
+port 527 nsew signal input
+flabel metal2 s 411860 -800 411972 480 0 FreeSans 1120 90 0 0 la_oenb[80]
+port 526 nsew signal input
+flabel metal2 s 153002 -800 153114 480 0 FreeSans 1120 90 0 0 la_oenb[7]
+port 525 nsew signal input
+flabel metal2 s 408314 -800 408426 480 0 FreeSans 1120 90 0 0 la_oenb[79]
+port 524 nsew signal input
+flabel metal2 s 404768 -800 404880 480 0 FreeSans 1120 90 0 0 la_oenb[78]
+port 523 nsew signal input
+flabel metal2 s 401222 -800 401334 480 0 FreeSans 1120 90 0 0 la_oenb[77]
+port 522 nsew signal input
+flabel metal2 s 397676 -800 397788 480 0 FreeSans 1120 90 0 0 la_oenb[76]
+port 521 nsew signal input
+flabel metal2 s 394130 -800 394242 480 0 FreeSans 1120 90 0 0 la_oenb[75]
+port 520 nsew signal input
+flabel metal2 s 390584 -800 390696 480 0 FreeSans 1120 90 0 0 la_oenb[74]
+port 519 nsew signal input
+flabel metal2 s 387038 -800 387150 480 0 FreeSans 1120 90 0 0 la_oenb[73]
+port 518 nsew signal input
+flabel metal2 s 383492 -800 383604 480 0 FreeSans 1120 90 0 0 la_oenb[72]
+port 517 nsew signal input
+flabel metal2 s 379946 -800 380058 480 0 FreeSans 1120 90 0 0 la_oenb[71]
+port 516 nsew signal input
+flabel metal2 s 376400 -800 376512 480 0 FreeSans 1120 90 0 0 la_oenb[70]
+port 515 nsew signal input
+flabel metal2 s 149456 -800 149568 480 0 FreeSans 1120 90 0 0 la_oenb[6]
+port 514 nsew signal input
+flabel metal2 s 372854 -800 372966 480 0 FreeSans 1120 90 0 0 la_oenb[69]
+port 513 nsew signal input
+flabel metal2 s 369308 -800 369420 480 0 FreeSans 1120 90 0 0 la_oenb[68]
+port 512 nsew signal input
+flabel metal2 s 365762 -800 365874 480 0 FreeSans 1120 90 0 0 la_oenb[67]
+port 511 nsew signal input
+flabel metal2 s 362216 -800 362328 480 0 FreeSans 1120 90 0 0 la_oenb[66]
+port 510 nsew signal input
+flabel metal2 s 358670 -800 358782 480 0 FreeSans 1120 90 0 0 la_oenb[65]
+port 509 nsew signal input
+flabel metal2 s 355124 -800 355236 480 0 FreeSans 1120 90 0 0 la_oenb[64]
+port 508 nsew signal input
+flabel metal2 s 351578 -800 351690 480 0 FreeSans 1120 90 0 0 la_oenb[63]
+port 507 nsew signal input
+flabel metal2 s 348032 -800 348144 480 0 FreeSans 1120 90 0 0 la_oenb[62]
+port 506 nsew signal input
+flabel metal2 s 344486 -800 344598 480 0 FreeSans 1120 90 0 0 la_oenb[61]
+port 505 nsew signal input
+flabel metal2 s 340940 -800 341052 480 0 FreeSans 1120 90 0 0 la_oenb[60]
+port 504 nsew signal input
+flabel metal2 s 145910 -800 146022 480 0 FreeSans 1120 90 0 0 la_oenb[5]
+port 503 nsew signal input
+flabel metal2 s 337394 -800 337506 480 0 FreeSans 1120 90 0 0 la_oenb[59]
+port 502 nsew signal input
+flabel metal2 s 333848 -800 333960 480 0 FreeSans 1120 90 0 0 la_oenb[58]
+port 501 nsew signal input
+flabel metal2 s 330302 -800 330414 480 0 FreeSans 1120 90 0 0 la_oenb[57]
+port 500 nsew signal input
+flabel metal2 s 326756 -800 326868 480 0 FreeSans 1120 90 0 0 la_oenb[56]
+port 499 nsew signal input
+flabel metal2 s 323210 -800 323322 480 0 FreeSans 1120 90 0 0 la_oenb[55]
+port 498 nsew signal input
+flabel metal2 s 319664 -800 319776 480 0 FreeSans 1120 90 0 0 la_oenb[54]
+port 497 nsew signal input
+flabel metal2 s 316118 -800 316230 480 0 FreeSans 1120 90 0 0 la_oenb[53]
+port 496 nsew signal input
+flabel metal2 s 312572 -800 312684 480 0 FreeSans 1120 90 0 0 la_oenb[52]
+port 495 nsew signal input
+flabel metal2 s 309026 -800 309138 480 0 FreeSans 1120 90 0 0 la_oenb[51]
+port 494 nsew signal input
+flabel metal2 s 305480 -800 305592 480 0 FreeSans 1120 90 0 0 la_oenb[50]
+port 493 nsew signal input
+flabel metal2 s 142364 -800 142476 480 0 FreeSans 1120 90 0 0 la_oenb[4]
+port 492 nsew signal input
+flabel metal2 s 301934 -800 302046 480 0 FreeSans 1120 90 0 0 la_oenb[49]
+port 491 nsew signal input
+flabel metal2 s 298388 -800 298500 480 0 FreeSans 1120 90 0 0 la_oenb[48]
+port 490 nsew signal input
+flabel metal2 s 294842 -800 294954 480 0 FreeSans 1120 90 0 0 la_oenb[47]
+port 489 nsew signal input
+flabel metal2 s 291296 -800 291408 480 0 FreeSans 1120 90 0 0 la_oenb[46]
+port 488 nsew signal input
+flabel metal2 s 287750 -800 287862 480 0 FreeSans 1120 90 0 0 la_oenb[45]
+port 487 nsew signal input
+flabel metal2 s 284204 -800 284316 480 0 FreeSans 1120 90 0 0 la_oenb[44]
+port 486 nsew signal input
+flabel metal2 s 280658 -800 280770 480 0 FreeSans 1120 90 0 0 la_oenb[43]
+port 485 nsew signal input
+flabel metal2 s 277112 -800 277224 480 0 FreeSans 1120 90 0 0 la_oenb[42]
+port 484 nsew signal input
+flabel metal2 s 273566 -800 273678 480 0 FreeSans 1120 90 0 0 la_oenb[41]
+port 483 nsew signal input
+flabel metal2 s 270020 -800 270132 480 0 FreeSans 1120 90 0 0 la_oenb[40]
+port 482 nsew signal input
+flabel metal2 s 138818 -800 138930 480 0 FreeSans 1120 90 0 0 la_oenb[3]
+port 481 nsew signal input
+flabel metal2 s 266474 -800 266586 480 0 FreeSans 1120 90 0 0 la_oenb[39]
+port 480 nsew signal input
+flabel metal2 s 262928 -800 263040 480 0 FreeSans 1120 90 0 0 la_oenb[38]
+port 479 nsew signal input
+flabel metal2 s 259382 -800 259494 480 0 FreeSans 1120 90 0 0 la_oenb[37]
+port 478 nsew signal input
+flabel metal2 s 255836 -800 255948 480 0 FreeSans 1120 90 0 0 la_oenb[36]
+port 477 nsew signal input
+flabel metal2 s 252290 -800 252402 480 0 FreeSans 1120 90 0 0 la_oenb[35]
+port 476 nsew signal input
+flabel metal2 s 248744 -800 248856 480 0 FreeSans 1120 90 0 0 la_oenb[34]
+port 475 nsew signal input
+flabel metal2 s 245198 -800 245310 480 0 FreeSans 1120 90 0 0 la_oenb[33]
+port 474 nsew signal input
+flabel metal2 s 241652 -800 241764 480 0 FreeSans 1120 90 0 0 la_oenb[32]
+port 473 nsew signal input
+flabel metal2 s 238106 -800 238218 480 0 FreeSans 1120 90 0 0 la_oenb[31]
+port 472 nsew signal input
+flabel metal2 s 234560 -800 234672 480 0 FreeSans 1120 90 0 0 la_oenb[30]
+port 471 nsew signal input
+flabel metal2 s 135272 -800 135384 480 0 FreeSans 1120 90 0 0 la_oenb[2]
+port 470 nsew signal input
+flabel metal2 s 231014 -800 231126 480 0 FreeSans 1120 90 0 0 la_oenb[29]
+port 469 nsew signal input
+flabel metal2 s 227468 -800 227580 480 0 FreeSans 1120 90 0 0 la_oenb[28]
+port 468 nsew signal input
+flabel metal2 s 223922 -800 224034 480 0 FreeSans 1120 90 0 0 la_oenb[27]
+port 467 nsew signal input
+flabel metal2 s 220376 -800 220488 480 0 FreeSans 1120 90 0 0 la_oenb[26]
+port 466 nsew signal input
+flabel metal2 s 216830 -800 216942 480 0 FreeSans 1120 90 0 0 la_oenb[25]
+port 465 nsew signal input
+flabel metal2 s 213284 -800 213396 480 0 FreeSans 1120 90 0 0 la_oenb[24]
+port 464 nsew signal input
+flabel metal2 s 209738 -800 209850 480 0 FreeSans 1120 90 0 0 la_oenb[23]
+port 463 nsew signal input
+flabel metal2 s 206192 -800 206304 480 0 FreeSans 1120 90 0 0 la_oenb[22]
+port 462 nsew signal input
+flabel metal2 s 202646 -800 202758 480 0 FreeSans 1120 90 0 0 la_oenb[21]
+port 461 nsew signal input
+flabel metal2 s 199100 -800 199212 480 0 FreeSans 1120 90 0 0 la_oenb[20]
+port 460 nsew signal input
+flabel metal2 s 131726 -800 131838 480 0 FreeSans 1120 90 0 0 la_oenb[1]
+port 459 nsew signal input
+flabel metal2 s 195554 -800 195666 480 0 FreeSans 1120 90 0 0 la_oenb[19]
+port 458 nsew signal input
+flabel metal2 s 192008 -800 192120 480 0 FreeSans 1120 90 0 0 la_oenb[18]
+port 457 nsew signal input
+flabel metal2 s 188462 -800 188574 480 0 FreeSans 1120 90 0 0 la_oenb[17]
+port 456 nsew signal input
+flabel metal2 s 184916 -800 185028 480 0 FreeSans 1120 90 0 0 la_oenb[16]
+port 455 nsew signal input
+flabel metal2 s 181370 -800 181482 480 0 FreeSans 1120 90 0 0 la_oenb[15]
+port 454 nsew signal input
+flabel metal2 s 177824 -800 177936 480 0 FreeSans 1120 90 0 0 la_oenb[14]
+port 453 nsew signal input
+flabel metal2 s 174278 -800 174390 480 0 FreeSans 1120 90 0 0 la_oenb[13]
+port 452 nsew signal input
+flabel metal2 s 170732 -800 170844 480 0 FreeSans 1120 90 0 0 la_oenb[12]
+port 451 nsew signal input
+flabel metal2 s 578522 -800 578634 480 0 FreeSans 1120 90 0 0 la_oenb[127]
+port 450 nsew signal input
+flabel metal2 s 574976 -800 575088 480 0 FreeSans 1120 90 0 0 la_oenb[126]
+port 449 nsew signal input
+flabel metal2 s 571430 -800 571542 480 0 FreeSans 1120 90 0 0 la_oenb[125]
+port 448 nsew signal input
+flabel metal2 s 567884 -800 567996 480 0 FreeSans 1120 90 0 0 la_oenb[124]
+port 447 nsew signal input
+flabel metal2 s 564338 -800 564450 480 0 FreeSans 1120 90 0 0 la_oenb[123]
+port 446 nsew signal input
+flabel metal2 s 560792 -800 560904 480 0 FreeSans 1120 90 0 0 la_oenb[122]
+port 445 nsew signal input
+flabel metal2 s 557246 -800 557358 480 0 FreeSans 1120 90 0 0 la_oenb[121]
+port 444 nsew signal input
+flabel metal2 s 553700 -800 553812 480 0 FreeSans 1120 90 0 0 la_oenb[120]
+port 443 nsew signal input
+flabel metal2 s 167186 -800 167298 480 0 FreeSans 1120 90 0 0 la_oenb[11]
+port 442 nsew signal input
+flabel metal2 s 550154 -800 550266 480 0 FreeSans 1120 90 0 0 la_oenb[119]
+port 441 nsew signal input
+flabel metal2 s 546608 -800 546720 480 0 FreeSans 1120 90 0 0 la_oenb[118]
+port 440 nsew signal input
+flabel metal2 s 543062 -800 543174 480 0 FreeSans 1120 90 0 0 la_oenb[117]
+port 439 nsew signal input
+flabel metal2 s 539516 -800 539628 480 0 FreeSans 1120 90 0 0 la_oenb[116]
+port 438 nsew signal input
+flabel metal2 s 535970 -800 536082 480 0 FreeSans 1120 90 0 0 la_oenb[115]
+port 437 nsew signal input
+flabel metal2 s 532424 -800 532536 480 0 FreeSans 1120 90 0 0 la_oenb[114]
+port 436 nsew signal input
+flabel metal2 s 528878 -800 528990 480 0 FreeSans 1120 90 0 0 la_oenb[113]
+port 435 nsew signal input
+flabel metal2 s 525332 -800 525444 480 0 FreeSans 1120 90 0 0 la_oenb[112]
+port 434 nsew signal input
+flabel metal2 s 521786 -800 521898 480 0 FreeSans 1120 90 0 0 la_oenb[111]
+port 433 nsew signal input
+flabel metal2 s 518240 -800 518352 480 0 FreeSans 1120 90 0 0 la_oenb[110]
+port 432 nsew signal input
+flabel metal2 s 163640 -800 163752 480 0 FreeSans 1120 90 0 0 la_oenb[10]
+port 431 nsew signal input
+flabel metal2 s 514694 -800 514806 480 0 FreeSans 1120 90 0 0 la_oenb[109]
+port 430 nsew signal input
+flabel metal2 s 511148 -800 511260 480 0 FreeSans 1120 90 0 0 la_oenb[108]
+port 429 nsew signal input
+flabel metal2 s 507602 -800 507714 480 0 FreeSans 1120 90 0 0 la_oenb[107]
+port 428 nsew signal input
+flabel metal2 s 504056 -800 504168 480 0 FreeSans 1120 90 0 0 la_oenb[106]
+port 427 nsew signal input
+flabel metal2 s 500510 -800 500622 480 0 FreeSans 1120 90 0 0 la_oenb[105]
+port 426 nsew signal input
+flabel metal2 s 496964 -800 497076 480 0 FreeSans 1120 90 0 0 la_oenb[104]
+port 425 nsew signal input
+flabel metal2 s 493418 -800 493530 480 0 FreeSans 1120 90 0 0 la_oenb[103]
+port 424 nsew signal input
+flabel metal2 s 489872 -800 489984 480 0 FreeSans 1120 90 0 0 la_oenb[102]
+port 423 nsew signal input
+flabel metal2 s 486326 -800 486438 480 0 FreeSans 1120 90 0 0 la_oenb[101]
+port 422 nsew signal input
+flabel metal2 s 482780 -800 482892 480 0 FreeSans 1120 90 0 0 la_oenb[100]
+port 421 nsew signal input
+flabel metal2 s 128180 -800 128292 480 0 FreeSans 1120 90 0 0 la_oenb[0]
+port 420 nsew signal input
+flabel metal2 s 158912 -800 159024 480 0 FreeSans 1120 90 0 0 la_data_out[9]
+port 419 nsew signal tristate
+flabel metal2 s 478052 -800 478164 480 0 FreeSans 1120 90 0 0 la_data_out[99]
+port 418 nsew signal tristate
+flabel metal2 s 474506 -800 474618 480 0 FreeSans 1120 90 0 0 la_data_out[98]
+port 417 nsew signal tristate
+flabel metal2 s 470960 -800 471072 480 0 FreeSans 1120 90 0 0 la_data_out[97]
+port 416 nsew signal tristate
+flabel metal2 s 467414 -800 467526 480 0 FreeSans 1120 90 0 0 la_data_out[96]
+port 415 nsew signal tristate
+flabel metal2 s 463868 -800 463980 480 0 FreeSans 1120 90 0 0 la_data_out[95]
+port 414 nsew signal tristate
+flabel metal2 s 460322 -800 460434 480 0 FreeSans 1120 90 0 0 la_data_out[94]
+port 413 nsew signal tristate
+flabel metal2 s 456776 -800 456888 480 0 FreeSans 1120 90 0 0 la_data_out[93]
+port 412 nsew signal tristate
+flabel metal2 s 453230 -800 453342 480 0 FreeSans 1120 90 0 0 la_data_out[92]
+port 411 nsew signal tristate
+flabel metal2 s 449684 -800 449796 480 0 FreeSans 1120 90 0 0 la_data_out[91]
+port 410 nsew signal tristate
+flabel metal2 s 446138 -800 446250 480 0 FreeSans 1120 90 0 0 la_data_out[90]
+port 409 nsew signal tristate
+flabel metal2 s 155366 -800 155478 480 0 FreeSans 1120 90 0 0 la_data_out[8]
+port 408 nsew signal tristate
+flabel metal2 s 442592 -800 442704 480 0 FreeSans 1120 90 0 0 la_data_out[89]
+port 407 nsew signal tristate
+flabel metal2 s 439046 -800 439158 480 0 FreeSans 1120 90 0 0 la_data_out[88]
+port 406 nsew signal tristate
+flabel metal2 s 435500 -800 435612 480 0 FreeSans 1120 90 0 0 la_data_out[87]
+port 405 nsew signal tristate
+flabel metal2 s 431954 -800 432066 480 0 FreeSans 1120 90 0 0 la_data_out[86]
+port 404 nsew signal tristate
+flabel metal2 s 428408 -800 428520 480 0 FreeSans 1120 90 0 0 la_data_out[85]
+port 403 nsew signal tristate
+flabel metal2 s 424862 -800 424974 480 0 FreeSans 1120 90 0 0 la_data_out[84]
+port 402 nsew signal tristate
+flabel metal2 s 421316 -800 421428 480 0 FreeSans 1120 90 0 0 la_data_out[83]
+port 401 nsew signal tristate
+flabel metal2 s 417770 -800 417882 480 0 FreeSans 1120 90 0 0 la_data_out[82]
+port 400 nsew signal tristate
+flabel metal2 s 414224 -800 414336 480 0 FreeSans 1120 90 0 0 la_data_out[81]
+port 399 nsew signal tristate
+flabel metal2 s 410678 -800 410790 480 0 FreeSans 1120 90 0 0 la_data_out[80]
+port 398 nsew signal tristate
+flabel metal2 s 151820 -800 151932 480 0 FreeSans 1120 90 0 0 la_data_out[7]
+port 397 nsew signal tristate
+flabel metal2 s 407132 -800 407244 480 0 FreeSans 1120 90 0 0 la_data_out[79]
+port 396 nsew signal tristate
+flabel metal2 s 403586 -800 403698 480 0 FreeSans 1120 90 0 0 la_data_out[78]
+port 395 nsew signal tristate
+flabel metal2 s 400040 -800 400152 480 0 FreeSans 1120 90 0 0 la_data_out[77]
+port 394 nsew signal tristate
+flabel metal2 s 396494 -800 396606 480 0 FreeSans 1120 90 0 0 la_data_out[76]
+port 393 nsew signal tristate
+flabel metal2 s 392948 -800 393060 480 0 FreeSans 1120 90 0 0 la_data_out[75]
+port 392 nsew signal tristate
+flabel metal2 s 389402 -800 389514 480 0 FreeSans 1120 90 0 0 la_data_out[74]
+port 391 nsew signal tristate
+flabel metal2 s 385856 -800 385968 480 0 FreeSans 1120 90 0 0 la_data_out[73]
+port 390 nsew signal tristate
+flabel metal2 s 382310 -800 382422 480 0 FreeSans 1120 90 0 0 la_data_out[72]
+port 389 nsew signal tristate
+flabel metal2 s 378764 -800 378876 480 0 FreeSans 1120 90 0 0 la_data_out[71]
+port 388 nsew signal tristate
+flabel metal2 s 375218 -800 375330 480 0 FreeSans 1120 90 0 0 la_data_out[70]
+port 387 nsew signal tristate
+flabel metal2 s 148274 -800 148386 480 0 FreeSans 1120 90 0 0 la_data_out[6]
+port 386 nsew signal tristate
+flabel metal2 s 371672 -800 371784 480 0 FreeSans 1120 90 0 0 la_data_out[69]
+port 385 nsew signal tristate
+flabel metal2 s 368126 -800 368238 480 0 FreeSans 1120 90 0 0 la_data_out[68]
+port 384 nsew signal tristate
+flabel metal2 s 364580 -800 364692 480 0 FreeSans 1120 90 0 0 la_data_out[67]
+port 383 nsew signal tristate
+flabel metal2 s 361034 -800 361146 480 0 FreeSans 1120 90 0 0 la_data_out[66]
+port 382 nsew signal tristate
+flabel metal2 s 357488 -800 357600 480 0 FreeSans 1120 90 0 0 la_data_out[65]
+port 381 nsew signal tristate
+flabel metal2 s 353942 -800 354054 480 0 FreeSans 1120 90 0 0 la_data_out[64]
+port 380 nsew signal tristate
+flabel metal2 s 350396 -800 350508 480 0 FreeSans 1120 90 0 0 la_data_out[63]
+port 379 nsew signal tristate
+flabel metal2 s 346850 -800 346962 480 0 FreeSans 1120 90 0 0 la_data_out[62]
+port 378 nsew signal tristate
+flabel metal2 s 343304 -800 343416 480 0 FreeSans 1120 90 0 0 la_data_out[61]
+port 377 nsew signal tristate
+flabel metal2 s 339758 -800 339870 480 0 FreeSans 1120 90 0 0 la_data_out[60]
+port 376 nsew signal tristate
+flabel metal2 s 144728 -800 144840 480 0 FreeSans 1120 90 0 0 la_data_out[5]
+port 375 nsew signal tristate
+flabel metal2 s 336212 -800 336324 480 0 FreeSans 1120 90 0 0 la_data_out[59]
+port 374 nsew signal tristate
+flabel metal2 s 332666 -800 332778 480 0 FreeSans 1120 90 0 0 la_data_out[58]
+port 373 nsew signal tristate
+flabel metal2 s 329120 -800 329232 480 0 FreeSans 1120 90 0 0 la_data_out[57]
+port 372 nsew signal tristate
+flabel metal2 s 325574 -800 325686 480 0 FreeSans 1120 90 0 0 la_data_out[56]
+port 371 nsew signal tristate
+flabel metal2 s 322028 -800 322140 480 0 FreeSans 1120 90 0 0 la_data_out[55]
+port 370 nsew signal tristate
+flabel metal2 s 318482 -800 318594 480 0 FreeSans 1120 90 0 0 la_data_out[54]
+port 369 nsew signal tristate
+flabel metal2 s 314936 -800 315048 480 0 FreeSans 1120 90 0 0 la_data_out[53]
+port 368 nsew signal tristate
+flabel metal2 s 311390 -800 311502 480 0 FreeSans 1120 90 0 0 la_data_out[52]
+port 367 nsew signal tristate
+flabel metal2 s 307844 -800 307956 480 0 FreeSans 1120 90 0 0 la_data_out[51]
+port 366 nsew signal tristate
+flabel metal2 s 304298 -800 304410 480 0 FreeSans 1120 90 0 0 la_data_out[50]
+port 365 nsew signal tristate
+flabel metal2 s 141182 -800 141294 480 0 FreeSans 1120 90 0 0 la_data_out[4]
+port 364 nsew signal tristate
+flabel metal2 s 300752 -800 300864 480 0 FreeSans 1120 90 0 0 la_data_out[49]
+port 363 nsew signal tristate
+flabel metal2 s 297206 -800 297318 480 0 FreeSans 1120 90 0 0 la_data_out[48]
+port 362 nsew signal tristate
+flabel metal2 s 293660 -800 293772 480 0 FreeSans 1120 90 0 0 la_data_out[47]
+port 361 nsew signal tristate
+flabel metal2 s 290114 -800 290226 480 0 FreeSans 1120 90 0 0 la_data_out[46]
+port 360 nsew signal tristate
+flabel metal2 s 286568 -800 286680 480 0 FreeSans 1120 90 0 0 la_data_out[45]
+port 359 nsew signal tristate
+flabel metal2 s 283022 -800 283134 480 0 FreeSans 1120 90 0 0 la_data_out[44]
+port 358 nsew signal tristate
+flabel metal2 s 279476 -800 279588 480 0 FreeSans 1120 90 0 0 la_data_out[43]
+port 357 nsew signal tristate
+flabel metal2 s 275930 -800 276042 480 0 FreeSans 1120 90 0 0 la_data_out[42]
+port 356 nsew signal tristate
+flabel metal2 s 272384 -800 272496 480 0 FreeSans 1120 90 0 0 la_data_out[41]
+port 355 nsew signal tristate
+flabel metal2 s 268838 -800 268950 480 0 FreeSans 1120 90 0 0 la_data_out[40]
+port 354 nsew signal tristate
+flabel metal2 s 137636 -800 137748 480 0 FreeSans 1120 90 0 0 la_data_out[3]
+port 353 nsew signal tristate
+flabel metal2 s 265292 -800 265404 480 0 FreeSans 1120 90 0 0 la_data_out[39]
+port 352 nsew signal tristate
+flabel metal2 s 261746 -800 261858 480 0 FreeSans 1120 90 0 0 la_data_out[38]
+port 351 nsew signal tristate
+flabel metal2 s 258200 -800 258312 480 0 FreeSans 1120 90 0 0 la_data_out[37]
+port 350 nsew signal tristate
+flabel metal2 s 254654 -800 254766 480 0 FreeSans 1120 90 0 0 la_data_out[36]
+port 349 nsew signal tristate
+flabel metal2 s 251108 -800 251220 480 0 FreeSans 1120 90 0 0 la_data_out[35]
+port 348 nsew signal tristate
+flabel metal2 s 247562 -800 247674 480 0 FreeSans 1120 90 0 0 la_data_out[34]
+port 347 nsew signal tristate
+flabel metal2 s 244016 -800 244128 480 0 FreeSans 1120 90 0 0 la_data_out[33]
+port 346 nsew signal tristate
+flabel metal2 s 240470 -800 240582 480 0 FreeSans 1120 90 0 0 la_data_out[32]
+port 345 nsew signal tristate
+flabel metal2 s 236924 -800 237036 480 0 FreeSans 1120 90 0 0 la_data_out[31]
+port 344 nsew signal tristate
+flabel metal2 s 233378 -800 233490 480 0 FreeSans 1120 90 0 0 la_data_out[30]
+port 343 nsew signal tristate
+flabel metal2 s 134090 -800 134202 480 0 FreeSans 1120 90 0 0 la_data_out[2]
+port 342 nsew signal tristate
+flabel metal2 s 229832 -800 229944 480 0 FreeSans 1120 90 0 0 la_data_out[29]
+port 341 nsew signal tristate
+flabel metal2 s 226286 -800 226398 480 0 FreeSans 1120 90 0 0 la_data_out[28]
+port 340 nsew signal tristate
+flabel metal2 s 222740 -800 222852 480 0 FreeSans 1120 90 0 0 la_data_out[27]
+port 339 nsew signal tristate
+flabel metal2 s 219194 -800 219306 480 0 FreeSans 1120 90 0 0 la_data_out[26]
+port 338 nsew signal tristate
+flabel metal2 s 215648 -800 215760 480 0 FreeSans 1120 90 0 0 la_data_out[25]
+port 337 nsew signal tristate
+flabel metal2 s 212102 -800 212214 480 0 FreeSans 1120 90 0 0 la_data_out[24]
+port 336 nsew signal tristate
+flabel metal2 s 208556 -800 208668 480 0 FreeSans 1120 90 0 0 la_data_out[23]
+port 335 nsew signal tristate
+flabel metal2 s 205010 -800 205122 480 0 FreeSans 1120 90 0 0 la_data_out[22]
+port 334 nsew signal tristate
+flabel metal2 s 201464 -800 201576 480 0 FreeSans 1120 90 0 0 la_data_out[21]
+port 333 nsew signal tristate
+flabel metal2 s 197918 -800 198030 480 0 FreeSans 1120 90 0 0 la_data_out[20]
+port 332 nsew signal tristate
+flabel metal2 s 130544 -800 130656 480 0 FreeSans 1120 90 0 0 la_data_out[1]
+port 331 nsew signal tristate
+flabel metal2 s 194372 -800 194484 480 0 FreeSans 1120 90 0 0 la_data_out[19]
+port 330 nsew signal tristate
+flabel metal2 s 190826 -800 190938 480 0 FreeSans 1120 90 0 0 la_data_out[18]
+port 329 nsew signal tristate
+flabel metal2 s 187280 -800 187392 480 0 FreeSans 1120 90 0 0 la_data_out[17]
+port 328 nsew signal tristate
+flabel metal2 s 183734 -800 183846 480 0 FreeSans 1120 90 0 0 la_data_out[16]
+port 327 nsew signal tristate
+flabel metal2 s 180188 -800 180300 480 0 FreeSans 1120 90 0 0 la_data_out[15]
+port 326 nsew signal tristate
+flabel metal2 s 176642 -800 176754 480 0 FreeSans 1120 90 0 0 la_data_out[14]
+port 325 nsew signal tristate
+flabel metal2 s 173096 -800 173208 480 0 FreeSans 1120 90 0 0 la_data_out[13]
+port 324 nsew signal tristate
+flabel metal2 s 169550 -800 169662 480 0 FreeSans 1120 90 0 0 la_data_out[12]
+port 323 nsew signal tristate
+flabel metal2 s 577340 -800 577452 480 0 FreeSans 1120 90 0 0 la_data_out[127]
+port 322 nsew signal tristate
+flabel metal2 s 573794 -800 573906 480 0 FreeSans 1120 90 0 0 la_data_out[126]
+port 321 nsew signal tristate
+flabel metal2 s 570248 -800 570360 480 0 FreeSans 1120 90 0 0 la_data_out[125]
+port 320 nsew signal tristate
+flabel metal2 s 566702 -800 566814 480 0 FreeSans 1120 90 0 0 la_data_out[124]
+port 319 nsew signal tristate
+flabel metal2 s 563156 -800 563268 480 0 FreeSans 1120 90 0 0 la_data_out[123]
+port 318 nsew signal tristate
+flabel metal2 s 559610 -800 559722 480 0 FreeSans 1120 90 0 0 la_data_out[122]
+port 317 nsew signal tristate
+flabel metal2 s 556064 -800 556176 480 0 FreeSans 1120 90 0 0 la_data_out[121]
+port 316 nsew signal tristate
+flabel metal2 s 552518 -800 552630 480 0 FreeSans 1120 90 0 0 la_data_out[120]
+port 315 nsew signal tristate
+flabel metal2 s 166004 -800 166116 480 0 FreeSans 1120 90 0 0 la_data_out[11]
+port 314 nsew signal tristate
+flabel metal2 s 548972 -800 549084 480 0 FreeSans 1120 90 0 0 la_data_out[119]
+port 313 nsew signal tristate
+flabel metal2 s 545426 -800 545538 480 0 FreeSans 1120 90 0 0 la_data_out[118]
+port 312 nsew signal tristate
+flabel metal2 s 541880 -800 541992 480 0 FreeSans 1120 90 0 0 la_data_out[117]
+port 311 nsew signal tristate
+flabel metal2 s 538334 -800 538446 480 0 FreeSans 1120 90 0 0 la_data_out[116]
+port 310 nsew signal tristate
+flabel metal2 s 534788 -800 534900 480 0 FreeSans 1120 90 0 0 la_data_out[115]
+port 309 nsew signal tristate
+flabel metal2 s 531242 -800 531354 480 0 FreeSans 1120 90 0 0 la_data_out[114]
+port 308 nsew signal tristate
+flabel metal2 s 527696 -800 527808 480 0 FreeSans 1120 90 0 0 la_data_out[113]
+port 307 nsew signal tristate
+flabel metal2 s 524150 -800 524262 480 0 FreeSans 1120 90 0 0 la_data_out[112]
+port 306 nsew signal tristate
+flabel metal2 s 520604 -800 520716 480 0 FreeSans 1120 90 0 0 la_data_out[111]
+port 305 nsew signal tristate
+flabel metal2 s 517058 -800 517170 480 0 FreeSans 1120 90 0 0 la_data_out[110]
+port 304 nsew signal tristate
+flabel metal2 s 162458 -800 162570 480 0 FreeSans 1120 90 0 0 la_data_out[10]
+port 303 nsew signal tristate
+flabel metal2 s 513512 -800 513624 480 0 FreeSans 1120 90 0 0 la_data_out[109]
+port 302 nsew signal tristate
+flabel metal2 s 509966 -800 510078 480 0 FreeSans 1120 90 0 0 la_data_out[108]
+port 301 nsew signal tristate
+flabel metal2 s 506420 -800 506532 480 0 FreeSans 1120 90 0 0 la_data_out[107]
+port 300 nsew signal tristate
+flabel metal2 s 502874 -800 502986 480 0 FreeSans 1120 90 0 0 la_data_out[106]
+port 299 nsew signal tristate
+flabel metal2 s 499328 -800 499440 480 0 FreeSans 1120 90 0 0 la_data_out[105]
+port 298 nsew signal tristate
+flabel metal2 s 495782 -800 495894 480 0 FreeSans 1120 90 0 0 la_data_out[104]
+port 297 nsew signal tristate
+flabel metal2 s 492236 -800 492348 480 0 FreeSans 1120 90 0 0 la_data_out[103]
+port 296 nsew signal tristate
+flabel metal2 s 488690 -800 488802 480 0 FreeSans 1120 90 0 0 la_data_out[102]
+port 295 nsew signal tristate
+flabel metal2 s 485144 -800 485256 480 0 FreeSans 1120 90 0 0 la_data_out[101]
+port 294 nsew signal tristate
+flabel metal2 s 481598 -800 481710 480 0 FreeSans 1120 90 0 0 la_data_out[100]
+port 293 nsew signal tristate
+flabel metal2 s 126998 -800 127110 480 0 FreeSans 1120 90 0 0 la_data_out[0]
+port 292 nsew signal tristate
+flabel metal2 s 157730 -800 157842 480 0 FreeSans 1120 90 0 0 la_data_in[9]
+port 291 nsew signal input
+flabel metal2 s 476870 -800 476982 480 0 FreeSans 1120 90 0 0 la_data_in[99]
+port 290 nsew signal input
+flabel metal2 s 473324 -800 473436 480 0 FreeSans 1120 90 0 0 la_data_in[98]
+port 289 nsew signal input
+flabel metal2 s 469778 -800 469890 480 0 FreeSans 1120 90 0 0 la_data_in[97]
+port 288 nsew signal input
+flabel metal2 s 466232 -800 466344 480 0 FreeSans 1120 90 0 0 la_data_in[96]
+port 287 nsew signal input
+flabel metal2 s 462686 -800 462798 480 0 FreeSans 1120 90 0 0 la_data_in[95]
+port 286 nsew signal input
+flabel metal2 s 459140 -800 459252 480 0 FreeSans 1120 90 0 0 la_data_in[94]
+port 285 nsew signal input
+flabel metal2 s 455594 -800 455706 480 0 FreeSans 1120 90 0 0 la_data_in[93]
+port 284 nsew signal input
+flabel metal2 s 452048 -800 452160 480 0 FreeSans 1120 90 0 0 la_data_in[92]
+port 283 nsew signal input
+flabel metal2 s 448502 -800 448614 480 0 FreeSans 1120 90 0 0 la_data_in[91]
+port 282 nsew signal input
+flabel metal2 s 444956 -800 445068 480 0 FreeSans 1120 90 0 0 la_data_in[90]
+port 281 nsew signal input
+flabel metal2 s 154184 -800 154296 480 0 FreeSans 1120 90 0 0 la_data_in[8]
+port 280 nsew signal input
+flabel metal2 s 441410 -800 441522 480 0 FreeSans 1120 90 0 0 la_data_in[89]
+port 279 nsew signal input
+flabel metal2 s 437864 -800 437976 480 0 FreeSans 1120 90 0 0 la_data_in[88]
+port 278 nsew signal input
+flabel metal2 s 434318 -800 434430 480 0 FreeSans 1120 90 0 0 la_data_in[87]
+port 277 nsew signal input
+flabel metal2 s 430772 -800 430884 480 0 FreeSans 1120 90 0 0 la_data_in[86]
+port 276 nsew signal input
+flabel metal2 s 427226 -800 427338 480 0 FreeSans 1120 90 0 0 la_data_in[85]
+port 275 nsew signal input
+flabel metal2 s 423680 -800 423792 480 0 FreeSans 1120 90 0 0 la_data_in[84]
+port 274 nsew signal input
+flabel metal2 s 420134 -800 420246 480 0 FreeSans 1120 90 0 0 la_data_in[83]
+port 273 nsew signal input
+flabel metal2 s 416588 -800 416700 480 0 FreeSans 1120 90 0 0 la_data_in[82]
+port 272 nsew signal input
+flabel metal2 s 413042 -800 413154 480 0 FreeSans 1120 90 0 0 la_data_in[81]
+port 271 nsew signal input
+flabel metal2 s 409496 -800 409608 480 0 FreeSans 1120 90 0 0 la_data_in[80]
+port 270 nsew signal input
+flabel metal2 s 150638 -800 150750 480 0 FreeSans 1120 90 0 0 la_data_in[7]
+port 269 nsew signal input
+flabel metal2 s 405950 -800 406062 480 0 FreeSans 1120 90 0 0 la_data_in[79]
+port 268 nsew signal input
+flabel metal2 s 402404 -800 402516 480 0 FreeSans 1120 90 0 0 la_data_in[78]
+port 267 nsew signal input
+flabel metal2 s 398858 -800 398970 480 0 FreeSans 1120 90 0 0 la_data_in[77]
+port 266 nsew signal input
+flabel metal2 s 395312 -800 395424 480 0 FreeSans 1120 90 0 0 la_data_in[76]
+port 265 nsew signal input
+flabel metal2 s 391766 -800 391878 480 0 FreeSans 1120 90 0 0 la_data_in[75]
+port 264 nsew signal input
+flabel metal2 s 388220 -800 388332 480 0 FreeSans 1120 90 0 0 la_data_in[74]
+port 263 nsew signal input
+flabel metal2 s 384674 -800 384786 480 0 FreeSans 1120 90 0 0 la_data_in[73]
+port 262 nsew signal input
+flabel metal2 s 381128 -800 381240 480 0 FreeSans 1120 90 0 0 la_data_in[72]
+port 261 nsew signal input
+flabel metal2 s 377582 -800 377694 480 0 FreeSans 1120 90 0 0 la_data_in[71]
+port 260 nsew signal input
+flabel metal2 s 374036 -800 374148 480 0 FreeSans 1120 90 0 0 la_data_in[70]
+port 259 nsew signal input
+flabel metal2 s 147092 -800 147204 480 0 FreeSans 1120 90 0 0 la_data_in[6]
+port 258 nsew signal input
+flabel metal2 s 370490 -800 370602 480 0 FreeSans 1120 90 0 0 la_data_in[69]
+port 257 nsew signal input
+flabel metal2 s 366944 -800 367056 480 0 FreeSans 1120 90 0 0 la_data_in[68]
+port 256 nsew signal input
+flabel metal2 s 363398 -800 363510 480 0 FreeSans 1120 90 0 0 la_data_in[67]
+port 255 nsew signal input
+flabel metal2 s 359852 -800 359964 480 0 FreeSans 1120 90 0 0 la_data_in[66]
+port 254 nsew signal input
+flabel metal2 s 356306 -800 356418 480 0 FreeSans 1120 90 0 0 la_data_in[65]
+port 253 nsew signal input
+flabel metal2 s 352760 -800 352872 480 0 FreeSans 1120 90 0 0 la_data_in[64]
+port 252 nsew signal input
+flabel metal2 s 349214 -800 349326 480 0 FreeSans 1120 90 0 0 la_data_in[63]
+port 251 nsew signal input
+flabel metal2 s 345668 -800 345780 480 0 FreeSans 1120 90 0 0 la_data_in[62]
+port 250 nsew signal input
+flabel metal2 s 342122 -800 342234 480 0 FreeSans 1120 90 0 0 la_data_in[61]
+port 249 nsew signal input
+flabel metal2 s 338576 -800 338688 480 0 FreeSans 1120 90 0 0 la_data_in[60]
+port 248 nsew signal input
+flabel metal2 s 143546 -800 143658 480 0 FreeSans 1120 90 0 0 la_data_in[5]
+port 247 nsew signal input
+flabel metal2 s 335030 -800 335142 480 0 FreeSans 1120 90 0 0 la_data_in[59]
+port 246 nsew signal input
+flabel metal2 s 331484 -800 331596 480 0 FreeSans 1120 90 0 0 la_data_in[58]
+port 245 nsew signal input
+flabel metal2 s 327938 -800 328050 480 0 FreeSans 1120 90 0 0 la_data_in[57]
+port 244 nsew signal input
+flabel metal2 s 324392 -800 324504 480 0 FreeSans 1120 90 0 0 la_data_in[56]
+port 243 nsew signal input
+flabel metal2 s 320846 -800 320958 480 0 FreeSans 1120 90 0 0 la_data_in[55]
+port 242 nsew signal input
+flabel metal2 s 317300 -800 317412 480 0 FreeSans 1120 90 0 0 la_data_in[54]
+port 241 nsew signal input
+flabel metal2 s 313754 -800 313866 480 0 FreeSans 1120 90 0 0 la_data_in[53]
+port 240 nsew signal input
+flabel metal2 s 310208 -800 310320 480 0 FreeSans 1120 90 0 0 la_data_in[52]
+port 239 nsew signal input
+flabel metal2 s 306662 -800 306774 480 0 FreeSans 1120 90 0 0 la_data_in[51]
+port 238 nsew signal input
+flabel metal2 s 303116 -800 303228 480 0 FreeSans 1120 90 0 0 la_data_in[50]
+port 237 nsew signal input
+flabel metal2 s 140000 -800 140112 480 0 FreeSans 1120 90 0 0 la_data_in[4]
+port 236 nsew signal input
+flabel metal2 s 299570 -800 299682 480 0 FreeSans 1120 90 0 0 la_data_in[49]
+port 235 nsew signal input
+flabel metal2 s 296024 -800 296136 480 0 FreeSans 1120 90 0 0 la_data_in[48]
+port 234 nsew signal input
+flabel metal2 s 292478 -800 292590 480 0 FreeSans 1120 90 0 0 la_data_in[47]
+port 233 nsew signal input
+flabel metal2 s 288932 -800 289044 480 0 FreeSans 1120 90 0 0 la_data_in[46]
+port 232 nsew signal input
+flabel metal2 s 285386 -800 285498 480 0 FreeSans 1120 90 0 0 la_data_in[45]
+port 231 nsew signal input
+flabel metal2 s 281840 -800 281952 480 0 FreeSans 1120 90 0 0 la_data_in[44]
+port 230 nsew signal input
+flabel metal2 s 278294 -800 278406 480 0 FreeSans 1120 90 0 0 la_data_in[43]
+port 229 nsew signal input
+flabel metal2 s 274748 -800 274860 480 0 FreeSans 1120 90 0 0 la_data_in[42]
+port 228 nsew signal input
+flabel metal2 s 271202 -800 271314 480 0 FreeSans 1120 90 0 0 la_data_in[41]
+port 227 nsew signal input
+flabel metal2 s 267656 -800 267768 480 0 FreeSans 1120 90 0 0 la_data_in[40]
+port 226 nsew signal input
+flabel metal2 s 136454 -800 136566 480 0 FreeSans 1120 90 0 0 la_data_in[3]
+port 225 nsew signal input
+flabel metal2 s 264110 -800 264222 480 0 FreeSans 1120 90 0 0 la_data_in[39]
+port 224 nsew signal input
+flabel metal2 s 260564 -800 260676 480 0 FreeSans 1120 90 0 0 la_data_in[38]
+port 223 nsew signal input
+flabel metal2 s 257018 -800 257130 480 0 FreeSans 1120 90 0 0 la_data_in[37]
+port 222 nsew signal input
+flabel metal2 s 253472 -800 253584 480 0 FreeSans 1120 90 0 0 la_data_in[36]
+port 221 nsew signal input
+flabel metal2 s 249926 -800 250038 480 0 FreeSans 1120 90 0 0 la_data_in[35]
+port 220 nsew signal input
+flabel metal2 s 246380 -800 246492 480 0 FreeSans 1120 90 0 0 la_data_in[34]
+port 219 nsew signal input
+flabel metal2 s 242834 -800 242946 480 0 FreeSans 1120 90 0 0 la_data_in[33]
+port 218 nsew signal input
+flabel metal2 s 239288 -800 239400 480 0 FreeSans 1120 90 0 0 la_data_in[32]
+port 217 nsew signal input
+flabel metal2 s 235742 -800 235854 480 0 FreeSans 1120 90 0 0 la_data_in[31]
+port 216 nsew signal input
+flabel metal2 s 232196 -800 232308 480 0 FreeSans 1120 90 0 0 la_data_in[30]
+port 215 nsew signal input
+flabel metal2 s 132908 -800 133020 480 0 FreeSans 1120 90 0 0 la_data_in[2]
+port 214 nsew signal input
+flabel metal2 s 228650 -800 228762 480 0 FreeSans 1120 90 0 0 la_data_in[29]
+port 213 nsew signal input
+flabel metal2 s 225104 -800 225216 480 0 FreeSans 1120 90 0 0 la_data_in[28]
+port 212 nsew signal input
+flabel metal2 s 221558 -800 221670 480 0 FreeSans 1120 90 0 0 la_data_in[27]
+port 211 nsew signal input
+flabel metal2 s 218012 -800 218124 480 0 FreeSans 1120 90 0 0 la_data_in[26]
+port 210 nsew signal input
+flabel metal2 s 214466 -800 214578 480 0 FreeSans 1120 90 0 0 la_data_in[25]
+port 209 nsew signal input
+flabel metal2 s 210920 -800 211032 480 0 FreeSans 1120 90 0 0 la_data_in[24]
+port 208 nsew signal input
+flabel metal2 s 207374 -800 207486 480 0 FreeSans 1120 90 0 0 la_data_in[23]
+port 207 nsew signal input
+flabel metal2 s 203828 -800 203940 480 0 FreeSans 1120 90 0 0 la_data_in[22]
+port 206 nsew signal input
+flabel metal2 s 200282 -800 200394 480 0 FreeSans 1120 90 0 0 la_data_in[21]
+port 205 nsew signal input
+flabel metal2 s 196736 -800 196848 480 0 FreeSans 1120 90 0 0 la_data_in[20]
+port 204 nsew signal input
+flabel metal2 s 129362 -800 129474 480 0 FreeSans 1120 90 0 0 la_data_in[1]
+port 203 nsew signal input
+flabel metal2 s 193190 -800 193302 480 0 FreeSans 1120 90 0 0 la_data_in[19]
+port 202 nsew signal input
+flabel metal2 s 189644 -800 189756 480 0 FreeSans 1120 90 0 0 la_data_in[18]
+port 201 nsew signal input
+flabel metal2 s 186098 -800 186210 480 0 FreeSans 1120 90 0 0 la_data_in[17]
+port 200 nsew signal input
+flabel metal2 s 182552 -800 182664 480 0 FreeSans 1120 90 0 0 la_data_in[16]
+port 199 nsew signal input
+flabel metal2 s 179006 -800 179118 480 0 FreeSans 1120 90 0 0 la_data_in[15]
+port 198 nsew signal input
+flabel metal2 s 175460 -800 175572 480 0 FreeSans 1120 90 0 0 la_data_in[14]
+port 197 nsew signal input
+flabel metal2 s 171914 -800 172026 480 0 FreeSans 1120 90 0 0 la_data_in[13]
+port 196 nsew signal input
+flabel metal2 s 168368 -800 168480 480 0 FreeSans 1120 90 0 0 la_data_in[12]
+port 195 nsew signal input
+flabel metal2 s 576158 -800 576270 480 0 FreeSans 1120 90 0 0 la_data_in[127]
+port 194 nsew signal input
+flabel metal2 s 572612 -800 572724 480 0 FreeSans 1120 90 0 0 la_data_in[126]
+port 193 nsew signal input
+flabel metal2 s 569066 -800 569178 480 0 FreeSans 1120 90 0 0 la_data_in[125]
+port 192 nsew signal input
+flabel metal2 s 565520 -800 565632 480 0 FreeSans 1120 90 0 0 la_data_in[124]
+port 191 nsew signal input
+flabel metal2 s 561974 -800 562086 480 0 FreeSans 1120 90 0 0 la_data_in[123]
+port 190 nsew signal input
+flabel metal2 s 558428 -800 558540 480 0 FreeSans 1120 90 0 0 la_data_in[122]
+port 189 nsew signal input
+flabel metal2 s 554882 -800 554994 480 0 FreeSans 1120 90 0 0 la_data_in[121]
+port 188 nsew signal input
+flabel metal2 s 551336 -800 551448 480 0 FreeSans 1120 90 0 0 la_data_in[120]
+port 187 nsew signal input
+flabel metal2 s 164822 -800 164934 480 0 FreeSans 1120 90 0 0 la_data_in[11]
+port 186 nsew signal input
+flabel metal2 s 547790 -800 547902 480 0 FreeSans 1120 90 0 0 la_data_in[119]
+port 185 nsew signal input
+flabel metal2 s 544244 -800 544356 480 0 FreeSans 1120 90 0 0 la_data_in[118]
+port 184 nsew signal input
+flabel metal2 s 540698 -800 540810 480 0 FreeSans 1120 90 0 0 la_data_in[117]
+port 183 nsew signal input
+flabel metal2 s 537152 -800 537264 480 0 FreeSans 1120 90 0 0 la_data_in[116]
+port 182 nsew signal input
+flabel metal2 s 533606 -800 533718 480 0 FreeSans 1120 90 0 0 la_data_in[115]
+port 181 nsew signal input
+flabel metal2 s 530060 -800 530172 480 0 FreeSans 1120 90 0 0 la_data_in[114]
+port 180 nsew signal input
+flabel metal2 s 526514 -800 526626 480 0 FreeSans 1120 90 0 0 la_data_in[113]
+port 179 nsew signal input
+flabel metal2 s 522968 -800 523080 480 0 FreeSans 1120 90 0 0 la_data_in[112]
+port 178 nsew signal input
+flabel metal2 s 519422 -800 519534 480 0 FreeSans 1120 90 0 0 la_data_in[111]
+port 177 nsew signal input
+flabel metal2 s 515876 -800 515988 480 0 FreeSans 1120 90 0 0 la_data_in[110]
+port 176 nsew signal input
+flabel metal2 s 161276 -800 161388 480 0 FreeSans 1120 90 0 0 la_data_in[10]
+port 175 nsew signal input
+flabel metal2 s 512330 -800 512442 480 0 FreeSans 1120 90 0 0 la_data_in[109]
+port 174 nsew signal input
+flabel metal2 s 508784 -800 508896 480 0 FreeSans 1120 90 0 0 la_data_in[108]
+port 173 nsew signal input
+flabel metal2 s 505238 -800 505350 480 0 FreeSans 1120 90 0 0 la_data_in[107]
+port 172 nsew signal input
+flabel metal2 s 501692 -800 501804 480 0 FreeSans 1120 90 0 0 la_data_in[106]
+port 171 nsew signal input
+flabel metal2 s 498146 -800 498258 480 0 FreeSans 1120 90 0 0 la_data_in[105]
+port 170 nsew signal input
+flabel metal2 s 494600 -800 494712 480 0 FreeSans 1120 90 0 0 la_data_in[104]
+port 169 nsew signal input
+flabel metal2 s 491054 -800 491166 480 0 FreeSans 1120 90 0 0 la_data_in[103]
+port 168 nsew signal input
+flabel metal2 s 487508 -800 487620 480 0 FreeSans 1120 90 0 0 la_data_in[102]
+port 167 nsew signal input
+flabel metal2 s 483962 -800 484074 480 0 FreeSans 1120 90 0 0 la_data_in[101]
+port 166 nsew signal input
+flabel metal2 s 480416 -800 480528 480 0 FreeSans 1120 90 0 0 la_data_in[100]
+port 165 nsew signal input
+flabel metal2 s 125816 -800 125928 480 0 FreeSans 1120 90 0 0 la_data_in[0]
+port 164 nsew signal input
+flabel metal3 s 583520 363602 584800 363714 0 FreeSans 1120 0 0 0 io_out[9]
+port 163 nsew signal tristate
+flabel metal3 s 583520 318380 584800 318492 0 FreeSans 1120 0 0 0 io_out[8]
+port 162 nsew signal tristate
+flabel metal3 s 583520 273958 584800 274070 0 FreeSans 1120 0 0 0 io_out[7]
+port 161 nsew signal tristate
+flabel metal3 s 583520 93936 584800 94048 0 FreeSans 1120 0 0 0 io_out[6]
+port 160 nsew signal tristate
+flabel metal3 s 583520 49278 584800 49390 0 FreeSans 1120 0 0 0 io_out[5]
+port 159 nsew signal tristate
+flabel metal3 s 583520 22820 584800 22932 0 FreeSans 1120 0 0 0 io_out[4]
+port 158 nsew signal tristate
+flabel metal3 s 583520 18092 584800 18204 0 FreeSans 1120 0 0 0 io_out[3]
+port 157 nsew signal tristate
+flabel metal3 s 583520 13364 584800 13476 0 FreeSans 1120 0 0 0 io_out[2]
+port 156 nsew signal tristate
+flabel metal3 s -800 2726 480 2838 0 FreeSans 1120 0 0 0 io_out[26]
+port 155 nsew signal tristate
+flabel metal3 s -800 7454 480 7566 0 FreeSans 1120 0 0 0 io_out[25]
+port 154 nsew signal tristate
+flabel metal3 s -800 12182 480 12294 0 FreeSans 1120 0 0 0 io_out[24]
+port 153 nsew signal tristate
+flabel metal3 s -800 33604 480 33716 0 FreeSans 1120 0 0 0 io_out[23]
+port 152 nsew signal tristate
+flabel metal3 s -800 76826 480 76938 0 FreeSans 1120 0 0 0 io_out[22]
+port 151 nsew signal tristate
+flabel metal3 s -800 120048 480 120160 0 FreeSans 1120 0 0 0 io_out[21]
+port 150 nsew signal tristate
+flabel metal3 s -800 247670 480 247782 0 FreeSans 1120 0 0 0 io_out[20]
+port 149 nsew signal tristate
+flabel metal3 s 583520 8636 584800 8748 0 FreeSans 1120 0 0 0 io_out[1]
+port 148 nsew signal tristate
+flabel metal3 s -800 290692 480 290804 0 FreeSans 1120 0 0 0 io_out[19]
+port 147 nsew signal tristate
+flabel metal3 s -800 333914 480 334026 0 FreeSans 1120 0 0 0 io_out[18]
+port 146 nsew signal tristate
+flabel metal3 s -800 377136 480 377248 0 FreeSans 1120 0 0 0 io_out[17]
+port 145 nsew signal tristate
+flabel metal3 s -800 420358 480 420470 0 FreeSans 1120 0 0 0 io_out[16]
+port 144 nsew signal tristate
+flabel metal3 s -800 463580 480 463692 0 FreeSans 1120 0 0 0 io_out[15]
+port 143 nsew signal tristate
+flabel metal3 s -800 506802 480 506914 0 FreeSans 1120 0 0 0 io_out[14]
+port 142 nsew signal tristate
+flabel metal3 s 583520 588290 584800 588402 0 FreeSans 1120 0 0 0 io_out[13]
+port 141 nsew signal tristate
+flabel metal3 s 583520 498868 584800 498980 0 FreeSans 1120 0 0 0 io_out[12]
+port 140 nsew signal tristate
+flabel metal3 s 583520 454446 584800 454558 0 FreeSans 1120 0 0 0 io_out[11]
+port 139 nsew signal tristate
+flabel metal3 s 583520 410024 584800 410136 0 FreeSans 1120 0 0 0 io_out[10]
+port 138 nsew signal tristate
+flabel metal3 s 583520 3908 584800 4020 0 FreeSans 1120 0 0 0 io_out[0]
+port 137 nsew signal tristate
+flabel metal3 s 583520 364784 584800 364896 0 FreeSans 1120 0 0 0 io_oeb[9]
+port 136 nsew signal tristate
+flabel metal3 s 583520 319562 584800 319674 0 FreeSans 1120 0 0 0 io_oeb[8]
+port 135 nsew signal tristate
+flabel metal3 s 583520 275140 584800 275252 0 FreeSans 1120 0 0 0 io_oeb[7]
+port 134 nsew signal tristate
+flabel metal3 s 583520 95118 584800 95230 0 FreeSans 1120 0 0 0 io_oeb[6]
+port 133 nsew signal tristate
+flabel metal3 s 583520 50460 584800 50572 0 FreeSans 1120 0 0 0 io_oeb[5]
+port 132 nsew signal tristate
+flabel metal3 s 583520 24002 584800 24114 0 FreeSans 1120 0 0 0 io_oeb[4]
+port 131 nsew signal tristate
+flabel metal3 s 583520 19274 584800 19386 0 FreeSans 1120 0 0 0 io_oeb[3]
+port 130 nsew signal tristate
+flabel metal3 s 583520 14546 584800 14658 0 FreeSans 1120 0 0 0 io_oeb[2]
+port 129 nsew signal tristate
+flabel metal3 s -800 1544 480 1656 0 FreeSans 1120 0 0 0 io_oeb[26]
+port 128 nsew signal tristate
+flabel metal3 s -800 6272 480 6384 0 FreeSans 1120 0 0 0 io_oeb[25]
+port 127 nsew signal tristate
+flabel metal3 s -800 11000 480 11112 0 FreeSans 1120 0 0 0 io_oeb[24]
+port 126 nsew signal tristate
+flabel metal3 s -800 32422 480 32534 0 FreeSans 1120 0 0 0 io_oeb[23]
+port 125 nsew signal tristate
+flabel metal3 s -800 75644 480 75756 0 FreeSans 1120 0 0 0 io_oeb[22]
+port 124 nsew signal tristate
+flabel metal3 s -800 118866 480 118978 0 FreeSans 1120 0 0 0 io_oeb[21]
+port 123 nsew signal tristate
+flabel metal3 s -800 246488 480 246600 0 FreeSans 1120 0 0 0 io_oeb[20]
+port 122 nsew signal tristate
+flabel metal3 s 583520 9818 584800 9930 0 FreeSans 1120 0 0 0 io_oeb[1]
+port 121 nsew signal tristate
+flabel metal3 s -800 289510 480 289622 0 FreeSans 1120 0 0 0 io_oeb[19]
+port 120 nsew signal tristate
+flabel metal3 s -800 332732 480 332844 0 FreeSans 1120 0 0 0 io_oeb[18]
+port 119 nsew signal tristate
+flabel metal3 s -800 375954 480 376066 0 FreeSans 1120 0 0 0 io_oeb[17]
+port 118 nsew signal tristate
+flabel metal3 s -800 419176 480 419288 0 FreeSans 1120 0 0 0 io_oeb[16]
+port 117 nsew signal tristate
+flabel metal3 s -800 462398 480 462510 0 FreeSans 1120 0 0 0 io_oeb[15]
+port 116 nsew signal tristate
+flabel metal3 s -800 505620 480 505732 0 FreeSans 1120 0 0 0 io_oeb[14]
+port 115 nsew signal tristate
+flabel metal3 s 583520 589472 584800 589584 0 FreeSans 1120 0 0 0 io_oeb[13]
+port 114 nsew signal tristate
+flabel metal3 s 583520 500050 584800 500162 0 FreeSans 1120 0 0 0 io_oeb[12]
+port 113 nsew signal tristate
+flabel metal3 s 583520 455628 584800 455740 0 FreeSans 1120 0 0 0 io_oeb[11]
+port 112 nsew signal tristate
+flabel metal3 s 583520 411206 584800 411318 0 FreeSans 1120 0 0 0 io_oeb[10]
+port 111 nsew signal tristate
+flabel metal3 s 583520 5090 584800 5202 0 FreeSans 1120 0 0 0 io_oeb[0]
+port 110 nsew signal tristate
+flabel metal3 s 583520 361238 584800 361350 0 FreeSans 1120 0 0 0 io_in_3v3[9]
+port 109 nsew signal input
+flabel metal3 s 583520 316016 584800 316128 0 FreeSans 1120 0 0 0 io_in_3v3[8]
+port 108 nsew signal input
+flabel metal3 s 583520 271594 584800 271706 0 FreeSans 1120 0 0 0 io_in_3v3[7]
+port 107 nsew signal input
+flabel metal3 s 583520 91572 584800 91684 0 FreeSans 1120 0 0 0 io_in_3v3[6]
+port 106 nsew signal input
+flabel metal3 s 583520 46914 584800 47026 0 FreeSans 1120 0 0 0 io_in_3v3[5]
+port 105 nsew signal input
+flabel metal3 s 583520 20456 584800 20568 0 FreeSans 1120 0 0 0 io_in_3v3[4]
+port 104 nsew signal input
+flabel metal3 s 583520 15728 584800 15840 0 FreeSans 1120 0 0 0 io_in_3v3[3]
+port 103 nsew signal input
+flabel metal3 s 583520 11000 584800 11112 0 FreeSans 1120 0 0 0 io_in_3v3[2]
+port 102 nsew signal input
+flabel metal3 s -800 5090 480 5202 0 FreeSans 1120 0 0 0 io_in_3v3[26]
+port 101 nsew signal input
+flabel metal3 s -800 9818 480 9930 0 FreeSans 1120 0 0 0 io_in_3v3[25]
+port 100 nsew signal input
+flabel metal3 s -800 14546 480 14658 0 FreeSans 1120 0 0 0 io_in_3v3[24]
+port 99 nsew signal input
+flabel metal3 s -800 35968 480 36080 0 FreeSans 1120 0 0 0 io_in_3v3[23]
+port 98 nsew signal input
+flabel metal3 s -800 79190 480 79302 0 FreeSans 1120 0 0 0 io_in_3v3[22]
+port 97 nsew signal input
+flabel metal3 s -800 122412 480 122524 0 FreeSans 1120 0 0 0 io_in_3v3[21]
+port 96 nsew signal input
+flabel metal3 s -800 250034 480 250146 0 FreeSans 1120 0 0 0 io_in_3v3[20]
+port 95 nsew signal input
+flabel metal3 s 583520 6272 584800 6384 0 FreeSans 1120 0 0 0 io_in_3v3[1]
+port 94 nsew signal input
+flabel metal3 s -800 293056 480 293168 0 FreeSans 1120 0 0 0 io_in_3v3[19]
+port 93 nsew signal input
+flabel metal3 s -800 336278 480 336390 0 FreeSans 1120 0 0 0 io_in_3v3[18]
+port 92 nsew signal input
+flabel metal3 s -800 379500 480 379612 0 FreeSans 1120 0 0 0 io_in_3v3[17]
+port 91 nsew signal input
+flabel metal3 s -800 422722 480 422834 0 FreeSans 1120 0 0 0 io_in_3v3[16]
+port 90 nsew signal input
+flabel metal3 s -800 465944 480 466056 0 FreeSans 1120 0 0 0 io_in_3v3[15]
+port 89 nsew signal input
+flabel metal3 s -800 509166 480 509278 0 FreeSans 1120 0 0 0 io_in_3v3[14]
+port 88 nsew signal input
+flabel metal3 s 583520 585926 584800 586038 0 FreeSans 1120 0 0 0 io_in_3v3[13]
+port 87 nsew signal input
+flabel metal3 s 583520 496504 584800 496616 0 FreeSans 1120 0 0 0 io_in_3v3[12]
+port 86 nsew signal input
+flabel metal3 s 583520 452082 584800 452194 0 FreeSans 1120 0 0 0 io_in_3v3[11]
+port 85 nsew signal input
+flabel metal3 s 583520 407660 584800 407772 0 FreeSans 1120 0 0 0 io_in_3v3[10]
+port 84 nsew signal input
+flabel metal3 s 583520 1544 584800 1656 0 FreeSans 1120 0 0 0 io_in_3v3[0]
+port 83 nsew signal input
+flabel metal3 s 583520 362420 584800 362532 0 FreeSans 1120 0 0 0 io_in[9]
+port 82 nsew signal input
+flabel metal3 s 583520 317198 584800 317310 0 FreeSans 1120 0 0 0 io_in[8]
+port 81 nsew signal input
+flabel metal3 s 583520 272776 584800 272888 0 FreeSans 1120 0 0 0 io_in[7]
+port 80 nsew signal input
+flabel metal3 s 583520 92754 584800 92866 0 FreeSans 1120 0 0 0 io_in[6]
+port 79 nsew signal input
+flabel metal3 s 583520 48096 584800 48208 0 FreeSans 1120 0 0 0 io_in[5]
+port 78 nsew signal input
+flabel metal3 s 583520 21638 584800 21750 0 FreeSans 1120 0 0 0 io_in[4]
+port 77 nsew signal input
+flabel metal3 s 583520 16910 584800 17022 0 FreeSans 1120 0 0 0 io_in[3]
+port 76 nsew signal input
+flabel metal3 s 583520 12182 584800 12294 0 FreeSans 1120 0 0 0 io_in[2]
+port 75 nsew signal input
+flabel metal3 s -800 3908 480 4020 0 FreeSans 1120 0 0 0 io_in[26]
+port 74 nsew signal input
+flabel metal3 s -800 8636 480 8748 0 FreeSans 1120 0 0 0 io_in[25]
+port 73 nsew signal input
+flabel metal3 s -800 13364 480 13476 0 FreeSans 1120 0 0 0 io_in[24]
+port 72 nsew signal input
+flabel metal3 s -800 34786 480 34898 0 FreeSans 1120 0 0 0 io_in[23]
+port 71 nsew signal input
+flabel metal3 s -800 78008 480 78120 0 FreeSans 1120 0 0 0 io_in[22]
+port 70 nsew signal input
+flabel metal3 s -800 121230 480 121342 0 FreeSans 1120 0 0 0 io_in[21]
+port 69 nsew signal input
+flabel metal3 s -800 248852 480 248964 0 FreeSans 1120 0 0 0 io_in[20]
+port 68 nsew signal input
+flabel metal3 s 583520 7454 584800 7566 0 FreeSans 1120 0 0 0 io_in[1]
+port 67 nsew signal input
+flabel metal3 s -800 291874 480 291986 0 FreeSans 1120 0 0 0 io_in[19]
+port 66 nsew signal input
+flabel metal3 s -800 335096 480 335208 0 FreeSans 1120 0 0 0 io_in[18]
+port 65 nsew signal input
+flabel metal3 s -800 378318 480 378430 0 FreeSans 1120 0 0 0 io_in[17]
+port 64 nsew signal input
+flabel metal3 s -800 421540 480 421652 0 FreeSans 1120 0 0 0 io_in[16]
+port 63 nsew signal input
+flabel metal3 s -800 464762 480 464874 0 FreeSans 1120 0 0 0 io_in[15]
+port 62 nsew signal input
+flabel metal3 s -800 507984 480 508096 0 FreeSans 1120 0 0 0 io_in[14]
+port 61 nsew signal input
+flabel metal3 s 583520 587108 584800 587220 0 FreeSans 1120 0 0 0 io_in[13]
+port 60 nsew signal input
+flabel metal3 s 583520 497686 584800 497798 0 FreeSans 1120 0 0 0 io_in[12]
+port 59 nsew signal input
+flabel metal3 s 583520 453264 584800 453376 0 FreeSans 1120 0 0 0 io_in[11]
+port 58 nsew signal input
+flabel metal3 s 583520 408842 584800 408954 0 FreeSans 1120 0 0 0 io_in[10]
+port 57 nsew signal input
+flabel metal3 s 583520 2726 584800 2838 0 FreeSans 1120 0 0 0 io_in[0]
+port 56 nsew signal input
+flabel metal3 s 170894 702300 173094 704800 0 FreeSans 1920 180 0 0 io_clamp_low[2]
+port 55 nsew signal bidirectional
+flabel metal3 s 222594 702300 224794 704800 0 FreeSans 1920 180 0 0 io_clamp_low[1]
+port 54 nsew signal bidirectional
+flabel metal3 s 324294 702300 326494 704800 0 FreeSans 1920 180 0 0 io_clamp_low[0]
+port 53 nsew signal bidirectional
+flabel metal3 s 173394 702300 175594 704800 0 FreeSans 1920 180 0 0 io_clamp_high[2]
+port 52 nsew signal bidirectional
+flabel metal3 s 225094 702300 227294 704800 0 FreeSans 1920 180 0 0 io_clamp_high[1]
+port 51 nsew signal bidirectional
+flabel metal3 s 326794 702300 328994 704800 0 FreeSans 1920 180 0 0 io_clamp_high[0]
+port 50 nsew signal bidirectional
+flabel metal5 s 217294 702300 222294 704800 0 FreeSans 1920 180 0 0 io_analog[5]
 port 48 nsew signal bidirectional
 flabel metal4 s 217294 702300 222294 704800 0 FreeSans 1920 180 0 0 io_analog[5]
 port 48 nsew signal bidirectional
-flabel metal5 s 217294 702300 222294 704800 0 FreeSans 1920 180 0 0 io_analog[5]
+flabel metal3 s 217294 702300 222294 704800 0 FreeSans 1920 180 0 0 io_analog[5]
 port 48 nsew signal bidirectional
+flabel metal5 s 318994 702300 323994 704800 0 FreeSans 1920 180 0 0 io_analog[4]
+port 47 nsew signal bidirectional
+flabel metal4 s 318994 702300 323994 704800 0 FreeSans 1920 180 0 0 io_analog[4]
+port 47 nsew signal bidirectional
+flabel metal3 s 318994 702300 323994 704800 0 FreeSans 1920 180 0 0 io_analog[4]
+port 47 nsew signal bidirectional
+flabel metal3 s 16194 702300 21194 704800 0 FreeSans 1920 180 0 0 io_analog[9]
+port 46 nsew signal bidirectional
+flabel metal3 s 68194 702300 73194 704800 0 FreeSans 1920 180 0 0 io_analog[8]
+port 45 nsew signal bidirectional
+flabel metal3 s 120194 702300 125194 704800 0 FreeSans 1920 180 0 0 io_analog[7]
+port 44 nsew signal bidirectional
+flabel metal5 s 175894 702300 180894 704800 0 FreeSans 1920 180 0 0 io_analog[6]
+port 43 nsew signal bidirectional
+flabel metal5 s 227594 702300 232594 704800 0 FreeSans 1920 180 0 0 io_analog[5]
+port 42 nsew signal bidirectional
+flabel metal4 s 227594 702300 232594 704800 0 FreeSans 1920 180 0 0 io_analog[5]
+port 42 nsew signal bidirectional
+flabel metal3 s 227594 702300 232594 704800 0 FreeSans 1920 180 0 0 io_analog[5]
+port 42 nsew signal bidirectional
+flabel metal5 s 329294 702300 334294 704800 0 FreeSans 1920 180 0 0 io_analog[4]
+port 41 nsew signal bidirectional
+flabel metal4 s 329294 702300 334294 704800 0 FreeSans 1920 180 0 0 io_analog[4]
+port 41 nsew signal bidirectional
+flabel metal3 s 329294 702300 334294 704800 0 FreeSans 1920 180 0 0 io_analog[4]
+port 41 nsew signal bidirectional
+flabel metal3 s 413394 702300 418394 704800 0 FreeSans 1920 180 0 0 io_analog[3]
+port 40 nsew signal bidirectional
+flabel metal3 s 465394 702300 470394 704800 0 FreeSans 1920 180 0 0 io_analog[2]
+port 39 nsew signal bidirectional
+flabel metal3 s 566594 702300 571594 704800 0 FreeSans 1920 180 0 0 io_analog[1]
+port 38 nsew signal bidirectional
+flabel metal3 s 0 680242 1700 685242 0 FreeSans 1120 0 0 0 io_analog[10]
+port 37 nsew signal bidirectional
+flabel metal3 s 582300 677984 584800 682984 0 FreeSans 1120 0 0 0 io_analog[0]
+port 36 nsew signal bidirectional
+flabel metal3 s -800 423904 480 424016 0 FreeSans 1120 0 0 0 gpio_noesd[9]
+port 35 nsew signal bidirectional
+flabel metal3 s -800 467126 480 467238 0 FreeSans 1120 0 0 0 gpio_noesd[8]
+port 34 nsew signal bidirectional
+flabel metal3 s -800 510348 480 510460 0 FreeSans 1120 0 0 0 gpio_noesd[7]
+port 33 nsew signal bidirectional
+flabel metal3 s 583520 584744 584800 584856 0 FreeSans 1120 0 0 0 gpio_noesd[6]
+port 32 nsew signal bidirectional
+flabel metal3 s 583520 495322 584800 495434 0 FreeSans 1120 0 0 0 gpio_noesd[5]
+port 31 nsew signal bidirectional
+flabel metal3 s 583520 450900 584800 451012 0 FreeSans 1120 0 0 0 gpio_noesd[4]
+port 30 nsew signal bidirectional
+flabel metal3 s 583520 406478 584800 406590 0 FreeSans 1120 0 0 0 gpio_noesd[3]
+port 29 nsew signal bidirectional
+flabel metal3 s 583520 360056 584800 360168 0 FreeSans 1120 0 0 0 gpio_noesd[2]
+port 28 nsew signal bidirectional
+flabel metal3 s 583520 314834 584800 314946 0 FreeSans 1120 0 0 0 gpio_noesd[1]
+port 27 nsew signal bidirectional
+flabel metal3 s -800 15728 480 15840 0 FreeSans 1120 0 0 0 gpio_noesd[17]
+port 26 nsew signal bidirectional
+flabel metal3 s -800 37150 480 37262 0 FreeSans 1120 0 0 0 gpio_noesd[16]
+port 25 nsew signal bidirectional
+flabel metal3 s -800 80372 480 80484 0 FreeSans 1120 0 0 0 gpio_noesd[15]
+port 24 nsew signal bidirectional
+flabel metal3 s -800 123594 480 123706 0 FreeSans 1120 0 0 0 gpio_noesd[14]
+port 23 nsew signal bidirectional
+flabel metal3 s -800 251216 480 251328 0 FreeSans 1120 0 0 0 gpio_noesd[13]
+port 22 nsew signal bidirectional
+flabel metal3 s -800 294238 480 294350 0 FreeSans 1120 0 0 0 gpio_noesd[12]
+port 21 nsew signal bidirectional
+flabel metal3 s -800 337460 480 337572 0 FreeSans 1120 0 0 0 gpio_noesd[11]
+port 20 nsew signal bidirectional
+flabel metal3 s -800 380682 480 380794 0 FreeSans 1120 0 0 0 gpio_noesd[10]
+port 19 nsew signal bidirectional
+flabel metal3 s 583520 270412 584800 270524 0 FreeSans 1120 0 0 0 gpio_noesd[0]
+port 18 nsew signal bidirectional
+flabel metal3 s -800 425086 480 425198 0 FreeSans 1120 0 0 0 gpio_analog[9]
+port 17 nsew signal bidirectional
+flabel metal3 s -800 468308 480 468420 0 FreeSans 1120 0 0 0 gpio_analog[8]
+port 16 nsew signal bidirectional
+flabel metal3 s -800 511530 480 511642 0 FreeSans 1120 0 0 0 gpio_analog[7]
+port 15 nsew signal bidirectional
+flabel metal3 s 583520 583562 584800 583674 0 FreeSans 1120 0 0 0 gpio_analog[6]
+port 14 nsew signal bidirectional
+flabel metal3 s 583520 494140 584800 494252 0 FreeSans 1120 0 0 0 gpio_analog[5]
+port 13 nsew signal bidirectional
+flabel metal3 s 583520 449718 584800 449830 0 FreeSans 1120 0 0 0 gpio_analog[4]
+port 12 nsew signal bidirectional
+flabel metal3 s 583520 405296 584800 405408 0 FreeSans 1120 0 0 0 gpio_analog[3]
+port 11 nsew signal bidirectional
+flabel metal3 s 583520 358874 584800 358986 0 FreeSans 1120 0 0 0 gpio_analog[2]
+port 10 nsew signal bidirectional
+flabel metal3 s 583520 313652 584800 313764 0 FreeSans 1120 0 0 0 gpio_analog[1]
+port 9 nsew signal bidirectional
+flabel metal3 s -800 16910 480 17022 0 FreeSans 1120 0 0 0 gpio_analog[17]
+port 8 nsew signal bidirectional
+flabel metal3 s -800 38332 480 38444 0 FreeSans 1120 0 0 0 gpio_analog[16]
+port 7 nsew signal bidirectional
+flabel metal3 s -800 81554 480 81666 0 FreeSans 1120 0 0 0 gpio_analog[15]
+port 6 nsew signal bidirectional
+flabel metal3 s -800 124776 480 124888 0 FreeSans 1120 0 0 0 gpio_analog[14]
+port 5 nsew signal bidirectional
+flabel metal3 s -800 252398 480 252510 0 FreeSans 1120 0 0 0 gpio_analog[13]
+port 4 nsew signal bidirectional
+flabel metal3 s -800 295420 480 295532 0 FreeSans 1120 0 0 0 gpio_analog[12]
+port 3 nsew signal bidirectional
+flabel metal3 s -800 338642 480 338754 0 FreeSans 1120 0 0 0 gpio_analog[11]
+port 2 nsew signal bidirectional
+flabel metal3 s -800 381864 480 381976 0 FreeSans 1120 0 0 0 gpio_analog[10]
+port 1 nsew signal bidirectional
+flabel metal3 s 583520 269230 584800 269342 0 FreeSans 1120 0 0 0 gpio_analog[0]
+port 0 nsew signal bidirectional
+rlabel metal3 68306 691906 68306 691906 7 CLK
+rlabel metal3 120364 698834 120364 698834 7 CLKBAR
+rlabel metal3 413536 692644 413536 692644 7 Outn
+rlabel metal3 469446 693308 469446 693308 3 Outp
+rlabel metal4 552168 633194 552168 633194 5 VDD
+rlabel metal5 524080 664482 524080 664482 3 GND
 flabel metal5 s 165594 702300 170594 704800 0 FreeSans 1920 180 0 0 io_analog[6]
 port 49 nsew signal bidirectional
-flabel metal3 s 326794 702300 328994 704800 0 FreeSans 1920 180 0 0 io_clamp_high[0]
-port 50 nsew signal bidirectional
-flabel metal3 s 225094 702300 227294 704800 0 FreeSans 1920 180 0 0 io_clamp_high[1]
-port 51 nsew signal bidirectional
-flabel metal3 s 173394 702300 175594 704800 0 FreeSans 1920 180 0 0 io_clamp_high[2]
-port 52 nsew signal bidirectional
-flabel metal3 s 324294 702300 326494 704800 0 FreeSans 1920 180 0 0 io_clamp_low[0]
-port 53 nsew signal bidirectional
-flabel metal3 s 222594 702300 224794 704800 0 FreeSans 1920 180 0 0 io_clamp_low[1]
-port 54 nsew signal bidirectional
-flabel metal3 s 170894 702300 173094 704800 0 FreeSans 1920 180 0 0 io_clamp_low[2]
-port 55 nsew signal bidirectional
-flabel metal3 s 583520 2726 584800 2838 0 FreeSans 1120 0 0 0 io_in[0]
-port 56 nsew signal input
-flabel metal3 s 583520 408842 584800 408954 0 FreeSans 1120 0 0 0 io_in[10]
-port 57 nsew signal input
-flabel metal3 s 583520 453264 584800 453376 0 FreeSans 1120 0 0 0 io_in[11]
-port 58 nsew signal input
-flabel metal3 s 583520 497686 584800 497798 0 FreeSans 1120 0 0 0 io_in[12]
-port 59 nsew signal input
-flabel metal3 s 583520 587108 584800 587220 0 FreeSans 1120 0 0 0 io_in[13]
-port 60 nsew signal input
-flabel metal3 s -800 507984 480 508096 0 FreeSans 1120 0 0 0 io_in[14]
-port 61 nsew signal input
-flabel metal3 s -800 464762 480 464874 0 FreeSans 1120 0 0 0 io_in[15]
-port 62 nsew signal input
-flabel metal3 s -800 421540 480 421652 0 FreeSans 1120 0 0 0 io_in[16]
-port 63 nsew signal input
-flabel metal3 s -800 378318 480 378430 0 FreeSans 1120 0 0 0 io_in[17]
-port 64 nsew signal input
-flabel metal3 s -800 335096 480 335208 0 FreeSans 1120 0 0 0 io_in[18]
-port 65 nsew signal input
-flabel metal3 s -800 291874 480 291986 0 FreeSans 1120 0 0 0 io_in[19]
-port 66 nsew signal input
-flabel metal3 s 583520 7454 584800 7566 0 FreeSans 1120 0 0 0 io_in[1]
-port 67 nsew signal input
-flabel metal3 s -800 248852 480 248964 0 FreeSans 1120 0 0 0 io_in[20]
-port 68 nsew signal input
-flabel metal3 s -800 121230 480 121342 0 FreeSans 1120 0 0 0 io_in[21]
-port 69 nsew signal input
-flabel metal3 s -800 78008 480 78120 0 FreeSans 1120 0 0 0 io_in[22]
-port 70 nsew signal input
-flabel metal3 s -800 34786 480 34898 0 FreeSans 1120 0 0 0 io_in[23]
-port 71 nsew signal input
-flabel metal3 s -800 13364 480 13476 0 FreeSans 1120 0 0 0 io_in[24]
-port 72 nsew signal input
-flabel metal3 s -800 8636 480 8748 0 FreeSans 1120 0 0 0 io_in[25]
-port 73 nsew signal input
-flabel metal3 s -800 3908 480 4020 0 FreeSans 1120 0 0 0 io_in[26]
-port 74 nsew signal input
-flabel metal3 s 583520 12182 584800 12294 0 FreeSans 1120 0 0 0 io_in[2]
-port 75 nsew signal input
-flabel metal3 s 583520 16910 584800 17022 0 FreeSans 1120 0 0 0 io_in[3]
-port 76 nsew signal input
-flabel metal3 s 583520 21638 584800 21750 0 FreeSans 1120 0 0 0 io_in[4]
-port 77 nsew signal input
-flabel metal3 s 583520 48096 584800 48208 0 FreeSans 1120 0 0 0 io_in[5]
-port 78 nsew signal input
-flabel metal3 s 583520 92754 584800 92866 0 FreeSans 1120 0 0 0 io_in[6]
-port 79 nsew signal input
-flabel metal3 s 583520 272776 584800 272888 0 FreeSans 1120 0 0 0 io_in[7]
-port 80 nsew signal input
-flabel metal3 s 583520 317198 584800 317310 0 FreeSans 1120 0 0 0 io_in[8]
-port 81 nsew signal input
-flabel metal3 s 583520 362420 584800 362532 0 FreeSans 1120 0 0 0 io_in[9]
-port 82 nsew signal input
-flabel metal3 s 583520 1544 584800 1656 0 FreeSans 1120 0 0 0 io_in_3v3[0]
-port 83 nsew signal input
-flabel metal3 s 583520 407660 584800 407772 0 FreeSans 1120 0 0 0 io_in_3v3[10]
-port 84 nsew signal input
-flabel metal3 s 583520 452082 584800 452194 0 FreeSans 1120 0 0 0 io_in_3v3[11]
-port 85 nsew signal input
-flabel metal3 s 583520 496504 584800 496616 0 FreeSans 1120 0 0 0 io_in_3v3[12]
-port 86 nsew signal input
-flabel metal3 s 583520 585926 584800 586038 0 FreeSans 1120 0 0 0 io_in_3v3[13]
-port 87 nsew signal input
-flabel metal3 s -800 509166 480 509278 0 FreeSans 1120 0 0 0 io_in_3v3[14]
-port 88 nsew signal input
-flabel metal3 s -800 465944 480 466056 0 FreeSans 1120 0 0 0 io_in_3v3[15]
-port 89 nsew signal input
-flabel metal3 s -800 422722 480 422834 0 FreeSans 1120 0 0 0 io_in_3v3[16]
-port 90 nsew signal input
-flabel metal3 s -800 379500 480 379612 0 FreeSans 1120 0 0 0 io_in_3v3[17]
-port 91 nsew signal input
-flabel metal3 s -800 336278 480 336390 0 FreeSans 1120 0 0 0 io_in_3v3[18]
-port 92 nsew signal input
-flabel metal3 s -800 293056 480 293168 0 FreeSans 1120 0 0 0 io_in_3v3[19]
-port 93 nsew signal input
-flabel metal3 s 583520 6272 584800 6384 0 FreeSans 1120 0 0 0 io_in_3v3[1]
-port 94 nsew signal input
-flabel metal3 s -800 250034 480 250146 0 FreeSans 1120 0 0 0 io_in_3v3[20]
-port 95 nsew signal input
-flabel metal3 s -800 122412 480 122524 0 FreeSans 1120 0 0 0 io_in_3v3[21]
-port 96 nsew signal input
-flabel metal3 s -800 79190 480 79302 0 FreeSans 1120 0 0 0 io_in_3v3[22]
-port 97 nsew signal input
-flabel metal3 s -800 35968 480 36080 0 FreeSans 1120 0 0 0 io_in_3v3[23]
-port 98 nsew signal input
-flabel metal3 s -800 14546 480 14658 0 FreeSans 1120 0 0 0 io_in_3v3[24]
-port 99 nsew signal input
-flabel metal3 s -800 9818 480 9930 0 FreeSans 1120 0 0 0 io_in_3v3[25]
-port 100 nsew signal input
-flabel metal3 s -800 5090 480 5202 0 FreeSans 1120 0 0 0 io_in_3v3[26]
-port 101 nsew signal input
-flabel metal3 s 583520 11000 584800 11112 0 FreeSans 1120 0 0 0 io_in_3v3[2]
-port 102 nsew signal input
-flabel metal3 s 583520 15728 584800 15840 0 FreeSans 1120 0 0 0 io_in_3v3[3]
-port 103 nsew signal input
-flabel metal3 s 583520 20456 584800 20568 0 FreeSans 1120 0 0 0 io_in_3v3[4]
-port 104 nsew signal input
-flabel metal3 s 583520 46914 584800 47026 0 FreeSans 1120 0 0 0 io_in_3v3[5]
-port 105 nsew signal input
-flabel metal3 s 583520 91572 584800 91684 0 FreeSans 1120 0 0 0 io_in_3v3[6]
-port 106 nsew signal input
-flabel metal3 s 583520 271594 584800 271706 0 FreeSans 1120 0 0 0 io_in_3v3[7]
-port 107 nsew signal input
-flabel metal3 s 583520 316016 584800 316128 0 FreeSans 1120 0 0 0 io_in_3v3[8]
-port 108 nsew signal input
-flabel metal3 s 583520 361238 584800 361350 0 FreeSans 1120 0 0 0 io_in_3v3[9]
-port 109 nsew signal input
-flabel metal3 s 583520 5090 584800 5202 0 FreeSans 1120 0 0 0 io_oeb[0]
-port 110 nsew signal tristate
-flabel metal3 s 583520 411206 584800 411318 0 FreeSans 1120 0 0 0 io_oeb[10]
-port 111 nsew signal tristate
-flabel metal3 s 583520 455628 584800 455740 0 FreeSans 1120 0 0 0 io_oeb[11]
-port 112 nsew signal tristate
-flabel metal3 s 583520 500050 584800 500162 0 FreeSans 1120 0 0 0 io_oeb[12]
-port 113 nsew signal tristate
-flabel metal3 s 583520 589472 584800 589584 0 FreeSans 1120 0 0 0 io_oeb[13]
-port 114 nsew signal tristate
-flabel metal3 s -800 505620 480 505732 0 FreeSans 1120 0 0 0 io_oeb[14]
-port 115 nsew signal tristate
-flabel metal3 s -800 462398 480 462510 0 FreeSans 1120 0 0 0 io_oeb[15]
-port 116 nsew signal tristate
-flabel metal3 s -800 419176 480 419288 0 FreeSans 1120 0 0 0 io_oeb[16]
-port 117 nsew signal tristate
-flabel metal3 s -800 375954 480 376066 0 FreeSans 1120 0 0 0 io_oeb[17]
-port 118 nsew signal tristate
-flabel metal3 s -800 332732 480 332844 0 FreeSans 1120 0 0 0 io_oeb[18]
-port 119 nsew signal tristate
-flabel metal3 s -800 289510 480 289622 0 FreeSans 1120 0 0 0 io_oeb[19]
-port 120 nsew signal tristate
-flabel metal3 s 583520 9818 584800 9930 0 FreeSans 1120 0 0 0 io_oeb[1]
-port 121 nsew signal tristate
-flabel metal3 s -800 246488 480 246600 0 FreeSans 1120 0 0 0 io_oeb[20]
-port 122 nsew signal tristate
-flabel metal3 s -800 118866 480 118978 0 FreeSans 1120 0 0 0 io_oeb[21]
-port 123 nsew signal tristate
-flabel metal3 s -800 75644 480 75756 0 FreeSans 1120 0 0 0 io_oeb[22]
-port 124 nsew signal tristate
-flabel metal3 s -800 32422 480 32534 0 FreeSans 1120 0 0 0 io_oeb[23]
-port 125 nsew signal tristate
-flabel metal3 s -800 11000 480 11112 0 FreeSans 1120 0 0 0 io_oeb[24]
-port 126 nsew signal tristate
-flabel metal3 s -800 6272 480 6384 0 FreeSans 1120 0 0 0 io_oeb[25]
-port 127 nsew signal tristate
-flabel metal3 s -800 1544 480 1656 0 FreeSans 1120 0 0 0 io_oeb[26]
-port 128 nsew signal tristate
-flabel metal3 s 583520 14546 584800 14658 0 FreeSans 1120 0 0 0 io_oeb[2]
-port 129 nsew signal tristate
-flabel metal3 s 583520 19274 584800 19386 0 FreeSans 1120 0 0 0 io_oeb[3]
-port 130 nsew signal tristate
-flabel metal3 s 583520 24002 584800 24114 0 FreeSans 1120 0 0 0 io_oeb[4]
-port 131 nsew signal tristate
-flabel metal3 s 583520 50460 584800 50572 0 FreeSans 1120 0 0 0 io_oeb[5]
-port 132 nsew signal tristate
-flabel metal3 s 583520 95118 584800 95230 0 FreeSans 1120 0 0 0 io_oeb[6]
-port 133 nsew signal tristate
-flabel metal3 s 583520 275140 584800 275252 0 FreeSans 1120 0 0 0 io_oeb[7]
-port 134 nsew signal tristate
-flabel metal3 s 583520 319562 584800 319674 0 FreeSans 1120 0 0 0 io_oeb[8]
-port 135 nsew signal tristate
-flabel metal3 s 583520 364784 584800 364896 0 FreeSans 1120 0 0 0 io_oeb[9]
-port 136 nsew signal tristate
-flabel metal3 s 583520 3908 584800 4020 0 FreeSans 1120 0 0 0 io_out[0]
-port 137 nsew signal tristate
-flabel metal3 s 583520 410024 584800 410136 0 FreeSans 1120 0 0 0 io_out[10]
-port 138 nsew signal tristate
-flabel metal3 s 583520 454446 584800 454558 0 FreeSans 1120 0 0 0 io_out[11]
-port 139 nsew signal tristate
-flabel metal3 s 583520 498868 584800 498980 0 FreeSans 1120 0 0 0 io_out[12]
-port 140 nsew signal tristate
-flabel metal3 s 583520 588290 584800 588402 0 FreeSans 1120 0 0 0 io_out[13]
-port 141 nsew signal tristate
-flabel metal3 s -800 506802 480 506914 0 FreeSans 1120 0 0 0 io_out[14]
-port 142 nsew signal tristate
-flabel metal3 s -800 463580 480 463692 0 FreeSans 1120 0 0 0 io_out[15]
-port 143 nsew signal tristate
-flabel metal3 s -800 420358 480 420470 0 FreeSans 1120 0 0 0 io_out[16]
-port 144 nsew signal tristate
-flabel metal3 s -800 377136 480 377248 0 FreeSans 1120 0 0 0 io_out[17]
-port 145 nsew signal tristate
-flabel metal3 s -800 333914 480 334026 0 FreeSans 1120 0 0 0 io_out[18]
-port 146 nsew signal tristate
-flabel metal3 s -800 290692 480 290804 0 FreeSans 1120 0 0 0 io_out[19]
-port 147 nsew signal tristate
-flabel metal3 s 583520 8636 584800 8748 0 FreeSans 1120 0 0 0 io_out[1]
-port 148 nsew signal tristate
-flabel metal3 s -800 247670 480 247782 0 FreeSans 1120 0 0 0 io_out[20]
-port 149 nsew signal tristate
-flabel metal3 s -800 120048 480 120160 0 FreeSans 1120 0 0 0 io_out[21]
-port 150 nsew signal tristate
-flabel metal3 s -800 76826 480 76938 0 FreeSans 1120 0 0 0 io_out[22]
-port 151 nsew signal tristate
-flabel metal3 s -800 33604 480 33716 0 FreeSans 1120 0 0 0 io_out[23]
-port 152 nsew signal tristate
-flabel metal3 s -800 12182 480 12294 0 FreeSans 1120 0 0 0 io_out[24]
-port 153 nsew signal tristate
-flabel metal3 s -800 7454 480 7566 0 FreeSans 1120 0 0 0 io_out[25]
-port 154 nsew signal tristate
-flabel metal3 s -800 2726 480 2838 0 FreeSans 1120 0 0 0 io_out[26]
-port 155 nsew signal tristate
-flabel metal3 s 583520 13364 584800 13476 0 FreeSans 1120 0 0 0 io_out[2]
-port 156 nsew signal tristate
-flabel metal3 s 583520 18092 584800 18204 0 FreeSans 1120 0 0 0 io_out[3]
-port 157 nsew signal tristate
-flabel metal3 s 583520 22820 584800 22932 0 FreeSans 1120 0 0 0 io_out[4]
-port 158 nsew signal tristate
-flabel metal3 s 583520 49278 584800 49390 0 FreeSans 1120 0 0 0 io_out[5]
-port 159 nsew signal tristate
-flabel metal3 s 583520 93936 584800 94048 0 FreeSans 1120 0 0 0 io_out[6]
-port 160 nsew signal tristate
-flabel metal3 s 583520 273958 584800 274070 0 FreeSans 1120 0 0 0 io_out[7]
-port 161 nsew signal tristate
-flabel metal3 s 583520 318380 584800 318492 0 FreeSans 1120 0 0 0 io_out[8]
-port 162 nsew signal tristate
-flabel metal3 s 583520 363602 584800 363714 0 FreeSans 1120 0 0 0 io_out[9]
-port 163 nsew signal tristate
-flabel metal2 s 125816 -800 125928 480 0 FreeSans 1120 90 0 0 la_data_in[0]
-port 164 nsew signal input
-flabel metal2 s 480416 -800 480528 480 0 FreeSans 1120 90 0 0 la_data_in[100]
-port 165 nsew signal input
-flabel metal2 s 483962 -800 484074 480 0 FreeSans 1120 90 0 0 la_data_in[101]
-port 166 nsew signal input
-flabel metal2 s 487508 -800 487620 480 0 FreeSans 1120 90 0 0 la_data_in[102]
-port 167 nsew signal input
-flabel metal2 s 491054 -800 491166 480 0 FreeSans 1120 90 0 0 la_data_in[103]
-port 168 nsew signal input
-flabel metal2 s 494600 -800 494712 480 0 FreeSans 1120 90 0 0 la_data_in[104]
-port 169 nsew signal input
-flabel metal2 s 498146 -800 498258 480 0 FreeSans 1120 90 0 0 la_data_in[105]
-port 170 nsew signal input
-flabel metal2 s 501692 -800 501804 480 0 FreeSans 1120 90 0 0 la_data_in[106]
-port 171 nsew signal input
-flabel metal2 s 505238 -800 505350 480 0 FreeSans 1120 90 0 0 la_data_in[107]
-port 172 nsew signal input
-flabel metal2 s 508784 -800 508896 480 0 FreeSans 1120 90 0 0 la_data_in[108]
-port 173 nsew signal input
-flabel metal2 s 512330 -800 512442 480 0 FreeSans 1120 90 0 0 la_data_in[109]
-port 174 nsew signal input
-flabel metal2 s 161276 -800 161388 480 0 FreeSans 1120 90 0 0 la_data_in[10]
-port 175 nsew signal input
-flabel metal2 s 515876 -800 515988 480 0 FreeSans 1120 90 0 0 la_data_in[110]
-port 176 nsew signal input
-flabel metal2 s 519422 -800 519534 480 0 FreeSans 1120 90 0 0 la_data_in[111]
-port 177 nsew signal input
-flabel metal2 s 522968 -800 523080 480 0 FreeSans 1120 90 0 0 la_data_in[112]
-port 178 nsew signal input
-flabel metal2 s 526514 -800 526626 480 0 FreeSans 1120 90 0 0 la_data_in[113]
-port 179 nsew signal input
-flabel metal2 s 530060 -800 530172 480 0 FreeSans 1120 90 0 0 la_data_in[114]
-port 180 nsew signal input
-flabel metal2 s 533606 -800 533718 480 0 FreeSans 1120 90 0 0 la_data_in[115]
-port 181 nsew signal input
-flabel metal2 s 537152 -800 537264 480 0 FreeSans 1120 90 0 0 la_data_in[116]
-port 182 nsew signal input
-flabel metal2 s 540698 -800 540810 480 0 FreeSans 1120 90 0 0 la_data_in[117]
-port 183 nsew signal input
-flabel metal2 s 544244 -800 544356 480 0 FreeSans 1120 90 0 0 la_data_in[118]
-port 184 nsew signal input
-flabel metal2 s 547790 -800 547902 480 0 FreeSans 1120 90 0 0 la_data_in[119]
-port 185 nsew signal input
-flabel metal2 s 164822 -800 164934 480 0 FreeSans 1120 90 0 0 la_data_in[11]
-port 186 nsew signal input
-flabel metal2 s 551336 -800 551448 480 0 FreeSans 1120 90 0 0 la_data_in[120]
-port 187 nsew signal input
-flabel metal2 s 554882 -800 554994 480 0 FreeSans 1120 90 0 0 la_data_in[121]
-port 188 nsew signal input
-flabel metal2 s 558428 -800 558540 480 0 FreeSans 1120 90 0 0 la_data_in[122]
-port 189 nsew signal input
-flabel metal2 s 561974 -800 562086 480 0 FreeSans 1120 90 0 0 la_data_in[123]
-port 190 nsew signal input
-flabel metal2 s 565520 -800 565632 480 0 FreeSans 1120 90 0 0 la_data_in[124]
-port 191 nsew signal input
-flabel metal2 s 569066 -800 569178 480 0 FreeSans 1120 90 0 0 la_data_in[125]
-port 192 nsew signal input
-flabel metal2 s 572612 -800 572724 480 0 FreeSans 1120 90 0 0 la_data_in[126]
-port 193 nsew signal input
-flabel metal2 s 576158 -800 576270 480 0 FreeSans 1120 90 0 0 la_data_in[127]
-port 194 nsew signal input
-flabel metal2 s 168368 -800 168480 480 0 FreeSans 1120 90 0 0 la_data_in[12]
-port 195 nsew signal input
-flabel metal2 s 171914 -800 172026 480 0 FreeSans 1120 90 0 0 la_data_in[13]
-port 196 nsew signal input
-flabel metal2 s 175460 -800 175572 480 0 FreeSans 1120 90 0 0 la_data_in[14]
-port 197 nsew signal input
-flabel metal2 s 179006 -800 179118 480 0 FreeSans 1120 90 0 0 la_data_in[15]
-port 198 nsew signal input
-flabel metal2 s 182552 -800 182664 480 0 FreeSans 1120 90 0 0 la_data_in[16]
-port 199 nsew signal input
-flabel metal2 s 186098 -800 186210 480 0 FreeSans 1120 90 0 0 la_data_in[17]
-port 200 nsew signal input
-flabel metal2 s 189644 -800 189756 480 0 FreeSans 1120 90 0 0 la_data_in[18]
-port 201 nsew signal input
-flabel metal2 s 193190 -800 193302 480 0 FreeSans 1120 90 0 0 la_data_in[19]
-port 202 nsew signal input
-flabel metal2 s 129362 -800 129474 480 0 FreeSans 1120 90 0 0 la_data_in[1]
-port 203 nsew signal input
-flabel metal2 s 196736 -800 196848 480 0 FreeSans 1120 90 0 0 la_data_in[20]
-port 204 nsew signal input
-flabel metal2 s 200282 -800 200394 480 0 FreeSans 1120 90 0 0 la_data_in[21]
-port 205 nsew signal input
-flabel metal2 s 203828 -800 203940 480 0 FreeSans 1120 90 0 0 la_data_in[22]
-port 206 nsew signal input
-flabel metal2 s 207374 -800 207486 480 0 FreeSans 1120 90 0 0 la_data_in[23]
-port 207 nsew signal input
-flabel metal2 s 210920 -800 211032 480 0 FreeSans 1120 90 0 0 la_data_in[24]
-port 208 nsew signal input
-flabel metal2 s 214466 -800 214578 480 0 FreeSans 1120 90 0 0 la_data_in[25]
-port 209 nsew signal input
-flabel metal2 s 218012 -800 218124 480 0 FreeSans 1120 90 0 0 la_data_in[26]
-port 210 nsew signal input
-flabel metal2 s 221558 -800 221670 480 0 FreeSans 1120 90 0 0 la_data_in[27]
-port 211 nsew signal input
-flabel metal2 s 225104 -800 225216 480 0 FreeSans 1120 90 0 0 la_data_in[28]
-port 212 nsew signal input
-flabel metal2 s 228650 -800 228762 480 0 FreeSans 1120 90 0 0 la_data_in[29]
-port 213 nsew signal input
-flabel metal2 s 132908 -800 133020 480 0 FreeSans 1120 90 0 0 la_data_in[2]
-port 214 nsew signal input
-flabel metal2 s 232196 -800 232308 480 0 FreeSans 1120 90 0 0 la_data_in[30]
-port 215 nsew signal input
-flabel metal2 s 235742 -800 235854 480 0 FreeSans 1120 90 0 0 la_data_in[31]
-port 216 nsew signal input
-flabel metal2 s 239288 -800 239400 480 0 FreeSans 1120 90 0 0 la_data_in[32]
-port 217 nsew signal input
-flabel metal2 s 242834 -800 242946 480 0 FreeSans 1120 90 0 0 la_data_in[33]
-port 218 nsew signal input
-flabel metal2 s 246380 -800 246492 480 0 FreeSans 1120 90 0 0 la_data_in[34]
-port 219 nsew signal input
-flabel metal2 s 249926 -800 250038 480 0 FreeSans 1120 90 0 0 la_data_in[35]
-port 220 nsew signal input
-flabel metal2 s 253472 -800 253584 480 0 FreeSans 1120 90 0 0 la_data_in[36]
-port 221 nsew signal input
-flabel metal2 s 257018 -800 257130 480 0 FreeSans 1120 90 0 0 la_data_in[37]
-port 222 nsew signal input
-flabel metal2 s 260564 -800 260676 480 0 FreeSans 1120 90 0 0 la_data_in[38]
-port 223 nsew signal input
-flabel metal2 s 264110 -800 264222 480 0 FreeSans 1120 90 0 0 la_data_in[39]
-port 224 nsew signal input
-flabel metal2 s 136454 -800 136566 480 0 FreeSans 1120 90 0 0 la_data_in[3]
-port 225 nsew signal input
-flabel metal2 s 267656 -800 267768 480 0 FreeSans 1120 90 0 0 la_data_in[40]
-port 226 nsew signal input
-flabel metal2 s 271202 -800 271314 480 0 FreeSans 1120 90 0 0 la_data_in[41]
-port 227 nsew signal input
-flabel metal2 s 274748 -800 274860 480 0 FreeSans 1120 90 0 0 la_data_in[42]
-port 228 nsew signal input
-flabel metal2 s 278294 -800 278406 480 0 FreeSans 1120 90 0 0 la_data_in[43]
-port 229 nsew signal input
-flabel metal2 s 281840 -800 281952 480 0 FreeSans 1120 90 0 0 la_data_in[44]
-port 230 nsew signal input
-flabel metal2 s 285386 -800 285498 480 0 FreeSans 1120 90 0 0 la_data_in[45]
-port 231 nsew signal input
-flabel metal2 s 288932 -800 289044 480 0 FreeSans 1120 90 0 0 la_data_in[46]
-port 232 nsew signal input
-flabel metal2 s 292478 -800 292590 480 0 FreeSans 1120 90 0 0 la_data_in[47]
-port 233 nsew signal input
-flabel metal2 s 296024 -800 296136 480 0 FreeSans 1120 90 0 0 la_data_in[48]
-port 234 nsew signal input
-flabel metal2 s 299570 -800 299682 480 0 FreeSans 1120 90 0 0 la_data_in[49]
-port 235 nsew signal input
-flabel metal2 s 140000 -800 140112 480 0 FreeSans 1120 90 0 0 la_data_in[4]
-port 236 nsew signal input
-flabel metal2 s 303116 -800 303228 480 0 FreeSans 1120 90 0 0 la_data_in[50]
-port 237 nsew signal input
-flabel metal2 s 306662 -800 306774 480 0 FreeSans 1120 90 0 0 la_data_in[51]
-port 238 nsew signal input
-flabel metal2 s 310208 -800 310320 480 0 FreeSans 1120 90 0 0 la_data_in[52]
-port 239 nsew signal input
-flabel metal2 s 313754 -800 313866 480 0 FreeSans 1120 90 0 0 la_data_in[53]
-port 240 nsew signal input
-flabel metal2 s 317300 -800 317412 480 0 FreeSans 1120 90 0 0 la_data_in[54]
-port 241 nsew signal input
-flabel metal2 s 320846 -800 320958 480 0 FreeSans 1120 90 0 0 la_data_in[55]
-port 242 nsew signal input
-flabel metal2 s 324392 -800 324504 480 0 FreeSans 1120 90 0 0 la_data_in[56]
-port 243 nsew signal input
-flabel metal2 s 327938 -800 328050 480 0 FreeSans 1120 90 0 0 la_data_in[57]
-port 244 nsew signal input
-flabel metal2 s 331484 -800 331596 480 0 FreeSans 1120 90 0 0 la_data_in[58]
-port 245 nsew signal input
-flabel metal2 s 335030 -800 335142 480 0 FreeSans 1120 90 0 0 la_data_in[59]
-port 246 nsew signal input
-flabel metal2 s 143546 -800 143658 480 0 FreeSans 1120 90 0 0 la_data_in[5]
-port 247 nsew signal input
-flabel metal2 s 338576 -800 338688 480 0 FreeSans 1120 90 0 0 la_data_in[60]
-port 248 nsew signal input
-flabel metal2 s 342122 -800 342234 480 0 FreeSans 1120 90 0 0 la_data_in[61]
-port 249 nsew signal input
-flabel metal2 s 345668 -800 345780 480 0 FreeSans 1120 90 0 0 la_data_in[62]
-port 250 nsew signal input
-flabel metal2 s 349214 -800 349326 480 0 FreeSans 1120 90 0 0 la_data_in[63]
-port 251 nsew signal input
-flabel metal2 s 352760 -800 352872 480 0 FreeSans 1120 90 0 0 la_data_in[64]
-port 252 nsew signal input
-flabel metal2 s 356306 -800 356418 480 0 FreeSans 1120 90 0 0 la_data_in[65]
-port 253 nsew signal input
-flabel metal2 s 359852 -800 359964 480 0 FreeSans 1120 90 0 0 la_data_in[66]
-port 254 nsew signal input
-flabel metal2 s 363398 -800 363510 480 0 FreeSans 1120 90 0 0 la_data_in[67]
-port 255 nsew signal input
-flabel metal2 s 366944 -800 367056 480 0 FreeSans 1120 90 0 0 la_data_in[68]
-port 256 nsew signal input
-flabel metal2 s 370490 -800 370602 480 0 FreeSans 1120 90 0 0 la_data_in[69]
-port 257 nsew signal input
-flabel metal2 s 147092 -800 147204 480 0 FreeSans 1120 90 0 0 la_data_in[6]
-port 258 nsew signal input
-flabel metal2 s 374036 -800 374148 480 0 FreeSans 1120 90 0 0 la_data_in[70]
-port 259 nsew signal input
-flabel metal2 s 377582 -800 377694 480 0 FreeSans 1120 90 0 0 la_data_in[71]
-port 260 nsew signal input
-flabel metal2 s 381128 -800 381240 480 0 FreeSans 1120 90 0 0 la_data_in[72]
-port 261 nsew signal input
-flabel metal2 s 384674 -800 384786 480 0 FreeSans 1120 90 0 0 la_data_in[73]
-port 262 nsew signal input
-flabel metal2 s 388220 -800 388332 480 0 FreeSans 1120 90 0 0 la_data_in[74]
-port 263 nsew signal input
-flabel metal2 s 391766 -800 391878 480 0 FreeSans 1120 90 0 0 la_data_in[75]
-port 264 nsew signal input
-flabel metal2 s 395312 -800 395424 480 0 FreeSans 1120 90 0 0 la_data_in[76]
-port 265 nsew signal input
-flabel metal2 s 398858 -800 398970 480 0 FreeSans 1120 90 0 0 la_data_in[77]
-port 266 nsew signal input
-flabel metal2 s 402404 -800 402516 480 0 FreeSans 1120 90 0 0 la_data_in[78]
-port 267 nsew signal input
-flabel metal2 s 405950 -800 406062 480 0 FreeSans 1120 90 0 0 la_data_in[79]
-port 268 nsew signal input
-flabel metal2 s 150638 -800 150750 480 0 FreeSans 1120 90 0 0 la_data_in[7]
-port 269 nsew signal input
-flabel metal2 s 409496 -800 409608 480 0 FreeSans 1120 90 0 0 la_data_in[80]
-port 270 nsew signal input
-flabel metal2 s 413042 -800 413154 480 0 FreeSans 1120 90 0 0 la_data_in[81]
-port 271 nsew signal input
-flabel metal2 s 416588 -800 416700 480 0 FreeSans 1120 90 0 0 la_data_in[82]
-port 272 nsew signal input
-flabel metal2 s 420134 -800 420246 480 0 FreeSans 1120 90 0 0 la_data_in[83]
-port 273 nsew signal input
-flabel metal2 s 423680 -800 423792 480 0 FreeSans 1120 90 0 0 la_data_in[84]
-port 274 nsew signal input
-flabel metal2 s 427226 -800 427338 480 0 FreeSans 1120 90 0 0 la_data_in[85]
-port 275 nsew signal input
-flabel metal2 s 430772 -800 430884 480 0 FreeSans 1120 90 0 0 la_data_in[86]
-port 276 nsew signal input
-flabel metal2 s 434318 -800 434430 480 0 FreeSans 1120 90 0 0 la_data_in[87]
-port 277 nsew signal input
-flabel metal2 s 437864 -800 437976 480 0 FreeSans 1120 90 0 0 la_data_in[88]
-port 278 nsew signal input
-flabel metal2 s 441410 -800 441522 480 0 FreeSans 1120 90 0 0 la_data_in[89]
-port 279 nsew signal input
-flabel metal2 s 154184 -800 154296 480 0 FreeSans 1120 90 0 0 la_data_in[8]
-port 280 nsew signal input
-flabel metal2 s 444956 -800 445068 480 0 FreeSans 1120 90 0 0 la_data_in[90]
-port 281 nsew signal input
-flabel metal2 s 448502 -800 448614 480 0 FreeSans 1120 90 0 0 la_data_in[91]
-port 282 nsew signal input
-flabel metal2 s 452048 -800 452160 480 0 FreeSans 1120 90 0 0 la_data_in[92]
-port 283 nsew signal input
-flabel metal2 s 455594 -800 455706 480 0 FreeSans 1120 90 0 0 la_data_in[93]
-port 284 nsew signal input
-flabel metal2 s 459140 -800 459252 480 0 FreeSans 1120 90 0 0 la_data_in[94]
-port 285 nsew signal input
-flabel metal2 s 462686 -800 462798 480 0 FreeSans 1120 90 0 0 la_data_in[95]
-port 286 nsew signal input
-flabel metal2 s 466232 -800 466344 480 0 FreeSans 1120 90 0 0 la_data_in[96]
-port 287 nsew signal input
-flabel metal2 s 469778 -800 469890 480 0 FreeSans 1120 90 0 0 la_data_in[97]
-port 288 nsew signal input
-flabel metal2 s 473324 -800 473436 480 0 FreeSans 1120 90 0 0 la_data_in[98]
-port 289 nsew signal input
-flabel metal2 s 476870 -800 476982 480 0 FreeSans 1120 90 0 0 la_data_in[99]
-port 290 nsew signal input
-flabel metal2 s 157730 -800 157842 480 0 FreeSans 1120 90 0 0 la_data_in[9]
-port 291 nsew signal input
-flabel metal2 s 126998 -800 127110 480 0 FreeSans 1120 90 0 0 la_data_out[0]
-port 292 nsew signal tristate
-flabel metal2 s 481598 -800 481710 480 0 FreeSans 1120 90 0 0 la_data_out[100]
-port 293 nsew signal tristate
-flabel metal2 s 485144 -800 485256 480 0 FreeSans 1120 90 0 0 la_data_out[101]
-port 294 nsew signal tristate
-flabel metal2 s 488690 -800 488802 480 0 FreeSans 1120 90 0 0 la_data_out[102]
-port 295 nsew signal tristate
-flabel metal2 s 492236 -800 492348 480 0 FreeSans 1120 90 0 0 la_data_out[103]
-port 296 nsew signal tristate
-flabel metal2 s 495782 -800 495894 480 0 FreeSans 1120 90 0 0 la_data_out[104]
-port 297 nsew signal tristate
-flabel metal2 s 499328 -800 499440 480 0 FreeSans 1120 90 0 0 la_data_out[105]
-port 298 nsew signal tristate
-flabel metal2 s 502874 -800 502986 480 0 FreeSans 1120 90 0 0 la_data_out[106]
-port 299 nsew signal tristate
-flabel metal2 s 506420 -800 506532 480 0 FreeSans 1120 90 0 0 la_data_out[107]
-port 300 nsew signal tristate
-flabel metal2 s 509966 -800 510078 480 0 FreeSans 1120 90 0 0 la_data_out[108]
-port 301 nsew signal tristate
-flabel metal2 s 513512 -800 513624 480 0 FreeSans 1120 90 0 0 la_data_out[109]
-port 302 nsew signal tristate
-flabel metal2 s 162458 -800 162570 480 0 FreeSans 1120 90 0 0 la_data_out[10]
-port 303 nsew signal tristate
-flabel metal2 s 517058 -800 517170 480 0 FreeSans 1120 90 0 0 la_data_out[110]
-port 304 nsew signal tristate
-flabel metal2 s 520604 -800 520716 480 0 FreeSans 1120 90 0 0 la_data_out[111]
-port 305 nsew signal tristate
-flabel metal2 s 524150 -800 524262 480 0 FreeSans 1120 90 0 0 la_data_out[112]
-port 306 nsew signal tristate
-flabel metal2 s 527696 -800 527808 480 0 FreeSans 1120 90 0 0 la_data_out[113]
-port 307 nsew signal tristate
-flabel metal2 s 531242 -800 531354 480 0 FreeSans 1120 90 0 0 la_data_out[114]
-port 308 nsew signal tristate
-flabel metal2 s 534788 -800 534900 480 0 FreeSans 1120 90 0 0 la_data_out[115]
-port 309 nsew signal tristate
-flabel metal2 s 538334 -800 538446 480 0 FreeSans 1120 90 0 0 la_data_out[116]
-port 310 nsew signal tristate
-flabel metal2 s 541880 -800 541992 480 0 FreeSans 1120 90 0 0 la_data_out[117]
-port 311 nsew signal tristate
-flabel metal2 s 545426 -800 545538 480 0 FreeSans 1120 90 0 0 la_data_out[118]
-port 312 nsew signal tristate
-flabel metal2 s 548972 -800 549084 480 0 FreeSans 1120 90 0 0 la_data_out[119]
-port 313 nsew signal tristate
-flabel metal2 s 166004 -800 166116 480 0 FreeSans 1120 90 0 0 la_data_out[11]
-port 314 nsew signal tristate
-flabel metal2 s 552518 -800 552630 480 0 FreeSans 1120 90 0 0 la_data_out[120]
-port 315 nsew signal tristate
-flabel metal2 s 556064 -800 556176 480 0 FreeSans 1120 90 0 0 la_data_out[121]
-port 316 nsew signal tristate
-flabel metal2 s 559610 -800 559722 480 0 FreeSans 1120 90 0 0 la_data_out[122]
-port 317 nsew signal tristate
-flabel metal2 s 563156 -800 563268 480 0 FreeSans 1120 90 0 0 la_data_out[123]
-port 318 nsew signal tristate
-flabel metal2 s 566702 -800 566814 480 0 FreeSans 1120 90 0 0 la_data_out[124]
-port 319 nsew signal tristate
-flabel metal2 s 570248 -800 570360 480 0 FreeSans 1120 90 0 0 la_data_out[125]
-port 320 nsew signal tristate
-flabel metal2 s 573794 -800 573906 480 0 FreeSans 1120 90 0 0 la_data_out[126]
-port 321 nsew signal tristate
-flabel metal2 s 577340 -800 577452 480 0 FreeSans 1120 90 0 0 la_data_out[127]
-port 322 nsew signal tristate
-flabel metal2 s 169550 -800 169662 480 0 FreeSans 1120 90 0 0 la_data_out[12]
-port 323 nsew signal tristate
-flabel metal2 s 173096 -800 173208 480 0 FreeSans 1120 90 0 0 la_data_out[13]
-port 324 nsew signal tristate
-flabel metal2 s 176642 -800 176754 480 0 FreeSans 1120 90 0 0 la_data_out[14]
-port 325 nsew signal tristate
-flabel metal2 s 180188 -800 180300 480 0 FreeSans 1120 90 0 0 la_data_out[15]
-port 326 nsew signal tristate
-flabel metal2 s 183734 -800 183846 480 0 FreeSans 1120 90 0 0 la_data_out[16]
-port 327 nsew signal tristate
-flabel metal2 s 187280 -800 187392 480 0 FreeSans 1120 90 0 0 la_data_out[17]
-port 328 nsew signal tristate
-flabel metal2 s 190826 -800 190938 480 0 FreeSans 1120 90 0 0 la_data_out[18]
-port 329 nsew signal tristate
-flabel metal2 s 194372 -800 194484 480 0 FreeSans 1120 90 0 0 la_data_out[19]
-port 330 nsew signal tristate
-flabel metal2 s 130544 -800 130656 480 0 FreeSans 1120 90 0 0 la_data_out[1]
-port 331 nsew signal tristate
-flabel metal2 s 197918 -800 198030 480 0 FreeSans 1120 90 0 0 la_data_out[20]
-port 332 nsew signal tristate
-flabel metal2 s 201464 -800 201576 480 0 FreeSans 1120 90 0 0 la_data_out[21]
-port 333 nsew signal tristate
-flabel metal2 s 205010 -800 205122 480 0 FreeSans 1120 90 0 0 la_data_out[22]
-port 334 nsew signal tristate
-flabel metal2 s 208556 -800 208668 480 0 FreeSans 1120 90 0 0 la_data_out[23]
-port 335 nsew signal tristate
-flabel metal2 s 212102 -800 212214 480 0 FreeSans 1120 90 0 0 la_data_out[24]
-port 336 nsew signal tristate
-flabel metal2 s 215648 -800 215760 480 0 FreeSans 1120 90 0 0 la_data_out[25]
-port 337 nsew signal tristate
-flabel metal2 s 219194 -800 219306 480 0 FreeSans 1120 90 0 0 la_data_out[26]
-port 338 nsew signal tristate
-flabel metal2 s 222740 -800 222852 480 0 FreeSans 1120 90 0 0 la_data_out[27]
-port 339 nsew signal tristate
-flabel metal2 s 226286 -800 226398 480 0 FreeSans 1120 90 0 0 la_data_out[28]
-port 340 nsew signal tristate
-flabel metal2 s 229832 -800 229944 480 0 FreeSans 1120 90 0 0 la_data_out[29]
-port 341 nsew signal tristate
-flabel metal2 s 134090 -800 134202 480 0 FreeSans 1120 90 0 0 la_data_out[2]
-port 342 nsew signal tristate
-flabel metal2 s 233378 -800 233490 480 0 FreeSans 1120 90 0 0 la_data_out[30]
-port 343 nsew signal tristate
-flabel metal2 s 236924 -800 237036 480 0 FreeSans 1120 90 0 0 la_data_out[31]
-port 344 nsew signal tristate
-flabel metal2 s 240470 -800 240582 480 0 FreeSans 1120 90 0 0 la_data_out[32]
-port 345 nsew signal tristate
-flabel metal2 s 244016 -800 244128 480 0 FreeSans 1120 90 0 0 la_data_out[33]
-port 346 nsew signal tristate
-flabel metal2 s 247562 -800 247674 480 0 FreeSans 1120 90 0 0 la_data_out[34]
-port 347 nsew signal tristate
-flabel metal2 s 251108 -800 251220 480 0 FreeSans 1120 90 0 0 la_data_out[35]
-port 348 nsew signal tristate
-flabel metal2 s 254654 -800 254766 480 0 FreeSans 1120 90 0 0 la_data_out[36]
-port 349 nsew signal tristate
-flabel metal2 s 258200 -800 258312 480 0 FreeSans 1120 90 0 0 la_data_out[37]
-port 350 nsew signal tristate
-flabel metal2 s 261746 -800 261858 480 0 FreeSans 1120 90 0 0 la_data_out[38]
-port 351 nsew signal tristate
-flabel metal2 s 265292 -800 265404 480 0 FreeSans 1120 90 0 0 la_data_out[39]
-port 352 nsew signal tristate
-flabel metal2 s 137636 -800 137748 480 0 FreeSans 1120 90 0 0 la_data_out[3]
-port 353 nsew signal tristate
-flabel metal2 s 268838 -800 268950 480 0 FreeSans 1120 90 0 0 la_data_out[40]
-port 354 nsew signal tristate
-flabel metal2 s 272384 -800 272496 480 0 FreeSans 1120 90 0 0 la_data_out[41]
-port 355 nsew signal tristate
-flabel metal2 s 275930 -800 276042 480 0 FreeSans 1120 90 0 0 la_data_out[42]
-port 356 nsew signal tristate
-flabel metal2 s 279476 -800 279588 480 0 FreeSans 1120 90 0 0 la_data_out[43]
-port 357 nsew signal tristate
-flabel metal2 s 283022 -800 283134 480 0 FreeSans 1120 90 0 0 la_data_out[44]
-port 358 nsew signal tristate
-flabel metal2 s 286568 -800 286680 480 0 FreeSans 1120 90 0 0 la_data_out[45]
-port 359 nsew signal tristate
-flabel metal2 s 290114 -800 290226 480 0 FreeSans 1120 90 0 0 la_data_out[46]
-port 360 nsew signal tristate
-flabel metal2 s 293660 -800 293772 480 0 FreeSans 1120 90 0 0 la_data_out[47]
-port 361 nsew signal tristate
-flabel metal2 s 297206 -800 297318 480 0 FreeSans 1120 90 0 0 la_data_out[48]
-port 362 nsew signal tristate
-flabel metal2 s 300752 -800 300864 480 0 FreeSans 1120 90 0 0 la_data_out[49]
-port 363 nsew signal tristate
-flabel metal2 s 141182 -800 141294 480 0 FreeSans 1120 90 0 0 la_data_out[4]
-port 364 nsew signal tristate
-flabel metal2 s 304298 -800 304410 480 0 FreeSans 1120 90 0 0 la_data_out[50]
-port 365 nsew signal tristate
-flabel metal2 s 307844 -800 307956 480 0 FreeSans 1120 90 0 0 la_data_out[51]
-port 366 nsew signal tristate
-flabel metal2 s 311390 -800 311502 480 0 FreeSans 1120 90 0 0 la_data_out[52]
-port 367 nsew signal tristate
-flabel metal2 s 314936 -800 315048 480 0 FreeSans 1120 90 0 0 la_data_out[53]
-port 368 nsew signal tristate
-flabel metal2 s 318482 -800 318594 480 0 FreeSans 1120 90 0 0 la_data_out[54]
-port 369 nsew signal tristate
-flabel metal2 s 322028 -800 322140 480 0 FreeSans 1120 90 0 0 la_data_out[55]
-port 370 nsew signal tristate
-flabel metal2 s 325574 -800 325686 480 0 FreeSans 1120 90 0 0 la_data_out[56]
-port 371 nsew signal tristate
-flabel metal2 s 329120 -800 329232 480 0 FreeSans 1120 90 0 0 la_data_out[57]
-port 372 nsew signal tristate
-flabel metal2 s 332666 -800 332778 480 0 FreeSans 1120 90 0 0 la_data_out[58]
-port 373 nsew signal tristate
-flabel metal2 s 336212 -800 336324 480 0 FreeSans 1120 90 0 0 la_data_out[59]
-port 374 nsew signal tristate
-flabel metal2 s 144728 -800 144840 480 0 FreeSans 1120 90 0 0 la_data_out[5]
-port 375 nsew signal tristate
-flabel metal2 s 339758 -800 339870 480 0 FreeSans 1120 90 0 0 la_data_out[60]
-port 376 nsew signal tristate
-flabel metal2 s 343304 -800 343416 480 0 FreeSans 1120 90 0 0 la_data_out[61]
-port 377 nsew signal tristate
-flabel metal2 s 346850 -800 346962 480 0 FreeSans 1120 90 0 0 la_data_out[62]
-port 378 nsew signal tristate
-flabel metal2 s 350396 -800 350508 480 0 FreeSans 1120 90 0 0 la_data_out[63]
-port 379 nsew signal tristate
-flabel metal2 s 353942 -800 354054 480 0 FreeSans 1120 90 0 0 la_data_out[64]
-port 380 nsew signal tristate
-flabel metal2 s 357488 -800 357600 480 0 FreeSans 1120 90 0 0 la_data_out[65]
-port 381 nsew signal tristate
-flabel metal2 s 361034 -800 361146 480 0 FreeSans 1120 90 0 0 la_data_out[66]
-port 382 nsew signal tristate
-flabel metal2 s 364580 -800 364692 480 0 FreeSans 1120 90 0 0 la_data_out[67]
-port 383 nsew signal tristate
-flabel metal2 s 368126 -800 368238 480 0 FreeSans 1120 90 0 0 la_data_out[68]
-port 384 nsew signal tristate
-flabel metal2 s 371672 -800 371784 480 0 FreeSans 1120 90 0 0 la_data_out[69]
-port 385 nsew signal tristate
-flabel metal2 s 148274 -800 148386 480 0 FreeSans 1120 90 0 0 la_data_out[6]
-port 386 nsew signal tristate
-flabel metal2 s 375218 -800 375330 480 0 FreeSans 1120 90 0 0 la_data_out[70]
-port 387 nsew signal tristate
-flabel metal2 s 378764 -800 378876 480 0 FreeSans 1120 90 0 0 la_data_out[71]
-port 388 nsew signal tristate
-flabel metal2 s 382310 -800 382422 480 0 FreeSans 1120 90 0 0 la_data_out[72]
-port 389 nsew signal tristate
-flabel metal2 s 385856 -800 385968 480 0 FreeSans 1120 90 0 0 la_data_out[73]
-port 390 nsew signal tristate
-flabel metal2 s 389402 -800 389514 480 0 FreeSans 1120 90 0 0 la_data_out[74]
-port 391 nsew signal tristate
-flabel metal2 s 392948 -800 393060 480 0 FreeSans 1120 90 0 0 la_data_out[75]
-port 392 nsew signal tristate
-flabel metal2 s 396494 -800 396606 480 0 FreeSans 1120 90 0 0 la_data_out[76]
-port 393 nsew signal tristate
-flabel metal2 s 400040 -800 400152 480 0 FreeSans 1120 90 0 0 la_data_out[77]
-port 394 nsew signal tristate
-flabel metal2 s 403586 -800 403698 480 0 FreeSans 1120 90 0 0 la_data_out[78]
-port 395 nsew signal tristate
-flabel metal2 s 407132 -800 407244 480 0 FreeSans 1120 90 0 0 la_data_out[79]
-port 396 nsew signal tristate
-flabel metal2 s 151820 -800 151932 480 0 FreeSans 1120 90 0 0 la_data_out[7]
-port 397 nsew signal tristate
-flabel metal2 s 410678 -800 410790 480 0 FreeSans 1120 90 0 0 la_data_out[80]
-port 398 nsew signal tristate
-flabel metal2 s 414224 -800 414336 480 0 FreeSans 1120 90 0 0 la_data_out[81]
-port 399 nsew signal tristate
-flabel metal2 s 417770 -800 417882 480 0 FreeSans 1120 90 0 0 la_data_out[82]
-port 400 nsew signal tristate
-flabel metal2 s 421316 -800 421428 480 0 FreeSans 1120 90 0 0 la_data_out[83]
-port 401 nsew signal tristate
-flabel metal2 s 424862 -800 424974 480 0 FreeSans 1120 90 0 0 la_data_out[84]
-port 402 nsew signal tristate
-flabel metal2 s 428408 -800 428520 480 0 FreeSans 1120 90 0 0 la_data_out[85]
-port 403 nsew signal tristate
-flabel metal2 s 431954 -800 432066 480 0 FreeSans 1120 90 0 0 la_data_out[86]
-port 404 nsew signal tristate
-flabel metal2 s 435500 -800 435612 480 0 FreeSans 1120 90 0 0 la_data_out[87]
-port 405 nsew signal tristate
-flabel metal2 s 439046 -800 439158 480 0 FreeSans 1120 90 0 0 la_data_out[88]
-port 406 nsew signal tristate
-flabel metal2 s 442592 -800 442704 480 0 FreeSans 1120 90 0 0 la_data_out[89]
-port 407 nsew signal tristate
-flabel metal2 s 155366 -800 155478 480 0 FreeSans 1120 90 0 0 la_data_out[8]
-port 408 nsew signal tristate
-flabel metal2 s 446138 -800 446250 480 0 FreeSans 1120 90 0 0 la_data_out[90]
-port 409 nsew signal tristate
-flabel metal2 s 449684 -800 449796 480 0 FreeSans 1120 90 0 0 la_data_out[91]
-port 410 nsew signal tristate
-flabel metal2 s 453230 -800 453342 480 0 FreeSans 1120 90 0 0 la_data_out[92]
-port 411 nsew signal tristate
-flabel metal2 s 456776 -800 456888 480 0 FreeSans 1120 90 0 0 la_data_out[93]
-port 412 nsew signal tristate
-flabel metal2 s 460322 -800 460434 480 0 FreeSans 1120 90 0 0 la_data_out[94]
-port 413 nsew signal tristate
-flabel metal2 s 463868 -800 463980 480 0 FreeSans 1120 90 0 0 la_data_out[95]
-port 414 nsew signal tristate
-flabel metal2 s 467414 -800 467526 480 0 FreeSans 1120 90 0 0 la_data_out[96]
-port 415 nsew signal tristate
-flabel metal2 s 470960 -800 471072 480 0 FreeSans 1120 90 0 0 la_data_out[97]
-port 416 nsew signal tristate
-flabel metal2 s 474506 -800 474618 480 0 FreeSans 1120 90 0 0 la_data_out[98]
-port 417 nsew signal tristate
-flabel metal2 s 478052 -800 478164 480 0 FreeSans 1120 90 0 0 la_data_out[99]
-port 418 nsew signal tristate
-flabel metal2 s 158912 -800 159024 480 0 FreeSans 1120 90 0 0 la_data_out[9]
-port 419 nsew signal tristate
-flabel metal2 s 128180 -800 128292 480 0 FreeSans 1120 90 0 0 la_oenb[0]
-port 420 nsew signal input
-flabel metal2 s 482780 -800 482892 480 0 FreeSans 1120 90 0 0 la_oenb[100]
-port 421 nsew signal input
-flabel metal2 s 486326 -800 486438 480 0 FreeSans 1120 90 0 0 la_oenb[101]
-port 422 nsew signal input
-flabel metal2 s 489872 -800 489984 480 0 FreeSans 1120 90 0 0 la_oenb[102]
-port 423 nsew signal input
-flabel metal2 s 493418 -800 493530 480 0 FreeSans 1120 90 0 0 la_oenb[103]
-port 424 nsew signal input
-flabel metal2 s 496964 -800 497076 480 0 FreeSans 1120 90 0 0 la_oenb[104]
-port 425 nsew signal input
-flabel metal2 s 500510 -800 500622 480 0 FreeSans 1120 90 0 0 la_oenb[105]
-port 426 nsew signal input
-flabel metal2 s 504056 -800 504168 480 0 FreeSans 1120 90 0 0 la_oenb[106]
-port 427 nsew signal input
-flabel metal2 s 507602 -800 507714 480 0 FreeSans 1120 90 0 0 la_oenb[107]
-port 428 nsew signal input
-flabel metal2 s 511148 -800 511260 480 0 FreeSans 1120 90 0 0 la_oenb[108]
-port 429 nsew signal input
-flabel metal2 s 514694 -800 514806 480 0 FreeSans 1120 90 0 0 la_oenb[109]
-port 430 nsew signal input
-flabel metal2 s 163640 -800 163752 480 0 FreeSans 1120 90 0 0 la_oenb[10]
-port 431 nsew signal input
-flabel metal2 s 518240 -800 518352 480 0 FreeSans 1120 90 0 0 la_oenb[110]
-port 432 nsew signal input
-flabel metal2 s 521786 -800 521898 480 0 FreeSans 1120 90 0 0 la_oenb[111]
-port 433 nsew signal input
-flabel metal2 s 525332 -800 525444 480 0 FreeSans 1120 90 0 0 la_oenb[112]
-port 434 nsew signal input
-flabel metal2 s 528878 -800 528990 480 0 FreeSans 1120 90 0 0 la_oenb[113]
-port 435 nsew signal input
-flabel metal2 s 532424 -800 532536 480 0 FreeSans 1120 90 0 0 la_oenb[114]
-port 436 nsew signal input
-flabel metal2 s 535970 -800 536082 480 0 FreeSans 1120 90 0 0 la_oenb[115]
-port 437 nsew signal input
-flabel metal2 s 539516 -800 539628 480 0 FreeSans 1120 90 0 0 la_oenb[116]
-port 438 nsew signal input
-flabel metal2 s 543062 -800 543174 480 0 FreeSans 1120 90 0 0 la_oenb[117]
-port 439 nsew signal input
-flabel metal2 s 546608 -800 546720 480 0 FreeSans 1120 90 0 0 la_oenb[118]
-port 440 nsew signal input
-flabel metal2 s 550154 -800 550266 480 0 FreeSans 1120 90 0 0 la_oenb[119]
-port 441 nsew signal input
-flabel metal2 s 167186 -800 167298 480 0 FreeSans 1120 90 0 0 la_oenb[11]
-port 442 nsew signal input
-flabel metal2 s 553700 -800 553812 480 0 FreeSans 1120 90 0 0 la_oenb[120]
-port 443 nsew signal input
-flabel metal2 s 557246 -800 557358 480 0 FreeSans 1120 90 0 0 la_oenb[121]
-port 444 nsew signal input
-flabel metal2 s 560792 -800 560904 480 0 FreeSans 1120 90 0 0 la_oenb[122]
-port 445 nsew signal input
-flabel metal2 s 564338 -800 564450 480 0 FreeSans 1120 90 0 0 la_oenb[123]
-port 446 nsew signal input
-flabel metal2 s 567884 -800 567996 480 0 FreeSans 1120 90 0 0 la_oenb[124]
-port 447 nsew signal input
-flabel metal2 s 571430 -800 571542 480 0 FreeSans 1120 90 0 0 la_oenb[125]
-port 448 nsew signal input
-flabel metal2 s 574976 -800 575088 480 0 FreeSans 1120 90 0 0 la_oenb[126]
-port 449 nsew signal input
-flabel metal2 s 578522 -800 578634 480 0 FreeSans 1120 90 0 0 la_oenb[127]
-port 450 nsew signal input
-flabel metal2 s 170732 -800 170844 480 0 FreeSans 1120 90 0 0 la_oenb[12]
-port 451 nsew signal input
-flabel metal2 s 174278 -800 174390 480 0 FreeSans 1120 90 0 0 la_oenb[13]
-port 452 nsew signal input
-flabel metal2 s 177824 -800 177936 480 0 FreeSans 1120 90 0 0 la_oenb[14]
-port 453 nsew signal input
-flabel metal2 s 181370 -800 181482 480 0 FreeSans 1120 90 0 0 la_oenb[15]
-port 454 nsew signal input
-flabel metal2 s 184916 -800 185028 480 0 FreeSans 1120 90 0 0 la_oenb[16]
-port 455 nsew signal input
-flabel metal2 s 188462 -800 188574 480 0 FreeSans 1120 90 0 0 la_oenb[17]
-port 456 nsew signal input
-flabel metal2 s 192008 -800 192120 480 0 FreeSans 1120 90 0 0 la_oenb[18]
-port 457 nsew signal input
-flabel metal2 s 195554 -800 195666 480 0 FreeSans 1120 90 0 0 la_oenb[19]
-port 458 nsew signal input
-flabel metal2 s 131726 -800 131838 480 0 FreeSans 1120 90 0 0 la_oenb[1]
-port 459 nsew signal input
-flabel metal2 s 199100 -800 199212 480 0 FreeSans 1120 90 0 0 la_oenb[20]
-port 460 nsew signal input
-flabel metal2 s 202646 -800 202758 480 0 FreeSans 1120 90 0 0 la_oenb[21]
-port 461 nsew signal input
-flabel metal2 s 206192 -800 206304 480 0 FreeSans 1120 90 0 0 la_oenb[22]
-port 462 nsew signal input
-flabel metal2 s 209738 -800 209850 480 0 FreeSans 1120 90 0 0 la_oenb[23]
-port 463 nsew signal input
-flabel metal2 s 213284 -800 213396 480 0 FreeSans 1120 90 0 0 la_oenb[24]
-port 464 nsew signal input
-flabel metal2 s 216830 -800 216942 480 0 FreeSans 1120 90 0 0 la_oenb[25]
-port 465 nsew signal input
-flabel metal2 s 220376 -800 220488 480 0 FreeSans 1120 90 0 0 la_oenb[26]
-port 466 nsew signal input
-flabel metal2 s 223922 -800 224034 480 0 FreeSans 1120 90 0 0 la_oenb[27]
-port 467 nsew signal input
-flabel metal2 s 227468 -800 227580 480 0 FreeSans 1120 90 0 0 la_oenb[28]
-port 468 nsew signal input
-flabel metal2 s 231014 -800 231126 480 0 FreeSans 1120 90 0 0 la_oenb[29]
-port 469 nsew signal input
-flabel metal2 s 135272 -800 135384 480 0 FreeSans 1120 90 0 0 la_oenb[2]
-port 470 nsew signal input
-flabel metal2 s 234560 -800 234672 480 0 FreeSans 1120 90 0 0 la_oenb[30]
-port 471 nsew signal input
-flabel metal2 s 238106 -800 238218 480 0 FreeSans 1120 90 0 0 la_oenb[31]
-port 472 nsew signal input
-flabel metal2 s 241652 -800 241764 480 0 FreeSans 1120 90 0 0 la_oenb[32]
-port 473 nsew signal input
-flabel metal2 s 245198 -800 245310 480 0 FreeSans 1120 90 0 0 la_oenb[33]
-port 474 nsew signal input
-flabel metal2 s 248744 -800 248856 480 0 FreeSans 1120 90 0 0 la_oenb[34]
-port 475 nsew signal input
-flabel metal2 s 252290 -800 252402 480 0 FreeSans 1120 90 0 0 la_oenb[35]
-port 476 nsew signal input
-flabel metal2 s 255836 -800 255948 480 0 FreeSans 1120 90 0 0 la_oenb[36]
-port 477 nsew signal input
-flabel metal2 s 259382 -800 259494 480 0 FreeSans 1120 90 0 0 la_oenb[37]
-port 478 nsew signal input
-flabel metal2 s 262928 -800 263040 480 0 FreeSans 1120 90 0 0 la_oenb[38]
-port 479 nsew signal input
-flabel metal2 s 266474 -800 266586 480 0 FreeSans 1120 90 0 0 la_oenb[39]
-port 480 nsew signal input
-flabel metal2 s 138818 -800 138930 480 0 FreeSans 1120 90 0 0 la_oenb[3]
-port 481 nsew signal input
-flabel metal2 s 270020 -800 270132 480 0 FreeSans 1120 90 0 0 la_oenb[40]
-port 482 nsew signal input
-flabel metal2 s 273566 -800 273678 480 0 FreeSans 1120 90 0 0 la_oenb[41]
-port 483 nsew signal input
-flabel metal2 s 277112 -800 277224 480 0 FreeSans 1120 90 0 0 la_oenb[42]
-port 484 nsew signal input
-flabel metal2 s 280658 -800 280770 480 0 FreeSans 1120 90 0 0 la_oenb[43]
-port 485 nsew signal input
-flabel metal2 s 284204 -800 284316 480 0 FreeSans 1120 90 0 0 la_oenb[44]
-port 486 nsew signal input
-flabel metal2 s 287750 -800 287862 480 0 FreeSans 1120 90 0 0 la_oenb[45]
-port 487 nsew signal input
-flabel metal2 s 291296 -800 291408 480 0 FreeSans 1120 90 0 0 la_oenb[46]
-port 488 nsew signal input
-flabel metal2 s 294842 -800 294954 480 0 FreeSans 1120 90 0 0 la_oenb[47]
-port 489 nsew signal input
-flabel metal2 s 298388 -800 298500 480 0 FreeSans 1120 90 0 0 la_oenb[48]
-port 490 nsew signal input
-flabel metal2 s 301934 -800 302046 480 0 FreeSans 1120 90 0 0 la_oenb[49]
-port 491 nsew signal input
-flabel metal2 s 142364 -800 142476 480 0 FreeSans 1120 90 0 0 la_oenb[4]
-port 492 nsew signal input
-flabel metal2 s 305480 -800 305592 480 0 FreeSans 1120 90 0 0 la_oenb[50]
-port 493 nsew signal input
-flabel metal2 s 309026 -800 309138 480 0 FreeSans 1120 90 0 0 la_oenb[51]
-port 494 nsew signal input
-flabel metal2 s 312572 -800 312684 480 0 FreeSans 1120 90 0 0 la_oenb[52]
-port 495 nsew signal input
-flabel metal2 s 316118 -800 316230 480 0 FreeSans 1120 90 0 0 la_oenb[53]
-port 496 nsew signal input
-flabel metal2 s 319664 -800 319776 480 0 FreeSans 1120 90 0 0 la_oenb[54]
-port 497 nsew signal input
-flabel metal2 s 323210 -800 323322 480 0 FreeSans 1120 90 0 0 la_oenb[55]
-port 498 nsew signal input
-flabel metal2 s 326756 -800 326868 480 0 FreeSans 1120 90 0 0 la_oenb[56]
-port 499 nsew signal input
-flabel metal2 s 330302 -800 330414 480 0 FreeSans 1120 90 0 0 la_oenb[57]
-port 500 nsew signal input
-flabel metal2 s 333848 -800 333960 480 0 FreeSans 1120 90 0 0 la_oenb[58]
-port 501 nsew signal input
-flabel metal2 s 337394 -800 337506 480 0 FreeSans 1120 90 0 0 la_oenb[59]
-port 502 nsew signal input
-flabel metal2 s 145910 -800 146022 480 0 FreeSans 1120 90 0 0 la_oenb[5]
-port 503 nsew signal input
-flabel metal2 s 340940 -800 341052 480 0 FreeSans 1120 90 0 0 la_oenb[60]
-port 504 nsew signal input
-flabel metal2 s 344486 -800 344598 480 0 FreeSans 1120 90 0 0 la_oenb[61]
-port 505 nsew signal input
-flabel metal2 s 348032 -800 348144 480 0 FreeSans 1120 90 0 0 la_oenb[62]
-port 506 nsew signal input
-flabel metal2 s 351578 -800 351690 480 0 FreeSans 1120 90 0 0 la_oenb[63]
-port 507 nsew signal input
-flabel metal2 s 355124 -800 355236 480 0 FreeSans 1120 90 0 0 la_oenb[64]
-port 508 nsew signal input
-flabel metal2 s 358670 -800 358782 480 0 FreeSans 1120 90 0 0 la_oenb[65]
-port 509 nsew signal input
-flabel metal2 s 362216 -800 362328 480 0 FreeSans 1120 90 0 0 la_oenb[66]
-port 510 nsew signal input
-flabel metal2 s 365762 -800 365874 480 0 FreeSans 1120 90 0 0 la_oenb[67]
-port 511 nsew signal input
-flabel metal2 s 369308 -800 369420 480 0 FreeSans 1120 90 0 0 la_oenb[68]
-port 512 nsew signal input
-flabel metal2 s 372854 -800 372966 480 0 FreeSans 1120 90 0 0 la_oenb[69]
-port 513 nsew signal input
-flabel metal2 s 149456 -800 149568 480 0 FreeSans 1120 90 0 0 la_oenb[6]
-port 514 nsew signal input
-flabel metal2 s 376400 -800 376512 480 0 FreeSans 1120 90 0 0 la_oenb[70]
-port 515 nsew signal input
-flabel metal2 s 379946 -800 380058 480 0 FreeSans 1120 90 0 0 la_oenb[71]
-port 516 nsew signal input
-flabel metal2 s 383492 -800 383604 480 0 FreeSans 1120 90 0 0 la_oenb[72]
-port 517 nsew signal input
-flabel metal2 s 387038 -800 387150 480 0 FreeSans 1120 90 0 0 la_oenb[73]
-port 518 nsew signal input
-flabel metal2 s 390584 -800 390696 480 0 FreeSans 1120 90 0 0 la_oenb[74]
-port 519 nsew signal input
-flabel metal2 s 394130 -800 394242 480 0 FreeSans 1120 90 0 0 la_oenb[75]
-port 520 nsew signal input
-flabel metal2 s 397676 -800 397788 480 0 FreeSans 1120 90 0 0 la_oenb[76]
-port 521 nsew signal input
-flabel metal2 s 401222 -800 401334 480 0 FreeSans 1120 90 0 0 la_oenb[77]
-port 522 nsew signal input
-flabel metal2 s 404768 -800 404880 480 0 FreeSans 1120 90 0 0 la_oenb[78]
-port 523 nsew signal input
-flabel metal2 s 408314 -800 408426 480 0 FreeSans 1120 90 0 0 la_oenb[79]
-port 524 nsew signal input
-flabel metal2 s 153002 -800 153114 480 0 FreeSans 1120 90 0 0 la_oenb[7]
-port 525 nsew signal input
-flabel metal2 s 411860 -800 411972 480 0 FreeSans 1120 90 0 0 la_oenb[80]
-port 526 nsew signal input
-flabel metal2 s 415406 -800 415518 480 0 FreeSans 1120 90 0 0 la_oenb[81]
-port 527 nsew signal input
-flabel metal2 s 418952 -800 419064 480 0 FreeSans 1120 90 0 0 la_oenb[82]
-port 528 nsew signal input
-flabel metal2 s 422498 -800 422610 480 0 FreeSans 1120 90 0 0 la_oenb[83]
-port 529 nsew signal input
-flabel metal2 s 426044 -800 426156 480 0 FreeSans 1120 90 0 0 la_oenb[84]
-port 530 nsew signal input
-flabel metal2 s 429590 -800 429702 480 0 FreeSans 1120 90 0 0 la_oenb[85]
-port 531 nsew signal input
-flabel metal2 s 433136 -800 433248 480 0 FreeSans 1120 90 0 0 la_oenb[86]
-port 532 nsew signal input
-flabel metal2 s 436682 -800 436794 480 0 FreeSans 1120 90 0 0 la_oenb[87]
-port 533 nsew signal input
-flabel metal2 s 440228 -800 440340 480 0 FreeSans 1120 90 0 0 la_oenb[88]
-port 534 nsew signal input
-flabel metal2 s 443774 -800 443886 480 0 FreeSans 1120 90 0 0 la_oenb[89]
-port 535 nsew signal input
-flabel metal2 s 156548 -800 156660 480 0 FreeSans 1120 90 0 0 la_oenb[8]
-port 536 nsew signal input
-flabel metal2 s 447320 -800 447432 480 0 FreeSans 1120 90 0 0 la_oenb[90]
-port 537 nsew signal input
-flabel metal2 s 450866 -800 450978 480 0 FreeSans 1120 90 0 0 la_oenb[91]
-port 538 nsew signal input
-flabel metal2 s 454412 -800 454524 480 0 FreeSans 1120 90 0 0 la_oenb[92]
-port 539 nsew signal input
-flabel metal2 s 457958 -800 458070 480 0 FreeSans 1120 90 0 0 la_oenb[93]
-port 540 nsew signal input
-flabel metal2 s 461504 -800 461616 480 0 FreeSans 1120 90 0 0 la_oenb[94]
-port 541 nsew signal input
-flabel metal2 s 465050 -800 465162 480 0 FreeSans 1120 90 0 0 la_oenb[95]
-port 542 nsew signal input
-flabel metal2 s 468596 -800 468708 480 0 FreeSans 1120 90 0 0 la_oenb[96]
-port 543 nsew signal input
-flabel metal2 s 472142 -800 472254 480 0 FreeSans 1120 90 0 0 la_oenb[97]
-port 544 nsew signal input
-flabel metal2 s 475688 -800 475800 480 0 FreeSans 1120 90 0 0 la_oenb[98]
-port 545 nsew signal input
-flabel metal2 s 479234 -800 479346 480 0 FreeSans 1120 90 0 0 la_oenb[99]
-port 546 nsew signal input
-flabel metal2 s 160094 -800 160206 480 0 FreeSans 1120 90 0 0 la_oenb[9]
-port 547 nsew signal input
-flabel metal2 s 579704 -800 579816 480 0 FreeSans 1120 90 0 0 user_clock2
-port 548 nsew signal input
-flabel metal2 s 580886 -800 580998 480 0 FreeSans 1120 90 0 0 user_irq[0]
-port 549 nsew signal tristate
-flabel metal2 s 582068 -800 582180 480 0 FreeSans 1120 90 0 0 user_irq[1]
-port 550 nsew signal tristate
-flabel metal2 s 583250 -800 583362 480 0 FreeSans 1120 90 0 0 user_irq[2]
-port 551 nsew signal tristate
-flabel metal3 s 582340 639784 584800 644584 0 FreeSans 1120 0 0 0 vccd1
-port 552 nsew signal bidirectional
-flabel metal3 s 582340 629784 584800 634584 0 FreeSans 1120 0 0 0 vccd1
-port 553 nsew signal bidirectional
-flabel metal3 s 0 643842 1660 648642 0 FreeSans 1120 0 0 0 vccd2
-port 554 nsew signal bidirectional
-flabel metal3 s 0 633842 1660 638642 0 FreeSans 1120 0 0 0 vccd2
-port 555 nsew signal bidirectional
-flabel metal3 s 582340 540562 584800 545362 0 FreeSans 1120 0 0 0 vdda1
-port 556 nsew signal bidirectional
-flabel metal3 s 582340 550562 584800 555362 0 FreeSans 1120 0 0 0 vdda1
-port 557 nsew signal bidirectional
-flabel metal3 s 582340 235230 584800 240030 0 FreeSans 1120 0 0 0 vdda1
-port 558 nsew signal bidirectional
-flabel metal3 s 582340 225230 584800 230030 0 FreeSans 1120 0 0 0 vdda1
-port 559 nsew signal bidirectional
-flabel metal3 s 0 204888 1660 209688 0 FreeSans 1120 0 0 0 vdda2
-port 560 nsew signal bidirectional
-flabel metal3 s 0 214888 1660 219688 0 FreeSans 1120 0 0 0 vdda2
-port 561 nsew signal bidirectional
-flabel metal3 s 520594 702340 525394 704800 0 FreeSans 1920 180 0 0 vssa1
-port 562 nsew signal bidirectional
-flabel metal3 s 510594 702340 515394 704800 0 FreeSans 1920 180 0 0 vssa1
-port 563 nsew signal bidirectional
-flabel metal3 s 582340 146830 584800 151630 0 FreeSans 1120 0 0 0 vssa1
-port 564 nsew signal bidirectional
-flabel metal3 s 582340 136830 584800 141630 0 FreeSans 1120 0 0 0 vssa1
-port 565 nsew signal bidirectional
-flabel metal3 s 0 559442 1660 564242 0 FreeSans 1120 0 0 0 vssa2
-port 566 nsew signal bidirectional
-flabel metal3 s 0 549442 1660 554242 0 FreeSans 1120 0 0 0 vssa2
-port 567 nsew signal bidirectional
-flabel metal3 s 0 172888 1660 177688 0 FreeSans 1120 0 0 0 vssd2
-port 570 nsew signal bidirectional
-flabel metal3 s 0 162888 1660 167688 0 FreeSans 1120 0 0 0 vssd2
-port 571 nsew signal bidirectional
-flabel metal2 s 524 -800 636 480 0 FreeSans 1120 90 0 0 wb_clk_i
-port 572 nsew signal input
-flabel metal2 s 1706 -800 1818 480 0 FreeSans 1120 90 0 0 wb_rst_i
-port 573 nsew signal input
-flabel metal2 s 2888 -800 3000 480 0 FreeSans 1120 90 0 0 wbs_ack_o
-port 574 nsew signal tristate
-flabel metal2 s 7616 -800 7728 480 0 FreeSans 1120 90 0 0 wbs_adr_i[0]
-port 575 nsew signal input
-flabel metal2 s 47804 -800 47916 480 0 FreeSans 1120 90 0 0 wbs_adr_i[10]
-port 576 nsew signal input
-flabel metal2 s 51350 -800 51462 480 0 FreeSans 1120 90 0 0 wbs_adr_i[11]
-port 577 nsew signal input
-flabel metal2 s 54896 -800 55008 480 0 FreeSans 1120 90 0 0 wbs_adr_i[12]
-port 578 nsew signal input
-flabel metal2 s 58442 -800 58554 480 0 FreeSans 1120 90 0 0 wbs_adr_i[13]
-port 579 nsew signal input
-flabel metal2 s 61988 -800 62100 480 0 FreeSans 1120 90 0 0 wbs_adr_i[14]
-port 580 nsew signal input
-flabel metal2 s 65534 -800 65646 480 0 FreeSans 1120 90 0 0 wbs_adr_i[15]
-port 581 nsew signal input
-flabel metal2 s 69080 -800 69192 480 0 FreeSans 1120 90 0 0 wbs_adr_i[16]
-port 582 nsew signal input
-flabel metal2 s 72626 -800 72738 480 0 FreeSans 1120 90 0 0 wbs_adr_i[17]
-port 583 nsew signal input
-flabel metal2 s 76172 -800 76284 480 0 FreeSans 1120 90 0 0 wbs_adr_i[18]
-port 584 nsew signal input
-flabel metal2 s 79718 -800 79830 480 0 FreeSans 1120 90 0 0 wbs_adr_i[19]
-port 585 nsew signal input
-flabel metal2 s 12344 -800 12456 480 0 FreeSans 1120 90 0 0 wbs_adr_i[1]
-port 586 nsew signal input
-flabel metal2 s 83264 -800 83376 480 0 FreeSans 1120 90 0 0 wbs_adr_i[20]
-port 587 nsew signal input
-flabel metal2 s 86810 -800 86922 480 0 FreeSans 1120 90 0 0 wbs_adr_i[21]
-port 588 nsew signal input
-flabel metal2 s 90356 -800 90468 480 0 FreeSans 1120 90 0 0 wbs_adr_i[22]
-port 589 nsew signal input
-flabel metal2 s 93902 -800 94014 480 0 FreeSans 1120 90 0 0 wbs_adr_i[23]
-port 590 nsew signal input
-flabel metal2 s 97448 -800 97560 480 0 FreeSans 1120 90 0 0 wbs_adr_i[24]
-port 591 nsew signal input
-flabel metal2 s 100994 -800 101106 480 0 FreeSans 1120 90 0 0 wbs_adr_i[25]
-port 592 nsew signal input
-flabel metal2 s 104540 -800 104652 480 0 FreeSans 1120 90 0 0 wbs_adr_i[26]
-port 593 nsew signal input
-flabel metal2 s 108086 -800 108198 480 0 FreeSans 1120 90 0 0 wbs_adr_i[27]
-port 594 nsew signal input
-flabel metal2 s 111632 -800 111744 480 0 FreeSans 1120 90 0 0 wbs_adr_i[28]
-port 595 nsew signal input
-flabel metal2 s 115178 -800 115290 480 0 FreeSans 1120 90 0 0 wbs_adr_i[29]
-port 596 nsew signal input
-flabel metal2 s 17072 -800 17184 480 0 FreeSans 1120 90 0 0 wbs_adr_i[2]
-port 597 nsew signal input
-flabel metal2 s 118724 -800 118836 480 0 FreeSans 1120 90 0 0 wbs_adr_i[30]
-port 598 nsew signal input
-flabel metal2 s 122270 -800 122382 480 0 FreeSans 1120 90 0 0 wbs_adr_i[31]
-port 599 nsew signal input
-flabel metal2 s 21800 -800 21912 480 0 FreeSans 1120 90 0 0 wbs_adr_i[3]
-port 600 nsew signal input
-flabel metal2 s 26528 -800 26640 480 0 FreeSans 1120 90 0 0 wbs_adr_i[4]
-port 601 nsew signal input
-flabel metal2 s 30074 -800 30186 480 0 FreeSans 1120 90 0 0 wbs_adr_i[5]
-port 602 nsew signal input
-flabel metal2 s 33620 -800 33732 480 0 FreeSans 1120 90 0 0 wbs_adr_i[6]
-port 603 nsew signal input
-flabel metal2 s 37166 -800 37278 480 0 FreeSans 1120 90 0 0 wbs_adr_i[7]
-port 604 nsew signal input
-flabel metal2 s 40712 -800 40824 480 0 FreeSans 1120 90 0 0 wbs_adr_i[8]
-port 605 nsew signal input
-flabel metal2 s 44258 -800 44370 480 0 FreeSans 1120 90 0 0 wbs_adr_i[9]
-port 606 nsew signal input
-flabel metal2 s 4070 -800 4182 480 0 FreeSans 1120 90 0 0 wbs_cyc_i
-port 607 nsew signal input
-flabel metal2 s 8798 -800 8910 480 0 FreeSans 1120 90 0 0 wbs_dat_i[0]
-port 608 nsew signal input
-flabel metal2 s 48986 -800 49098 480 0 FreeSans 1120 90 0 0 wbs_dat_i[10]
-port 609 nsew signal input
-flabel metal2 s 52532 -800 52644 480 0 FreeSans 1120 90 0 0 wbs_dat_i[11]
-port 610 nsew signal input
-flabel metal2 s 56078 -800 56190 480 0 FreeSans 1120 90 0 0 wbs_dat_i[12]
-port 611 nsew signal input
-flabel metal2 s 59624 -800 59736 480 0 FreeSans 1120 90 0 0 wbs_dat_i[13]
-port 612 nsew signal input
-flabel metal2 s 63170 -800 63282 480 0 FreeSans 1120 90 0 0 wbs_dat_i[14]
-port 613 nsew signal input
-flabel metal2 s 66716 -800 66828 480 0 FreeSans 1120 90 0 0 wbs_dat_i[15]
-port 614 nsew signal input
-flabel metal2 s 70262 -800 70374 480 0 FreeSans 1120 90 0 0 wbs_dat_i[16]
-port 615 nsew signal input
-flabel metal2 s 73808 -800 73920 480 0 FreeSans 1120 90 0 0 wbs_dat_i[17]
-port 616 nsew signal input
-flabel metal2 s 77354 -800 77466 480 0 FreeSans 1120 90 0 0 wbs_dat_i[18]
-port 617 nsew signal input
-flabel metal2 s 80900 -800 81012 480 0 FreeSans 1120 90 0 0 wbs_dat_i[19]
-port 618 nsew signal input
-flabel metal2 s 13526 -800 13638 480 0 FreeSans 1120 90 0 0 wbs_dat_i[1]
-port 619 nsew signal input
-flabel metal2 s 84446 -800 84558 480 0 FreeSans 1120 90 0 0 wbs_dat_i[20]
-port 620 nsew signal input
-flabel metal2 s 87992 -800 88104 480 0 FreeSans 1120 90 0 0 wbs_dat_i[21]
-port 621 nsew signal input
-flabel metal2 s 91538 -800 91650 480 0 FreeSans 1120 90 0 0 wbs_dat_i[22]
-port 622 nsew signal input
-flabel metal2 s 95084 -800 95196 480 0 FreeSans 1120 90 0 0 wbs_dat_i[23]
-port 623 nsew signal input
-flabel metal2 s 98630 -800 98742 480 0 FreeSans 1120 90 0 0 wbs_dat_i[24]
-port 624 nsew signal input
-flabel metal2 s 102176 -800 102288 480 0 FreeSans 1120 90 0 0 wbs_dat_i[25]
-port 625 nsew signal input
-flabel metal2 s 105722 -800 105834 480 0 FreeSans 1120 90 0 0 wbs_dat_i[26]
-port 626 nsew signal input
-flabel metal2 s 109268 -800 109380 480 0 FreeSans 1120 90 0 0 wbs_dat_i[27]
-port 627 nsew signal input
-flabel metal2 s 112814 -800 112926 480 0 FreeSans 1120 90 0 0 wbs_dat_i[28]
-port 628 nsew signal input
-flabel metal2 s 116360 -800 116472 480 0 FreeSans 1120 90 0 0 wbs_dat_i[29]
-port 629 nsew signal input
-flabel metal2 s 18254 -800 18366 480 0 FreeSans 1120 90 0 0 wbs_dat_i[2]
-port 630 nsew signal input
-flabel metal2 s 119906 -800 120018 480 0 FreeSans 1120 90 0 0 wbs_dat_i[30]
-port 631 nsew signal input
-flabel metal2 s 123452 -800 123564 480 0 FreeSans 1120 90 0 0 wbs_dat_i[31]
-port 632 nsew signal input
-flabel metal2 s 22982 -800 23094 480 0 FreeSans 1120 90 0 0 wbs_dat_i[3]
-port 633 nsew signal input
-flabel metal2 s 27710 -800 27822 480 0 FreeSans 1120 90 0 0 wbs_dat_i[4]
-port 634 nsew signal input
-flabel metal2 s 31256 -800 31368 480 0 FreeSans 1120 90 0 0 wbs_dat_i[5]
-port 635 nsew signal input
-flabel metal2 s 34802 -800 34914 480 0 FreeSans 1120 90 0 0 wbs_dat_i[6]
-port 636 nsew signal input
-flabel metal2 s 38348 -800 38460 480 0 FreeSans 1120 90 0 0 wbs_dat_i[7]
-port 637 nsew signal input
-flabel metal2 s 41894 -800 42006 480 0 FreeSans 1120 90 0 0 wbs_dat_i[8]
-port 638 nsew signal input
-flabel metal2 s 45440 -800 45552 480 0 FreeSans 1120 90 0 0 wbs_dat_i[9]
-port 639 nsew signal input
-flabel metal2 s 9980 -800 10092 480 0 FreeSans 1120 90 0 0 wbs_dat_o[0]
-port 640 nsew signal tristate
-flabel metal2 s 50168 -800 50280 480 0 FreeSans 1120 90 0 0 wbs_dat_o[10]
-port 641 nsew signal tristate
-flabel metal2 s 53714 -800 53826 480 0 FreeSans 1120 90 0 0 wbs_dat_o[11]
-port 642 nsew signal tristate
-flabel metal2 s 57260 -800 57372 480 0 FreeSans 1120 90 0 0 wbs_dat_o[12]
-port 643 nsew signal tristate
-flabel metal2 s 60806 -800 60918 480 0 FreeSans 1120 90 0 0 wbs_dat_o[13]
-port 644 nsew signal tristate
-flabel metal2 s 64352 -800 64464 480 0 FreeSans 1120 90 0 0 wbs_dat_o[14]
-port 645 nsew signal tristate
-flabel metal2 s 67898 -800 68010 480 0 FreeSans 1120 90 0 0 wbs_dat_o[15]
-port 646 nsew signal tristate
-flabel metal2 s 71444 -800 71556 480 0 FreeSans 1120 90 0 0 wbs_dat_o[16]
-port 647 nsew signal tristate
-flabel metal2 s 74990 -800 75102 480 0 FreeSans 1120 90 0 0 wbs_dat_o[17]
-port 648 nsew signal tristate
-flabel metal2 s 78536 -800 78648 480 0 FreeSans 1120 90 0 0 wbs_dat_o[18]
-port 649 nsew signal tristate
-flabel metal2 s 82082 -800 82194 480 0 FreeSans 1120 90 0 0 wbs_dat_o[19]
-port 650 nsew signal tristate
-flabel metal2 s 14708 -800 14820 480 0 FreeSans 1120 90 0 0 wbs_dat_o[1]
-port 651 nsew signal tristate
-flabel metal2 s 85628 -800 85740 480 0 FreeSans 1120 90 0 0 wbs_dat_o[20]
-port 652 nsew signal tristate
-flabel metal2 s 89174 -800 89286 480 0 FreeSans 1120 90 0 0 wbs_dat_o[21]
-port 653 nsew signal tristate
-flabel metal2 s 92720 -800 92832 480 0 FreeSans 1120 90 0 0 wbs_dat_o[22]
-port 654 nsew signal tristate
-flabel metal2 s 96266 -800 96378 480 0 FreeSans 1120 90 0 0 wbs_dat_o[23]
-port 655 nsew signal tristate
-flabel metal2 s 99812 -800 99924 480 0 FreeSans 1120 90 0 0 wbs_dat_o[24]
-port 656 nsew signal tristate
-flabel metal2 s 103358 -800 103470 480 0 FreeSans 1120 90 0 0 wbs_dat_o[25]
-port 657 nsew signal tristate
-flabel metal2 s 106904 -800 107016 480 0 FreeSans 1120 90 0 0 wbs_dat_o[26]
-port 658 nsew signal tristate
-flabel metal2 s 110450 -800 110562 480 0 FreeSans 1120 90 0 0 wbs_dat_o[27]
-port 659 nsew signal tristate
-flabel metal2 s 113996 -800 114108 480 0 FreeSans 1120 90 0 0 wbs_dat_o[28]
-port 660 nsew signal tristate
-flabel metal2 s 117542 -800 117654 480 0 FreeSans 1120 90 0 0 wbs_dat_o[29]
-port 661 nsew signal tristate
-flabel metal2 s 19436 -800 19548 480 0 FreeSans 1120 90 0 0 wbs_dat_o[2]
-port 662 nsew signal tristate
-flabel metal2 s 121088 -800 121200 480 0 FreeSans 1120 90 0 0 wbs_dat_o[30]
-port 663 nsew signal tristate
-flabel metal2 s 124634 -800 124746 480 0 FreeSans 1120 90 0 0 wbs_dat_o[31]
-port 664 nsew signal tristate
-flabel metal2 s 24164 -800 24276 480 0 FreeSans 1120 90 0 0 wbs_dat_o[3]
-port 665 nsew signal tristate
-flabel metal2 s 28892 -800 29004 480 0 FreeSans 1120 90 0 0 wbs_dat_o[4]
-port 666 nsew signal tristate
-flabel metal2 s 32438 -800 32550 480 0 FreeSans 1120 90 0 0 wbs_dat_o[5]
-port 667 nsew signal tristate
-flabel metal2 s 35984 -800 36096 480 0 FreeSans 1120 90 0 0 wbs_dat_o[6]
-port 668 nsew signal tristate
-flabel metal2 s 39530 -800 39642 480 0 FreeSans 1120 90 0 0 wbs_dat_o[7]
-port 669 nsew signal tristate
-flabel metal2 s 43076 -800 43188 480 0 FreeSans 1120 90 0 0 wbs_dat_o[8]
-port 670 nsew signal tristate
-flabel metal2 s 46622 -800 46734 480 0 FreeSans 1120 90 0 0 wbs_dat_o[9]
-port 671 nsew signal tristate
-flabel metal2 s 11162 -800 11274 480 0 FreeSans 1120 90 0 0 wbs_sel_i[0]
-port 672 nsew signal input
-flabel metal2 s 15890 -800 16002 480 0 FreeSans 1120 90 0 0 wbs_sel_i[1]
-port 673 nsew signal input
-flabel metal2 s 20618 -800 20730 480 0 FreeSans 1120 90 0 0 wbs_sel_i[2]
-port 674 nsew signal input
-flabel metal2 s 25346 -800 25458 480 0 FreeSans 1120 90 0 0 wbs_sel_i[3]
-port 675 nsew signal input
-flabel metal2 s 5252 -800 5364 480 0 FreeSans 1120 90 0 0 wbs_stb_i
-port 676 nsew signal input
-flabel metal2 s 6434 -800 6546 480 0 FreeSans 1120 90 0 0 wbs_we_i
-port 677 nsew signal input
-flabel metal3 s 582340 181430 584800 186230 0 FreeSans 1120 0 0 0 vssd1
-port 569 nsew signal bidirectional
-flabel metal3 s 582340 191430 584800 196230 0 FreeSans 1120 0 0 0 vssd1
-port 568 nsew signal bidirectional
-rlabel metal4 559206 641470 559206 641470 1 VDD
-rlabel metal5 524812 682428 524812 682428 3 GND
-rlabel metal5 217310 693970 217310 693970 7 Vp
-rlabel metal3 68152 698908 68152 698908 7 CLK
-rlabel metal3 120128 689268 120128 689268 7 CLKBAR
-rlabel metal3 465398 698900 465398 698900 7 Outp
-rlabel metal3 413390 699678 413390 699678 7 Outn
-rlabel metal3 438920 662050 438920 662050 7 L1
-rlabel metal3 447318 662074 447318 662074 7 L2
-rlabel metal3 571616 691292 571616 691292 3 Iin
-rlabel metal1 s 448448 591294 448544 591662 3 VGND
-port 2 nsew ground bidirectional abutment
-rlabel metal5 165554 699664 165554 699664 7 Vn
 flabel metal3 s 165596 702300 170596 704800 0 FreeSans 1920 180 0 0 io_analog[6]
 port 49 nsew signal bidirectional
+rlabel metal5 218258 702758 218258 702758 7 Vp
 flabel metal3 s 175896 702300 180896 704800 0 FreeSans 1920 180 0 0 io_analog[6]
 port 43 nsew signal bidirectional
+rlabel metal5 166906 692944 166906 692944 7 Vn
 << properties >>
 string FIXED_BBOX 0 0 584000 704000
 << end >>
diff --git a/mag/user_analog_project_wrapper.spice b/mag/user_analog_project_wrapper.spice
index 94268bf..8eecdce 100644
--- a/mag/user_analog_project_wrapper.spice
+++ b/mag/user_analog_project_wrapper.spice
@@ -4,9 +4,8 @@
 D0 w_n238_n238# a_n100_n100# sky130_fd_pr__diode_pw2nd_05v5 pj=4e+06u area=1e+12p
 .ends
 
-.subckt sky130_fd_pr__diode_pd2nw_05v5_G4XDRY w_n376_n376# a_n100_n100# w_n238_n238#
-D0 a_n100_n100# w_n238_n238# sky130_fd_pr__diode_pd2nw_05v5 pj=4e+06u area=1e+12p
-C0 li_n340_n340# w_n376_n376# 2.20fF **FLOATING
+.subckt sky130_fd_pr__diode_pd2nw_05v5_RT56W3 w_n321_n321# a_n45_n45# w_n183_n183#
+D0 a_n45_n45# w_n183_n183# sky130_fd_pr__diode_pd2nw_05v5 pj=1.8e+06u area=2.025e+11p
 .ends
 
 .subckt sky130_fd_sc_hd__buf_2 A VGND VPWR X VNB VPB
@@ -63,13 +62,17 @@
 X41 VPWR a_109_47# X VPB sky130_fd_pr__pfet_01v8_hvt ad=0p pd=0u as=0p ps=0u w=1e+06u l=150000u
 X42 a_109_47# A VGND VNB sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=650000u l=150000u
 X43 VGND a_109_47# X VNB sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=650000u l=150000u
-C0 VPWR X 3.72fF
-C1 X a_109_47# 4.49fF
+C0 X a_109_47# 4.49fF
+C1 VPB VPWR 2.24fF
 C2 X VGND 2.53fF
-C3 VPWR VPB 2.24fF
+C3 X VPWR 3.72fF
 C4 VPB VNB 2.02fF
 .ends
 
+.subckt sky130_fd_pr__diode_pw2nd_05v5_GT7G3L a_n45_n45# w_n183_n183#
+D0 w_n183_n183# a_n45_n45# sky130_fd_pr__diode_pw2nd_05v5 pj=1.8e+06u area=2.025e+11p
+.ends
+
 .subckt sky130_fd_pr__pfet_01v8_GJYUB2 a_207_n100# a_81_n126# a_n207_n128# a_15_n100#
 + a_n177_n100# a_111_n100# a_n15_n128# a_n111_n126# w_n305_n200# a_n81_n100# a_177_n128#
 + a_n269_n100# VSUBS
@@ -228,8 +231,8 @@
 + gpio_noesd[11] gpio_noesd[12] gpio_noesd[13] gpio_noesd[14] gpio_noesd[15] gpio_noesd[16]
 + gpio_noesd[17] gpio_noesd[1] gpio_noesd[2] gpio_noesd[3] gpio_noesd[4] gpio_noesd[5]
 + gpio_noesd[6] gpio_noesd[7] gpio_noesd[8] gpio_noesd[9] io_analog[0] io_analog[10]
-+ io_analog[1] io_analog[2] io_analog[3] io_analog[5] io_analog[6] io_analog[7] io_analog[8]
-+ io_analog[9] io_analog[4] io_clamp_high[0] io_clamp_high[1] io_clamp_high[2] io_clamp_low[0]
++ io_analog[1] io_analog[2] io_analog[3] io_analog[6] io_analog[7] io_analog[8] io_analog[9]
++ io_analog[4] io_analog[5] io_clamp_high[0] io_clamp_high[1] io_clamp_high[2] io_clamp_low[0]
 + io_clamp_low[1] io_clamp_low[2] io_in[0] io_in[10] io_in[11] io_in[12] io_in[13]
 + io_in[14] io_in[15] io_in[16] io_in[17] io_in[18] io_in[19] io_in[1] io_in[20] io_in[21]
 + io_in[22] io_in[23] io_in[24] io_in[25] io_in[26] io_in[2] io_in[3] io_in[4] io_in[5]
@@ -309,13 +312,13 @@
 + la_oenb[85] la_oenb[86] la_oenb[87] la_oenb[88] la_oenb[89] la_oenb[8] la_oenb[90]
 + la_oenb[91] la_oenb[92] la_oenb[93] la_oenb[94] la_oenb[95] la_oenb[96] la_oenb[97]
 + la_oenb[98] la_oenb[99] la_oenb[9] user_clock2 user_irq[0] user_irq[1] user_irq[2]
-+ vccd2 vdda1 vdda2 vssa2 vssd1 vssd2 wb_clk_i wb_rst_i wbs_ack_o wbs_adr_i[0] wbs_adr_i[10]
-+ wbs_adr_i[11] wbs_adr_i[12] wbs_adr_i[13] wbs_adr_i[14] wbs_adr_i[15] wbs_adr_i[16]
-+ wbs_adr_i[17] wbs_adr_i[18] wbs_adr_i[19] wbs_adr_i[1] wbs_adr_i[20] wbs_adr_i[21]
-+ wbs_adr_i[22] wbs_adr_i[23] wbs_adr_i[24] wbs_adr_i[25] wbs_adr_i[26] wbs_adr_i[27]
-+ wbs_adr_i[28] wbs_adr_i[29] wbs_adr_i[2] wbs_adr_i[30] wbs_adr_i[31] wbs_adr_i[3]
-+ wbs_adr_i[4] wbs_adr_i[5] wbs_adr_i[6] wbs_adr_i[7] wbs_adr_i[8] wbs_adr_i[9] wbs_cyc_i
-+ wbs_dat_i[0] wbs_dat_i[10] wbs_dat_i[11] wbs_dat_i[12] wbs_dat_i[13] wbs_dat_i[14]
++ vccd1 vccd2 vdda1 vdda2 vssa1 vssa2 vssd1 vssd2 wb_clk_i wb_rst_i wbs_ack_o wbs_adr_i[0]
++ wbs_adr_i[10] wbs_adr_i[11] wbs_adr_i[12] wbs_adr_i[13] wbs_adr_i[14] wbs_adr_i[15]
++ wbs_adr_i[16] wbs_adr_i[17] wbs_adr_i[18] wbs_adr_i[19] wbs_adr_i[1] wbs_adr_i[20]
++ wbs_adr_i[21] wbs_adr_i[22] wbs_adr_i[23] wbs_adr_i[24] wbs_adr_i[25] wbs_adr_i[26]
++ wbs_adr_i[27] wbs_adr_i[28] wbs_adr_i[29] wbs_adr_i[2] wbs_adr_i[30] wbs_adr_i[31]
++ wbs_adr_i[3] wbs_adr_i[4] wbs_adr_i[5] wbs_adr_i[6] wbs_adr_i[7] wbs_adr_i[8] wbs_adr_i[9]
++ wbs_cyc_i wbs_dat_i[0] wbs_dat_i[10] wbs_dat_i[11] wbs_dat_i[12] wbs_dat_i[13] wbs_dat_i[14]
 + wbs_dat_i[15] wbs_dat_i[16] wbs_dat_i[17] wbs_dat_i[18] wbs_dat_i[19] wbs_dat_i[1]
 + wbs_dat_i[20] wbs_dat_i[21] wbs_dat_i[22] wbs_dat_i[23] wbs_dat_i[24] wbs_dat_i[25]
 + wbs_dat_i[26] wbs_dat_i[27] wbs_dat_i[28] wbs_dat_i[29] wbs_dat_i[2] wbs_dat_i[30]
@@ -326,82 +329,98 @@
 + wbs_dat_o[25] wbs_dat_o[26] wbs_dat_o[27] wbs_dat_o[28] wbs_dat_o[29] wbs_dat_o[2]
 + wbs_dat_o[30] wbs_dat_o[31] wbs_dat_o[3] wbs_dat_o[4] wbs_dat_o[5] wbs_dat_o[6]
 + wbs_dat_o[7] wbs_dat_o[8] wbs_dat_o[9] wbs_sel_i[0] wbs_sel_i[1] wbs_sel_i[2] wbs_sel_i[3]
-+ wbs_stb_i wbs_we_i vccd1 vssa1
-Xsky130_fd_pr__diode_pw2nd_05v5_3P6M5Y_0 io_analog[7] vssa1 sky130_fd_pr__diode_pw2nd_05v5_3P6M5Y
-Xsky130_fd_pr__diode_pd2nw_05v5_G4XDRY_0 vssa1 io_analog[7] vccd1 sky130_fd_pr__diode_pd2nw_05v5_G4XDRY
-Xsky130_fd_sc_hd__buf_2_0 comparator_v6_0/Outn vssa1 vccd1 L1 vssa1 vccd1 sky130_fd_sc_hd__buf_2
-Xsky130_fd_sc_hd__buf_16_0 L1 vssa1 vccd1 io_analog[3] vssa1 vccd1 sky130_fd_sc_hd__buf_16
-Xsky130_fd_sc_hd__buf_16_1 L2 vssa1 vccd1 io_analog[2] vssa1 vccd1 sky130_fd_sc_hd__buf_16
-Xsky130_fd_sc_hd__buf_2_1 comparator_v6_0/Outp vssa1 vccd1 L2 vssa1 vccd1 sky130_fd_sc_hd__buf_2
-Xsky130_fd_sc_hd__buf_16_2 sky130_fd_sc_hd__buf_2_3/X vssa1 vccd1 comparator_v6_0/CLKBAR
-+ vssa1 vccd1 sky130_fd_sc_hd__buf_16
-Xsky130_fd_sc_hd__buf_2_2 io_analog[8] vssa1 vccd1 sky130_fd_sc_hd__buf_2_2/X vssa1
-+ vccd1 sky130_fd_sc_hd__buf_2
-Xsky130_fd_sc_hd__buf_16_3 sky130_fd_sc_hd__buf_2_2/X vssa1 vccd1 comparator_v6_0/CLK
-+ vssa1 vccd1 sky130_fd_sc_hd__buf_16
-Xsky130_fd_sc_hd__buf_2_3 io_analog[7] vssa1 vccd1 sky130_fd_sc_hd__buf_2_3/X vssa1
-+ vccd1 sky130_fd_sc_hd__buf_2
-Xsky130_fd_sc_hd__buf_16_4 sky130_fd_sc_hd__buf_2_4/X vssa1 vccd1 io_analog[0] vssa1
-+ vccd1 sky130_fd_sc_hd__buf_16
-Xsky130_fd_sc_hd__buf_2_4 io_analog[1] vssa1 vccd1 sky130_fd_sc_hd__buf_2_4/X vssa1
-+ vccd1 sky130_fd_sc_hd__buf_2
++ wbs_stb_i wbs_we_i
+Xsky130_fd_pr__diode_pw2nd_05v5_3P6M5Y_0 io_analog[7] io_clamp_low[1] sky130_fd_pr__diode_pw2nd_05v5_3P6M5Y
+Xsky130_fd_pr__diode_pd2nw_05v5_RT56W3_0 io_clamp_low[1] io_analog[8] io_clamp_high[1]
++ sky130_fd_pr__diode_pd2nw_05v5_RT56W3
+Xsky130_fd_sc_hd__buf_2_0 comparator_v6_0/Outn io_clamp_low[1] io_clamp_high[1] sky130_fd_sc_hd__buf_2_0/X
++ io_clamp_low[1] io_clamp_high[1] sky130_fd_sc_hd__buf_2
+Xsky130_fd_sc_hd__buf_16_0 sky130_fd_sc_hd__buf_2_0/X io_clamp_low[1] io_clamp_high[1]
++ io_analog[3] io_clamp_low[1] io_clamp_high[1] sky130_fd_sc_hd__buf_16
+Xsky130_fd_pr__diode_pd2nw_05v5_RT56W3_1 io_clamp_low[1] io_analog[7] io_clamp_high[1]
++ sky130_fd_pr__diode_pd2nw_05v5_RT56W3
+Xsky130_fd_sc_hd__buf_16_1 sky130_fd_sc_hd__buf_2_1/X io_clamp_low[1] io_clamp_high[1]
++ io_analog[2] io_clamp_low[1] io_clamp_high[1] sky130_fd_sc_hd__buf_16
+Xsky130_fd_sc_hd__buf_2_1 comparator_v6_0/Outp io_clamp_low[1] io_clamp_high[1] sky130_fd_sc_hd__buf_2_1/X
++ io_clamp_low[1] io_clamp_high[1] sky130_fd_sc_hd__buf_2
+Xsky130_fd_pr__diode_pw2nd_05v5_GT7G3L_0 io_analog[8] io_clamp_low[1] sky130_fd_pr__diode_pw2nd_05v5_GT7G3L
+Xsky130_fd_sc_hd__buf_16_2 sky130_fd_sc_hd__buf_2_3/X io_clamp_low[1] io_clamp_high[1]
++ comparator_v6_0/CLKBAR io_clamp_low[1] io_clamp_high[1] sky130_fd_sc_hd__buf_16
+Xsky130_fd_sc_hd__buf_2_2 io_analog[8] io_clamp_low[1] io_clamp_high[1] sky130_fd_sc_hd__buf_2_2/X
++ io_clamp_low[1] io_clamp_high[1] sky130_fd_sc_hd__buf_2
+Xsky130_fd_sc_hd__buf_16_3 sky130_fd_sc_hd__buf_2_2/X io_clamp_low[1] io_clamp_high[1]
++ comparator_v6_0/CLK io_clamp_low[1] io_clamp_high[1] sky130_fd_sc_hd__buf_16
+Xsky130_fd_sc_hd__buf_2_3 io_analog[7] io_clamp_low[1] io_clamp_high[1] sky130_fd_sc_hd__buf_2_3/X
++ io_clamp_low[1] io_clamp_high[1] sky130_fd_sc_hd__buf_2
+Xsky130_fd_sc_hd__buf_16_4 sky130_fd_sc_hd__buf_2_4/X io_clamp_low[1] io_clamp_high[1]
++ io_analog[0] io_clamp_low[1] io_clamp_high[1] sky130_fd_sc_hd__buf_16
+Xsky130_fd_sc_hd__buf_2_4 io_analog[1] io_clamp_low[1] io_clamp_high[1] sky130_fd_sc_hd__buf_2_4/X
++ io_clamp_low[1] io_clamp_high[1] sky130_fd_sc_hd__buf_2
 Xcomparator_v6_0 comparator_v6_0/Outn io_analog[5] io_analog[6] comparator_v6_0/CLK
-+ vccd1 vssa1 comparator_v6_0/Outp comparator_v6_0/CLKBAR comparator_v6
-R0 vccd1 io_clamp_high[1] 0.000000
-R1 vccd1 io_clamp_high[2] 0.000000
-R2 vssa1 io_clamp_low[2] 0.000000
-D0 vssa1 io_analog[8] sky130_fd_pr__diode_pw2nd_05v5 pj=4e+06u area=1e+12p
-D1 io_analog[8] vccd1 sky130_fd_pr__diode_pd2nw_05v5 pj=4e+06u area=1e+12p
-R3 vssa1 io_clamp_low[1] 0.000000
-C0 comparator_v6_0/CLK io_analog[6] 2.17fF
-C1 io_analog[5] vccd1 573.17fF
-C2 io_analog[5] comparator_v6_0/CLKBAR 2.23fF
-C3 vccd1 io_analog[3] 34.41fF
-C4 vccd1 io_analog[6] 523.50fF
-C5 L2 vccd1 66.14fF
-C6 vccd1 comparator_v6_0/Outn 9.36fF
-C7 vccd1 sky130_fd_sc_hd__buf_2_2/X 4.31fF
-C8 sky130_fd_sc_hd__buf_2_3/X io_analog[5] 75.68fF
-C9 io_analog[0] vccd1 115.74fF
-C10 sky130_fd_sc_hd__buf_2_3/X vccd1 131.01fF
-C11 vccd1 m4_165510_677212# 30.18fF
-C12 vccd1 L1 66.26fF
-C13 m4_165510_677212# io_analog[6] 766.31fF
-C14 io_analog[4] vssa1 25.05fF
-C15 vssd2 vssa1 13.04fF
-C16 vssd1 vssa1 13.62fF
-C17 vdda2 vssa1 13.04fF
-C18 vdda1 vssa1 26.08fF
-C19 vssa2 vssa1 13.04fF
-C20 vccd2 vssa1 13.04fF
-C21 io_analog[10] vssa1 6.83fF
-C22 io_clamp_high[0] vssa1 3.58fF
-C23 io_clamp_low[0] vssa1 3.58fF
-C24 io_analog[9] vssa1 6.83fF
-C25 m4_141154_541976# vssa1 136.63fF **FLOATING
-C26 m4_165510_677212# vssa1 110.87fF **FLOATING
-C27 li_73093_686955# vssa1 2.20fF **FLOATING
-C28 io_analog[3] vssa1 26.43fF
-C29 vccd1 vssa1 2918.34fF
-C30 comparator_v6_0/Outp vssa1 26.62fF
-C31 comparator_v6_0/Outn vssa1 19.87fF
-C32 comparator_v6_0/CLK vssa1 17.79fF
-C33 comparator_v6_0/fp vssa1 2.32fF
-C34 comparator_v6_0/fn vssa1 2.31fF
-C35 io_analog[5] vssa1 337.44fF
-C36 io_analog[6] vssa1 234.19fF
-C37 comparator_v6_0/Dp vssa1 3.53fF
-C38 comparator_v6_0/Dn vssa1 3.23fF
-C39 comparator_v6_0/CLKBAR vssa1 7.21fF
-C40 io_analog[1] vssa1 25.06fF
-C41 io_analog[0] vssa1 431.98fF
-C42 io_analog[7] vssa1 63.99fF
-C43 sky130_fd_sc_hd__buf_2_2/X vssa1 354.67fF
-C44 io_analog[8] vssa1 68.96fF
-C45 sky130_fd_sc_hd__buf_2_3/X vssa1 303.55fF
-C46 L2 vssa1 190.71fF
-C47 io_analog[2] vssa1 25.67fF
-C48 L1 vssa1 195.71fF
-C49 sky130_fd_pr__diode_pd2nw_05v5_G4XDRY_0/li_n340_n340# vssa1 2.20fF **FLOATING
++ io_clamp_high[1] io_clamp_low[1] comparator_v6_0/Outp comparator_v6_0/CLKBAR comparator_v6
+V0 io_clamp_high[1] vccd1 0.0
+V1 io_clamp_high[1] io_clamp_high[2] 0.0
+V2 io_clamp_low[1] io_clamp_low[2] 0.0
+V3 io_clamp_low[1] vssa1 0.0
+C0 io_analog[5] sky130_fd_sc_hd__buf_2_3/X 75.68fF
+C1 io_clamp_high[1] sky130_fd_sc_hd__buf_2_1/X 66.21fF
+C2 m4_204098_586508# io_analog[5] 176.62fF
+C3 m4_204098_586508# sky130_fd_sc_hd__buf_2_3/X 4.77fF
+C4 io_analog[5] comparator_v6_0/CLKBAR 2.23fF
+C5 m4_165578_698240# io_analog[6] 129.90fF
+C6 m4_186716_584374# sky130_fd_sc_hd__buf_2_2/X 4.20fF
+C7 io_clamp_high[1] io_analog[5] 155.94fF
+C8 io_clamp_high[1] sky130_fd_sc_hd__buf_2_3/X 122.04fF
+C9 m4_175880_702434# io_analog[6] 50.57fF
+C10 m4_186716_584374# sky130_fd_sc_hd__buf_2_3/X 4.20fF
+C11 m4_180902_677200# io_analog[6] 104.05fF
+C12 io_clamp_high[1] comparator_v6_0/Outn 9.36fF
+C13 io_clamp_high[1] io_analog[6] 111.81fF
+C14 m4_186716_584374# io_analog[6] 185.47fF
+C15 io_clamp_high[1] m4_170578_677212# 30.18fF
+C16 m4_170578_677212# io_analog[6] 53.59fF
+C17 comparator_v6_0/CLK io_analog[6] 2.17fF
+C18 io_clamp_high[1] io_analog[0] 115.74fF
+C19 io_clamp_high[1] sky130_fd_sc_hd__buf_2_0/X 66.26fF
+C20 io_clamp_high[1] io_analog[3] 34.41fF
+C21 io_analog[4] io_clamp_low[1] 25.05fF
+C22 vssa1 io_clamp_low[1] 14.37fF
+C23 vssd2 io_clamp_low[1] 13.04fF
+C24 vssd1 io_clamp_low[1] 13.62fF
+C25 vdda2 io_clamp_low[1] 13.04fF
+C26 vdda1 io_clamp_low[1] 26.08fF
+C27 vssa2 io_clamp_low[1] 13.04fF
+C28 vccd2 io_clamp_low[1] 13.04fF
+C29 io_analog[10] io_clamp_low[1] 6.83fF
+C30 io_clamp_high[0] io_clamp_low[1] 3.58fF
+C31 io_clamp_low[0] io_clamp_low[1] 3.58fF
+C32 io_analog[9] io_clamp_low[1] 6.83fF
+C33 m4_141154_541976# io_clamp_low[1] 136.63fF **FLOATING
+C34 m4_204098_586508# io_clamp_low[1] 29.23fF **FLOATING
+C35 m4_186716_584374# io_clamp_low[1] 28.89fF **FLOATING
+C36 m4_180902_677200# io_clamp_low[1] 18.04fF **FLOATING
+C37 m4_170578_677212# io_clamp_low[1] 32.74fF **FLOATING
+C38 m4_165578_698240# io_clamp_low[1] 2.67fF **FLOATING
+C39 io_analog[3] io_clamp_low[1] 25.41fF
+C40 io_clamp_high[1] io_clamp_low[1] 2789.02fF
+C41 comparator_v6_0/Outp io_clamp_low[1] 26.62fF
+C42 comparator_v6_0/Outn io_clamp_low[1] 19.87fF
+C43 comparator_v6_0/CLK io_clamp_low[1] 17.79fF
+C44 comparator_v6_0/fp io_clamp_low[1] 2.32fF
+C45 comparator_v6_0/fn io_clamp_low[1] 2.31fF
+C46 io_analog[5] io_clamp_low[1] 356.95fF
+C47 io_analog[6] io_clamp_low[1] 278.77fF
+C48 comparator_v6_0/Dp io_clamp_low[1] 3.53fF
+C49 comparator_v6_0/Dn io_clamp_low[1] 3.23fF
+C50 comparator_v6_0/CLKBAR io_clamp_low[1] 7.21fF
+C51 io_analog[1] io_clamp_low[1] 24.03fF
+C52 io_analog[0] io_clamp_low[1] 431.97fF
+C53 io_analog[7] io_clamp_low[1] 63.63fF
+C54 sky130_fd_sc_hd__buf_2_2/X io_clamp_low[1] 354.67fF
+C55 io_analog[8] io_clamp_low[1] 67.10fF
+C56 sky130_fd_sc_hd__buf_2_3/X io_clamp_low[1] 303.55fF
+C57 sky130_fd_sc_hd__buf_2_1/X io_clamp_low[1] 190.70fF
+C58 io_analog[2] io_clamp_low[1] 24.65fF
+C59 sky130_fd_sc_hd__buf_2_0/X io_clamp_low[1] 195.71fF
 .ends
 
diff --git a/netgen/comp.out b/netgen/comp.out
index d000b99..3310934 100644
--- a/netgen/comp.out
+++ b/netgen/comp.out
@@ -54,7 +54,8 @@
 Cell pin lists are equivalent.
 Device classes sky130_fd_sc_hd__buf_16 and sky130_fd_sc_hd__buf_16 are equivalent.
 Flattening unmatched subcell sky130_fd_pr__diode_pw2nd_05v5_3P6M5Y in circuit user_analog_project_wrapper (0)(1 instance)
-Flattening unmatched subcell sky130_fd_pr__diode_pd2nw_05v5_G4XDRY in circuit user_analog_project_wrapper (0)(1 instance)
+Flattening unmatched subcell sky130_fd_pr__diode_pd2nw_05v5_RT56W3 in circuit user_analog_project_wrapper (0)(2 instances)
+Flattening unmatched subcell sky130_fd_pr__diode_pw2nd_05v5_GT7G3L in circuit user_analog_project_wrapper (0)(1 instance)
 Flattening unmatched subcell comparator_v6 in circuit user_analog_project_wrapper (0)(1 instance)
 Flattening unmatched subcell latch_3 in circuit user_analog_project_wrapper (0)(1 instance)
 Flattening unmatched subcell sky130_fd_pr__pfet_01v8_GJYUB2 in circuit user_analog_project_wrapper (0)(1 instance)
diff --git a/netgen/user_analog_project_wrapper.spice b/netgen/user_analog_project_wrapper.spice
index 7956138..0410cd1 100644
--- a/netgen/user_analog_project_wrapper.spice
+++ b/netgen/user_analog_project_wrapper.spice
@@ -4,9 +4,8 @@
 D0 w_n238_n238# a_n100_n100# sky130_fd_pr__diode_pw2nd_05v5 pj=4e+06u area=1e+12p
 .ends
 
-.subckt sky130_fd_pr__diode_pd2nw_05v5_G4XDRY w_n376_n376# a_n100_n100# w_n238_n238#
-D0 a_n100_n100# w_n238_n238# sky130_fd_pr__diode_pd2nw_05v5 pj=4e+06u area=1e+12p
-
+.subckt sky130_fd_pr__diode_pd2nw_05v5_RT56W3 w_n321_n321# a_n45_n45# w_n183_n183#
+D0 a_n45_n45# w_n183_n183# sky130_fd_pr__diode_pd2nw_05v5 pj=1.8e+06u area=2.025e+11p
 .ends
 
 .subckt sky130_fd_sc_hd__buf_2 A VGND VPWR X VNB VPB
@@ -66,6 +65,10 @@
 
 .ends
 
+.subckt sky130_fd_pr__diode_pw2nd_05v5_GT7G3L a_n45_n45# w_n183_n183#
+D0 w_n183_n183# a_n45_n45# sky130_fd_pr__diode_pw2nd_05v5 pj=1.8e+06u area=2.025e+11p
+.ends
+
 .subckt sky130_fd_pr__pfet_01v8_GJYUB2 a_207_n100# a_81_n126# a_n207_n128# a_15_n100#
 + a_n177_n100# a_111_n100# a_n15_n128# a_n111_n126# w_n305_n200# a_n81_n100# a_177_n128#
 + a_n269_n100# VSUBS
@@ -298,13 +301,13 @@
 + la_oenb[85] la_oenb[86] la_oenb[87] la_oenb[88] la_oenb[89] la_oenb[8] la_oenb[90]
 + la_oenb[91] la_oenb[92] la_oenb[93] la_oenb[94] la_oenb[95] la_oenb[96] la_oenb[97]
 + la_oenb[98] la_oenb[99] la_oenb[9] user_clock2 user_irq[0] user_irq[1] user_irq[2]
-+ vccd2 vdda1 vdda2 vssa2 vssd1 vssd2 wb_clk_i wb_rst_i wbs_ack_o wbs_adr_i[0] wbs_adr_i[10]
-+ wbs_adr_i[11] wbs_adr_i[12] wbs_adr_i[13] wbs_adr_i[14] wbs_adr_i[15] wbs_adr_i[16]
-+ wbs_adr_i[17] wbs_adr_i[18] wbs_adr_i[19] wbs_adr_i[1] wbs_adr_i[20] wbs_adr_i[21]
-+ wbs_adr_i[22] wbs_adr_i[23] wbs_adr_i[24] wbs_adr_i[25] wbs_adr_i[26] wbs_adr_i[27]
-+ wbs_adr_i[28] wbs_adr_i[29] wbs_adr_i[2] wbs_adr_i[30] wbs_adr_i[31] wbs_adr_i[3]
-+ wbs_adr_i[4] wbs_adr_i[5] wbs_adr_i[6] wbs_adr_i[7] wbs_adr_i[8] wbs_adr_i[9] wbs_cyc_i
-+ wbs_dat_i[0] wbs_dat_i[10] wbs_dat_i[11] wbs_dat_i[12] wbs_dat_i[13] wbs_dat_i[14]
++ vccd1 vccd2 vdda1 vdda2 vssa1 vssa2 vssd1 vssd2 wb_clk_i wb_rst_i wbs_ack_o wbs_adr_i[0]
++ wbs_adr_i[10] wbs_adr_i[11] wbs_adr_i[12] wbs_adr_i[13] wbs_adr_i[14] wbs_adr_i[15]
++ wbs_adr_i[16] wbs_adr_i[17] wbs_adr_i[18] wbs_adr_i[19] wbs_adr_i[1] wbs_adr_i[20]
++ wbs_adr_i[21] wbs_adr_i[22] wbs_adr_i[23] wbs_adr_i[24] wbs_adr_i[25] wbs_adr_i[26]
++ wbs_adr_i[27] wbs_adr_i[28] wbs_adr_i[29] wbs_adr_i[2] wbs_adr_i[30] wbs_adr_i[31]
++ wbs_adr_i[3] wbs_adr_i[4] wbs_adr_i[5] wbs_adr_i[6] wbs_adr_i[7] wbs_adr_i[8] wbs_adr_i[9]
++ wbs_cyc_i wbs_dat_i[0] wbs_dat_i[10] wbs_dat_i[11] wbs_dat_i[12] wbs_dat_i[13] wbs_dat_i[14]
 + wbs_dat_i[15] wbs_dat_i[16] wbs_dat_i[17] wbs_dat_i[18] wbs_dat_i[19] wbs_dat_i[1]
 + wbs_dat_i[20] wbs_dat_i[21] wbs_dat_i[22] wbs_dat_i[23] wbs_dat_i[24] wbs_dat_i[25]
 + wbs_dat_i[26] wbs_dat_i[27] wbs_dat_i[28] wbs_dat_i[29] wbs_dat_i[2] wbs_dat_i[30]
@@ -315,11 +318,13 @@
 + wbs_dat_o[25] wbs_dat_o[26] wbs_dat_o[27] wbs_dat_o[28] wbs_dat_o[29] wbs_dat_o[2]
 + wbs_dat_o[30] wbs_dat_o[31] wbs_dat_o[3] wbs_dat_o[4] wbs_dat_o[5] wbs_dat_o[6]
 + wbs_dat_o[7] wbs_dat_o[8] wbs_dat_o[9] wbs_sel_i[0] wbs_sel_i[1] wbs_sel_i[2] wbs_sel_i[3]
-+ wbs_stb_i wbs_we_i vccd1 vssa1
++ wbs_stb_i wbs_we_i
 Xsky130_fd_pr__diode_pw2nd_05v5_3P6M5Y_0 io_analog[7] vssa1 sky130_fd_pr__diode_pw2nd_05v5_3P6M5Y
-Xsky130_fd_pr__diode_pd2nw_05v5_G4XDRY_0 vssa1 io_analog[7] vccd1 sky130_fd_pr__diode_pd2nw_05v5_G4XDRY
+Xsky130_fd_pr__diode_pd2nw_05v5_RT56W3_0 vssa1 io_analog[8] vccd1 sky130_fd_pr__diode_pd2nw_05v5_RT56W3
 Xsky130_fd_sc_hd__buf_2_0 comparator_v6_0/Outn vssa1 vccd1 L1 vssa1 vccd1 sky130_fd_sc_hd__buf_2
 Xsky130_fd_sc_hd__buf_16_0 L1 vssa1 vccd1 io_analog[3] vssa1 vccd1 sky130_fd_sc_hd__buf_16
+Xsky130_fd_pr__diode_pd2nw_05v5_RT56W3_1 vssa1 io_analog[7] vccd1 sky130_fd_pr__diode_pd2nw_05v5_RT56W3
+Xsky130_fd_pr__diode_pw2nd_05v5_GT7G3L_0 io_analog[8] vssa1 sky130_fd_pr__diode_pw2nd_05v5_GT7G3L
 Xsky130_fd_sc_hd__buf_16_1 L2 vssa1 vccd1 io_analog[2] vssa1 vccd1 sky130_fd_sc_hd__buf_16
 Xsky130_fd_sc_hd__buf_2_1 comparator_v6_0/Outp vssa1 vccd1 L2 vssa1 vccd1 sky130_fd_sc_hd__buf_2
 Xsky130_fd_sc_hd__buf_16_2 sky130_fd_sc_hd__buf_2_3/X vssa1 vccd1 comparator_v6_0/CLKBAR
@@ -339,12 +344,7 @@
 V0 vccd1 io_clamp_high[1] 0.0
 V1 vccd1 io_clamp_high[2] 0.0
 V2 vssa1 io_clamp_low[2] 0.0
-
 V3 vssa1 io_clamp_low[1] 0.0
 
-D0 vssa1 io_analog[8] sky130_fd_pr__diode_pw2nd_05v5 pj=4e+06u area=1e+12p
-D1 io_analog[8] vccd1 sky130_fd_pr__diode_pd2nw_05v5 pj=4e+06u area=1e+12p
-
-
 .ends
 
diff --git a/openlane/Makefile b/openlane/Makefile
deleted file mode 120000
index 48e5b4a..0000000
--- a/openlane/Makefile
+++ /dev/null
@@ -1 +0,0 @@
-../caravel/openlane/Makefile
\ No newline at end of file
diff --git a/precheck_results/01_JUN_2022___10_22_16/logs/gds.info b/precheck_results/01_JUN_2022___10_22_16/logs/gds.info
new file mode 100755
index 0000000..a44100f
--- /dev/null
+++ b/precheck_results/01_JUN_2022___10_22_16/logs/gds.info
@@ -0,0 +1 @@
+user_analog_project_wrapper.gds: 3b0ebaf457e9d1050186ef90d07a963ca5264a35
\ No newline at end of file
diff --git a/precheck_results/01_JUN_2022___10_22_16/logs/klayout_beol_check.log b/precheck_results/01_JUN_2022___10_22_16/logs/klayout_beol_check.log
new file mode 100755
index 0000000..5a3cdbb
--- /dev/null
+++ b/precheck_results/01_JUN_2022___10_22_16/logs/klayout_beol_check.log
@@ -0,0 +1,992 @@
+/home/krishna/mpw_precheckmpw6/checks/tech-files/sky130A_mr.drc:38: warning: already initialized constant DRC::DRCEngine::FEOL
+/home/krishna/mpw_precheckmpw6/checks/tech-files/sky130A_mr.drc:28: warning: previous definition of FEOL was here
+/home/krishna/mpw_precheckmpw6/checks/tech-files/sky130A_mr.drc:42: warning: already initialized constant DRC::DRCEngine::BEOL
+/home/krishna/mpw_precheckmpw6/checks/tech-files/sky130A_mr.drc:29: warning: previous definition of BEOL was here
+/home/krishna/mpw_precheckmpw6/checks/tech-files/sky130A_mr.drc:50: warning: already initialized constant DRC::DRCEngine::OFFGRID
+/home/krishna/mpw_precheckmpw6/checks/tech-files/sky130A_mr.drc:30: warning: previous definition of OFFGRID was here
+/home/krishna/mpw_precheckmpw6/checks/tech-files/sky130A_mr.drc:56: warning: already initialized constant DRC::DRCEngine::SEAL
+/home/krishna/mpw_precheckmpw6/checks/tech-files/sky130A_mr.drc:31: warning: previous definition of SEAL was here
+/home/krishna/mpw_precheckmpw6/checks/tech-files/sky130A_mr.drc:62: warning: already initialized constant DRC::DRCEngine::FLOATING_MET
+/home/krishna/mpw_precheckmpw6/checks/tech-files/sky130A_mr.drc:32: warning: previous definition of FLOATING_MET was here
+"input" in: sky130A_mr.drc:112
+    Polygons (raw): 62 (flat)  25 (hierarchical)
+    Elapsed: 0.010s  Memory: 522.00M
+"polygons" in: sky130A_mr.drc:113
+    Polygons (raw): 38 (flat)  30 (hierarchical)
+    Elapsed: 0.010s  Memory: 522.00M
+"polygons" in: sky130A_mr.drc:114
+    Polygons (raw): 89 (flat)  69 (hierarchical)
+    Elapsed: 0.000s  Memory: 522.00M
+"polygons" in: sky130A_mr.drc:115
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 522.00M
+"polygons" in: sky130A_mr.drc:116
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 522.00M
+"polygons" in: sky130A_mr.drc:117
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 522.00M
+"polygons" in: sky130A_mr.drc:118
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 522.00M
+"polygons" in: sky130A_mr.drc:119
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 522.00M
+"polygons" in: sky130A_mr.drc:120
+    Polygons (raw): 10 (flat)  2 (hierarchical)
+    Elapsed: 0.000s  Memory: 522.00M
+"polygons" in: sky130A_mr.drc:121
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 522.00M
+"polygons" in: sky130A_mr.drc:122
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 522.00M
+"polygons" in: sky130A_mr.drc:123
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 522.00M
+"polygons" in: sky130A_mr.drc:124
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 522.00M
+"polygons" in: sky130A_mr.drc:125
+    Polygons (raw): 202 (flat)  116 (hierarchical)
+    Elapsed: 0.010s  Memory: 522.00M
+"polygons" in: sky130A_mr.drc:126
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 522.00M
+"polygons" in: sky130A_mr.drc:127
+    Polygons (raw): 62 (flat)  43 (hierarchical)
+    Elapsed: 0.010s  Memory: 522.00M
+"polygons" in: sky130A_mr.drc:128
+    Polygons (raw): 52 (flat)  26 (hierarchical)
+    Elapsed: 0.000s  Memory: 522.00M
+"polygons" in: sky130A_mr.drc:129
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 522.00M
+"polygons" in: sky130A_mr.drc:130
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 522.00M
+"polygons" in: sky130A_mr.drc:131
+    Polygons (raw): 33 (flat)  24 (hierarchical)
+    Elapsed: 0.010s  Memory: 522.00M
+"polygons" in: sky130A_mr.drc:132
+    Polygons (raw): 907 (flat)  291 (hierarchical)
+    Elapsed: 0.000s  Memory: 522.00M
+"polygons" in: sky130A_mr.drc:134
+    Polygons (raw): 566 (flat)  394 (hierarchical)
+    Elapsed: 0.010s  Memory: 522.00M
+"polygons" in: sky130A_mr.drc:135
+    Polygons (raw): 1756 (flat)  1480 (hierarchical)
+    Elapsed: 0.010s  Memory: 522.00M
+"polygons" in: sky130A_mr.drc:137
+    Polygons (raw): 349 (flat)  299 (hierarchical)
+    Elapsed: 0.000s  Memory: 522.00M
+"polygons" in: sky130A_mr.drc:138
+    Polygons (raw): 2570 (flat)  2570 (hierarchical)
+    Elapsed: 0.010s  Memory: 522.00M
+"polygons" in: sky130A_mr.drc:140
+    Polygons (raw): 603 (flat)  603 (hierarchical)
+    Elapsed: 0.000s  Memory: 522.00M
+"polygons" in: sky130A_mr.drc:141
+    Polygons (raw): 1761 (flat)  1761 (hierarchical)
+    Elapsed: 0.010s  Memory: 522.00M
+"polygons" in: sky130A_mr.drc:143
+    Polygons (raw): 459 (flat)  459 (hierarchical)
+    Elapsed: 0.000s  Memory: 522.00M
+"polygons" in: sky130A_mr.drc:144
+    Polygons (raw): 25800 (flat)  25800 (hierarchical)
+    Elapsed: 0.020s  Memory: 523.00M
+"polygons" in: sky130A_mr.drc:146
+    Polygons (raw): 175 (flat)  175 (hierarchical)
+    Elapsed: 0.010s  Memory: 523.00M
+"polygons" in: sky130A_mr.drc:147
+    Polygons (raw): 552 (flat)  552 (hierarchical)
+    Elapsed: 0.000s  Memory: 523.00M
+"polygons" in: sky130A_mr.drc:149
+    Polygons (raw): 151 (flat)  151 (hierarchical)
+    Elapsed: 0.010s  Memory: 523.00M
+"polygons" in: sky130A_mr.drc:151
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 523.00M
+"polygons" in: sky130A_mr.drc:152
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 523.00M
+"polygons" in: sky130A_mr.drc:153
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 523.00M
+"polygons" in: sky130A_mr.drc:154
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 523.00M
+"polygons" in: sky130A_mr.drc:155
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 523.00M
+"polygons" in: sky130A_mr.drc:156
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 523.00M
+"polygons" in: sky130A_mr.drc:157
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 523.00M
+"polygons" in: sky130A_mr.drc:158
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 523.00M
+"polygons" in: sky130A_mr.drc:159
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 523.00M
+"polygons" in: sky130A_mr.drc:160
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 523.00M
+"polygons" in: sky130A_mr.drc:161
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 523.00M
+"polygons" in: sky130A_mr.drc:162
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 523.00M
+"polygons" in: sky130A_mr.drc:163
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 523.00M
+"polygons" in: sky130A_mr.drc:164
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 523.00M
+"polygons" in: sky130A_mr.drc:165
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 523.00M
+"polygons" in: sky130A_mr.drc:166
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 523.00M
+"polygons" in: sky130A_mr.drc:167
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 523.00M
+"polygons" in: sky130A_mr.drc:168
+    Polygons (raw): 10 (flat)  2 (hierarchical)
+    Elapsed: 0.010s  Memory: 523.00M
+"polygons" in: sky130A_mr.drc:169
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 523.00M
+"polygons" in: sky130A_mr.drc:170
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 523.00M
+"polygons" in: sky130A_mr.drc:171
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 523.00M
+"polygons" in: sky130A_mr.drc:172
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 523.00M
+"polygons" in: sky130A_mr.drc:173
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 523.00M
+"polygons" in: sky130A_mr.drc:174
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 523.00M
+"polygons" in: sky130A_mr.drc:175
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 523.00M
+"polygons" in: sky130A_mr.drc:176
+    Polygons (raw): 1 (flat)  1 (hierarchical)
+    Elapsed: 0.010s  Memory: 523.00M
+"polygons" in: sky130A_mr.drc:177
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 523.00M
+"polygons" in: sky130A_mr.drc:178
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 523.00M
+"polygons" in: sky130A_mr.drc:179
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 523.00M
+"polygons" in: sky130A_mr.drc:180
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 523.00M
+"polygons" in: sky130A_mr.drc:181
+    Polygons (raw): 4 (flat)  3 (hierarchical)
+    Elapsed: 0.000s  Memory: 523.00M
+"polygons" in: sky130A_mr.drc:182
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 523.00M
+"polygons" in: sky130A_mr.drc:183
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 523.00M
+"polygons" in: sky130A_mr.drc:184
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 523.00M
+"polygons" in: sky130A_mr.drc:185
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 523.00M
+"polygons" in: sky130A_mr.drc:186
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 523.00M
+"polygons" in: sky130A_mr.drc:187
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 523.00M
+"polygons" in: sky130A_mr.drc:188
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 523.00M
+"polygons" in: sky130A_mr.drc:189
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 523.00M
+"polygons" in: sky130A_mr.drc:190
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 523.00M
+"polygons" in: sky130A_mr.drc:191
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 523.00M
+"polygons" in: sky130A_mr.drc:192
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 523.00M
+"polygons" in: sky130A_mr.drc:193
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 523.00M
+"polygons" in: sky130A_mr.drc:194
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 523.00M
+"polygons" in: sky130A_mr.drc:195
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 523.00M
+"polygons" in: sky130A_mr.drc:196
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 523.00M
+"polygons" in: sky130A_mr.drc:197
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 523.00M
+"polygons" in: sky130A_mr.drc:198
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 523.00M
+"polygons" in: sky130A_mr.drc:199
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 523.00M
+"polygons" in: sky130A_mr.drc:200
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 523.00M
+"polygons" in: sky130A_mr.drc:201
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 523.00M
+"polygons" in: sky130A_mr.drc:202
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 523.00M
+"polygons" in: sky130A_mr.drc:203
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 523.00M
+DRC section
+BEOL section
+START: 67/20 (li)
+"not" in: sky130A_mr.drc:381
+    Polygons (raw): 566 (flat)  394 (hierarchical)
+    Elapsed: 0.010s  Memory: 523.00M
+"width" in: sky130A_mr.drc:382
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 523.00M
+"output" in: sky130A_mr.drc:382
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 523.00M
+"edges" in: sky130A_mr.drc:384
+    Edges: 2849 (flat)  1598 (hierarchical)
+    Elapsed: 0.030s  Memory: 1067.00M
+"space" in: sky130A_mr.drc:384
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.020s  Memory: 1067.00M
+"output" in: sky130A_mr.drc:384
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 1067.00M
+"not" in: sky130A_mr.drc:385
+    Polygons (raw): 907 (flat)  291 (hierarchical)
+    Elapsed: 0.010s  Memory: 1067.00M
+"enclosing" in: sky130A_mr.drc:386
+    Edge pairs: 1195 (flat)  859 (hierarchical)
+    Elapsed: 0.030s  Memory: 1067.00M
+"second_edges" in: sky130A_mr.drc:386
+    Edges: 1195 (flat)  859 (hierarchical)
+    Elapsed: 0.000s  Memory: 1067.00M
+"width" in: sky130A_mr.drc:387
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 1067.00M
+"polygons" in: sky130A_mr.drc:388
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 1067.00M
+"interacting" in: sky130A_mr.drc:388
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 1067.00M
+"output" in: sky130A_mr.drc:389
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 1067.00M
+"with_area" in: sky130A_mr.drc:390
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 1067.00M
+"output" in: sky130A_mr.drc:390
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 1067.00M
+END: 67/20 (li)
+START: 67/44 (mcon)
+"not" in: sky130A_mr.drc:395
+    Polygons (raw): 1756 (flat)  1480 (hierarchical)
+    Elapsed: 0.010s  Memory: 1067.00M
+"not" in: sky130A_mr.drc:402
+    Polygons (raw): 1756 (flat)  1480 (hierarchical)
+    Elapsed: 0.000s  Memory: 1067.00M
+"non_rectangles" in: sky130A_mr.drc:403
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.020s  Memory: 1067.00M
+"output" in: sky130A_mr.drc:403
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 1067.00M
+"drc" in: sky130A_mr.drc:405
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.020s  Memory: 1068.00M
+"output" in: sky130A_mr.drc:405
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 1068.00M
+"drc" in: sky130A_mr.drc:406
+    Edges: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 1068.00M
+"output" in: sky130A_mr.drc:406
+    Edges: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 1068.00M
+"space" in: sky130A_mr.drc:407
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.020s  Memory: 1068.00M
+"output" in: sky130A_mr.drc:407
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 1068.00M
+"not" in: sky130A_mr.drc:413
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 1068.00M
+"output" in: sky130A_mr.drc:413
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 1068.00M
+END: 67/44 (mcon)
+START: 68/20 (m1)
+"width" in: sky130A_mr.drc:418
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 1068.00M
+"output" in: sky130A_mr.drc:418
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 1068.00M
+"sized" in: sky130A_mr.drc:419
+    Polygons (raw): 17 (flat)  17 (hierarchical)
+    Elapsed: 0.010s  Memory: 1068.00M
+"sized" in: sky130A_mr.drc:419
+    Polygons (raw): 17 (flat)  17 (hierarchical)
+    Elapsed: 0.000s  Memory: 1068.00M
+"snap" in: sky130A_mr.drc:419
+    Polygons (raw): 17 (flat)  17 (hierarchical)
+    Elapsed: 0.010s  Memory: 1068.00M
+"&" in: sky130A_mr.drc:419
+    Polygons (raw): 17 (flat)  17 (hierarchical)
+    Elapsed: 0.010s  Memory: 1068.00M
+"edges" in: sky130A_mr.drc:420
+    Edges: 1235 (flat)  1093 (hierarchical)
+    Elapsed: 0.010s  Memory: 1068.00M
+"-" in: sky130A_mr.drc:420
+    Edges: 1100 (flat)  964 (hierarchical)
+    Elapsed: 0.010s  Memory: 1068.00M
+"edges" in: sky130A_mr.drc:421
+    Edges: 122 (flat)  122 (hierarchical)
+    Elapsed: 0.010s  Memory: 1068.00M
+"merged" in: sky130A_mr.drc:421
+    Polygons (raw): 123 (flat)  113 (hierarchical)
+    Elapsed: 0.000s  Memory: 1068.00M
+"outside_part" in: sky130A_mr.drc:421
+    Edges: 138 (flat)  138 (hierarchical)
+    Elapsed: 0.010s  Memory: 1068.00M
+"space" in: sky130A_mr.drc:423
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 1068.00M
+"output" in: sky130A_mr.drc:423
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 1068.00M
+"separation" in: sky130A_mr.drc:425
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 1068.00M
+"space" in: sky130A_mr.drc:425
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 1068.00M
+"+" in: sky130A_mr.drc:425
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 1068.00M
+"output" in: sky130A_mr.drc:425
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 1068.00M
+"input" in: sky130A_mr.drc:429
+    Polygons (raw): 349 (flat)  305 (hierarchical)
+    Elapsed: 0.010s  Memory: 1068.00M
+"enclosing" in: sky130A_mr.drc:431
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.020s  Memory: 1068.00M
+"output" in: sky130A_mr.drc:431
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 1068.00M
+"not" in: sky130A_mr.drc:432
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 1068.00M
+"output" in: sky130A_mr.drc:432
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 1068.00M
+"input" in: sky130A_mr.drc:434
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 1068.00M
+"enclosing" in: sky130A_mr.drc:435
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 1068.00M
+"output" in: sky130A_mr.drc:435
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 1068.00M
+"not" in: sky130A_mr.drc:437
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 1068.00M
+"output" in: sky130A_mr.drc:437
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 1068.00M
+"with_area" in: sky130A_mr.drc:439
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 1068.00M
+"output" in: sky130A_mr.drc:439
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 1068.00M
+"holes" in: sky130A_mr.drc:441
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 1068.00M
+"with_area" in: sky130A_mr.drc:441
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 1068.00M
+"output" in: sky130A_mr.drc:441
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 1068.00M
+"enclosing" in: sky130A_mr.drc:448
+    Edge pairs: 245 (flat)  205 (hierarchical)
+    Elapsed: 0.020s  Memory: 1068.00M
+"second_edges" in: sky130A_mr.drc:448
+    Edges: 245 (flat)  205 (hierarchical)
+    Elapsed: 0.010s  Memory: 1068.00M
+"width" in: sky130A_mr.drc:449
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 1068.00M
+"polygons" in: sky130A_mr.drc:450
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 1068.00M
+"interacting" in: sky130A_mr.drc:450
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 1068.00M
+"output" in: sky130A_mr.drc:451
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 1068.00M
+END: 68/20 (m1)
+START: 68/44 (via)
+"not" in: sky130A_mr.drc:465
+    Polygons (raw): 2570 (flat)  2570 (hierarchical)
+    Elapsed: 0.000s  Memory: 1068.00M
+"non_rectangles" in: sky130A_mr.drc:467
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.020s  Memory: 1068.00M
+"output" in: sky130A_mr.drc:467
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 1068.00M
+"width" in: sky130A_mr.drc:468
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 1068.00M
+"output" in: sky130A_mr.drc:468
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 1068.00M
+"drc" in: sky130A_mr.drc:470
+    Edges: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 1068.00M
+"output" in: sky130A_mr.drc:470
+    Edges: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 1068.00M
+"space" in: sky130A_mr.drc:472
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.030s  Memory: 1068.00M
+"output" in: sky130A_mr.drc:472
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 1068.00M
+"edges" in: sky130A_mr.drc:480
+    Edges: 1235 (flat)  1093 (hierarchical)
+    Elapsed: 0.010s  Memory: 1068.00M
+"drc" in: sky130A_mr.drc:480
+    Edges: 10280 (flat)  10280 (hierarchical)
+    Elapsed: 0.030s  Memory: 1068.00M
+"enclosing" in: sky130A_mr.drc:480
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 1068.00M
+"output" in: sky130A_mr.drc:480
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 1068.00M
+"squares" in: sky130A_mr.drc:481
+    Polygons (raw): 2570 (flat)  2570 (hierarchical)
+    Elapsed: 0.010s  Memory: 1068.00M
+"drc" in: sky130A_mr.drc:481
+    Edges: 10280 (flat)  10280 (hierarchical)
+    Elapsed: 0.030s  Memory: 1068.00M
+"not" in: sky130A_mr.drc:481
+    Edges: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.020s  Memory: 1068.00M
+"output" in: sky130A_mr.drc:481
+    Edges: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 1068.00M
+"edges" in: sky130A_mr.drc:483
+    Edges: 1235 (flat)  1093 (hierarchical)
+    Elapsed: 0.010s  Memory: 1068.00M
+"drc" in: sky130A_mr.drc:483
+    Edges: 10280 (flat)  10280 (hierarchical)
+    Elapsed: 0.040s  Memory: 1068.00M
+"enclosing" in: sky130A_mr.drc:483
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 1068.00M
+"second_edges" in: sky130A_mr.drc:483
+    Edges: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 1068.00M
+"width" in: sky130A_mr.drc:484
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.020s  Memory: 1068.00M
+"polygons" in: sky130A_mr.drc:485
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 1068.00M
+"interacting" in: sky130A_mr.drc:485
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 1068.00M
+"output" in: sky130A_mr.drc:486
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 1068.00M
+END: 68/44 (via)
+START: 69/20 (m2)
+"width" in: sky130A_mr.drc:493
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 1069.00M
+"output" in: sky130A_mr.drc:493
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 1069.00M
+"sized" in: sky130A_mr.drc:495
+    Polygons (raw): 13 (flat)  13 (hierarchical)
+    Elapsed: 0.010s  Memory: 1069.00M
+"sized" in: sky130A_mr.drc:495
+    Polygons (raw): 13 (flat)  13 (hierarchical)
+    Elapsed: 0.000s  Memory: 1069.00M
+"snap" in: sky130A_mr.drc:495
+    Polygons (raw): 13 (flat)  13 (hierarchical)
+    Elapsed: 0.010s  Memory: 1069.00M
+"&" in: sky130A_mr.drc:495
+    Polygons (raw): 13 (flat)  13 (hierarchical)
+    Elapsed: 0.010s  Memory: 1069.00M
+"edges" in: sky130A_mr.drc:496
+    Edges: 2367 (flat)  2367 (hierarchical)
+    Elapsed: 0.010s  Memory: 1069.00M
+"-" in: sky130A_mr.drc:496
+    Edges: 2296 (flat)  2296 (hierarchical)
+    Elapsed: 0.000s  Memory: 1069.00M
+"edges" in: sky130A_mr.drc:497
+    Edges: 64 (flat)  64 (hierarchical)
+    Elapsed: 0.010s  Memory: 1069.00M
+"merged" in: sky130A_mr.drc:497
+    Polygons (raw): 550 (flat)  550 (hierarchical)
+    Elapsed: 0.010s  Memory: 1069.00M
+"outside_part" in: sky130A_mr.drc:497
+    Edges: 65 (flat)  65 (hierarchical)
+    Elapsed: 0.000s  Memory: 1069.00M
+"not" in: sky130A_mr.drc:498
+    Polygons (raw): 2570 (flat)  2570 (hierarchical)
+    Elapsed: 0.010s  Memory: 1069.00M
+"space" in: sky130A_mr.drc:500
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 1069.00M
+"output" in: sky130A_mr.drc:500
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 1069.00M
+"separation" in: sky130A_mr.drc:502
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 1069.00M
+"space" in: sky130A_mr.drc:502
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 1069.00M
+"+" in: sky130A_mr.drc:502
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 1069.00M
+"output" in: sky130A_mr.drc:502
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 1069.00M
+"with_area" in: sky130A_mr.drc:504
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 1069.00M
+"output" in: sky130A_mr.drc:504
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 1069.00M
+"holes" in: sky130A_mr.drc:505
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 1069.00M
+"with_area" in: sky130A_mr.drc:505
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 1069.00M
+"output" in: sky130A_mr.drc:505
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 1069.00M
+"enclosing" in: sky130A_mr.drc:510
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.020s  Memory: 1069.00M
+"output" in: sky130A_mr.drc:510
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 1069.00M
+"not" in: sky130A_mr.drc:511
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 1069.00M
+"output" in: sky130A_mr.drc:511
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 1069.00M
+"enclosing" in: sky130A_mr.drc:512
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.020s  Memory: 1069.00M
+"second_edges" in: sky130A_mr.drc:512
+    Edges: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 1069.00M
+"width" in: sky130A_mr.drc:513
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 1069.00M
+"polygons" in: sky130A_mr.drc:514
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 1069.00M
+"interacting" in: sky130A_mr.drc:514
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 1069.00M
+"output" in: sky130A_mr.drc:515
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 1069.00M
+END: 69/20 (m2)
+START: 69/44 (via2)
+"not" in: sky130A_mr.drc:530
+    Polygons (raw): 1761 (flat)  1761 (hierarchical)
+    Elapsed: 0.000s  Memory: 1069.00M
+"non_rectangles" in: sky130A_mr.drc:531
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.020s  Memory: 1069.00M
+"output" in: sky130A_mr.drc:531
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 1069.00M
+"width" in: sky130A_mr.drc:532
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 1069.00M
+"output" in: sky130A_mr.drc:532
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 1069.00M
+"edges" in: sky130A_mr.drc:533
+    Edges: 7044 (flat)  7044 (hierarchical)
+    Elapsed: 0.010s  Memory: 1069.00M
+"without_length" in: sky130A_mr.drc:533
+    Edges: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.020s  Memory: 1071.00M
+"output" in: sky130A_mr.drc:533
+    Edges: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 1071.00M
+"space" in: sky130A_mr.drc:534
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.020s  Memory: 1071.00M
+"output" in: sky130A_mr.drc:534
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 1071.00M
+"enclosing" in: sky130A_mr.drc:542
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.020s  Memory: 1071.00M
+"output" in: sky130A_mr.drc:542
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 1071.00M
+"not" in: sky130A_mr.drc:543
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.020s  Memory: 1071.00M
+"output" in: sky130A_mr.drc:543
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 1071.00M
+"enclosing" in: sky130A_mr.drc:545
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.020s  Memory: 1071.00M
+"second_edges" in: sky130A_mr.drc:545
+    Edges: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 1071.00M
+"width" in: sky130A_mr.drc:546
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 1071.00M
+"polygons" in: sky130A_mr.drc:547
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 1071.00M
+"interacting" in: sky130A_mr.drc:547
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 1071.00M
+"output" in: sky130A_mr.drc:548
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 1071.00M
+END: 69/44 (via2)
+START: 70/20 (m3)
+"width" in: sky130A_mr.drc:554
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 1071.00M
+"output" in: sky130A_mr.drc:554
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 1071.00M
+"sized" in: sky130A_mr.drc:556
+    Polygons (raw): 53 (flat)  53 (hierarchical)
+    Elapsed: 0.010s  Memory: 1071.00M
+"sized" in: sky130A_mr.drc:556
+    Polygons (raw): 53 (flat)  53 (hierarchical)
+    Elapsed: 0.000s  Memory: 1071.00M
+"snap" in: sky130A_mr.drc:556
+    Polygons (raw): 53 (flat)  53 (hierarchical)
+    Elapsed: 0.010s  Memory: 1071.00M
+"&" in: sky130A_mr.drc:556
+    Polygons (raw): 98 (flat)  98 (hierarchical)
+    Elapsed: 0.010s  Memory: 1071.00M
+"edges" in: sky130A_mr.drc:557
+    Edges: 1661 (flat)  1661 (hierarchical)
+    Elapsed: 0.010s  Memory: 1071.00M
+"-" in: sky130A_mr.drc:557
+    Edges: 883 (flat)  883 (hierarchical)
+    Elapsed: 0.010s  Memory: 1071.00M
+"edges" in: sky130A_mr.drc:558
+    Edges: 673 (flat)  673 (hierarchical)
+    Elapsed: 0.010s  Memory: 1071.00M
+"merged" in: sky130A_mr.drc:558
+    Polygons (raw): 228 (flat)  228 (hierarchical)
+    Elapsed: 0.010s  Memory: 1071.00M
+"outside_part" in: sky130A_mr.drc:558
+    Edges: 678 (flat)  678 (hierarchical)
+    Elapsed: 0.000s  Memory: 1071.00M
+"space" in: sky130A_mr.drc:560
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 1071.00M
+"output" in: sky130A_mr.drc:560
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 1071.00M
+"separation" in: sky130A_mr.drc:562
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 1071.00M
+"space" in: sky130A_mr.drc:562
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 1071.00M
+"+" in: sky130A_mr.drc:562
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 1071.00M
+"output" in: sky130A_mr.drc:562
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 1071.00M
+"enclosing" in: sky130A_mr.drc:567
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.020s  Memory: 1071.00M
+"output" in: sky130A_mr.drc:567
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 1071.00M
+"not" in: sky130A_mr.drc:568
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 1071.00M
+"output" in: sky130A_mr.drc:568
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 1071.00M
+END: 70/20 (m3)
+START: 70/44 (via3)
+"not" in: sky130A_mr.drc:582
+    Polygons (raw): 25800 (flat)  25800 (hierarchical)
+    Elapsed: 0.010s  Memory: 1071.00M
+"non_rectangles" in: sky130A_mr.drc:583
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.130s  Memory: 1078.00M
+"output" in: sky130A_mr.drc:583
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 1078.00M
+"width" in: sky130A_mr.drc:584
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.020s  Memory: 1078.00M
+"output" in: sky130A_mr.drc:584
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 1078.00M
+"edges" in: sky130A_mr.drc:585
+    Edges: 103200 (flat)  103200 (hierarchical)
+    Elapsed: 0.110s  Memory: 1078.00M
+"without_length" in: sky130A_mr.drc:585
+    Edges: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.250s  Memory: 1105.00M
+"output" in: sky130A_mr.drc:585
+    Edges: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 1105.00M
+"space" in: sky130A_mr.drc:587
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.190s  Memory: 1105.00M
+"output" in: sky130A_mr.drc:587
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 1105.00M
+"enclosing" in: sky130A_mr.drc:588
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.240s  Memory: 1105.00M
+"output" in: sky130A_mr.drc:588
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 1105.00M
+"not" in: sky130A_mr.drc:589
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.100s  Memory: 1105.00M
+"output" in: sky130A_mr.drc:589
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 1105.00M
+"enclosing" in: sky130A_mr.drc:591
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.230s  Memory: 1105.00M
+"second_edges" in: sky130A_mr.drc:591
+    Edges: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 1105.00M
+"width" in: sky130A_mr.drc:592
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 1105.00M
+"polygons" in: sky130A_mr.drc:593
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 1105.00M
+"interacting" in: sky130A_mr.drc:593
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 1105.00M
+"output" in: sky130A_mr.drc:594
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 1105.00M
+END: 70/44 (via3)
+START: 71/20 (m4)
+"width" in: sky130A_mr.drc:600
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 1105.00M
+"output" in: sky130A_mr.drc:600
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 1105.00M
+"sized" in: sky130A_mr.drc:602
+    Polygons (raw): 18 (flat)  18 (hierarchical)
+    Elapsed: 0.010s  Memory: 1105.00M
+"sized" in: sky130A_mr.drc:602
+    Polygons (raw): 18 (flat)  18 (hierarchical)
+    Elapsed: 0.000s  Memory: 1105.00M
+"snap" in: sky130A_mr.drc:602
+    Polygons (raw): 18 (flat)  18 (hierarchical)
+    Elapsed: 0.010s  Memory: 1105.00M
+"&" in: sky130A_mr.drc:602
+    Polygons (raw): 45 (flat)  45 (hierarchical)
+    Elapsed: 0.010s  Memory: 1105.00M
+"edges" in: sky130A_mr.drc:603
+    Edges: 565 (flat)  565 (hierarchical)
+    Elapsed: 0.010s  Memory: 1105.00M
+"-" in: sky130A_mr.drc:603
+    Edges: 113 (flat)  113 (hierarchical)
+    Elapsed: 0.010s  Memory: 1105.00M
+"edges" in: sky130A_mr.drc:604
+    Edges: 362 (flat)  362 (hierarchical)
+    Elapsed: 0.010s  Memory: 1105.00M
+"merged" in: sky130A_mr.drc:604
+    Polygons (raw): 29 (flat)  29 (hierarchical)
+    Elapsed: 0.000s  Memory: 1105.00M
+"outside_part" in: sky130A_mr.drc:604
+    Edges: 367 (flat)  367 (hierarchical)
+    Elapsed: 0.010s  Memory: 1105.00M
+"space" in: sky130A_mr.drc:606
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 1105.00M
+"output" in: sky130A_mr.drc:606
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 1105.00M
+"with_area" in: sky130A_mr.drc:608
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 1105.00M
+"output" in: sky130A_mr.drc:608
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 1105.00M
+"separation" in: sky130A_mr.drc:610
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 1105.00M
+"space" in: sky130A_mr.drc:610
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 1105.00M
+"+" in: sky130A_mr.drc:610
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 1105.00M
+"output" in: sky130A_mr.drc:610
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 1105.00M
+"enclosing" in: sky130A_mr.drc:615
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.190s  Memory: 1105.00M
+"output" in: sky130A_mr.drc:615
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 1105.00M
+"not" in: sky130A_mr.drc:616
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.060s  Memory: 1105.00M
+"output" in: sky130A_mr.drc:616
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 1105.00M
+END: 71/20 (m4)
+START: 71/44 (via4)
+"not" in: sky130A_mr.drc:629
+    Polygons (raw): 552 (flat)  552 (hierarchical)
+    Elapsed: 0.010s  Memory: 1105.00M
+"non_rectangles" in: sky130A_mr.drc:630
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 1105.00M
+"output" in: sky130A_mr.drc:630
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 1105.00M
+"width" in: sky130A_mr.drc:631
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 1105.00M
+"output" in: sky130A_mr.drc:631
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 1105.00M
+"drc" in: sky130A_mr.drc:632
+    Edges: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 1105.00M
+"output" in: sky130A_mr.drc:632
+    Edges: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 1105.00M
+"space" in: sky130A_mr.drc:634
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 1105.00M
+"polygons" in: sky130A_mr.drc:634
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 1105.00M
+"output" in: sky130A_mr.drc:634
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 1105.00M
+"enclosing" in: sky130A_mr.drc:642
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 1105.00M
+"output" in: sky130A_mr.drc:642
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 1105.00M
+"not" in: sky130A_mr.drc:643
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 1105.00M
+"output" in: sky130A_mr.drc:643
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 1105.00M
+END: 71/44 (via4)
+START: 72/20 (m5)
+"width" in: sky130A_mr.drc:648
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 1105.00M
+"output" in: sky130A_mr.drc:648
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 1105.00M
+"space" in: sky130A_mr.drc:650
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 1105.00M
+"output" in: sky130A_mr.drc:650
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 1105.00M
+"enclosing" in: sky130A_mr.drc:652
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 1105.00M
+"output" in: sky130A_mr.drc:652
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 1105.00M
+"not" in: sky130A_mr.drc:653
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 1105.00M
+"output" in: sky130A_mr.drc:653
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 1105.00M
+"with_area" in: sky130A_mr.drc:657
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 1105.00M
+"output" in: sky130A_mr.drc:657
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 1105.00M
+END: 72/20 (m5)
+START: 76/20 (pad)
+"space" in: sky130A_mr.drc:662
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 1105.00M
+"output" in: sky130A_mr.drc:662
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 1105.00M
+END: 76/20 (pad)
+Writing report database: /home/krishna/Comparator_MPW6/precheck_results/01_JUN_2022___10_22_16/outputs/reports/klayout_beol_check.xml ..
+Total elapsed: 3.850s  Memory: 1105.00M
diff --git a/precheck_results/01_JUN_2022___10_22_16/logs/klayout_beol_check.total b/precheck_results/01_JUN_2022___10_22_16/logs/klayout_beol_check.total
new file mode 100755
index 0000000..c227083
--- /dev/null
+++ b/precheck_results/01_JUN_2022___10_22_16/logs/klayout_beol_check.total
@@ -0,0 +1 @@
+0
\ No newline at end of file
diff --git a/precheck_results/01_JUN_2022___10_22_16/logs/klayout_feol_check.log b/precheck_results/01_JUN_2022___10_22_16/logs/klayout_feol_check.log
new file mode 100755
index 0000000..b7bbd17
--- /dev/null
+++ b/precheck_results/01_JUN_2022___10_22_16/logs/klayout_feol_check.log
@@ -0,0 +1,789 @@
+/home/krishna/mpw_precheckmpw6/checks/tech-files/sky130A_mr.drc:36: warning: already initialized constant DRC::DRCEngine::FEOL
+/home/krishna/mpw_precheckmpw6/checks/tech-files/sky130A_mr.drc:28: warning: previous definition of FEOL was here
+/home/krishna/mpw_precheckmpw6/checks/tech-files/sky130A_mr.drc:44: warning: already initialized constant DRC::DRCEngine::BEOL
+/home/krishna/mpw_precheckmpw6/checks/tech-files/sky130A_mr.drc:29: warning: previous definition of BEOL was here
+/home/krishna/mpw_precheckmpw6/checks/tech-files/sky130A_mr.drc:50: warning: already initialized constant DRC::DRCEngine::OFFGRID
+/home/krishna/mpw_precheckmpw6/checks/tech-files/sky130A_mr.drc:30: warning: previous definition of OFFGRID was here
+/home/krishna/mpw_precheckmpw6/checks/tech-files/sky130A_mr.drc:56: warning: already initialized constant DRC::DRCEngine::SEAL
+/home/krishna/mpw_precheckmpw6/checks/tech-files/sky130A_mr.drc:31: warning: previous definition of SEAL was here
+/home/krishna/mpw_precheckmpw6/checks/tech-files/sky130A_mr.drc:62: warning: already initialized constant DRC::DRCEngine::FLOATING_MET
+/home/krishna/mpw_precheckmpw6/checks/tech-files/sky130A_mr.drc:32: warning: previous definition of FLOATING_MET was here
+"input" in: sky130A_mr.drc:112
+    Polygons (raw): 62 (flat)  25 (hierarchical)
+    Elapsed: 0.010s  Memory: 522.00M
+"polygons" in: sky130A_mr.drc:113
+    Polygons (raw): 38 (flat)  30 (hierarchical)
+    Elapsed: 0.010s  Memory: 522.00M
+"polygons" in: sky130A_mr.drc:114
+    Polygons (raw): 89 (flat)  69 (hierarchical)
+    Elapsed: 0.000s  Memory: 522.00M
+"polygons" in: sky130A_mr.drc:115
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 522.00M
+"polygons" in: sky130A_mr.drc:116
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 522.00M
+"polygons" in: sky130A_mr.drc:117
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 522.00M
+"polygons" in: sky130A_mr.drc:118
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 522.00M
+"polygons" in: sky130A_mr.drc:119
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 522.00M
+"polygons" in: sky130A_mr.drc:120
+    Polygons (raw): 10 (flat)  2 (hierarchical)
+    Elapsed: 0.000s  Memory: 522.00M
+"polygons" in: sky130A_mr.drc:121
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 522.00M
+"polygons" in: sky130A_mr.drc:122
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 522.00M
+"polygons" in: sky130A_mr.drc:123
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 522.00M
+"polygons" in: sky130A_mr.drc:124
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 522.00M
+"polygons" in: sky130A_mr.drc:125
+    Polygons (raw): 202 (flat)  116 (hierarchical)
+    Elapsed: 0.010s  Memory: 522.00M
+"polygons" in: sky130A_mr.drc:126
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 522.00M
+"polygons" in: sky130A_mr.drc:127
+    Polygons (raw): 62 (flat)  43 (hierarchical)
+    Elapsed: 0.010s  Memory: 522.00M
+"polygons" in: sky130A_mr.drc:128
+    Polygons (raw): 52 (flat)  26 (hierarchical)
+    Elapsed: 0.000s  Memory: 522.00M
+"polygons" in: sky130A_mr.drc:129
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 522.00M
+"polygons" in: sky130A_mr.drc:130
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 522.00M
+"polygons" in: sky130A_mr.drc:131
+    Polygons (raw): 33 (flat)  24 (hierarchical)
+    Elapsed: 0.010s  Memory: 522.00M
+"polygons" in: sky130A_mr.drc:132
+    Polygons (raw): 907 (flat)  291 (hierarchical)
+    Elapsed: 0.000s  Memory: 522.00M
+"polygons" in: sky130A_mr.drc:134
+    Polygons (raw): 566 (flat)  394 (hierarchical)
+    Elapsed: 0.010s  Memory: 522.00M
+"polygons" in: sky130A_mr.drc:135
+    Polygons (raw): 1756 (flat)  1480 (hierarchical)
+    Elapsed: 0.000s  Memory: 522.00M
+"polygons" in: sky130A_mr.drc:137
+    Polygons (raw): 349 (flat)  299 (hierarchical)
+    Elapsed: 0.010s  Memory: 522.00M
+"polygons" in: sky130A_mr.drc:138
+    Polygons (raw): 2570 (flat)  2570 (hierarchical)
+    Elapsed: 0.000s  Memory: 522.00M
+"polygons" in: sky130A_mr.drc:140
+    Polygons (raw): 603 (flat)  603 (hierarchical)
+    Elapsed: 0.010s  Memory: 522.00M
+"polygons" in: sky130A_mr.drc:141
+    Polygons (raw): 1761 (flat)  1761 (hierarchical)
+    Elapsed: 0.000s  Memory: 522.00M
+"polygons" in: sky130A_mr.drc:143
+    Polygons (raw): 459 (flat)  459 (hierarchical)
+    Elapsed: 0.010s  Memory: 522.00M
+"polygons" in: sky130A_mr.drc:144
+    Polygons (raw): 25800 (flat)  25800 (hierarchical)
+    Elapsed: 0.010s  Memory: 523.00M
+"polygons" in: sky130A_mr.drc:146
+    Polygons (raw): 175 (flat)  175 (hierarchical)
+    Elapsed: 0.000s  Memory: 523.00M
+"polygons" in: sky130A_mr.drc:147
+    Polygons (raw): 552 (flat)  552 (hierarchical)
+    Elapsed: 0.010s  Memory: 523.00M
+"polygons" in: sky130A_mr.drc:149
+    Polygons (raw): 151 (flat)  151 (hierarchical)
+    Elapsed: 0.000s  Memory: 523.00M
+"polygons" in: sky130A_mr.drc:151
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 523.00M
+"polygons" in: sky130A_mr.drc:152
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 523.00M
+"polygons" in: sky130A_mr.drc:153
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 523.00M
+"polygons" in: sky130A_mr.drc:154
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 523.00M
+"polygons" in: sky130A_mr.drc:155
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 523.00M
+"polygons" in: sky130A_mr.drc:156
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 523.00M
+"polygons" in: sky130A_mr.drc:157
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 523.00M
+"polygons" in: sky130A_mr.drc:158
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 523.00M
+"polygons" in: sky130A_mr.drc:159
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 523.00M
+"polygons" in: sky130A_mr.drc:160
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 523.00M
+"polygons" in: sky130A_mr.drc:161
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 523.00M
+"polygons" in: sky130A_mr.drc:162
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 523.00M
+"polygons" in: sky130A_mr.drc:163
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 523.00M
+"polygons" in: sky130A_mr.drc:164
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 523.00M
+"polygons" in: sky130A_mr.drc:165
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 523.00M
+"polygons" in: sky130A_mr.drc:166
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 523.00M
+"polygons" in: sky130A_mr.drc:167
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 523.00M
+"polygons" in: sky130A_mr.drc:168
+    Polygons (raw): 10 (flat)  2 (hierarchical)
+    Elapsed: 0.000s  Memory: 523.00M
+"polygons" in: sky130A_mr.drc:169
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 523.00M
+"polygons" in: sky130A_mr.drc:170
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 523.00M
+"polygons" in: sky130A_mr.drc:171
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 523.00M
+"polygons" in: sky130A_mr.drc:172
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 523.00M
+"polygons" in: sky130A_mr.drc:173
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 523.00M
+"polygons" in: sky130A_mr.drc:174
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 523.00M
+"polygons" in: sky130A_mr.drc:175
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 523.00M
+"polygons" in: sky130A_mr.drc:176
+    Polygons (raw): 1 (flat)  1 (hierarchical)
+    Elapsed: 0.000s  Memory: 523.00M
+"polygons" in: sky130A_mr.drc:177
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 523.00M
+"polygons" in: sky130A_mr.drc:178
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 523.00M
+"polygons" in: sky130A_mr.drc:179
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 523.00M
+"polygons" in: sky130A_mr.drc:180
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 523.00M
+"polygons" in: sky130A_mr.drc:181
+    Polygons (raw): 4 (flat)  3 (hierarchical)
+    Elapsed: 0.010s  Memory: 523.00M
+"polygons" in: sky130A_mr.drc:182
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 523.00M
+"polygons" in: sky130A_mr.drc:183
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 523.00M
+"polygons" in: sky130A_mr.drc:184
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 523.00M
+"polygons" in: sky130A_mr.drc:185
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 523.00M
+"polygons" in: sky130A_mr.drc:186
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 523.00M
+"polygons" in: sky130A_mr.drc:187
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 523.00M
+"polygons" in: sky130A_mr.drc:188
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 523.00M
+"polygons" in: sky130A_mr.drc:189
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 523.00M
+"polygons" in: sky130A_mr.drc:190
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 523.00M
+"polygons" in: sky130A_mr.drc:191
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 523.00M
+"polygons" in: sky130A_mr.drc:192
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 523.00M
+"polygons" in: sky130A_mr.drc:193
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 523.00M
+"polygons" in: sky130A_mr.drc:194
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 523.00M
+"polygons" in: sky130A_mr.drc:195
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 523.00M
+"polygons" in: sky130A_mr.drc:196
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 523.00M
+"polygons" in: sky130A_mr.drc:197
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 523.00M
+"polygons" in: sky130A_mr.drc:198
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 523.00M
+"polygons" in: sky130A_mr.drc:199
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 523.00M
+"polygons" in: sky130A_mr.drc:200
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 523.00M
+"polygons" in: sky130A_mr.drc:201
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 523.00M
+"polygons" in: sky130A_mr.drc:202
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 523.00M
+"polygons" in: sky130A_mr.drc:203
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 523.00M
+DRC section
+FEOL section
+START: 64/18 (dnwell)
+"width" in: sky130A_mr.drc:229
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 523.00M
+"output" in: sky130A_mr.drc:229
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 523.00M
+END: 64/18 (dnwell)
+"input" in: sky130A_mr.drc:233
+    Polygons (raw): 62 (flat)  43 (hierarchical)
+    Elapsed: 0.010s  Memory: 523.00M
+"input" in: sky130A_mr.drc:234
+    Polygons (raw): 52 (flat)  26 (hierarchical)
+    Elapsed: 0.000s  Memory: 523.00M
+"input" in: sky130A_mr.drc:235
+    Polygons (raw): 89 (flat)  69 (hierarchical)
+    Elapsed: 0.010s  Memory: 523.00M
+START: 64/20 (nwell)
+"width" in: sky130A_mr.drc:239
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 523.00M
+"output" in: sky130A_mr.drc:239
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 523.00M
+"space" in: sky130A_mr.drc:240
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 1067.00M
+"output" in: sky130A_mr.drc:240
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 1067.00M
+"merge" in: sky130A_mr.drc:241
+    Polygons (raw): 15 (flat)  14 (hierarchical)
+    Elapsed: 0.010s  Memory: 1067.00M
+"holes" in: sky130A_mr.drc:242
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 1067.00M
+"enclosing" in: sky130A_mr.drc:242
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 1067.00M
+"output" in: sky130A_mr.drc:242
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 1067.00M
+END: 64/20 (nwell)
+START: 78/44 (hvtp)
+"width" in: sky130A_mr.drc:247
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 1067.00M
+"output" in: sky130A_mr.drc:247
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 1067.00M
+"space" in: sky130A_mr.drc:248
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 1067.00M
+"output" in: sky130A_mr.drc:248
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 1067.00M
+END: 78/44 (hvtp)
+START: 18/20 (htvr)
+"width" in: sky130A_mr.drc:253
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 1067.00M
+"output" in: sky130A_mr.drc:253
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 1067.00M
+"separation" in: sky130A_mr.drc:254
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 1067.00M
+"output" in: sky130A_mr.drc:254
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 1067.00M
+"and" in: sky130A_mr.drc:255
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 1067.00M
+"output" in: sky130A_mr.drc:255
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 1067.00M
+END: 18/20 (htvr)
+START: 25/44 (lvtn)
+"width" in: sky130A_mr.drc:260
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 1067.00M
+"output" in: sky130A_mr.drc:260
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 1067.00M
+"space" in: sky130A_mr.drc:261
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 1067.00M
+"output" in: sky130A_mr.drc:261
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 1067.00M
+END: 25/44 (lvtn)
+START: 92/44 (ncm)
+"width" in: sky130A_mr.drc:266
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 1067.00M
+"output" in: sky130A_mr.drc:266
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 1067.00M
+"space" in: sky130A_mr.drc:267
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 1067.00M
+"output" in: sky130A_mr.drc:267
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 1067.00M
+END: 92/44 (ncm)
+START: 65/20 (diff)
+"or" in: sky130A_mr.drc:272
+    Polygons (raw): 68 (flat)  35 (hierarchical)
+    Elapsed: 0.000s  Memory: 1067.00M
+"rectangles" in: sky130A_mr.drc:273
+    Polygons (raw): 31 (flat)  11 (hierarchical)
+    Elapsed: 0.010s  Memory: 1067.00M
+"width" in: sky130A_mr.drc:273
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 1067.00M
+"polygons" in: sky130A_mr.drc:273
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 1067.00M
+"edges" in: sky130A_mr.drc:274
+    Edges: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 1067.00M
+"outside_part" in: sky130A_mr.drc:274
+    Edges: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 1067.00M
+"outside" in: sky130A_mr.drc:274
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 1067.00M
+"edges" in: sky130A_mr.drc:274
+    Edges: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 1067.00M
+"not" in: sky130A_mr.drc:274
+    Edges: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 1067.00M
+"output" in: sky130A_mr.drc:275
+    Edges: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 1067.00M
+"outside" in: sky130A_mr.drc:276
+    Polygons (raw): 48 (flat)  17 (hierarchical)
+    Elapsed: 0.010s  Memory: 1067.00M
+"width" in: sky130A_mr.drc:276
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 1067.00M
+"output" in: sky130A_mr.drc:276
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 1067.00M
+END: 65/20 (diff)
+START: 65/44 (tap)
+"rectangles" in: sky130A_mr.drc:280
+    Polygons (raw): 14 (flat)  14 (hierarchical)
+    Elapsed: 0.010s  Memory: 1067.00M
+"width" in: sky130A_mr.drc:280
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 1067.00M
+"polygons" in: sky130A_mr.drc:280
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 1067.00M
+"edges" in: sky130A_mr.drc:281
+    Edges: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 1067.00M
+"outside_part" in: sky130A_mr.drc:281
+    Edges: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 1067.00M
+"outside" in: sky130A_mr.drc:281
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 1083.00M
+"edges" in: sky130A_mr.drc:281
+    Edges: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 1067.00M
+"not" in: sky130A_mr.drc:281
+    Edges: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 1067.00M
+"output" in: sky130A_mr.drc:282
+    Edges: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 1067.00M
+"not" in: sky130A_mr.drc:283
+    Polygons (raw): 38 (flat)  30 (hierarchical)
+    Elapsed: 0.010s  Memory: 1067.00M
+"width" in: sky130A_mr.drc:283
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 1067.00M
+"output" in: sky130A_mr.drc:283
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 1067.00M
+END: 65/44 (tap)
+"space" in: sky130A_mr.drc:286
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 1067.00M
+"output" in: sky130A_mr.drc:286
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 1067.00M
+START: 80/20 (tunm)
+"width" in: sky130A_mr.drc:290
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 1067.00M
+"output" in: sky130A_mr.drc:290
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 1067.00M
+"space" in: sky130A_mr.drc:291
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 1067.00M
+"output" in: sky130A_mr.drc:291
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 1067.00M
+END: 80/20 (tunm)
+START: 66/20 (poly)
+"width" in: sky130A_mr.drc:296
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 1067.00M
+"output" in: sky130A_mr.drc:296
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 1067.00M
+"not" in: sky130A_mr.drc:297
+    Polygons (raw): 202 (flat)  116 (hierarchical)
+    Elapsed: 0.000s  Memory: 1067.00M
+"space" in: sky130A_mr.drc:297
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 1067.00M
+"output" in: sky130A_mr.drc:297
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 1067.00M
+START: 86/20 (rpm)
+"width" in: sky130A_mr.drc:302
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 1067.00M
+"output" in: sky130A_mr.drc:302
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 1067.00M
+"space" in: sky130A_mr.drc:303
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 1067.00M
+"output" in: sky130A_mr.drc:303
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 1067.00M
+END: 86/20 (rpm)
+START: 79/20 (urpm)
+"width" in: sky130A_mr.drc:308
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 1067.00M
+"output" in: sky130A_mr.drc:308
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 1067.00M
+"space" in: sky130A_mr.drc:309
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 1067.00M
+"output" in: sky130A_mr.drc:309
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 1067.00M
+END: 79/20 (urpm)
+START: 95/20 (npc)
+"width" in: sky130A_mr.drc:314
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 1067.00M
+"output" in: sky130A_mr.drc:314
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 1067.00M
+"space" in: sky130A_mr.drc:315
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 1067.00M
+"output" in: sky130A_mr.drc:315
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 1067.00M
+END: 95/20 (npc)
+START: 93/44 (nsdm)
+"outside" in: sky130A_mr.drc:320
+    Polygons (raw): 34 (flat)  22 (hierarchical)
+    Elapsed: 0.010s  Memory: 1067.00M
+"width" in: sky130A_mr.drc:320
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 1067.00M
+"output" in: sky130A_mr.drc:320
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 1067.00M
+"not" in: sky130A_mr.drc:321
+    Polygons (raw): 62 (flat)  43 (hierarchical)
+    Elapsed: 0.000s  Memory: 1067.00M
+"space" in: sky130A_mr.drc:321
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 1067.00M
+"output" in: sky130A_mr.drc:321
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 1067.00M
+END: 93/44 (nsdm)
+START: 94/20 (psdm)
+"outside" in: sky130A_mr.drc:326
+    Polygons (raw): 28 (flat)  16 (hierarchical)
+    Elapsed: 0.010s  Memory: 1067.00M
+"width" in: sky130A_mr.drc:326
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 1067.00M
+"output" in: sky130A_mr.drc:326
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 1067.00M
+"not" in: sky130A_mr.drc:327
+    Polygons (raw): 52 (flat)  26 (hierarchical)
+    Elapsed: 0.010s  Memory: 1067.00M
+"space" in: sky130A_mr.drc:327
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 1067.00M
+"output" in: sky130A_mr.drc:327
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 1067.00M
+END: 94/20 (psdm)
+START: 66/44 (licon)
+"not" in: sky130A_mr.drc:338
+    Polygons (raw): 359 (flat)  106 (hierarchical)
+    Elapsed: 0.010s  Memory: 1067.00M
+"and" in: sky130A_mr.drc:339
+    Polygons (raw): 743 (flat)  236 (hierarchical)
+    Elapsed: 0.000s  Memory: 1067.00M
+"interacting" in: sky130A_mr.drc:339
+    Polygons (raw): 743 (flat)  236 (hierarchical)
+    Elapsed: 0.010s  Memory: 1067.00M
+"not" in: sky130A_mr.drc:340
+    Polygons (raw): 743 (flat)  236 (hierarchical)
+    Elapsed: 0.000s  Memory: 1067.00M
+"non_rectangles" in: sky130A_mr.drc:341
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 1067.00M
+"output" in: sky130A_mr.drc:341
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 1067.00M
+"or" in: sky130A_mr.drc:342
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 1067.00M
+"not" in: sky130A_mr.drc:342
+    Polygons (raw): 907 (flat)  291 (hierarchical)
+    Elapsed: 0.000s  Memory: 1067.00M
+"edges" in: sky130A_mr.drc:342
+    Edges: 3628 (flat)  1164 (hierarchical)
+    Elapsed: 0.010s  Memory: 1067.00M
+"without_length" in: sky130A_mr.drc:342
+    Edges: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 1067.00M
+"output" in: sky130A_mr.drc:342
+    Edges: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 1067.00M
+"separation" in: sky130A_mr.drc:343
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 1067.00M
+"output" in: sky130A_mr.drc:343
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 1067.00M
+"and" in: sky130A_mr.drc:344
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 1067.00M
+"output" in: sky130A_mr.drc:344
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 1067.00M
+"interacting" in: sky130A_mr.drc:345
+    Polygons (raw): 164 (flat)  55 (hierarchical)
+    Elapsed: 0.010s  Memory: 1067.00M
+"interacting" in: sky130A_mr.drc:345
+    Polygons (raw): 743 (flat)  236 (hierarchical)
+    Elapsed: 0.010s  Memory: 1067.00M
+"and" in: sky130A_mr.drc:345
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 1067.00M
+"output" in: sky130A_mr.drc:345
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 1067.00M
+END: 66/44 (licon)
+START: 89/44 (capm)
+"and" in: sky130A_mr.drc:350
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 1067.00M
+"sized" in: sky130A_mr.drc:350
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 1067.00M
+"width" in: sky130A_mr.drc:351
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 1067.00M
+"output" in: sky130A_mr.drc:351
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 1067.00M
+"space" in: sky130A_mr.drc:352
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 1067.00M
+"output" in: sky130A_mr.drc:352
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 1067.00M
+"interacting" in: sky130A_mr.drc:353
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 1067.00M
+"isolated" in: sky130A_mr.drc:353
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 1067.00M
+"output" in: sky130A_mr.drc:353
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 1067.00M
+"isolated" in: sky130A_mr.drc:354
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 1067.00M
+"output" in: sky130A_mr.drc:354
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 1067.00M
+"and" in: sky130A_mr.drc:355
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 1067.00M
+"enclosing" in: sky130A_mr.drc:355
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 1067.00M
+"output" in: sky130A_mr.drc:355
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 1067.00M
+"enclosing" in: sky130A_mr.drc:356
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 1067.00M
+"output" in: sky130A_mr.drc:356
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 1067.00M
+"enclosing" in: sky130A_mr.drc:357
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 1067.00M
+"output" in: sky130A_mr.drc:357
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 1067.00M
+"separation" in: sky130A_mr.drc:358
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 1067.00M
+"output" in: sky130A_mr.drc:358
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 1067.00M
+END: 89/44 (capm)
+START: 97/44 (cap2m)
+"and" in: sky130A_mr.drc:363
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 1067.00M
+"sized" in: sky130A_mr.drc:363
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 1067.00M
+"width" in: sky130A_mr.drc:364
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 1067.00M
+"output" in: sky130A_mr.drc:364
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 1067.00M
+"space" in: sky130A_mr.drc:365
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 1067.00M
+"output" in: sky130A_mr.drc:365
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 1067.00M
+"interacting" in: sky130A_mr.drc:366
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 1067.00M
+"isolated" in: sky130A_mr.drc:366
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 1067.00M
+"output" in: sky130A_mr.drc:366
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 1067.00M
+"isolated" in: sky130A_mr.drc:368
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.020s  Memory: 1067.00M
+"output" in: sky130A_mr.drc:368
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 1067.00M
+"and" in: sky130A_mr.drc:369
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 1067.00M
+"enclosing" in: sky130A_mr.drc:369
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 1067.00M
+"output" in: sky130A_mr.drc:369
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 1067.00M
+"enclosing" in: sky130A_mr.drc:370
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 1067.00M
+"output" in: sky130A_mr.drc:370
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 1067.00M
+"enclosing" in: sky130A_mr.drc:371
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 1067.00M
+"output" in: sky130A_mr.drc:371
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 1067.00M
+"separation" in: sky130A_mr.drc:372
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 1067.00M
+"output" in: sky130A_mr.drc:372
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 1067.00M
+END: 97/44 (cap2m)
+FEOL section
+START: 75/20 (hvi)
+"not" in: sky130A_mr.drc:672
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 1067.00M
+"width" in: sky130A_mr.drc:673
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 1067.00M
+"output" in: sky130A_mr.drc:673
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 1067.00M
+"space" in: sky130A_mr.drc:674
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 1067.00M
+"output" in: sky130A_mr.drc:674
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 1067.00M
+END: 75/20 (hvi)
+START: 125/20 (hvntm)
+"not" in: sky130A_mr.drc:679
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 1067.00M
+"width" in: sky130A_mr.drc:680
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 1067.00M
+"output" in: sky130A_mr.drc:680
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 1067.00M
+"space" in: sky130A_mr.drc:681
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 1067.00M
+"output" in: sky130A_mr.drc:681
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 1067.00M
+END: 125/20 (hvntm)
+Writing report database: /home/krishna/Comparator_MPW6/precheck_results/01_JUN_2022___10_22_16/outputs/reports/klayout_feol_check.xml ..
+Total elapsed: 1.410s  Memory: 1067.00M
diff --git a/precheck_results/01_JUN_2022___10_22_16/logs/klayout_feol_check.total b/precheck_results/01_JUN_2022___10_22_16/logs/klayout_feol_check.total
new file mode 100755
index 0000000..c227083
--- /dev/null
+++ b/precheck_results/01_JUN_2022___10_22_16/logs/klayout_feol_check.total
@@ -0,0 +1 @@
+0
\ No newline at end of file
diff --git a/precheck_results/01_JUN_2022___10_22_16/logs/klayout_met_min_ca_density_check.log b/precheck_results/01_JUN_2022___10_22_16/logs/klayout_met_min_ca_density_check.log
new file mode 100755
index 0000000..f8b2ce7
--- /dev/null
+++ b/precheck_results/01_JUN_2022___10_22_16/logs/klayout_met_min_ca_density_check.log
@@ -0,0 +1,79 @@
+"polygons" in: met_min_ca_density.lydrc:35
+    Polygons (raw): 616 (flat)  404 (hierarchical)
+    Elapsed: 0.010s  Memory: 522.00M
+"polygons" in: met_min_ca_density.lydrc:36
+    Polygons (raw): 1756 (flat)  1480 (hierarchical)
+    Elapsed: 0.000s  Memory: 522.00M
+"polygons" in: met_min_ca_density.lydrc:38
+    Polygons (raw): 369 (flat)  303 (hierarchical)
+    Elapsed: 0.010s  Memory: 522.00M
+"polygons" in: met_min_ca_density.lydrc:39
+    Polygons (raw): 2570 (flat)  2570 (hierarchical)
+    Elapsed: 0.000s  Memory: 522.00M
+"polygons" in: met_min_ca_density.lydrc:41
+    Polygons (raw): 1097 (flat)  1097 (hierarchical)
+    Elapsed: 0.010s  Memory: 522.00M
+"polygons" in: met_min_ca_density.lydrc:42
+    Polygons (raw): 1761 (flat)  1761 (hierarchical)
+    Elapsed: 0.000s  Memory: 522.00M
+"polygons" in: met_min_ca_density.lydrc:44
+    Polygons (raw): 643 (flat)  643 (hierarchical)
+    Elapsed: 0.010s  Memory: 522.00M
+"polygons" in: met_min_ca_density.lydrc:45
+    Polygons (raw): 25800 (flat)  25800 (hierarchical)
+    Elapsed: 0.010s  Memory: 523.00M
+"polygons" in: met_min_ca_density.lydrc:47
+    Polygons (raw): 179 (flat)  179 (hierarchical)
+    Elapsed: 0.010s  Memory: 523.00M
+"polygons" in: met_min_ca_density.lydrc:48
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 523.00M
+"polygons" in: met_min_ca_density.lydrc:49
+    Polygons (raw): 552 (flat)  552 (hierarchical)
+    Elapsed: 0.010s  Memory: 523.00M
+"polygons" in: met_min_ca_density.lydrc:51
+    Polygons (raw): 157 (flat)  157 (hierarchical)
+    Elapsed: 0.000s  Memory: 523.00M
+"input" in: met_min_ca_density.lydrc:53
+    Polygons (raw): 5 (flat)  4 (hierarchical)
+    Elapsed: 0.010s  Memory: 523.00M
+"area" in: met_min_ca_density.lydrc:55
+    Elapsed: 0.000s  Memory: 523.00M
+"polygons" in: met_min_ca_density.lydrc:59
+    Polygons (raw): 616 (flat)  404 (hierarchical)
+    Elapsed: 0.010s  Memory: 523.00M
+"area" in: met_min_ca_density.lydrc:59
+    Elapsed: 0.010s  Memory: 523.00M
+li1_ca_density is 0.9998144907840715
+"polygons" in: met_min_ca_density.lydrc:69
+    Polygons (raw): 369 (flat)  303 (hierarchical)
+    Elapsed: 0.000s  Memory: 523.00M
+"area" in: met_min_ca_density.lydrc:69
+    Elapsed: 0.010s  Memory: 523.00M
+m1_ca_density is 0.9997226470316392
+"polygons" in: met_min_ca_density.lydrc:79
+    Polygons (raw): 1097 (flat)  1097 (hierarchical)
+    Elapsed: 0.010s  Memory: 523.00M
+"area" in: met_min_ca_density.lydrc:79
+    Elapsed: 0.010s  Memory: 523.00M
+m2_ca_density is 0.999675358061566
+"polygons" in: met_min_ca_density.lydrc:89
+    Polygons (raw): 643 (flat)  643 (hierarchical)
+    Elapsed: 0.000s  Memory: 523.00M
+"area" in: met_min_ca_density.lydrc:89
+    Elapsed: 0.010s  Memory: 523.00M
+m3_ca_density is 0.9841049439747431
+"polygons" in: met_min_ca_density.lydrc:99
+    Polygons (raw): 179 (flat)  179 (hierarchical)
+    Elapsed: 0.010s  Memory: 523.00M
+"area" in: met_min_ca_density.lydrc:99
+    Elapsed: 0.000s  Memory: 523.00M
+m4_ca_density is 0.9788664518310243
+"polygons" in: met_min_ca_density.lydrc:109
+    Polygons (raw): 157 (flat)  157 (hierarchical)
+    Elapsed: 0.010s  Memory: 523.00M
+"area" in: met_min_ca_density.lydrc:109
+    Elapsed: 0.000s  Memory: 523.00M
+m5_ca_density is 0.9695939883250312
+Writing report database: /home/krishna/Comparator_MPW6/precheck_results/01_JUN_2022___10_22_16/outputs/reports/klayout_met_min_ca_density_check.xml ..
+Total elapsed: 0.170s  Memory: 522.00M
diff --git a/precheck_results/01_JUN_2022___10_22_16/logs/klayout_met_min_ca_density_check.total b/precheck_results/01_JUN_2022___10_22_16/logs/klayout_met_min_ca_density_check.total
new file mode 100755
index 0000000..c227083
--- /dev/null
+++ b/precheck_results/01_JUN_2022___10_22_16/logs/klayout_met_min_ca_density_check.total
@@ -0,0 +1 @@
+0
\ No newline at end of file
diff --git a/precheck_results/01_JUN_2022___10_22_16/logs/klayout_offgrid_check.log b/precheck_results/01_JUN_2022___10_22_16/logs/klayout_offgrid_check.log
new file mode 100755
index 0000000..ca00c27
--- /dev/null
+++ b/precheck_results/01_JUN_2022___10_22_16/logs/klayout_offgrid_check.log
@@ -0,0 +1,755 @@
+/home/krishna/mpw_precheckmpw6/checks/tech-files/sky130A_mr.drc:38: warning: already initialized constant DRC::DRCEngine::FEOL
+/home/krishna/mpw_precheckmpw6/checks/tech-files/sky130A_mr.drc:28: warning: previous definition of FEOL was here
+/home/krishna/mpw_precheckmpw6/checks/tech-files/sky130A_mr.drc:44: warning: already initialized constant DRC::DRCEngine::BEOL
+/home/krishna/mpw_precheckmpw6/checks/tech-files/sky130A_mr.drc:29: warning: previous definition of BEOL was here
+/home/krishna/mpw_precheckmpw6/checks/tech-files/sky130A_mr.drc:48: warning: already initialized constant DRC::DRCEngine::OFFGRID
+/home/krishna/mpw_precheckmpw6/checks/tech-files/sky130A_mr.drc:30: warning: previous definition of OFFGRID was here
+/home/krishna/mpw_precheckmpw6/checks/tech-files/sky130A_mr.drc:56: warning: already initialized constant DRC::DRCEngine::SEAL
+/home/krishna/mpw_precheckmpw6/checks/tech-files/sky130A_mr.drc:31: warning: previous definition of SEAL was here
+/home/krishna/mpw_precheckmpw6/checks/tech-files/sky130A_mr.drc:62: warning: already initialized constant DRC::DRCEngine::FLOATING_MET
+/home/krishna/mpw_precheckmpw6/checks/tech-files/sky130A_mr.drc:32: warning: previous definition of FLOATING_MET was here
+"input" in: sky130A_mr.drc:112
+    Polygons (raw): 62 (flat)  25 (hierarchical)
+    Elapsed: 0.010s  Memory: 522.00M
+"polygons" in: sky130A_mr.drc:113
+    Polygons (raw): 38 (flat)  30 (hierarchical)
+    Elapsed: 0.000s  Memory: 522.00M
+"polygons" in: sky130A_mr.drc:114
+    Polygons (raw): 89 (flat)  69 (hierarchical)
+    Elapsed: 0.010s  Memory: 522.00M
+"polygons" in: sky130A_mr.drc:115
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 522.00M
+"polygons" in: sky130A_mr.drc:116
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 522.00M
+"polygons" in: sky130A_mr.drc:117
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 522.00M
+"polygons" in: sky130A_mr.drc:118
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 522.00M
+"polygons" in: sky130A_mr.drc:119
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 522.00M
+"polygons" in: sky130A_mr.drc:120
+    Polygons (raw): 10 (flat)  2 (hierarchical)
+    Elapsed: 0.010s  Memory: 522.00M
+"polygons" in: sky130A_mr.drc:121
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 522.00M
+"polygons" in: sky130A_mr.drc:122
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 522.00M
+"polygons" in: sky130A_mr.drc:123
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 522.00M
+"polygons" in: sky130A_mr.drc:124
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 522.00M
+"polygons" in: sky130A_mr.drc:125
+    Polygons (raw): 202 (flat)  116 (hierarchical)
+    Elapsed: 0.000s  Memory: 522.00M
+"polygons" in: sky130A_mr.drc:126
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 522.00M
+"polygons" in: sky130A_mr.drc:127
+    Polygons (raw): 62 (flat)  43 (hierarchical)
+    Elapsed: 0.000s  Memory: 522.00M
+"polygons" in: sky130A_mr.drc:128
+    Polygons (raw): 52 (flat)  26 (hierarchical)
+    Elapsed: 0.000s  Memory: 522.00M
+"polygons" in: sky130A_mr.drc:129
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 522.00M
+"polygons" in: sky130A_mr.drc:130
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 522.00M
+"polygons" in: sky130A_mr.drc:131
+    Polygons (raw): 33 (flat)  24 (hierarchical)
+    Elapsed: 0.010s  Memory: 522.00M
+"polygons" in: sky130A_mr.drc:132
+    Polygons (raw): 907 (flat)  291 (hierarchical)
+    Elapsed: 0.000s  Memory: 522.00M
+"polygons" in: sky130A_mr.drc:134
+    Polygons (raw): 566 (flat)  394 (hierarchical)
+    Elapsed: 0.010s  Memory: 522.00M
+"polygons" in: sky130A_mr.drc:135
+    Polygons (raw): 1756 (flat)  1480 (hierarchical)
+    Elapsed: 0.000s  Memory: 522.00M
+"polygons" in: sky130A_mr.drc:137
+    Polygons (raw): 349 (flat)  299 (hierarchical)
+    Elapsed: 0.010s  Memory: 522.00M
+"polygons" in: sky130A_mr.drc:138
+    Polygons (raw): 2570 (flat)  2570 (hierarchical)
+    Elapsed: 0.000s  Memory: 522.00M
+"polygons" in: sky130A_mr.drc:140
+    Polygons (raw): 603 (flat)  603 (hierarchical)
+    Elapsed: 0.000s  Memory: 522.00M
+"polygons" in: sky130A_mr.drc:141
+    Polygons (raw): 1761 (flat)  1761 (hierarchical)
+    Elapsed: 0.010s  Memory: 522.00M
+"polygons" in: sky130A_mr.drc:143
+    Polygons (raw): 459 (flat)  459 (hierarchical)
+    Elapsed: 0.000s  Memory: 522.00M
+"polygons" in: sky130A_mr.drc:144
+    Polygons (raw): 25800 (flat)  25800 (hierarchical)
+    Elapsed: 0.010s  Memory: 523.00M
+"polygons" in: sky130A_mr.drc:146
+    Polygons (raw): 175 (flat)  175 (hierarchical)
+    Elapsed: 0.000s  Memory: 523.00M
+"polygons" in: sky130A_mr.drc:147
+    Polygons (raw): 552 (flat)  552 (hierarchical)
+    Elapsed: 0.010s  Memory: 523.00M
+"polygons" in: sky130A_mr.drc:149
+    Polygons (raw): 151 (flat)  151 (hierarchical)
+    Elapsed: 0.000s  Memory: 523.00M
+"polygons" in: sky130A_mr.drc:151
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 523.00M
+"polygons" in: sky130A_mr.drc:152
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 523.00M
+"polygons" in: sky130A_mr.drc:153
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 523.00M
+"polygons" in: sky130A_mr.drc:154
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 523.00M
+"polygons" in: sky130A_mr.drc:155
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 523.00M
+"polygons" in: sky130A_mr.drc:156
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 523.00M
+"polygons" in: sky130A_mr.drc:157
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 523.00M
+"polygons" in: sky130A_mr.drc:158
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 523.00M
+"polygons" in: sky130A_mr.drc:159
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 523.00M
+"polygons" in: sky130A_mr.drc:160
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 523.00M
+"polygons" in: sky130A_mr.drc:161
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 523.00M
+"polygons" in: sky130A_mr.drc:162
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 523.00M
+"polygons" in: sky130A_mr.drc:163
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 523.00M
+"polygons" in: sky130A_mr.drc:164
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 523.00M
+"polygons" in: sky130A_mr.drc:165
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 523.00M
+"polygons" in: sky130A_mr.drc:166
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 523.00M
+"polygons" in: sky130A_mr.drc:167
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 523.00M
+"polygons" in: sky130A_mr.drc:168
+    Polygons (raw): 10 (flat)  2 (hierarchical)
+    Elapsed: 0.000s  Memory: 523.00M
+"polygons" in: sky130A_mr.drc:169
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 523.00M
+"polygons" in: sky130A_mr.drc:170
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 523.00M
+"polygons" in: sky130A_mr.drc:171
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 523.00M
+"polygons" in: sky130A_mr.drc:172
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 523.00M
+"polygons" in: sky130A_mr.drc:173
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 523.00M
+"polygons" in: sky130A_mr.drc:174
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 523.00M
+"polygons" in: sky130A_mr.drc:175
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 523.00M
+"polygons" in: sky130A_mr.drc:176
+    Polygons (raw): 1 (flat)  1 (hierarchical)
+    Elapsed: 0.000s  Memory: 523.00M
+"polygons" in: sky130A_mr.drc:177
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 523.00M
+"polygons" in: sky130A_mr.drc:178
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 523.00M
+"polygons" in: sky130A_mr.drc:179
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 523.00M
+"polygons" in: sky130A_mr.drc:180
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 523.00M
+"polygons" in: sky130A_mr.drc:181
+    Polygons (raw): 4 (flat)  3 (hierarchical)
+    Elapsed: 0.010s  Memory: 523.00M
+"polygons" in: sky130A_mr.drc:182
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 523.00M
+"polygons" in: sky130A_mr.drc:183
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 523.00M
+"polygons" in: sky130A_mr.drc:184
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 523.00M
+"polygons" in: sky130A_mr.drc:185
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 523.00M
+"polygons" in: sky130A_mr.drc:186
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 523.00M
+"polygons" in: sky130A_mr.drc:187
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 523.00M
+"polygons" in: sky130A_mr.drc:188
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 523.00M
+"polygons" in: sky130A_mr.drc:189
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 523.00M
+"polygons" in: sky130A_mr.drc:190
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 523.00M
+"polygons" in: sky130A_mr.drc:191
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 523.00M
+"polygons" in: sky130A_mr.drc:192
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 523.00M
+"polygons" in: sky130A_mr.drc:193
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 523.00M
+"polygons" in: sky130A_mr.drc:194
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 523.00M
+"polygons" in: sky130A_mr.drc:195
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 523.00M
+"polygons" in: sky130A_mr.drc:196
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 523.00M
+"polygons" in: sky130A_mr.drc:197
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 523.00M
+"polygons" in: sky130A_mr.drc:198
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 523.00M
+"polygons" in: sky130A_mr.drc:199
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 523.00M
+"polygons" in: sky130A_mr.drc:200
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 523.00M
+"polygons" in: sky130A_mr.drc:201
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 523.00M
+"polygons" in: sky130A_mr.drc:202
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 523.00M
+"polygons" in: sky130A_mr.drc:203
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 523.00M
+DRC section
+OFFGRID-ANGLES section
+"ongrid" in: sky130A_mr.drc:690
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 523.00M
+"output" in: sky130A_mr.drc:690
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 523.00M
+"with_angle" in: sky130A_mr.drc:691
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 523.00M
+"output" in: sky130A_mr.drc:691
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 523.00M
+"ongrid" in: sky130A_mr.drc:692
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 523.00M
+"output" in: sky130A_mr.drc:692
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 523.00M
+"with_angle" in: sky130A_mr.drc:693
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 523.00M
+"output" in: sky130A_mr.drc:693
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 523.00M
+"ongrid" in: sky130A_mr.drc:694
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 523.00M
+"output" in: sky130A_mr.drc:694
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 523.00M
+"with_angle" in: sky130A_mr.drc:695
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 523.00M
+"output" in: sky130A_mr.drc:695
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 523.00M
+"ongrid" in: sky130A_mr.drc:696
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 523.00M
+"output" in: sky130A_mr.drc:696
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 523.00M
+"with_angle" in: sky130A_mr.drc:697
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 523.00M
+"output" in: sky130A_mr.drc:697
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 523.00M
+"ongrid" in: sky130A_mr.drc:698
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 523.00M
+"output" in: sky130A_mr.drc:698
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 523.00M
+"with_angle" in: sky130A_mr.drc:699
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 523.00M
+"output" in: sky130A_mr.drc:699
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 523.00M
+"ongrid" in: sky130A_mr.drc:700
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 523.00M
+"output" in: sky130A_mr.drc:700
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 523.00M
+"with_angle" in: sky130A_mr.drc:701
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 523.00M
+"output" in: sky130A_mr.drc:701
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 523.00M
+"ongrid" in: sky130A_mr.drc:702
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 523.00M
+"output" in: sky130A_mr.drc:702
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 523.00M
+"with_angle" in: sky130A_mr.drc:703
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 523.00M
+"output" in: sky130A_mr.drc:703
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 523.00M
+"ongrid" in: sky130A_mr.drc:704
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 523.00M
+"output" in: sky130A_mr.drc:704
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 523.00M
+"with_angle" in: sky130A_mr.drc:705
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 523.00M
+"output" in: sky130A_mr.drc:705
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 523.00M
+"ongrid" in: sky130A_mr.drc:706
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 523.00M
+"output" in: sky130A_mr.drc:706
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 523.00M
+"ongrid" in: sky130A_mr.drc:707
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 523.00M
+"output" in: sky130A_mr.drc:707
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 523.00M
+"and" in: sky130A_mr.drc:708
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 523.00M
+"not" in: sky130A_mr.drc:708
+    Polygons (raw): 62 (flat)  25 (hierarchical)
+    Elapsed: 0.010s  Memory: 523.00M
+"with_angle" in: sky130A_mr.drc:708
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 523.00M
+"output" in: sky130A_mr.drc:708
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 523.00M
+"and" in: sky130A_mr.drc:709
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 523.00M
+"and" in: sky130A_mr.drc:709
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 523.00M
+"with_angle" in: sky130A_mr.drc:709
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 523.00M
+"output" in: sky130A_mr.drc:709
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 523.00M
+"and" in: sky130A_mr.drc:710
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 523.00M
+"not" in: sky130A_mr.drc:710
+    Polygons (raw): 38 (flat)  30 (hierarchical)
+    Elapsed: 0.010s  Memory: 523.00M
+"with_angle" in: sky130A_mr.drc:710
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 523.00M
+"output" in: sky130A_mr.drc:710
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 523.00M
+"and" in: sky130A_mr.drc:711
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 523.00M
+"and" in: sky130A_mr.drc:711
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 523.00M
+"with_angle" in: sky130A_mr.drc:711
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 523.00M
+"output" in: sky130A_mr.drc:711
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 523.00M
+"ongrid" in: sky130A_mr.drc:712
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 523.00M
+"output" in: sky130A_mr.drc:712
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 523.00M
+"with_angle" in: sky130A_mr.drc:713
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 523.00M
+"output" in: sky130A_mr.drc:713
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 523.00M
+"ongrid" in: sky130A_mr.drc:714
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 523.00M
+"output" in: sky130A_mr.drc:714
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 523.00M
+"with_angle" in: sky130A_mr.drc:715
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 523.00M
+"output" in: sky130A_mr.drc:715
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 523.00M
+"ongrid" in: sky130A_mr.drc:716
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 523.00M
+"output" in: sky130A_mr.drc:716
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 523.00M
+"with_angle" in: sky130A_mr.drc:717
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 523.00M
+"output" in: sky130A_mr.drc:717
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 523.00M
+"ongrid" in: sky130A_mr.drc:718
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 523.00M
+"output" in: sky130A_mr.drc:718
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 523.00M
+"with_angle" in: sky130A_mr.drc:719
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 523.00M
+"output" in: sky130A_mr.drc:719
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 523.00M
+"ongrid" in: sky130A_mr.drc:720
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 523.00M
+"output" in: sky130A_mr.drc:720
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 523.00M
+"with_angle" in: sky130A_mr.drc:721
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 523.00M
+"output" in: sky130A_mr.drc:721
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 523.00M
+"ongrid" in: sky130A_mr.drc:722
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 523.00M
+"output" in: sky130A_mr.drc:722
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 523.00M
+"with_angle" in: sky130A_mr.drc:723
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 523.00M
+"output" in: sky130A_mr.drc:723
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 523.00M
+"ongrid" in: sky130A_mr.drc:724
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 523.00M
+"output" in: sky130A_mr.drc:724
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 523.00M
+"with_angle" in: sky130A_mr.drc:725
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 523.00M
+"output" in: sky130A_mr.drc:725
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 523.00M
+"ongrid" in: sky130A_mr.drc:726
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 523.00M
+"output" in: sky130A_mr.drc:726
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 523.00M
+"with_angle" in: sky130A_mr.drc:727
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 523.00M
+"output" in: sky130A_mr.drc:727
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 523.00M
+"ongrid" in: sky130A_mr.drc:728
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 523.00M
+"output" in: sky130A_mr.drc:728
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 523.00M
+"with_angle" in: sky130A_mr.drc:729
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 523.00M
+"output" in: sky130A_mr.drc:729
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 523.00M
+"ongrid" in: sky130A_mr.drc:730
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 523.00M
+"output" in: sky130A_mr.drc:730
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 523.00M
+"with_angle" in: sky130A_mr.drc:731
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 523.00M
+"output" in: sky130A_mr.drc:731
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 523.00M
+"ongrid" in: sky130A_mr.drc:732
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 523.00M
+"output" in: sky130A_mr.drc:732
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 523.00M
+"with_angle" in: sky130A_mr.drc:733
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 523.00M
+"output" in: sky130A_mr.drc:733
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 523.00M
+"ongrid" in: sky130A_mr.drc:734
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.020s  Memory: 524.00M
+"output" in: sky130A_mr.drc:734
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 524.00M
+"with_angle" in: sky130A_mr.drc:735
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 524.00M
+"output" in: sky130A_mr.drc:735
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 524.00M
+"ongrid" in: sky130A_mr.drc:736
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 524.00M
+"output" in: sky130A_mr.drc:736
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 524.00M
+"with_angle" in: sky130A_mr.drc:737
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 524.00M
+"output" in: sky130A_mr.drc:737
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 524.00M
+"ongrid" in: sky130A_mr.drc:738
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.020s  Memory: 524.00M
+"output" in: sky130A_mr.drc:738
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 524.00M
+"with_angle" in: sky130A_mr.drc:739
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 524.00M
+"output" in: sky130A_mr.drc:739
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 524.00M
+"ongrid" in: sky130A_mr.drc:740
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 524.00M
+"output" in: sky130A_mr.drc:740
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 524.00M
+"with_angle" in: sky130A_mr.drc:741
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 524.00M
+"output" in: sky130A_mr.drc:741
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 524.00M
+"ongrid" in: sky130A_mr.drc:742
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.140s  Memory: 530.00M
+"output" in: sky130A_mr.drc:742
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 530.00M
+"with_angle" in: sky130A_mr.drc:743
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.020s  Memory: 530.00M
+"output" in: sky130A_mr.drc:743
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 530.00M
+"ongrid" in: sky130A_mr.drc:744
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 530.00M
+"output" in: sky130A_mr.drc:744
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 530.00M
+"with_angle" in: sky130A_mr.drc:745
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 530.00M
+"output" in: sky130A_mr.drc:745
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 530.00M
+"ongrid" in: sky130A_mr.drc:746
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 530.00M
+"output" in: sky130A_mr.drc:746
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 530.00M
+"with_angle" in: sky130A_mr.drc:747
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 530.00M
+"output" in: sky130A_mr.drc:747
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 530.00M
+"ongrid" in: sky130A_mr.drc:748
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 530.00M
+"output" in: sky130A_mr.drc:748
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 530.00M
+"with_angle" in: sky130A_mr.drc:749
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 530.00M
+"output" in: sky130A_mr.drc:749
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 530.00M
+"ongrid" in: sky130A_mr.drc:750
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 530.00M
+"output" in: sky130A_mr.drc:750
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 530.00M
+"with_angle" in: sky130A_mr.drc:751
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 530.00M
+"output" in: sky130A_mr.drc:751
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 530.00M
+"ongrid" in: sky130A_mr.drc:752
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 530.00M
+"output" in: sky130A_mr.drc:752
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 530.00M
+"with_angle" in: sky130A_mr.drc:753
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 530.00M
+"output" in: sky130A_mr.drc:753
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 530.00M
+"ongrid" in: sky130A_mr.drc:754
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 530.00M
+"output" in: sky130A_mr.drc:754
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 530.00M
+"with_angle" in: sky130A_mr.drc:755
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 530.00M
+"output" in: sky130A_mr.drc:755
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 530.00M
+"ongrid" in: sky130A_mr.drc:756
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 530.00M
+"output" in: sky130A_mr.drc:756
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 530.00M
+"with_angle" in: sky130A_mr.drc:757
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 530.00M
+"output" in: sky130A_mr.drc:757
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 530.00M
+"ongrid" in: sky130A_mr.drc:758
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 530.00M
+"output" in: sky130A_mr.drc:758
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 530.00M
+"with_angle" in: sky130A_mr.drc:759
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 530.00M
+"output" in: sky130A_mr.drc:759
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 530.00M
+"ongrid" in: sky130A_mr.drc:760
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 530.00M
+"output" in: sky130A_mr.drc:760
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 530.00M
+"with_angle" in: sky130A_mr.drc:761
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 530.00M
+"output" in: sky130A_mr.drc:761
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 530.00M
+"ongrid" in: sky130A_mr.drc:762
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 530.00M
+"output" in: sky130A_mr.drc:762
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 530.00M
+"with_angle" in: sky130A_mr.drc:763
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 530.00M
+"output" in: sky130A_mr.drc:763
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 530.00M
+"ongrid" in: sky130A_mr.drc:764
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 530.00M
+"output" in: sky130A_mr.drc:764
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 530.00M
+"with_angle" in: sky130A_mr.drc:765
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 530.00M
+"output" in: sky130A_mr.drc:765
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 530.00M
+"ongrid" in: sky130A_mr.drc:766
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 530.00M
+"output" in: sky130A_mr.drc:766
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 530.00M
+Writing report database: /home/krishna/Comparator_MPW6/precheck_results/01_JUN_2022___10_22_16/outputs/reports/klayout_offgrid_check.xml ..
+Total elapsed: 1.510s  Memory: 530.00M
diff --git a/precheck_results/01_JUN_2022___10_22_16/logs/klayout_offgrid_check.total b/precheck_results/01_JUN_2022___10_22_16/logs/klayout_offgrid_check.total
new file mode 100755
index 0000000..c227083
--- /dev/null
+++ b/precheck_results/01_JUN_2022___10_22_16/logs/klayout_offgrid_check.total
@@ -0,0 +1 @@
+0
\ No newline at end of file
diff --git a/precheck_results/01_JUN_2022___10_22_16/logs/klayout_pin_label_purposes_overlapping_drawing_check.log b/precheck_results/01_JUN_2022___10_22_16/logs/klayout_pin_label_purposes_overlapping_drawing_check.log
new file mode 100755
index 0000000..86c835d
--- /dev/null
+++ b/precheck_results/01_JUN_2022___10_22_16/logs/klayout_pin_label_purposes_overlapping_drawing_check.log
@@ -0,0 +1,31 @@
+Running pin_label_purposes_overlapping_drawing.rb.drc on file=/home/krishna/Comparator_MPW6/gds/user_analog_project_wrapper.gds, topcell=user_analog_project_wrapper, output to /home/krishna/Comparator_MPW6/precheck_results/01_JUN_2022___10_22_16/outputs/reports/klayout_pin_label_purposes_overlapping_drawing_check.xml
+  deep:true tiled:false threads:12
+--- #err|description, table for cell: user_analog_project_wrapper
+NO-Check ----        pwell:64/44/EMP   122/16/dat    64/59/EMP    44/16/EMP     44/5/EMP
+         ----        nwell:64/20/dat    64/16/dat     64/5/EMP
+         ----         diff:65/20/dat    65/16/EMP     65/6/EMP
+         ----          tap:65/44/dat    65/48/EMP     65/5/EMP
+         ----         poly:66/20/dat    66/16/EMP     66/5/EMP
+         ----       licon1:66/44/dat    66/58/EMP
+         ----          li1:67/20/dat    67/16/dat     67/5/EMP
+         ----         mcon:67/44/dat    67/48/EMP
+         ----         met1:68/20/dat    68/16/dat     68/5/EMP
+         ----          via:68/44/dat    68/58/EMP
+         ----         met2:69/20/dat    69/16/dat     69/5/EMP
+         ----         via2:69/44/dat    69/58/EMP
+       1 70/16: met3, pin/label not-over drawing:70/20
+         ----         met3:70/20/dat    70/16/dat     70/5/EMP
+         ----         via3:70/44/dat    70/48/EMP
+         ----         met4:71/20/dat    71/16/dat     71/5/EMP
+         ----         via4:71/44/dat    71/48/EMP
+       2 72/16: met5, pin/label not-over drawing:72/20
+         ----         met5:72/20/dat    72/16/dat     72/5/EMP
+         ----          pad:76/20/EMP     76/5/EMP    76/16/EMP
+         ----          pnp:82/44/EMP    82/59/EMP
+         ----          npn:82/20/EMP     82/5/EMP
+         ----          rdl:74/20/EMP    74/16/EMP     74/5/EMP
+         ----     inductor:82/24/EMP    82/25/EMP
+       3 total error(s) among 2 error type(s), 33 checks, cell: user_analog_project_wrapper
+Writing report...
+VmPeak:	 2369884 kB
+VmHWM:	  258428 kB
diff --git a/precheck_results/01_JUN_2022___10_22_16/logs/klayout_pin_label_purposes_overlapping_drawing_check.total b/precheck_results/01_JUN_2022___10_22_16/logs/klayout_pin_label_purposes_overlapping_drawing_check.total
new file mode 100755
index 0000000..e440e5c
--- /dev/null
+++ b/precheck_results/01_JUN_2022___10_22_16/logs/klayout_pin_label_purposes_overlapping_drawing_check.total
@@ -0,0 +1 @@
+3
\ No newline at end of file
diff --git a/precheck_results/01_JUN_2022___10_22_16/logs/klayout_zeroarea_check.log b/precheck_results/01_JUN_2022___10_22_16/logs/klayout_zeroarea_check.log
new file mode 100755
index 0000000..4b9b10e
--- /dev/null
+++ b/precheck_results/01_JUN_2022___10_22_16/logs/klayout_zeroarea_check.log
@@ -0,0 +1,4 @@
+0 zero-area shapes
+writing to /home/krishna/Comparator_MPW6/precheck_results/01_JUN_2022___10_22_16/outputs/user_analog_project_wrapper_no_zero_areas.gds
+VmPeak:	  542076 kB
+VmHWM:	  260740 kB
diff --git a/precheck_results/01_JUN_2022___10_22_16/logs/klayout_zeroarea_check.total b/precheck_results/01_JUN_2022___10_22_16/logs/klayout_zeroarea_check.total
new file mode 100755
index 0000000..c227083
--- /dev/null
+++ b/precheck_results/01_JUN_2022___10_22_16/logs/klayout_zeroarea_check.total
@@ -0,0 +1 @@
+0
\ No newline at end of file
diff --git a/precheck_results/01_JUN_2022___10_22_16/logs/magic_drc_check.log b/precheck_results/01_JUN_2022___10_22_16/logs/magic_drc_check.log
new file mode 100755
index 0000000..341ea86
--- /dev/null
+++ b/precheck_results/01_JUN_2022___10_22_16/logs/magic_drc_check.log
@@ -0,0 +1,30 @@
+
+Magic 8.3 revision 274 - Compiled on Fri Mar  4 22:54:15 UTC 2022.
+Starting magic under Tcl interpreter
+Using the terminal as the console.
+Using NULL graphics device.
+Processing system .magicrc file
+Sourcing design .magicrc for technology sky130A ...
+2 Magic internal units = 1 Lambda
+Could not find file '/usr/local/share/pdk/sky130A/sky130A/libs.tech/magic/sky130A.tech' in any of these directories:
+         . $CAD_ROOT/magic/sys $CAD_ROOT/magic/sys/current
+Error parsing "/home/krishna/mpw_precheckmpw6/checks/tech-files/sky130A.magicrc": couldn't read file "/usr/local/share/pdk/sky130A/sky130A/libs.tech/magic/sky130A.tcl": no such file or directory
+Bad local startup file "/home/krishna/mpw_precheckmpw6/checks/tech-files/sky130A.magicrc", continuing without.
+Scaled tech values by 2 / 1 to match internal grid scaling
+Loading "/home/krishna/mpw_precheckmpw6/checks/drc_checks/magic/magic_drc_check.tcl" from command line.
+Don't know how to read GDS-II:
+Nothing in "cifinput" section of tech file.
+[INFO]: Loading user_analog_project_wrapper
+
+File user_analog_project_wrapper.mag couldn't be read
+No such file or directory
+Creating new cell
+"drc(full)" is not one of the DRC styles Magic knows.
+The current style is "default".
+The DRC styles are: default.
+No errors found.
+[INFO]: COUNT: 0
+[INFO]: Should be divided by 3 or 4
+[INFO]: DRC Checking DONE (/home/krishna/Comparator_MPW6/precheck_results/01_JUN_2022___10_22_16/outputs/reports/magic_drc_check.drc.report)
+[INFO]: Saving mag view with DRC errors(/home/krishna/Comparator_MPW6/precheck_results/01_JUN_2022___10_22_16/outputs/user_analog_project_wrapper.magic.drc.mag)
+[INFO]: Saved
diff --git a/precheck_results/01_JUN_2022___10_22_16/logs/magic_drc_check.total b/precheck_results/01_JUN_2022___10_22_16/logs/magic_drc_check.total
new file mode 100755
index 0000000..c227083
--- /dev/null
+++ b/precheck_results/01_JUN_2022___10_22_16/logs/magic_drc_check.total
@@ -0,0 +1 @@
+0
\ No newline at end of file
diff --git a/precheck_results/01_JUN_2022___10_22_16/logs/pdks.info b/precheck_results/01_JUN_2022___10_22_16/logs/pdks.info
new file mode 100755
index 0000000..3072015
--- /dev/null
+++ b/precheck_results/01_JUN_2022___10_22_16/logs/pdks.info
@@ -0,0 +1,2 @@
+Open PDKs a3d6ffea8022332b859daa454cef7ee7131c5181
+Skywater PDK f70d8ca46961ff92719d8870a18a076370b85f6c
\ No newline at end of file
diff --git a/precheck_results/01_JUN_2022___10_22_16/logs/precheck.log b/precheck_results/01_JUN_2022___10_22_16/logs/precheck.log
new file mode 100755
index 0000000..7806a3f
--- /dev/null
+++ b/precheck_results/01_JUN_2022___10_22_16/logs/precheck.log
@@ -0,0 +1,60 @@
+2022-06-01 10:22:16 - [INFO] - {{EXTRACTING FILES}} Extracting compressed files in: /home/krishna/Comparator_MPW6
+2022-06-01 10:22:16 - [INFO] - {{Project Type Info}} analog
+2022-06-01 10:22:16 - [INFO] - {{Project GDS Info}} user_analog_project_wrapper: 3b0ebaf457e9d1050186ef90d07a963ca5264a35
+2022-06-01 10:22:16 - [INFO] - {{Tools Info}} KLayout: v0.27.8 | Magic: v8.3.274
+2022-06-01 10:22:16 - [INFO] - {{PDKs Info}} Open PDKs: a3d6ffea8022332b859daa454cef7ee7131c5181 | Skywater PDK: f70d8ca46961ff92719d8870a18a076370b85f6c
+2022-06-01 10:22:16 - [INFO] - {{START}} Precheck Started, the full log 'precheck.log' will be located in '/home/krishna/Comparator_MPW6/precheck_results/01_JUN_2022___10_22_16/logs'
+2022-06-01 10:22:16 - [INFO] - {{PRECHECK SEQUENCE}} Precheck will run the following checks: [License, Makefile, Default, Documentation, Consistency, XOR, Magic DRC, Klayout FEOL, Klayout BEOL, Klayout Offgrid, Klayout Metal Minimum Clear Area Density, Klayout Pin Label Purposes Overlapping Drawing, Klayout ZeroArea]
+2022-06-01 10:22:16 - [INFO] - {{STEP UPDATE}} Executing Check 1 of 13: License
+2022-06-01 10:22:17 - [INFO] - An approved LICENSE (Apache-2.0) was found in /home/krishna/Comparator_MPW6.
+2022-06-01 10:22:17 - [INFO] - {{MAIN LICENSE CHECK PASSED}} An approved LICENSE was found in project root.
+2022-06-01 10:22:18 - [INFO] - An approved LICENSE (Apache-2.0) was found in /home/krishna/Comparator_MPW6.
+2022-06-01 10:22:18 - [INFO] - {{SUBMODULES LICENSE CHECK PASSED}} No prohibited LICENSE file(s) was found in project submodules
+2022-06-01 10:22:18 - [WARNING] - {{SPDX COMPLIANCE CHECK FAILED}} Found 155 non-compliant file(s) with the SPDX Standard.
+2022-06-01 10:22:18 - [INFO] - SPDX COMPLIANCE: NON-COMPLIANT FILE(S) PREVIEW: ['/home/krishna/Comparator_MPW6/Makefile', '/home/krishna/Comparator_MPW6/.spiceinit', '/home/krishna/Comparator_MPW6/verilog/dv/Makefile', '/home/krishna/Comparator_MPW6/verilog/dv/mprj_por/mprj_por.c', '/home/krishna/Comparator_MPW6/verilog/dv/mprj_por/mprj_por_tb.v', '/home/krishna/Comparator_MPW6/verilog/dv/mprj_por/Makefile', '/home/krishna/Comparator_MPW6/verilog/rtl/uprj_analog_netlists.v', '/home/krishna/Comparator_MPW6/verilog/rtl/example_por.v', '/home/krishna/Comparator_MPW6/verilog/rtl/user_analog_proj_example.v', '/home/krishna/Comparator_MPW6/verilog/rtl/user_analog_project_wrapper.v', '/home/krishna/Comparator_MPW6/verilog/rtl/comparator.v', '/home/krishna/Comparator_MPW6/precheck_results/01_JUN_2022___08_58_30/outputs/reports/magic_drc_check.drc.report', '/home/krishna/Comparator_MPW6/precheck_results/01_JUN_2022___08_58_30/logs/klayout_zeroarea_check.total', '/home/krishna/Comparator_MPW6/precheck_results/01_JUN_2022___08_58_30/logs/tools.info', '/home/krishna/Comparator_MPW6/precheck_results/01_JUN_2022___08_58_30/logs/klayout_beol_check.total']
+2022-06-01 10:22:18 - [INFO] - For the full SPDX compliance report check: /home/krishna/Comparator_MPW6/precheck_results/01_JUN_2022___10_22_16/logs/spdx_compliance_report.log
+2022-06-01 10:22:18 - [INFO] - {{STEP UPDATE}} Executing Check 2 of 13: Makefile
+2022-06-01 10:22:18 - [INFO] - {{MAKEFILE CHECK PASSED}} Makefile valid.
+2022-06-01 10:22:18 - [INFO] - {{STEP UPDATE}} Executing Check 3 of 13: Default
+2022-06-01 10:22:18 - [INFO] - {{README DEFAULT CHECK PASSED}} Project 'README.md' was modified and is not identical to the default 'README.md'
+2022-06-01 10:22:18 - [INFO] - {{CONTENT DEFAULT CHECK PASSED}} Project 'gds' was modified and is not identical to the default 'gds'
+2022-06-01 10:22:18 - [INFO] - {{STEP UPDATE}} Executing Check 4 of 13: Documentation
+2022-06-01 10:22:18 - [INFO] - {{DOCUMENTATION CHECK PASSED}} Project documentation is appropriate.
+2022-06-01 10:22:18 - [INFO] - {{STEP UPDATE}} Executing Check 5 of 13: Consistency
+2022-06-01 10:22:20 - [INFO] - HIERARCHY CHECK PASSED: Module user_analog_project_wrapper is instantiated in caravan. 
+2022-06-01 10:22:20 - [INFO] - COMPLEXITY CHECK PASSED: Netlist caravan contains at least 8 instances (68 instances). 
+2022-06-01 10:22:20 - [INFO] - MODELING CHECK PASSED: Netlist caravan is structural.
+2022-06-01 10:22:20 - [INFO] - SUBMODULE HOOKS CHECK PASSED: All module ports for user_analog_project_wrapper are correctly connected in the top level netlist caravan.
+2022-06-01 10:22:20 - [INFO] - {{NETLIST CONSISTENCY CHECK PASSED}} caravan netlist passed all consistency checks.
+2022-06-01 10:22:20 - [INFO] - PORTS CHECK PASSED: Netlist user_analog_project_wrapper ports match the golden wrapper ports
+2022-06-01 10:22:20 - [INFO] - COMPLEXITY CHECK PASSED: Netlist user_analog_project_wrapper contains at least 1 instances (19 instances). 
+2022-06-01 10:22:20 - [INFO] - MODELING CHECK PASSED: Netlist user_analog_project_wrapper is structural.
+2022-06-01 10:22:20 - [INFO] - LAYOUT CHECK PASSED: The GDS layout for user_analog_project_wrapper matches the provided structural netlist.
+2022-06-01 10:22:20 - [INFO] - {{NETLIST CONSISTENCY CHECK PASSED}} user_analog_project_wrapper netlist passed all consistency checks.
+2022-06-01 10:22:20 - [INFO] - {{CONSISTENCY CHECK PASSED}} The user netlist and the top netlist are valid.
+2022-06-01 10:22:20 - [INFO] - {{STEP UPDATE}} Executing Check 6 of 13: XOR
+2022-06-01 10:22:22 - [ERROR] - XOR CHECK FILE NOT FOUND in /home/krishna/Comparator_MPW6/precheck_results/01_JUN_2022___10_22_16/logs/xor_check.total
+2022-06-01 10:22:22 - [WARNING] - {{XOR CHECK FAILED}} The GDS file has non-conforming geometries.
+2022-06-01 10:22:22 - [INFO] - {{STEP UPDATE}} Executing Check 7 of 13: Magic DRC
+2022-06-01 10:22:22 - [INFO] - 0 DRC violations
+2022-06-01 10:22:22 - [INFO] - {{MAGIC DRC CHECK PASSED}} The GDS file, user_analog_project_wrapper.gds, has no DRC violations.
+2022-06-01 10:22:22 - [INFO] - {{STEP UPDATE}} Executing Check 8 of 13: Klayout FEOL
+2022-06-01 10:22:24 - [INFO] - No DRC Violations found
+2022-06-01 10:22:24 - [INFO] - {{Klayout FEOL CHECK PASSED}} The GDS file, user_analog_project_wrapper.gds, has no DRC violations.
+2022-06-01 10:22:24 - [INFO] - {{STEP UPDATE}} Executing Check 9 of 13: Klayout BEOL
+2022-06-01 10:22:28 - [INFO] - No DRC Violations found
+2022-06-01 10:22:28 - [INFO] - {{Klayout BEOL CHECK PASSED}} The GDS file, user_analog_project_wrapper.gds, has no DRC violations.
+2022-06-01 10:22:28 - [INFO] - {{STEP UPDATE}} Executing Check 10 of 13: Klayout Offgrid
+2022-06-01 10:22:31 - [INFO] - No DRC Violations found
+2022-06-01 10:22:31 - [INFO] - {{Klayout Offgrid CHECK PASSED}} The GDS file, user_analog_project_wrapper.gds, has no DRC violations.
+2022-06-01 10:22:31 - [INFO] - {{STEP UPDATE}} Executing Check 11 of 13: Klayout Metal Minimum Clear Area Density
+2022-06-01 10:22:32 - [INFO] - No DRC Violations found
+2022-06-01 10:22:32 - [INFO] - {{Klayout Metal Minimum Clear Area Density CHECK PASSED}} The GDS file, user_analog_project_wrapper.gds, has no DRC violations.
+2022-06-01 10:22:32 - [INFO] - {{STEP UPDATE}} Executing Check 12 of 13: Klayout Pin Label Purposes Overlapping Drawing
+2022-06-01 10:22:33 - [ERROR] - Total # of DRC violations is 3 Please check /home/krishna/Comparator_MPW6/precheck_results/01_JUN_2022___10_22_16/outputs/reports/klayout_pin_label_purposes_overlapping_drawing_check.xml For more details
+2022-06-01 10:22:33 - [WARNING] - {{Klayout Pin Label Purposes Overlapping Drawing CHECK FAILED}} The GDS file, user_analog_project_wrapper.gds, has DRC violations.
+2022-06-01 10:22:33 - [INFO] - {{STEP UPDATE}} Executing Check 13 of 13: Klayout ZeroArea
+2022-06-01 10:22:34 - [INFO] - No DRC Violations found
+2022-06-01 10:22:34 - [INFO] - {{Klayout ZeroArea CHECK PASSED}} The GDS file, user_analog_project_wrapper.gds, has no DRC violations.
+2022-06-01 10:22:34 - [INFO] - {{FINISH}} Executing Finished, the full log 'precheck.log' can be found in '/home/krishna/Comparator_MPW6/precheck_results/01_JUN_2022___10_22_16/logs'
+2022-06-01 10:22:34 - [CRITICAL] - {{FAILURE}} 2 Check(s) Failed: ['XOR', 'Klayout Pin Label Purposes Overlapping Drawing'] !!!
diff --git a/precheck_results/01_JUN_2022___10_22_16/logs/spdx_compliance_report.log b/precheck_results/01_JUN_2022___10_22_16/logs/spdx_compliance_report.log
new file mode 100755
index 0000000..57f338d
--- /dev/null
+++ b/precheck_results/01_JUN_2022___10_22_16/logs/spdx_compliance_report.log
@@ -0,0 +1,155 @@
+/home/krishna/Comparator_MPW6/Makefile
+/home/krishna/Comparator_MPW6/.spiceinit
+/home/krishna/Comparator_MPW6/verilog/dv/Makefile
+/home/krishna/Comparator_MPW6/verilog/dv/mprj_por/mprj_por.c
+/home/krishna/Comparator_MPW6/verilog/dv/mprj_por/mprj_por_tb.v
+/home/krishna/Comparator_MPW6/verilog/dv/mprj_por/Makefile
+/home/krishna/Comparator_MPW6/verilog/rtl/uprj_analog_netlists.v
+/home/krishna/Comparator_MPW6/verilog/rtl/example_por.v
+/home/krishna/Comparator_MPW6/verilog/rtl/user_analog_proj_example.v
+/home/krishna/Comparator_MPW6/verilog/rtl/user_analog_project_wrapper.v
+/home/krishna/Comparator_MPW6/verilog/rtl/comparator.v
+/home/krishna/Comparator_MPW6/precheck_results/01_JUN_2022___08_58_30/outputs/reports/magic_drc_check.drc.report
+/home/krishna/Comparator_MPW6/precheck_results/01_JUN_2022___08_58_30/logs/klayout_zeroarea_check.total
+/home/krishna/Comparator_MPW6/precheck_results/01_JUN_2022___08_58_30/logs/tools.info
+/home/krishna/Comparator_MPW6/precheck_results/01_JUN_2022___08_58_30/logs/klayout_beol_check.total
+/home/krishna/Comparator_MPW6/precheck_results/01_JUN_2022___08_58_30/logs/klayout_pin_label_purposes_overlapping_drawing_check.total
+/home/krishna/Comparator_MPW6/precheck_results/01_JUN_2022___08_58_30/logs/klayout_feol_check.total
+/home/krishna/Comparator_MPW6/precheck_results/01_JUN_2022___08_58_30/logs/gds.info
+/home/krishna/Comparator_MPW6/precheck_results/01_JUN_2022___08_58_30/logs/pdks.info
+/home/krishna/Comparator_MPW6/precheck_results/01_JUN_2022___08_58_30/logs/magic_drc_check.total
+/home/krishna/Comparator_MPW6/precheck_results/01_JUN_2022___08_58_30/logs/klayout_offgrid_check.total
+/home/krishna/Comparator_MPW6/precheck_results/01_JUN_2022___08_58_30/logs/klayout_met_min_ca_density_check.total
+/home/krishna/Comparator_MPW6/precheck_results/01_JUN_2022___10_22_16/logs/tools.info
+/home/krishna/Comparator_MPW6/precheck_results/01_JUN_2022___10_22_16/logs/gds.info
+/home/krishna/Comparator_MPW6/precheck_results/01_JUN_2022___10_22_16/logs/pdks.info
+/home/krishna/Comparator_MPW6/precheck_results/01_JUN_2022___09_57_04/outputs/reports/magic_drc_check.drc.report
+/home/krishna/Comparator_MPW6/precheck_results/01_JUN_2022___09_57_04/logs/klayout_zeroarea_check.total
+/home/krishna/Comparator_MPW6/precheck_results/01_JUN_2022___09_57_04/logs/tools.info
+/home/krishna/Comparator_MPW6/precheck_results/01_JUN_2022___09_57_04/logs/klayout_beol_check.total
+/home/krishna/Comparator_MPW6/precheck_results/01_JUN_2022___09_57_04/logs/klayout_pin_label_purposes_overlapping_drawing_check.total
+/home/krishna/Comparator_MPW6/precheck_results/01_JUN_2022___09_57_04/logs/klayout_feol_check.total
+/home/krishna/Comparator_MPW6/precheck_results/01_JUN_2022___09_57_04/logs/gds.info
+/home/krishna/Comparator_MPW6/precheck_results/01_JUN_2022___09_57_04/logs/pdks.info
+/home/krishna/Comparator_MPW6/precheck_results/01_JUN_2022___09_57_04/logs/magic_drc_check.total
+/home/krishna/Comparator_MPW6/precheck_results/01_JUN_2022___09_57_04/logs/klayout_offgrid_check.total
+/home/krishna/Comparator_MPW6/precheck_results/01_JUN_2022___09_57_04/logs/klayout_met_min_ca_density_check.total
+/home/krishna/Comparator_MPW6/precheck_results/28_MAY_2022___11_09_07/logs/tools.info
+/home/krishna/Comparator_MPW6/precheck_results/28_MAY_2022___11_09_07/logs/gds.info
+/home/krishna/Comparator_MPW6/precheck_results/28_MAY_2022___11_09_07/logs/pdks.info
+/home/krishna/Comparator_MPW6/precheck_results/28_MAY_2022___11_10_33/logs/tools.info
+/home/krishna/Comparator_MPW6/precheck_results/28_MAY_2022___11_10_33/logs/gds.info
+/home/krishna/Comparator_MPW6/precheck_results/28_MAY_2022___11_10_33/logs/pdks.info
+/home/krishna/Comparator_MPW6/precheck_results/01_JUN_2022___07_48_55/outputs/reports/magic_drc_check.drc.report
+/home/krishna/Comparator_MPW6/precheck_results/01_JUN_2022___07_48_55/logs/klayout_zeroarea_check.total
+/home/krishna/Comparator_MPW6/precheck_results/01_JUN_2022___07_48_55/logs/tools.info
+/home/krishna/Comparator_MPW6/precheck_results/01_JUN_2022___07_48_55/logs/klayout_beol_check.total
+/home/krishna/Comparator_MPW6/precheck_results/01_JUN_2022___07_48_55/logs/klayout_pin_label_purposes_overlapping_drawing_check.total
+/home/krishna/Comparator_MPW6/precheck_results/01_JUN_2022___07_48_55/logs/klayout_feol_check.total
+/home/krishna/Comparator_MPW6/precheck_results/01_JUN_2022___07_48_55/logs/gds.info
+/home/krishna/Comparator_MPW6/precheck_results/01_JUN_2022___07_48_55/logs/pdks.info
+/home/krishna/Comparator_MPW6/precheck_results/01_JUN_2022___07_48_55/logs/magic_drc_check.total
+/home/krishna/Comparator_MPW6/precheck_results/01_JUN_2022___07_48_55/logs/klayout_offgrid_check.total
+/home/krishna/Comparator_MPW6/precheck_results/01_JUN_2022___07_48_55/logs/klayout_met_min_ca_density_check.total
+/home/krishna/Comparator_MPW6/precheck_results/01_JUN_2022___09_54_15/outputs/reports/magic_drc_check.drc.report
+/home/krishna/Comparator_MPW6/precheck_results/01_JUN_2022___09_54_15/logs/klayout_zeroarea_check.total
+/home/krishna/Comparator_MPW6/precheck_results/01_JUN_2022___09_54_15/logs/tools.info
+/home/krishna/Comparator_MPW6/precheck_results/01_JUN_2022___09_54_15/logs/klayout_beol_check.total
+/home/krishna/Comparator_MPW6/precheck_results/01_JUN_2022___09_54_15/logs/klayout_pin_label_purposes_overlapping_drawing_check.total
+/home/krishna/Comparator_MPW6/precheck_results/01_JUN_2022___09_54_15/logs/klayout_feol_check.total
+/home/krishna/Comparator_MPW6/precheck_results/01_JUN_2022___09_54_15/logs/gds.info
+/home/krishna/Comparator_MPW6/precheck_results/01_JUN_2022___09_54_15/logs/pdks.info
+/home/krishna/Comparator_MPW6/precheck_results/01_JUN_2022___09_54_15/logs/magic_drc_check.total
+/home/krishna/Comparator_MPW6/precheck_results/01_JUN_2022___09_54_15/logs/klayout_offgrid_check.total
+/home/krishna/Comparator_MPW6/precheck_results/01_JUN_2022___09_54_15/logs/klayout_met_min_ca_density_check.total
+/home/krishna/Comparator_MPW6/precheck_results/28_MAY_2022___10_48_59/logs/tools.info
+/home/krishna/Comparator_MPW6/precheck_results/28_MAY_2022___10_48_59/logs/gds.info
+/home/krishna/Comparator_MPW6/precheck_results/28_MAY_2022___10_48_59/logs/pdks.info
+/home/krishna/Comparator_MPW6/precheck_results/01_JUN_2022___10_02_59/outputs/reports/magic_drc_check.drc.report
+/home/krishna/Comparator_MPW6/precheck_results/01_JUN_2022___10_02_59/logs/klayout_zeroarea_check.total
+/home/krishna/Comparator_MPW6/precheck_results/01_JUN_2022___10_02_59/logs/tools.info
+/home/krishna/Comparator_MPW6/precheck_results/01_JUN_2022___10_02_59/logs/klayout_beol_check.total
+/home/krishna/Comparator_MPW6/precheck_results/01_JUN_2022___10_02_59/logs/klayout_pin_label_purposes_overlapping_drawing_check.total
+/home/krishna/Comparator_MPW6/precheck_results/01_JUN_2022___10_02_59/logs/klayout_feol_check.total
+/home/krishna/Comparator_MPW6/precheck_results/01_JUN_2022___10_02_59/logs/gds.info
+/home/krishna/Comparator_MPW6/precheck_results/01_JUN_2022___10_02_59/logs/pdks.info
+/home/krishna/Comparator_MPW6/precheck_results/01_JUN_2022___10_02_59/logs/magic_drc_check.total
+/home/krishna/Comparator_MPW6/precheck_results/01_JUN_2022___10_02_59/logs/klayout_offgrid_check.total
+/home/krishna/Comparator_MPW6/precheck_results/01_JUN_2022___10_02_59/logs/klayout_met_min_ca_density_check.total
+/home/krishna/Comparator_MPW6/precheck_results/29_MAY_2022___12_32_11/logs/tools.info
+/home/krishna/Comparator_MPW6/precheck_results/29_MAY_2022___12_32_11/logs/gds.info
+/home/krishna/Comparator_MPW6/precheck_results/29_MAY_2022___12_32_11/logs/pdks.info
+/home/krishna/Comparator_MPW6/precheck_results/27_MAY_2022___15_12_40/logs/tools.info
+/home/krishna/Comparator_MPW6/precheck_results/27_MAY_2022___15_12_40/logs/gds.info
+/home/krishna/Comparator_MPW6/precheck_results/27_MAY_2022___15_12_40/logs/pdks.info
+/home/krishna/Comparator_MPW6/precheck_results/29_MAY_2022___12_33_25/logs/tools.info
+/home/krishna/Comparator_MPW6/precheck_results/29_MAY_2022___12_33_25/logs/gds.info
+/home/krishna/Comparator_MPW6/precheck_results/29_MAY_2022___12_33_25/logs/pdks.info
+/home/krishna/Comparator_MPW6/precheck_results/31_MAY_2022___14_28_48/logs/tools.info
+/home/krishna/Comparator_MPW6/precheck_results/31_MAY_2022___14_28_48/logs/gds.info
+/home/krishna/Comparator_MPW6/precheck_results/31_MAY_2022___14_28_48/logs/pdks.info
+/home/krishna/Comparator_MPW6/precheck_results/27_MAY_2022___15_25_17/logs/tools.info
+/home/krishna/Comparator_MPW6/precheck_results/27_MAY_2022___15_25_17/logs/gds.info
+/home/krishna/Comparator_MPW6/precheck_results/27_MAY_2022___15_25_17/logs/pdks.info
+/home/krishna/Comparator_MPW6/mag/sky130_fd_pr__pfet_01v8_AC5Z8B#0.ext
+/home/krishna/Comparator_MPW6/mag/latch_3.ext
+/home/krishna/Comparator_MPW6/mag/inv_W2.ext
+/home/krishna/Comparator_MPW6/mag/sky130_fd_pr__diode_pw2nd_05v5_KLAK3C.ext
+/home/krishna/Comparator_MPW6/mag/sky130_fd_pr__diode_pw2nd_05v5_FT7GK8.ext
+/home/krishna/Comparator_MPW6/mag/sky130_fd_pr__diode_pw2nd_05v5_3P6M5Y.ext
+/home/krishna/Comparator_MPW6/mag/sky130_fd_pr__pfet_01v8_5SM9EE.ext
+/home/krishna/Comparator_MPW6/mag/latch_22.ext
+/home/krishna/Comparator_MPW6/mag/sky130_fd_pr__diode_pw2nd_05v5_GT7G3L.ext
+/home/krishna/Comparator_MPW6/mag/inv_W2#0.ext
+/home/krishna/Comparator_MPW6/mag/.magicrc
+/home/krishna/Comparator_MPW6/mag/sky130_fd_pr__diode_pd2nw_05v5_G4XDRY.ext
+/home/krishna/Comparator_MPW6/mag/sky130_fd_pr__nfet_01v8_XJTKXQ#1.ext
+/home/krishna/Comparator_MPW6/mag/sky130_fd_pr__nfet_01v8_XJTKXQ.ext
+/home/krishna/Comparator_MPW6/mag/sky130_fd_pr__pfet_01v8_AC5Z8B.ext
+/home/krishna/Comparator_MPW6/mag/buffer_2#1.ext
+/home/krishna/Comparator_MPW6/mag/comparator_v6.ext
+/home/krishna/Comparator_MPW6/mag/buffer_1#0.ext
+/home/krishna/Comparator_MPW6/mag/latch_2.ext
+/home/krishna/Comparator_MPW6/mag/user_analog_project_wrapper.ext
+/home/krishna/Comparator_MPW6/mag/buffer_2#0.ext
+/home/krishna/Comparator_MPW6/mag/sky130_fd_pr__diode_pd2nw_05v5_RT56W3.ext
+/home/krishna/Comparator_MPW6/mag/latch/SR_latch.ext
+/home/krishna/Comparator_MPW6/mag/latch/sky130_fd_pr__pfet_01v8_AC5Z8B#0.ext
+/home/krishna/Comparator_MPW6/mag/latch/sky130A.magicrc
+/home/krishna/Comparator_MPW6/mag/latch/latch_22.ext
+/home/krishna/Comparator_MPW6/mag/latch/sky130_fd_pr__pfet_01v8_AC5E9B.ext
+/home/krishna/Comparator_MPW6/mag/latch/.magicrc
+/home/krishna/Comparator_MPW6/mag/latch/sky130_fd_pr__nfet_01v8_XJTKXQ#1.ext
+/home/krishna/Comparator_MPW6/mag/latch/sky130_fd_pr__nfet_01v8_F5U58G.ext
+/home/krishna/Comparator_MPW6/mag/latch/sky130_fd_pr__pfet_01v8_GJYUB2.ext
+/home/krishna/Comparator_MPW6/mag/latch/latch_2.ext
+/home/krishna/Comparator_MPW6/mag/latch/sky130_fd_pr__pfet_01v8_5SVZDE.ext
+/home/krishna/Comparator_MPW6/mag/latch/latch.ext
+/home/krishna/Comparator_MPW6/mag/preamp/sky130_fd_pr__nfet_01v8_G6PLX8.ext
+/home/krishna/Comparator_MPW6/mag/preamp/preamp_part1.ext
+/home/krishna/Comparator_MPW6/mag/preamp/preamp_part2.ext
+/home/krishna/Comparator_MPW6/mag/preamp/sky130_fd_pr__nfet_01v8_XJTKXQ#0.ext
+/home/krishna/Comparator_MPW6/mag/preamp/sky130_fd_pr__nfet_01v8_F5U58G#1.ext
+/home/krishna/Comparator_MPW6/mag/preamp/.magicrc
+/home/krishna/Comparator_MPW6/mag/preamp/sky130_fd_pr__pfet_01v8_RFM3CD.ext
+/home/krishna/Comparator_MPW6/mag/preamp/sky130_fd_pr__nfet_01v8_8FHE5N.ext
+/home/krishna/Comparator_MPW6/mag/preamp/sky130_fd_pr__nfet_01v8_F5U58G#0.ext
+/home/krishna/Comparator_MPW6/xschem/xschemrc
+/home/krishna/Comparator_MPW6/xschem/buffer.sch
+/home/krishna/Comparator_MPW6/xschem/user_analog_project_wrapper.sym
+/home/krishna/Comparator_MPW6/xschem/SR_latch.sym
+/home/krishna/Comparator_MPW6/xschem/comparator.sch
+/home/krishna/Comparator_MPW6/xschem/comparator.sym
+/home/krishna/Comparator_MPW6/xschem/analog_wrapper_tb.sch
+/home/krishna/Comparator_MPW6/xschem/user_analog_project_wrapper.sch
+/home/krishna/Comparator_MPW6/xschem/.spiceinit
+/home/krishna/Comparator_MPW6/xschem/comparator_tb.sch
+/home/krishna/Comparator_MPW6/xschem/SR_latch.sch
+/home/krishna/Comparator_MPW6/xschem/buffer.sym
+/home/krishna/Comparator_MPW6/docs/Makefile
+/home/krishna/Comparator_MPW6/docs/environment.yml
+/home/krishna/Comparator_MPW6/docs/source/conf.py
+/home/krishna/Comparator_MPW6/docs/source/index.rst
+/home/krishna/Comparator_MPW6/netgen/run_lvs_wrapper_verilog.sh
+/home/krishna/Comparator_MPW6/netgen/run_lvs_por.sh
+/home/krishna/Comparator_MPW6/netgen/run_lvs_wrapper_xschem.sh
diff --git a/precheck_results/01_JUN_2022___10_22_16/logs/tools.info b/precheck_results/01_JUN_2022___10_22_16/logs/tools.info
new file mode 100755
index 0000000..9b2230a
--- /dev/null
+++ b/precheck_results/01_JUN_2022___10_22_16/logs/tools.info
@@ -0,0 +1,2 @@
+KLayout: 0.27.8
+Magic: 8.3.274
\ No newline at end of file
diff --git a/precheck_results/01_JUN_2022___10_22_16/logs/xor_check.log b/precheck_results/01_JUN_2022___10_22_16/logs/xor_check.log
new file mode 100755
index 0000000..8627d61
--- /dev/null
+++ b/precheck_results/01_JUN_2022___10_22_16/logs/xor_check.log
@@ -0,0 +1,179 @@
+Reading file /home/krishna/Comparator_MPW6/gds/user_analog_project_wrapper.gds for cell user_analog_project_wrapper
+dbu=0.001
+cell user_analog_project_wrapper dbu-bbox(ll;ur)=(-4000,-4000;2924000,3524000)
+cell user_analog_project_wrapper dbu-bbox(left,bottom,right,top)=(-4000,-4000,2924000,3524000)
+cell user_analog_project_wrapper dbu-size(width,height)=(2928000,3528000)
+cell user_analog_project_wrapper micron-bbox(left,bottom,right,top)=(-4.0,-4.0,2924.0,3524.0)
+cell user_analog_project_wrapper micron-size(width,height)=(2928.0,3528.0)
+Done.
+
+Magic 8.3 revision 274 - Compiled on Fri Mar  4 22:54:15 UTC 2022.
+Starting magic under Tcl interpreter
+Using the terminal as the console.
+Using NULL graphics device.
+Processing system .magicrc file
+Loading "/home/krishna/mpw_precheckmpw6/checks/xor_check/erase_box.tcl" from command line.
+"sky130(vendor)" is not one of the CIF input styles Magic knows.
+Error: No style is set
+The CIF input styles are: .
+Don't know how to read GDS-II:
+Nothing in "cifinput" section of tech file.
+File user_analog_project_wrapper.mag couldn't be read
+No such file or directory
+Creating new cell
+Root cell box:
+           width x height  (   llx,  lly  ), (   urx,  ury  )  area (units^2)
+
+microns:  43.000 x 3520.000  (-43.000,  0.000), ( 0.000,  3520.000)  151360.000
+lambda:       43 x 3520    (   -43,  0    ), (     0,  3520 )  151360    
+Root cell box:
+           width x height  (   llx,  lly  ), (   urx,  ury  )  area (units^2)
+
+microns:  43.000 x 3520.000  ( 2920.000,  0.000), ( 2963.000,  3520.000)  151360.000
+lambda:       43 x 3520    (  2920,  0    ), (  2963,  3520 )  151360    
+Root cell box:
+           width x height  (   llx,  lly  ), (   urx,  ury  )  area (units^2)
+
+microns:  3006.000 x 38.000  (-43.000, -38.000), ( 2963.000,  0.000)  114228.000
+lambda:     3006 x 38      (   -43, -38   ), (  2963,  0    )  114228    
+Root cell box:
+           width x height  (   llx,  lly  ), (   urx,  ury  )  area (units^2)
+
+microns:  3006.000 x 37.000  (-43.000,  3520.000), ( 2963.000,  3557.000)  111222.000
+lambda:     3006 x 37      (   -43,  3520 ), (  2963,  3557 )  111222    
+Unrecognized layer: metal5
+Layer names are:
+    mag or magnet
+    f or fence
+    r or rotate
+    $
+    *
+    errors
+    labels
+    subcell
+    connect
+Unrecognized layer: metal4
+Layer names are:
+    mag or magnet
+    f or fence
+    r or rotate
+    $
+    *
+    errors
+    labels
+    subcell
+    connect
+Unrecognized layer: metal5
+Layer names are:
+    mag or magnet
+    f or fence
+    r or rotate
+    $
+    *
+    errors
+    labels
+    subcell
+    connect
+Unrecognized layer: metal4
+Layer names are:
+    mag or magnet
+    f or fence
+    r or rotate
+    $
+    *
+    errors
+    labels
+    subcell
+    connect
+No CIF/GDS output style set!
+I/O error in writing file /home/krishna/Comparator_MPW6/precheck_results/01_JUN_2022___10_22_16/outputs/user_analog_project_wrapper_erased.gds.
+File may be incompletely written.
+
+Magic 8.3 revision 274 - Compiled on Fri Mar  4 22:54:15 UTC 2022.
+Starting magic under Tcl interpreter
+Using the terminal as the console.
+Using NULL graphics device.
+Processing system .magicrc file
+Loading "/home/krishna/mpw_precheckmpw6/checks/xor_check/erase_box.tcl" from command line.
+"sky130(vendor)" is not one of the CIF input styles Magic knows.
+Error: No style is set
+The CIF input styles are: .
+Don't know how to read GDS-II:
+Nothing in "cifinput" section of tech file.
+File user_analog_project_wrapper.mag couldn't be read
+No such file or directory
+Creating new cell
+Root cell box:
+           width x height  (   llx,  lly  ), (   urx,  ury  )  area (units^2)
+
+microns:  43.000 x 3520.000  (-43.000,  0.000), ( 0.000,  3520.000)  151360.000
+lambda:       43 x 3520    (   -43,  0    ), (     0,  3520 )  151360    
+Root cell box:
+           width x height  (   llx,  lly  ), (   urx,  ury  )  area (units^2)
+
+microns:  43.000 x 3520.000  ( 2920.000,  0.000), ( 2963.000,  3520.000)  151360.000
+lambda:       43 x 3520    (  2920,  0    ), (  2963,  3520 )  151360    
+Root cell box:
+           width x height  (   llx,  lly  ), (   urx,  ury  )  area (units^2)
+
+microns:  3006.000 x 38.000  (-43.000, -38.000), ( 2963.000,  0.000)  114228.000
+lambda:     3006 x 38      (   -43, -38   ), (  2963,  0    )  114228    
+Root cell box:
+           width x height  (   llx,  lly  ), (   urx,  ury  )  area (units^2)
+
+microns:  3006.000 x 37.000  (-43.000,  3520.000), ( 2963.000,  3557.000)  111222.000
+lambda:     3006 x 37      (   -43,  3520 ), (  2963,  3557 )  111222    
+Unrecognized layer: metal5
+Layer names are:
+    mag or magnet
+    f or fence
+    r or rotate
+    $
+    *
+    errors
+    labels
+    subcell
+    connect
+Unrecognized layer: metal4
+Layer names are:
+    mag or magnet
+    f or fence
+    r or rotate
+    $
+    *
+    errors
+    labels
+    subcell
+    connect
+Unrecognized layer: metal5
+Layer names are:
+    mag or magnet
+    f or fence
+    r or rotate
+    $
+    *
+    errors
+    labels
+    subcell
+    connect
+Unrecognized layer: metal4
+Layer names are:
+    mag or magnet
+    f or fence
+    r or rotate
+    $
+    *
+    errors
+    labels
+    subcell
+    connect
+No CIF/GDS output style set!
+I/O error in writing file /home/krishna/Comparator_MPW6/precheck_results/01_JUN_2022___10_22_16/outputs/user_analog_project_wrapper_empty_erased.gds.
+File may be incompletely written.
+Reading /home/krishna/Comparator_MPW6/precheck_results/01_JUN_2022___10_22_16/outputs/user_analog_project_wrapper_erased.gds ..
+ERROR: In /home/krishna/mpw_precheckmpw6/checks/xor_check/xor.rb.drc: 'source': Stream has unknown format: /home/krishna/Comparator_MPW6/precheck_results/01_JUN_2022___10_22_16/outputs/user_analog_project_wrapper_erased.gds in Layout::read
+Total elapsed: 0.000s  Memory: 521.00M
+ERROR: 'source': Stream has unknown format: /home/krishna/Comparator_MPW6/precheck_results/01_JUN_2022___10_22_16/outputs/user_analog_project_wrapper_erased.gds in Layout::read in Executable::execute
+  /home/krishna/mpw_precheckmpw6/checks/xor_check/xor.rb.drc:15:in `execute'
+  :/built-in-macros/drc_interpreters.lym:27:in `instance_eval'
+  :/built-in-macros/drc_interpreters.lym:27:in `execute'
diff --git a/precheck_results/01_JUN_2022___10_22_16/outputs/reports/klayout_beol_check.xml b/precheck_results/01_JUN_2022___10_22_16/outputs/reports/klayout_beol_check.xml
new file mode 100755
index 0000000..9ad4ffd
--- /dev/null
+++ b/precheck_results/01_JUN_2022___10_22_16/outputs/reports/klayout_beol_check.xml
@@ -0,0 +1,447 @@
+<?xml version="1.0" encoding="utf-8"?>
+<report-database>
+ <description>SKY130 DRC runset</description>
+ <original-file/>
+ <generator>drc: script='/home/krishna/mpw_precheckmpw6/checks/tech-files/sky130A_mr.drc'</generator>
+ <top-cell>user_analog_project_wrapper</top-cell>
+ <tags>
+ </tags>
+ <categories>
+  <category>
+   <name>li.1</name>
+   <description>li.1 : min. li width : 0.17um</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>li.3</name>
+   <description>li.3 : min. li spacing : 0.17um</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>li.5</name>
+   <description>li.5 : min. li enclosure of licon of 2 adjacent edges : 0.08um</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>li.6</name>
+   <description>li.6 : min. li area : 0.0561um²</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>ct.1</name>
+   <description>ct.1: non-ring mcon should be rectangular</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>ct.1_a</name>
+   <description>ct.1_a : minimum width of mcon : 0.17um</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>ct.1_b</name>
+   <description>ct.1_b : maximum length of mcon : 0.17um</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>ct.2</name>
+   <description>ct.2 : min. mcon spacing : 0.19um</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>ct.4</name>
+   <description>ct.4 : mcon should covered by li</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>m1.1</name>
+   <description>m1.1 : min. m1 width : 0.14um</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>m1.2</name>
+   <description>m1.2 : min. m1 spacing : 0.14um</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>m1.3ab</name>
+   <description>m1.3ab : min. 3um.m1 spacing m1 : 0.28um</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>791_m1.4</name>
+   <description>791_m1.4 : min. m1 enclosure of mcon : 0.03um</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>m1.4</name>
+   <description>m1.4 : mcon periphery must be enclosed by m1</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>m1.4a</name>
+   <description>m1.4a : min. m1 enclosure of mcon for specific cells : 0.005um</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>m1.4a_a</name>
+   <description>m1.4a_a : mcon periph must be enclosed by met1 for specific cells</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>m1.6</name>
+   <description>m1.6 : min. m1 area : 0.083um²</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>m1.7</name>
+   <description>m1.7 : min. m1 with holes area : 0.14um²</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>m1.5</name>
+   <description>m1.5 : min. m1 enclosure of mcon of 2 adjacent edges : 0.06um</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>via.1a</name>
+   <description>via.1a : via outside of moduleCut should be rectangular</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>via.1a_a</name>
+   <description>via.1a_a : min. width of via outside of moduleCut : 0.15um</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>via.1a_b</name>
+   <description>via.1a_b : maximum length of via : 0.15um</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>via.2</name>
+   <description>via.2 : min. via spacing : 0.17um</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>via.4a</name>
+   <description>via.4a : min. m1 enclosure of 0.15um via : 0.055um</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>via.4a_a</name>
+   <description>via.4a_a : 0.15um via must be enclosed by met1</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>via.5a</name>
+   <description>via.5a : min. m1 enclosure of 0.15um via of 2 adjacent edges : 0.085um</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>m2.1</name>
+   <description>m2.1 : min. m2 width : 0.14um</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>m2.2</name>
+   <description>m2.2 : min. m2 spacing : 0.14um</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>m2.3ab</name>
+   <description>m2.3ab : min. 3um.m2 spacing m2 : 0.28um</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>m2.6</name>
+   <description>m2.6 : min. m2 area : 0.0676um²</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>m2.7</name>
+   <description>m2.7 : min. m2 holes area : 0.14um²</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>m2.4</name>
+   <description>m2.4 : min. m2 enclosure of via : 0.055um</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>m2.4_a</name>
+   <description>m2.4_a : via in periphery must be enclosed by met2</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>m2.5</name>
+   <description>m2.5 : min. m2 enclosure of via of 2 adjacent edges : 0.085um</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>via2.1a</name>
+   <description>via2.1a : via2 outside of moduleCut should be rectangular</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>via2.1a_a</name>
+   <description>via2.1a_a : min. width of via2 outside of moduleCut : 0.2um</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>via2.1a_b</name>
+   <description>via2.1a_b : maximum length of via2 : 0.2um</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>via2.2</name>
+   <description>via2.2 : min. via2 spacing : 0.2um</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>via2.4</name>
+   <description>via2.4 : min. m2 enclosure of via2 : 0.04um</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>via2.4_a</name>
+   <description>via2.4_a : via must be enclosed by met2</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>via2.5</name>
+   <description>via2.5 : min. m3 enclosure of via2 of 2 adjacent edges : 0.085um</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>m3.1</name>
+   <description>m3.1 : min. m3 width : 0.3um</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>m3.2</name>
+   <description>m3.2 : min. m3 spacing : 0.3um</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>m3.3cd</name>
+   <description>m3.3cd : min. 3um.m3 spacing m3 : 0.4um</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>m3.4</name>
+   <description>m3.4 : min. m3 enclosure of via2 : 0.065um</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>m3.4_a</name>
+   <description>m3.4_a : via2 must be enclosed by met3</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>via3.1</name>
+   <description>via3.1 : via3 outside of moduleCut should be rectangular</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>via3.1_a</name>
+   <description>via3.1_a : min. width of via3 outside of moduleCut : 0.2um</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>via3.1_b</name>
+   <description>via3.1_b : maximum length of via3 : 0.2um</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>via3.2</name>
+   <description>via3.2 : min. via3 spacing : 0.2um</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>via3.4</name>
+   <description>via3.4 : min. m3 enclosure of via3 : 0.06um</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>via3.4_a</name>
+   <description>via3.4_a : non-ring via3 must be enclosed by met3</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>via3.5</name>
+   <description>via3.5 : min. m3 enclosure of via3 of 2 adjacent edges : 0.09um</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>m4.1</name>
+   <description>m4.1 : min. m4 width : 0.3um</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>m4.2</name>
+   <description>m4.2 : min. m4 spacing : 0.3um</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>m4.4a</name>
+   <description>m4.4a : min. m4 area : 0.240um²</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>m4.5ab</name>
+   <description>m4.5ab : min. 3um.m4 spacing m4 : 0.4um</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>m4.3</name>
+   <description>m4.3 : min. m4 enclosure of via3 : 0.065um</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>m4.3_a</name>
+   <description>m4.3_a : via3 must be enclosed by met4</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>via4.1</name>
+   <description>via4.1 : via4 outside of moduleCut should be rectangular</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>via4.1_a</name>
+   <description>via4.1_a : min. width of via4 outside of moduleCut : 0.8um</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>via4.1_b</name>
+   <description>via4.1_b : maximum length of via4 : 0.8um</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>via4.2</name>
+   <description>via4.2 : min. via4 spacing : 0.8um</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>via4.4</name>
+   <description>via4.4 : min. m4 enclosure of via4 : 0.19um</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>via4.4_a</name>
+   <description>via4.4_a : m4 must enclose all via4</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>m5.1</name>
+   <description>m5.1 : min. m5 width : 1.6um</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>m5.2</name>
+   <description>m5.2 : min. m5 spacing : 1.6um</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>m5.3</name>
+   <description>m5.3 : min. m5 enclosure of via4 : 0.31um</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>m5.3_a</name>
+   <description>m5.3_a : via must be enclosed by m5</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>m5.4</name>
+   <description>m5.4 : min. m5 area : 4.0um²</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>pad.2</name>
+   <description>pad.2 : min. pad spacing : 1.27um</description>
+   <categories>
+   </categories>
+  </category>
+ </categories>
+ <cells>
+  <cell>
+   <name>user_analog_project_wrapper</name>
+   <variant/>
+   <references>
+   </references>
+  </cell>
+ </cells>
+ <items>
+ </items>
+</report-database>
diff --git a/precheck_results/01_JUN_2022___10_22_16/outputs/reports/klayout_feol_check.xml b/precheck_results/01_JUN_2022___10_22_16/outputs/reports/klayout_feol_check.xml
new file mode 100755
index 0000000..5c0c69c
--- /dev/null
+++ b/precheck_results/01_JUN_2022___10_22_16/outputs/reports/klayout_feol_check.xml
@@ -0,0 +1,363 @@
+<?xml version="1.0" encoding="utf-8"?>
+<report-database>
+ <description>SKY130 DRC runset</description>
+ <original-file/>
+ <generator>drc: script='/home/krishna/mpw_precheckmpw6/checks/tech-files/sky130A_mr.drc'</generator>
+ <top-cell>user_analog_project_wrapper</top-cell>
+ <tags>
+ </tags>
+ <categories>
+  <category>
+   <name>dnwell.2</name>
+   <description>dnwell.2 : min. dnwell width : 3.0um</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>nwell.1</name>
+   <description>nwell.1 : min. nwell width : 0.84um</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>nwell.2a</name>
+   <description>nwell.2a : min. nwell spacing (merged if less) : 1.27um</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>nwell.6</name>
+   <description>nwell.6 : min enclosure of nwellHole by dnwell : 1.03um</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>hvtp.1</name>
+   <description>hvtp.1 : min. hvtp width : 0.38um</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>hvtp.2</name>
+   <description>hvtp.2 : min. hvtp spacing : 0.38um</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>hvtr.1</name>
+   <description>hvtr.1 : min. hvtr width : 0.38um</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>hvtr.2</name>
+   <description>hvtr.2 : min. hvtr spacing : 0.38um</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>hvtr.2_a</name>
+   <description>hvtr.2_a : hvtr must not overlap hvtp</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>lvtn.1a</name>
+   <description>lvtn.1a : min. lvtn width : 0.38um</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>lvtn.2</name>
+   <description>lvtn.2 : min. lvtn spacing : 0.38um</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>ncm.1</name>
+   <description>ncm.1 : min. ncm width : 0.38um</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>ncm.2a</name>
+   <description>ncm.2a : min. ncm spacing : 0.38um</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>difftap.1</name>
+   <description>difftap.1 : min. diff width across areaid:ce : 0.15um</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>difftap.1_a</name>
+   <description>difftap.1_a : min. diff width in periphery : 0.15um</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>difftap.1_b</name>
+   <description>difftap.1_b : min. tap width across areaid:ce : 0.15um</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>difftap.1_c</name>
+   <description>difftap.1_c : min. tap width in periphery : 0.15um</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>difftap.3</name>
+   <description>difftap.3 : min. difftap spacing : 0.27um</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>tunm.1</name>
+   <description>tunm.1 : min. tunm width : 0.41um</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>tunm.2</name>
+   <description>tunm.2 : min. tunm spacing : 0.5um</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>poly.1a</name>
+   <description>poly.1a : min. poly width : 0.15um</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>poly.2</name>
+   <description>poly.2 : min. poly spacing : 0.21um</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>rpm.1a</name>
+   <description>rpm.1a : min. rpm width : 1.27um</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>rpm.2</name>
+   <description>rpm.2 : min. rpm spacing : 0.84um</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>urpm.1a</name>
+   <description>urpm.1a : min. rpm width : 1.27um</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>urpm.2</name>
+   <description>urpm.2 : min. rpm spacing : 0.84um</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>npc.1</name>
+   <description>npc.1 : min. npc width : 0.27um</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>npc.2</name>
+   <description>npc.2 : min. npc spacing, should be manually merged if less than : 0.27um</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>nsd.1</name>
+   <description>nsd.1 : min. nsdm width : 0.38um</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>nsd.2</name>
+   <description>nsd.2 : min. nsdm spacing, should be manually merged if less than : 0.38um</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>psd.1</name>
+   <description>psd.1 : min. psdm width : 0.38um</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>psd.2</name>
+   <description>psd.2 : min. psdm spacing, should be manually merged if less than : 0.38um</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>licon.1</name>
+   <description>licon.1 : licon should be rectangle</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>licon.1_a/b</name>
+   <description>licon.1_a/b : minimum/maximum width of licon : 0.17um</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>licon.13</name>
+   <description>licon.13 : min. difftap licon spacing to npc : 0.09um</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>licon.13_a</name>
+   <description>licon.13_a : licon of diffTap in periphery must not overlap npc</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>licon.17</name>
+   <description>licon.17 : Licons may not overlap both poly and (diff or tap)</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>capm.1</name>
+   <description>capm.1 : min. capm width : 1.0um</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>capm.2a</name>
+   <description>capm.2a : min. capm spacing : 0.84um</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>capm.2b</name>
+   <description>capm.2b : min. capm spacing : 1.2um</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>capm.2b_a</name>
+   <description>capm.2b_a : min. spacing of m3_bot_plate : 1.2um</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>capm.3</name>
+   <description>capm.3 : min. capm and m3 enclosure of m3 : 0.14um</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>capm.3_a</name>
+   <description>capm.3_a : min. m3 enclosure of capm : 0.14um</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>capm.4</name>
+   <description>capm.4 : min. capm enclosure of via3 : 0.14um</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>capm.5</name>
+   <description>capm.5 : min. capm spacing to via3 : 0.14um</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>cap2m.1</name>
+   <description>cap2m.1 : min. cap2m width : 1.0um</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>cap2m.2a</name>
+   <description>cap2m.2a : min. cap2m spacing : 0.84um</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>cap2m.2b</name>
+   <description>cap2m.2b : min. cap2m spacing : 1.2um</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>cap2m.2b_a</name>
+   <description>cap2m.2b_a : min. spacing of m4_bot_plate : 1.2um</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>cap2m.3</name>
+   <description>cap2m.3 : min. m4 enclosure of cap2m : 0.14um</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>cap2m.3_a</name>
+   <description>cap2m.3_a : min. m4 enclosure of cap2m : 0.14um</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>cap2m.4</name>
+   <description>cap2m.4 : min. cap2m enclosure of via4 : 0.14um</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>cap2m.5</name>
+   <description>cap2m.5 : min. cap2m spacing to via4 : 0.14um</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>hvi.1</name>
+   <description>hvi.1 : min. hvi width : 0.6um</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>hvi.2a</name>
+   <description>hvi.2a : min. hvi spacing : 0.7um</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>hvntm.1</name>
+   <description>hvntm.1 : min. hvntm width : 0.7um</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>hvntm.2</name>
+   <description>hvntm.2 : min. hvntm spacing : 0.7um</description>
+   <categories>
+   </categories>
+  </category>
+ </categories>
+ <cells>
+  <cell>
+   <name>user_analog_project_wrapper</name>
+   <variant/>
+   <references>
+   </references>
+  </cell>
+ </cells>
+ <items>
+ </items>
+</report-database>
diff --git a/precheck_results/01_JUN_2022___10_22_16/outputs/reports/klayout_met_min_ca_density_check.xml b/precheck_results/01_JUN_2022___10_22_16/outputs/reports/klayout_met_min_ca_density_check.xml
new file mode 100755
index 0000000..dc650ad
--- /dev/null
+++ b/precheck_results/01_JUN_2022___10_22_16/outputs/reports/klayout_met_min_ca_density_check.xml
@@ -0,0 +1,21 @@
+<?xml version="1.0" encoding="utf-8"?>
+<report-database>
+ <description>Density Checks</description>
+ <original-file/>
+ <generator>drc: script='/home/krishna/mpw_precheckmpw6/checks/drc_checks/klayout/met_min_ca_density.lydrc'</generator>
+ <top-cell>user_analog_project_wrapper</top-cell>
+ <tags>
+ </tags>
+ <categories>
+ </categories>
+ <cells>
+  <cell>
+   <name>user_analog_project_wrapper</name>
+   <variant/>
+   <references>
+   </references>
+  </cell>
+ </cells>
+ <items>
+ </items>
+</report-database>
diff --git a/precheck_results/01_JUN_2022___10_22_16/outputs/reports/klayout_offgrid_check.xml b/precheck_results/01_JUN_2022___10_22_16/outputs/reports/klayout_offgrid_check.xml
new file mode 100755
index 0000000..80a7de2
--- /dev/null
+++ b/precheck_results/01_JUN_2022___10_22_16/outputs/reports/klayout_offgrid_check.xml
@@ -0,0 +1,483 @@
+<?xml version="1.0" encoding="utf-8"?>
+<report-database>
+ <description>SKY130 DRC runset</description>
+ <original-file/>
+ <generator>drc: script='/home/krishna/mpw_precheckmpw6/checks/tech-files/sky130A_mr.drc'</generator>
+ <top-cell>user_analog_project_wrapper</top-cell>
+ <tags>
+ </tags>
+ <categories>
+  <category>
+   <name>dnwell_OFFGRID</name>
+   <description>x.1b : OFFGRID vertex on dnwell</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>dnwell_angle</name>
+   <description>x.3a : non 45 degree angle dnwell</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>nwell_OFFGRID</name>
+   <description>x.1b : OFFGRID vertex on nwell</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>nwell_angle</name>
+   <description>x.3a : non 45 degree angle nwell</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>pwbm_OFFGRID</name>
+   <description>x.1b : OFFGRID vertex on pwbm</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>pwbm_angle</name>
+   <description>x.3a : non 45 degree angle pwbm</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>pwde_OFFGRID</name>
+   <description>x.1b : OFFGRID vertex on pwde</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>pwde_angle</name>
+   <description>x.3a : non 45 degree angle pwde</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>hvtp_OFFGRID</name>
+   <description>x.1b : OFFGRID vertex on hvtp</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>hvtp_angle</name>
+   <description>x.3a : non 45 degree angle hvtp</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>hvtr_OFFGRID</name>
+   <description>x.1b : OFFGRID vertex on hvtr</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>hvtr_angle</name>
+   <description>x.3a : non 45 degree angle hvtr</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>lvtn_OFFGRID</name>
+   <description>x.1b : OFFGRID vertex on lvtn</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>lvtn_angle</name>
+   <description>x.3a : non 45 degree angle lvtn</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>ncm_OFFGRID</name>
+   <description>x.1b : OFFGRID vertex on ncm</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>ncm_angle</name>
+   <description>x.3a : non 45 degree angle ncm</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>diff_OFFGRID</name>
+   <description>x.1b : OFFGRID vertex on diff</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>tap_OFFGRID</name>
+   <description>x.1b : OFFGRID vertex on tap</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>diff_angle</name>
+   <description>x.2 : non 90 degree angle diff</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>diff_angle</name>
+   <description>x.2c : non 45 degree angle diff</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>tap_angle</name>
+   <description>x.2 : non 90 degree angle tap</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>tap_angle</name>
+   <description>x.2c : non 45 degree angle tap</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>tunm_OFFGRID</name>
+   <description>x.1b : OFFGRID vertex on tunm</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>tunm_angle</name>
+   <description>x.3a : non 45 degree angle tunm</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>poly_OFFGRID</name>
+   <description>x.1b : OFFGRID vertex on poly</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>poly_angle</name>
+   <description>x.2 : non 90 degree angle poly</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>rpm_OFFGRID</name>
+   <description>x.1b : OFFGRID vertex on rpm</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>rpm_angle</name>
+   <description>x.3a : non 45 degree angle rpm</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>npc_OFFGRID</name>
+   <description>x.1b : OFFGRID vertex on npc</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>npc_angle</name>
+   <description>x.3a : non 45 degree angle npc</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>nsdm_OFFGRID</name>
+   <description>x.1b : OFFGRID vertex on nsdm</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>nsdm_angle</name>
+   <description>x.3a : non 45 degree angle nsdm</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>psdm_OFFGRID</name>
+   <description>x.1b : OFFGRID vertex on psdm</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>psdm_angle</name>
+   <description>x.3a : non 45 degree angle psdm</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>licon_OFFGRID</name>
+   <description>x.1b : OFFGRID vertex on licon</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>licon_angle</name>
+   <description>x.2 : non 90 degree angle licon</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>li_OFFGRID</name>
+   <description>x.1b : OFFGRID vertex on li</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>li_angle</name>
+   <description>x.3a : non 45 degree angle li</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>ct_OFFGRID</name>
+   <description>x.1b : OFFGRID vertex on mcon</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>ct_angle</name>
+   <description>x.2 : non 90 degree angle mcon</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>vpp_OFFGRID</name>
+   <description>x.1b : OFFGRID vertex on vpp</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>vpp_angle</name>
+   <description>x.3a : non 45 degree angle vpp</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>m1_OFFGRID</name>
+   <description>x.1b : OFFGRID vertex on m1</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>m1_angle</name>
+   <description>x.3a : non 45 degree angle m1</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>via_OFFGRID</name>
+   <description>x.1b : OFFGRID vertex on via</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>via_angle</name>
+   <description>x.2 : non 90 degree angle via</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>m2_OFFGRID</name>
+   <description>x.1b : OFFGRID vertex on m2</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>m2_angle</name>
+   <description>x.3a : non 45 degree angle m2</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>via2_OFFGRID</name>
+   <description>x.1b : OFFGRID vertex on via2</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>via2_angle</name>
+   <description>x.2 : non 90 degree angle via2</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>m3_OFFGRID</name>
+   <description>x.1b : OFFGRID vertex on m3</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>m3_angle</name>
+   <description>x.3a : non 45 degree angle m3</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>via3_OFFGRID</name>
+   <description>x.1b : OFFGRID vertex on via3</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>via3_angle</name>
+   <description>x.2 : non 90 degree angle via3</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>nsm_OFFGRID</name>
+   <description>x.1b : OFFGRID vertex on nsm</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>nsm_angle</name>
+   <description>x.3a : non 45 degree angle nsm</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>m4_OFFGRID</name>
+   <description>x.1b : OFFGRID vertex on m4</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>m4_angle</name>
+   <description>x.3a : non 45 degree angle m4</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>via4_OFFGRID</name>
+   <description>x.1b : OFFGRID vertex on via4</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>via4_angle</name>
+   <description>x.2 : non 90 degree angle via4</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>m5_OFFGRID</name>
+   <description>x.1b : OFFGRID vertex on m5</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>m5_angle</name>
+   <description>x.3a : non 45 degree angle m5</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>pad_OFFGRID</name>
+   <description>x.1b : OFFGRID vertex on pad</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>pad_angle</name>
+   <description>x.3a : non 45 degree angle pad</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>mf_OFFGRID</name>
+   <description>x.1b : OFFGRID vertex on mf</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>mf_angle</name>
+   <description>x.2 : non 90 degree angle mf</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>hvi_OFFGRID</name>
+   <description>x.1b : OFFGRID vertex on hvi</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>hvi_angle</name>
+   <description>x.3a : non 45 degree angle hvi</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>hvntm_OFFGRID</name>
+   <description>x.1b : OFFGRID vertex on hvntm</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>hvntm_angle</name>
+   <description>x.3a : non 45 degree angle hvntm</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>vhvi_OFFGRID</name>
+   <description>x.1b : OFFGRID vertex on vhvi</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>vhvi_angle</name>
+   <description>x.3a : non 45 degree angle vhvi</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>uhvi_OFFGRID</name>
+   <description>x.1b : OFFGRID vertex on uhvi</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>uhvi_angle</name>
+   <description>x.3a : non 45 degree angle uhvi</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>pwell_rs_OFFGRID</name>
+   <description>x.1b : OFFGRID vertex on pwell_rs</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>pwell_rs_angle</name>
+   <description>x.3a : non 45 degree angle pwell_rs</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>areaid_re_OFFGRID</name>
+   <description>x.1b : OFFGRID vertex on areaid.re</description>
+   <categories>
+   </categories>
+  </category>
+ </categories>
+ <cells>
+  <cell>
+   <name>user_analog_project_wrapper</name>
+   <variant/>
+   <references>
+   </references>
+  </cell>
+ </cells>
+ <items>
+ </items>
+</report-database>
diff --git a/precheck_results/01_JUN_2022___10_22_16/outputs/reports/klayout_pin_label_purposes_overlapping_drawing_check.xml b/precheck_results/01_JUN_2022___10_22_16/outputs/reports/klayout_pin_label_purposes_overlapping_drawing_check.xml
new file mode 100755
index 0000000..17d072c
--- /dev/null
+++ b/precheck_results/01_JUN_2022___10_22_16/outputs/reports/klayout_pin_label_purposes_overlapping_drawing_check.xml
@@ -0,0 +1,66 @@
+<?xml version="1.0" encoding="utf-8"?>
+<report-database>
+ <description>pin_label_purposes_overlapping_drawing.rb.drc, input=/home/krishna/Comparator_MPW6/gds/user_analog_project_wrapper.gds, topcell=user_analog_project_wrapper</description>
+ <original-file/>
+ <generator>drc: script='/home/krishna/mpw_precheckmpw6/checks/drc_checks/klayout/pin_label_purposes_overlapping_drawing.rb.drc'</generator>
+ <top-cell>user_analog_project_wrapper</top-cell>
+ <tags>
+ </tags>
+ <categories>
+  <category>
+   <name>70/16: met3, pin/label not-over drawing:70/20</name>
+   <description/>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>72/16: met5, pin/label not-over drawing:72/20</name>
+   <description/>
+   <categories>
+   </categories>
+  </category>
+ </categories>
+ <cells>
+  <cell>
+   <name>user_analog_project_wrapper</name>
+   <variant/>
+   <references>
+   </references>
+  </cell>
+ </cells>
+ <items>
+  <item>
+   <tags/>
+   <category>'70/16: met3, pin/label not-over drawing:70/20'</category>
+   <cell>user_analog_project_wrapper</cell>
+   <visited>false</visited>
+   <multiplicity>1</multiplicity>
+   <image/>
+   <values>
+    <value>polygon: (852.9,3511.5;852.9,3513.28;852.98,3513.28;852.98,3511.5)</value>
+   </values>
+  </item>
+  <item>
+   <tags/>
+   <category>'72/16: met5, pin/label not-over drawing:72/20'</category>
+   <cell>user_analog_project_wrapper</cell>
+   <visited>false</visited>
+   <multiplicity>1</multiplicity>
+   <image/>
+   <values>
+    <value>polygon: (879.47,3523.57;879.47,3524;879.48,3524;879.48,3523.57)</value>
+   </values>
+  </item>
+  <item>
+   <tags/>
+   <category>'72/16: met5, pin/label not-over drawing:72/20'</category>
+   <cell>user_analog_project_wrapper</cell>
+   <visited>false</visited>
+   <multiplicity>1</multiplicity>
+   <image/>
+   <values>
+    <value>polygon: (827.97,3512.7;827.97,3524;827.98,3524;827.98,3512.7)</value>
+   </values>
+  </item>
+ </items>
+</report-database>
diff --git a/precheck_results/01_JUN_2022___10_22_16/outputs/reports/klayout_zeroarea_check.xml b/precheck_results/01_JUN_2022___10_22_16/outputs/reports/klayout_zeroarea_check.xml
new file mode 100755
index 0000000..c90408a
--- /dev/null
+++ b/precheck_results/01_JUN_2022___10_22_16/outputs/reports/klayout_zeroarea_check.xml
@@ -0,0 +1,21 @@
+<?xml version="1.0" encoding="utf-8"?>
+<report-database>
+ <description>zero area check</description>
+ <original-file/>
+ <generator>drc: script='/home/krishna/mpw_precheckmpw6/checks/drc_checks/klayout/zeroarea.rb.drc'</generator>
+ <top-cell>user_analog_project_wrapper</top-cell>
+ <tags>
+ </tags>
+ <categories>
+ </categories>
+ <cells>
+  <cell>
+   <name>user_analog_project_wrapper</name>
+   <variant/>
+   <references>
+   </references>
+  </cell>
+ </cells>
+ <items>
+ </items>
+</report-database>
diff --git a/precheck_results/01_JUN_2022___10_22_16/outputs/reports/magic_drc_check.drc.report b/precheck_results/01_JUN_2022___10_22_16/outputs/reports/magic_drc_check.drc.report
new file mode 100755
index 0000000..829b9d5
--- /dev/null
+++ b/precheck_results/01_JUN_2022___10_22_16/outputs/reports/magic_drc_check.drc.report
@@ -0,0 +1,5 @@
+user_analog_project_wrapper
+----------------------------------------
+[INFO]: COUNT: 0
+[INFO]: Should be divided by 3 or 4
+
diff --git a/precheck_results/01_JUN_2022___10_22_16/outputs/reports/magic_drc_check.rdb b/precheck_results/01_JUN_2022___10_22_16/outputs/reports/magic_drc_check.rdb
new file mode 100755
index 0000000..8a882f3
--- /dev/null
+++ b/precheck_results/01_JUN_2022___10_22_16/outputs/reports/magic_drc_check.rdb
@@ -0,0 +1,2 @@
+$user_analog_project_wrapper
+ 100
diff --git a/precheck_results/01_JUN_2022___10_22_16/outputs/reports/magic_drc_check.tcl b/precheck_results/01_JUN_2022___10_22_16/outputs/reports/magic_drc_check.tcl
new file mode 100755
index 0000000..e69de29
--- /dev/null
+++ b/precheck_results/01_JUN_2022___10_22_16/outputs/reports/magic_drc_check.tcl
diff --git a/precheck_results/01_JUN_2022___10_22_16/outputs/reports/magic_drc_check.tr b/precheck_results/01_JUN_2022___10_22_16/outputs/reports/magic_drc_check.tr
new file mode 100755
index 0000000..e69de29
--- /dev/null
+++ b/precheck_results/01_JUN_2022___10_22_16/outputs/reports/magic_drc_check.tr
diff --git a/precheck_results/01_JUN_2022___10_22_16/outputs/reports/magic_drc_check.xml b/precheck_results/01_JUN_2022___10_22_16/outputs/reports/magic_drc_check.xml
new file mode 100755
index 0000000..7161d7c
--- /dev/null
+++ b/precheck_results/01_JUN_2022___10_22_16/outputs/reports/magic_drc_check.xml
@@ -0,0 +1,10 @@
+<?xml version="1.0" ?>
+<report-database>
+    <categories/>
+    <cells>
+        <cell>
+            <name>user_analog_project_wrapper</name>
+        </cell>
+    </cells>
+    <items/>
+</report-database>
diff --git a/precheck_results/01_JUN_2022___10_22_16/outputs/user_analog_project_wrapper.magic.drc.mag b/precheck_results/01_JUN_2022___10_22_16/outputs/user_analog_project_wrapper.magic.drc.mag
new file mode 100755
index 0000000..bc7865b
--- /dev/null
+++ b/precheck_results/01_JUN_2022___10_22_16/outputs/user_analog_project_wrapper.magic.drc.mag
@@ -0,0 +1,7 @@
+magic
+tech minimum
+magscale 1 2
+timestamp 0
+<< checkpaint >>
+rect 0 0 1 1
+<< end >>
diff --git a/precheck_results/01_JUN_2022___10_22_16/outputs/user_analog_project_wrapper_empty_erased.gds b/precheck_results/01_JUN_2022___10_22_16/outputs/user_analog_project_wrapper_empty_erased.gds
new file mode 100755
index 0000000..e69de29
--- /dev/null
+++ b/precheck_results/01_JUN_2022___10_22_16/outputs/user_analog_project_wrapper_empty_erased.gds
diff --git a/precheck_results/01_JUN_2022___10_22_16/outputs/user_analog_project_wrapper_erased.gds b/precheck_results/01_JUN_2022___10_22_16/outputs/user_analog_project_wrapper_erased.gds
new file mode 100755
index 0000000..e69de29
--- /dev/null
+++ b/precheck_results/01_JUN_2022___10_22_16/outputs/user_analog_project_wrapper_erased.gds
diff --git a/precheck_results/01_JUN_2022___10_22_16/outputs/user_analog_project_wrapper_no_zero_areas.gds b/precheck_results/01_JUN_2022___10_22_16/outputs/user_analog_project_wrapper_no_zero_areas.gds
new file mode 100755
index 0000000..25ab39e
--- /dev/null
+++ b/precheck_results/01_JUN_2022___10_22_16/outputs/user_analog_project_wrapper_no_zero_areas.gds
Binary files differ
diff --git a/precheck_results/01_JUN_2022___10_26_55/logs/gds.info b/precheck_results/01_JUN_2022___10_26_55/logs/gds.info
new file mode 100755
index 0000000..40822e6
--- /dev/null
+++ b/precheck_results/01_JUN_2022___10_26_55/logs/gds.info
@@ -0,0 +1 @@
+user_analog_project_wrapper.gds: 34c33fb592f107568df6d082ab4736d23359a425
\ No newline at end of file
diff --git a/precheck_results/01_JUN_2022___10_26_55/logs/klayout_beol_check.log b/precheck_results/01_JUN_2022___10_26_55/logs/klayout_beol_check.log
new file mode 100755
index 0000000..347e617
--- /dev/null
+++ b/precheck_results/01_JUN_2022___10_26_55/logs/klayout_beol_check.log
@@ -0,0 +1,992 @@
+/home/krishna/mpw_precheckmpw6/checks/tech-files/sky130A_mr.drc:38: warning: already initialized constant DRC::DRCEngine::FEOL
+/home/krishna/mpw_precheckmpw6/checks/tech-files/sky130A_mr.drc:28: warning: previous definition of FEOL was here
+/home/krishna/mpw_precheckmpw6/checks/tech-files/sky130A_mr.drc:42: warning: already initialized constant DRC::DRCEngine::BEOL
+/home/krishna/mpw_precheckmpw6/checks/tech-files/sky130A_mr.drc:29: warning: previous definition of BEOL was here
+/home/krishna/mpw_precheckmpw6/checks/tech-files/sky130A_mr.drc:50: warning: already initialized constant DRC::DRCEngine::OFFGRID
+/home/krishna/mpw_precheckmpw6/checks/tech-files/sky130A_mr.drc:30: warning: previous definition of OFFGRID was here
+/home/krishna/mpw_precheckmpw6/checks/tech-files/sky130A_mr.drc:56: warning: already initialized constant DRC::DRCEngine::SEAL
+/home/krishna/mpw_precheckmpw6/checks/tech-files/sky130A_mr.drc:31: warning: previous definition of SEAL was here
+/home/krishna/mpw_precheckmpw6/checks/tech-files/sky130A_mr.drc:62: warning: already initialized constant DRC::DRCEngine::FLOATING_MET
+/home/krishna/mpw_precheckmpw6/checks/tech-files/sky130A_mr.drc:32: warning: previous definition of FLOATING_MET was here
+"input" in: sky130A_mr.drc:112
+    Polygons (raw): 62 (flat)  25 (hierarchical)
+    Elapsed: 0.010s  Memory: 522.00M
+"polygons" in: sky130A_mr.drc:113
+    Polygons (raw): 38 (flat)  30 (hierarchical)
+    Elapsed: 0.000s  Memory: 522.00M
+"polygons" in: sky130A_mr.drc:114
+    Polygons (raw): 89 (flat)  69 (hierarchical)
+    Elapsed: 0.010s  Memory: 522.00M
+"polygons" in: sky130A_mr.drc:115
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 522.00M
+"polygons" in: sky130A_mr.drc:116
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 522.00M
+"polygons" in: sky130A_mr.drc:117
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 522.00M
+"polygons" in: sky130A_mr.drc:118
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 522.00M
+"polygons" in: sky130A_mr.drc:119
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 522.00M
+"polygons" in: sky130A_mr.drc:120
+    Polygons (raw): 10 (flat)  2 (hierarchical)
+    Elapsed: 0.010s  Memory: 522.00M
+"polygons" in: sky130A_mr.drc:121
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 522.00M
+"polygons" in: sky130A_mr.drc:122
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 522.00M
+"polygons" in: sky130A_mr.drc:123
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 522.00M
+"polygons" in: sky130A_mr.drc:124
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 522.00M
+"polygons" in: sky130A_mr.drc:125
+    Polygons (raw): 202 (flat)  116 (hierarchical)
+    Elapsed: 0.000s  Memory: 522.00M
+"polygons" in: sky130A_mr.drc:126
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 522.00M
+"polygons" in: sky130A_mr.drc:127
+    Polygons (raw): 62 (flat)  43 (hierarchical)
+    Elapsed: 0.000s  Memory: 522.00M
+"polygons" in: sky130A_mr.drc:128
+    Polygons (raw): 52 (flat)  26 (hierarchical)
+    Elapsed: 0.010s  Memory: 522.00M
+"polygons" in: sky130A_mr.drc:129
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 522.00M
+"polygons" in: sky130A_mr.drc:130
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 522.00M
+"polygons" in: sky130A_mr.drc:131
+    Polygons (raw): 33 (flat)  24 (hierarchical)
+    Elapsed: 0.000s  Memory: 522.00M
+"polygons" in: sky130A_mr.drc:132
+    Polygons (raw): 907 (flat)  291 (hierarchical)
+    Elapsed: 0.010s  Memory: 522.00M
+"polygons" in: sky130A_mr.drc:134
+    Polygons (raw): 566 (flat)  394 (hierarchical)
+    Elapsed: 0.000s  Memory: 522.00M
+"polygons" in: sky130A_mr.drc:135
+    Polygons (raw): 1756 (flat)  1480 (hierarchical)
+    Elapsed: 0.010s  Memory: 522.00M
+"polygons" in: sky130A_mr.drc:137
+    Polygons (raw): 349 (flat)  299 (hierarchical)
+    Elapsed: 0.000s  Memory: 522.00M
+"polygons" in: sky130A_mr.drc:138
+    Polygons (raw): 2570 (flat)  2570 (hierarchical)
+    Elapsed: 0.010s  Memory: 522.00M
+"polygons" in: sky130A_mr.drc:140
+    Polygons (raw): 603 (flat)  603 (hierarchical)
+    Elapsed: 0.010s  Memory: 522.00M
+"polygons" in: sky130A_mr.drc:141
+    Polygons (raw): 1761 (flat)  1761 (hierarchical)
+    Elapsed: 0.000s  Memory: 522.00M
+"polygons" in: sky130A_mr.drc:143
+    Polygons (raw): 459 (flat)  459 (hierarchical)
+    Elapsed: 0.010s  Memory: 522.00M
+"polygons" in: sky130A_mr.drc:144
+    Polygons (raw): 25800 (flat)  25800 (hierarchical)
+    Elapsed: 0.010s  Memory: 523.00M
+"polygons" in: sky130A_mr.drc:146
+    Polygons (raw): 175 (flat)  175 (hierarchical)
+    Elapsed: 0.010s  Memory: 523.00M
+"polygons" in: sky130A_mr.drc:147
+    Polygons (raw): 552 (flat)  552 (hierarchical)
+    Elapsed: 0.000s  Memory: 523.00M
+"polygons" in: sky130A_mr.drc:149
+    Polygons (raw): 151 (flat)  151 (hierarchical)
+    Elapsed: 0.010s  Memory: 523.00M
+"polygons" in: sky130A_mr.drc:151
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 523.00M
+"polygons" in: sky130A_mr.drc:152
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 523.00M
+"polygons" in: sky130A_mr.drc:153
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 523.00M
+"polygons" in: sky130A_mr.drc:154
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 523.00M
+"polygons" in: sky130A_mr.drc:155
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 523.00M
+"polygons" in: sky130A_mr.drc:156
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 523.00M
+"polygons" in: sky130A_mr.drc:157
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 523.00M
+"polygons" in: sky130A_mr.drc:158
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 523.00M
+"polygons" in: sky130A_mr.drc:159
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 523.00M
+"polygons" in: sky130A_mr.drc:160
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 523.00M
+"polygons" in: sky130A_mr.drc:161
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 523.00M
+"polygons" in: sky130A_mr.drc:162
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 523.00M
+"polygons" in: sky130A_mr.drc:163
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 523.00M
+"polygons" in: sky130A_mr.drc:164
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 523.00M
+"polygons" in: sky130A_mr.drc:165
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 523.00M
+"polygons" in: sky130A_mr.drc:166
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 523.00M
+"polygons" in: sky130A_mr.drc:167
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 523.00M
+"polygons" in: sky130A_mr.drc:168
+    Polygons (raw): 10 (flat)  2 (hierarchical)
+    Elapsed: 0.000s  Memory: 523.00M
+"polygons" in: sky130A_mr.drc:169
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 523.00M
+"polygons" in: sky130A_mr.drc:170
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 523.00M
+"polygons" in: sky130A_mr.drc:171
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 523.00M
+"polygons" in: sky130A_mr.drc:172
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 523.00M
+"polygons" in: sky130A_mr.drc:173
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 523.00M
+"polygons" in: sky130A_mr.drc:174
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 523.00M
+"polygons" in: sky130A_mr.drc:175
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 523.00M
+"polygons" in: sky130A_mr.drc:176
+    Polygons (raw): 1 (flat)  1 (hierarchical)
+    Elapsed: 0.000s  Memory: 523.00M
+"polygons" in: sky130A_mr.drc:177
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 523.00M
+"polygons" in: sky130A_mr.drc:178
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 523.00M
+"polygons" in: sky130A_mr.drc:179
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 523.00M
+"polygons" in: sky130A_mr.drc:180
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 523.00M
+"polygons" in: sky130A_mr.drc:181
+    Polygons (raw): 4 (flat)  3 (hierarchical)
+    Elapsed: 0.010s  Memory: 523.00M
+"polygons" in: sky130A_mr.drc:182
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 523.00M
+"polygons" in: sky130A_mr.drc:183
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 523.00M
+"polygons" in: sky130A_mr.drc:184
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 523.00M
+"polygons" in: sky130A_mr.drc:185
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 523.00M
+"polygons" in: sky130A_mr.drc:186
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 523.00M
+"polygons" in: sky130A_mr.drc:187
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 523.00M
+"polygons" in: sky130A_mr.drc:188
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 523.00M
+"polygons" in: sky130A_mr.drc:189
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 523.00M
+"polygons" in: sky130A_mr.drc:190
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 523.00M
+"polygons" in: sky130A_mr.drc:191
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 523.00M
+"polygons" in: sky130A_mr.drc:192
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 523.00M
+"polygons" in: sky130A_mr.drc:193
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 523.00M
+"polygons" in: sky130A_mr.drc:194
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 523.00M
+"polygons" in: sky130A_mr.drc:195
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 523.00M
+"polygons" in: sky130A_mr.drc:196
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 523.00M
+"polygons" in: sky130A_mr.drc:197
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 523.00M
+"polygons" in: sky130A_mr.drc:198
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 523.00M
+"polygons" in: sky130A_mr.drc:199
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 523.00M
+"polygons" in: sky130A_mr.drc:200
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 523.00M
+"polygons" in: sky130A_mr.drc:201
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 523.00M
+"polygons" in: sky130A_mr.drc:202
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 523.00M
+"polygons" in: sky130A_mr.drc:203
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 523.00M
+DRC section
+BEOL section
+START: 67/20 (li)
+"not" in: sky130A_mr.drc:381
+    Polygons (raw): 566 (flat)  394 (hierarchical)
+    Elapsed: 0.000s  Memory: 523.00M
+"width" in: sky130A_mr.drc:382
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 523.00M
+"output" in: sky130A_mr.drc:382
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 523.00M
+"edges" in: sky130A_mr.drc:384
+    Edges: 2849 (flat)  1598 (hierarchical)
+    Elapsed: 0.020s  Memory: 1067.00M
+"space" in: sky130A_mr.drc:384
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.020s  Memory: 1067.00M
+"output" in: sky130A_mr.drc:384
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 1067.00M
+"not" in: sky130A_mr.drc:385
+    Polygons (raw): 907 (flat)  291 (hierarchical)
+    Elapsed: 0.000s  Memory: 1067.00M
+"enclosing" in: sky130A_mr.drc:386
+    Edge pairs: 1195 (flat)  859 (hierarchical)
+    Elapsed: 0.030s  Memory: 1067.00M
+"second_edges" in: sky130A_mr.drc:386
+    Edges: 1195 (flat)  859 (hierarchical)
+    Elapsed: 0.010s  Memory: 1067.00M
+"width" in: sky130A_mr.drc:387
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 1067.00M
+"polygons" in: sky130A_mr.drc:388
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 1067.00M
+"interacting" in: sky130A_mr.drc:388
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 1067.00M
+"output" in: sky130A_mr.drc:389
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 1067.00M
+"with_area" in: sky130A_mr.drc:390
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 1067.00M
+"output" in: sky130A_mr.drc:390
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 1067.00M
+END: 67/20 (li)
+START: 67/44 (mcon)
+"not" in: sky130A_mr.drc:395
+    Polygons (raw): 1756 (flat)  1480 (hierarchical)
+    Elapsed: 0.000s  Memory: 1067.00M
+"not" in: sky130A_mr.drc:402
+    Polygons (raw): 1756 (flat)  1480 (hierarchical)
+    Elapsed: 0.010s  Memory: 1067.00M
+"non_rectangles" in: sky130A_mr.drc:403
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 1067.00M
+"output" in: sky130A_mr.drc:403
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 1067.00M
+"drc" in: sky130A_mr.drc:405
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.020s  Memory: 1068.00M
+"output" in: sky130A_mr.drc:405
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 1068.00M
+"drc" in: sky130A_mr.drc:406
+    Edges: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 1068.00M
+"output" in: sky130A_mr.drc:406
+    Edges: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 1068.00M
+"space" in: sky130A_mr.drc:407
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 1068.00M
+"output" in: sky130A_mr.drc:407
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 1068.00M
+"not" in: sky130A_mr.drc:413
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 1068.00M
+"output" in: sky130A_mr.drc:413
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 1068.00M
+END: 67/44 (mcon)
+START: 68/20 (m1)
+"width" in: sky130A_mr.drc:418
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 1068.00M
+"output" in: sky130A_mr.drc:418
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 1068.00M
+"sized" in: sky130A_mr.drc:419
+    Polygons (raw): 17 (flat)  17 (hierarchical)
+    Elapsed: 0.010s  Memory: 1068.00M
+"sized" in: sky130A_mr.drc:419
+    Polygons (raw): 17 (flat)  17 (hierarchical)
+    Elapsed: 0.000s  Memory: 1068.00M
+"snap" in: sky130A_mr.drc:419
+    Polygons (raw): 17 (flat)  17 (hierarchical)
+    Elapsed: 0.010s  Memory: 1068.00M
+"&" in: sky130A_mr.drc:419
+    Polygons (raw): 17 (flat)  17 (hierarchical)
+    Elapsed: 0.000s  Memory: 1068.00M
+"edges" in: sky130A_mr.drc:420
+    Edges: 1235 (flat)  1093 (hierarchical)
+    Elapsed: 0.010s  Memory: 1068.00M
+"-" in: sky130A_mr.drc:420
+    Edges: 1100 (flat)  964 (hierarchical)
+    Elapsed: 0.010s  Memory: 1068.00M
+"edges" in: sky130A_mr.drc:421
+    Edges: 122 (flat)  122 (hierarchical)
+    Elapsed: 0.010s  Memory: 1068.00M
+"merged" in: sky130A_mr.drc:421
+    Polygons (raw): 123 (flat)  113 (hierarchical)
+    Elapsed: 0.010s  Memory: 1068.00M
+"outside_part" in: sky130A_mr.drc:421
+    Edges: 138 (flat)  138 (hierarchical)
+    Elapsed: 0.010s  Memory: 1068.00M
+"space" in: sky130A_mr.drc:423
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 1068.00M
+"output" in: sky130A_mr.drc:423
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 1068.00M
+"separation" in: sky130A_mr.drc:425
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 1068.00M
+"space" in: sky130A_mr.drc:425
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 1068.00M
+"+" in: sky130A_mr.drc:425
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 1068.00M
+"output" in: sky130A_mr.drc:425
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 1068.00M
+"input" in: sky130A_mr.drc:429
+    Polygons (raw): 349 (flat)  305 (hierarchical)
+    Elapsed: 0.010s  Memory: 1068.00M
+"enclosing" in: sky130A_mr.drc:431
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.020s  Memory: 1068.00M
+"output" in: sky130A_mr.drc:431
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 1068.00M
+"not" in: sky130A_mr.drc:432
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.020s  Memory: 1068.00M
+"output" in: sky130A_mr.drc:432
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 1068.00M
+"input" in: sky130A_mr.drc:434
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 1068.00M
+"enclosing" in: sky130A_mr.drc:435
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 1068.00M
+"output" in: sky130A_mr.drc:435
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 1068.00M
+"not" in: sky130A_mr.drc:437
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 1068.00M
+"output" in: sky130A_mr.drc:437
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 1068.00M
+"with_area" in: sky130A_mr.drc:439
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 1068.00M
+"output" in: sky130A_mr.drc:439
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 1068.00M
+"holes" in: sky130A_mr.drc:441
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 1068.00M
+"with_area" in: sky130A_mr.drc:441
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 1068.00M
+"output" in: sky130A_mr.drc:441
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 1068.00M
+"enclosing" in: sky130A_mr.drc:448
+    Edge pairs: 245 (flat)  205 (hierarchical)
+    Elapsed: 0.020s  Memory: 1068.00M
+"second_edges" in: sky130A_mr.drc:448
+    Edges: 245 (flat)  205 (hierarchical)
+    Elapsed: 0.010s  Memory: 1068.00M
+"width" in: sky130A_mr.drc:449
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 1068.00M
+"polygons" in: sky130A_mr.drc:450
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 1068.00M
+"interacting" in: sky130A_mr.drc:450
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.020s  Memory: 1068.00M
+"output" in: sky130A_mr.drc:451
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 1068.00M
+END: 68/20 (m1)
+START: 68/44 (via)
+"not" in: sky130A_mr.drc:465
+    Polygons (raw): 2570 (flat)  2570 (hierarchical)
+    Elapsed: 0.010s  Memory: 1068.00M
+"non_rectangles" in: sky130A_mr.drc:467
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.020s  Memory: 1068.00M
+"output" in: sky130A_mr.drc:467
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 1068.00M
+"width" in: sky130A_mr.drc:468
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 1068.00M
+"output" in: sky130A_mr.drc:468
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 1068.00M
+"drc" in: sky130A_mr.drc:470
+    Edges: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 1068.00M
+"output" in: sky130A_mr.drc:470
+    Edges: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 1068.00M
+"space" in: sky130A_mr.drc:472
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.030s  Memory: 1068.00M
+"output" in: sky130A_mr.drc:472
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 1068.00M
+"edges" in: sky130A_mr.drc:480
+    Edges: 1235 (flat)  1093 (hierarchical)
+    Elapsed: 0.010s  Memory: 1068.00M
+"drc" in: sky130A_mr.drc:480
+    Edges: 10280 (flat)  10280 (hierarchical)
+    Elapsed: 0.030s  Memory: 1068.00M
+"enclosing" in: sky130A_mr.drc:480
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.020s  Memory: 1068.00M
+"output" in: sky130A_mr.drc:480
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 1068.00M
+"squares" in: sky130A_mr.drc:481
+    Polygons (raw): 2570 (flat)  2570 (hierarchical)
+    Elapsed: 0.010s  Memory: 1068.00M
+"drc" in: sky130A_mr.drc:481
+    Edges: 10280 (flat)  10280 (hierarchical)
+    Elapsed: 0.030s  Memory: 1068.00M
+"not" in: sky130A_mr.drc:481
+    Edges: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.020s  Memory: 1068.00M
+"output" in: sky130A_mr.drc:481
+    Edges: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 1068.00M
+"edges" in: sky130A_mr.drc:483
+    Edges: 1235 (flat)  1093 (hierarchical)
+    Elapsed: 0.010s  Memory: 1068.00M
+"drc" in: sky130A_mr.drc:483
+    Edges: 10280 (flat)  10280 (hierarchical)
+    Elapsed: 0.040s  Memory: 1068.00M
+"enclosing" in: sky130A_mr.drc:483
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 1068.00M
+"second_edges" in: sky130A_mr.drc:483
+    Edges: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 1068.00M
+"width" in: sky130A_mr.drc:484
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 1068.00M
+"polygons" in: sky130A_mr.drc:485
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 1068.00M
+"interacting" in: sky130A_mr.drc:485
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 1068.00M
+"output" in: sky130A_mr.drc:486
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 1068.00M
+END: 68/44 (via)
+START: 69/20 (m2)
+"width" in: sky130A_mr.drc:493
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 1069.00M
+"output" in: sky130A_mr.drc:493
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 1069.00M
+"sized" in: sky130A_mr.drc:495
+    Polygons (raw): 13 (flat)  13 (hierarchical)
+    Elapsed: 0.010s  Memory: 1069.00M
+"sized" in: sky130A_mr.drc:495
+    Polygons (raw): 13 (flat)  13 (hierarchical)
+    Elapsed: 0.000s  Memory: 1069.00M
+"snap" in: sky130A_mr.drc:495
+    Polygons (raw): 13 (flat)  13 (hierarchical)
+    Elapsed: 0.000s  Memory: 1069.00M
+"&" in: sky130A_mr.drc:495
+    Polygons (raw): 13 (flat)  13 (hierarchical)
+    Elapsed: 0.010s  Memory: 1069.00M
+"edges" in: sky130A_mr.drc:496
+    Edges: 2367 (flat)  2367 (hierarchical)
+    Elapsed: 0.010s  Memory: 1069.00M
+"-" in: sky130A_mr.drc:496
+    Edges: 2296 (flat)  2296 (hierarchical)
+    Elapsed: 0.010s  Memory: 1069.00M
+"edges" in: sky130A_mr.drc:497
+    Edges: 64 (flat)  64 (hierarchical)
+    Elapsed: 0.000s  Memory: 1069.00M
+"merged" in: sky130A_mr.drc:497
+    Polygons (raw): 550 (flat)  550 (hierarchical)
+    Elapsed: 0.010s  Memory: 1069.00M
+"outside_part" in: sky130A_mr.drc:497
+    Edges: 65 (flat)  65 (hierarchical)
+    Elapsed: 0.010s  Memory: 1069.00M
+"not" in: sky130A_mr.drc:498
+    Polygons (raw): 2570 (flat)  2570 (hierarchical)
+    Elapsed: 0.000s  Memory: 1069.00M
+"space" in: sky130A_mr.drc:500
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.020s  Memory: 1069.00M
+"output" in: sky130A_mr.drc:500
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 1069.00M
+"separation" in: sky130A_mr.drc:502
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 1069.00M
+"space" in: sky130A_mr.drc:502
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 1069.00M
+"+" in: sky130A_mr.drc:502
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 1069.00M
+"output" in: sky130A_mr.drc:502
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 1069.00M
+"with_area" in: sky130A_mr.drc:504
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 1069.00M
+"output" in: sky130A_mr.drc:504
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 1069.00M
+"holes" in: sky130A_mr.drc:505
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 1069.00M
+"with_area" in: sky130A_mr.drc:505
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 1069.00M
+"output" in: sky130A_mr.drc:505
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 1069.00M
+"enclosing" in: sky130A_mr.drc:510
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.020s  Memory: 1069.00M
+"output" in: sky130A_mr.drc:510
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 1069.00M
+"not" in: sky130A_mr.drc:511
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 1069.00M
+"output" in: sky130A_mr.drc:511
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 1069.00M
+"enclosing" in: sky130A_mr.drc:512
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.020s  Memory: 1069.00M
+"second_edges" in: sky130A_mr.drc:512
+    Edges: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 1069.00M
+"width" in: sky130A_mr.drc:513
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 1069.00M
+"polygons" in: sky130A_mr.drc:514
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 1069.00M
+"interacting" in: sky130A_mr.drc:514
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 1069.00M
+"output" in: sky130A_mr.drc:515
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 1069.00M
+END: 69/20 (m2)
+START: 69/44 (via2)
+"not" in: sky130A_mr.drc:530
+    Polygons (raw): 1761 (flat)  1761 (hierarchical)
+    Elapsed: 0.000s  Memory: 1069.00M
+"non_rectangles" in: sky130A_mr.drc:531
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 1069.00M
+"output" in: sky130A_mr.drc:531
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 1069.00M
+"width" in: sky130A_mr.drc:532
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 1069.00M
+"output" in: sky130A_mr.drc:532
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 1069.00M
+"edges" in: sky130A_mr.drc:533
+    Edges: 7044 (flat)  7044 (hierarchical)
+    Elapsed: 0.010s  Memory: 1069.00M
+"without_length" in: sky130A_mr.drc:533
+    Edges: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.020s  Memory: 1071.00M
+"output" in: sky130A_mr.drc:533
+    Edges: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 1071.00M
+"space" in: sky130A_mr.drc:534
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.020s  Memory: 1071.00M
+"output" in: sky130A_mr.drc:534
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 1071.00M
+"enclosing" in: sky130A_mr.drc:542
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.020s  Memory: 1071.00M
+"output" in: sky130A_mr.drc:542
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 1071.00M
+"not" in: sky130A_mr.drc:543
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.020s  Memory: 1071.00M
+"output" in: sky130A_mr.drc:543
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 1071.00M
+"enclosing" in: sky130A_mr.drc:545
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.020s  Memory: 1071.00M
+"second_edges" in: sky130A_mr.drc:545
+    Edges: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 1071.00M
+"width" in: sky130A_mr.drc:546
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 1071.00M
+"polygons" in: sky130A_mr.drc:547
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 1071.00M
+"interacting" in: sky130A_mr.drc:547
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 1071.00M
+"output" in: sky130A_mr.drc:548
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 1071.00M
+END: 69/44 (via2)
+START: 70/20 (m3)
+"width" in: sky130A_mr.drc:554
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 1071.00M
+"output" in: sky130A_mr.drc:554
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 1071.00M
+"sized" in: sky130A_mr.drc:556
+    Polygons (raw): 53 (flat)  53 (hierarchical)
+    Elapsed: 0.010s  Memory: 1071.00M
+"sized" in: sky130A_mr.drc:556
+    Polygons (raw): 53 (flat)  53 (hierarchical)
+    Elapsed: 0.000s  Memory: 1071.00M
+"snap" in: sky130A_mr.drc:556
+    Polygons (raw): 53 (flat)  53 (hierarchical)
+    Elapsed: 0.010s  Memory: 1071.00M
+"&" in: sky130A_mr.drc:556
+    Polygons (raw): 98 (flat)  98 (hierarchical)
+    Elapsed: 0.010s  Memory: 1071.00M
+"edges" in: sky130A_mr.drc:557
+    Edges: 1661 (flat)  1661 (hierarchical)
+    Elapsed: 0.010s  Memory: 1071.00M
+"-" in: sky130A_mr.drc:557
+    Edges: 883 (flat)  883 (hierarchical)
+    Elapsed: 0.010s  Memory: 1071.00M
+"edges" in: sky130A_mr.drc:558
+    Edges: 673 (flat)  673 (hierarchical)
+    Elapsed: 0.010s  Memory: 1071.00M
+"merged" in: sky130A_mr.drc:558
+    Polygons (raw): 228 (flat)  228 (hierarchical)
+    Elapsed: 0.000s  Memory: 1071.00M
+"outside_part" in: sky130A_mr.drc:558
+    Edges: 678 (flat)  678 (hierarchical)
+    Elapsed: 0.010s  Memory: 1071.00M
+"space" in: sky130A_mr.drc:560
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 1071.00M
+"output" in: sky130A_mr.drc:560
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 1071.00M
+"separation" in: sky130A_mr.drc:562
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 1071.00M
+"space" in: sky130A_mr.drc:562
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 1071.00M
+"+" in: sky130A_mr.drc:562
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 1071.00M
+"output" in: sky130A_mr.drc:562
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 1071.00M
+"enclosing" in: sky130A_mr.drc:567
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 1071.00M
+"output" in: sky130A_mr.drc:567
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 1071.00M
+"not" in: sky130A_mr.drc:568
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 1071.00M
+"output" in: sky130A_mr.drc:568
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 1071.00M
+END: 70/20 (m3)
+START: 70/44 (via3)
+"not" in: sky130A_mr.drc:582
+    Polygons (raw): 25800 (flat)  25800 (hierarchical)
+    Elapsed: 0.000s  Memory: 1071.00M
+"non_rectangles" in: sky130A_mr.drc:583
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.130s  Memory: 1078.00M
+"output" in: sky130A_mr.drc:583
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 1078.00M
+"width" in: sky130A_mr.drc:584
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.020s  Memory: 1078.00M
+"output" in: sky130A_mr.drc:584
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 1078.00M
+"edges" in: sky130A_mr.drc:585
+    Edges: 103200 (flat)  103200 (hierarchical)
+    Elapsed: 0.110s  Memory: 1078.00M
+"without_length" in: sky130A_mr.drc:585
+    Edges: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.250s  Memory: 1105.00M
+"output" in: sky130A_mr.drc:585
+    Edges: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 1105.00M
+"space" in: sky130A_mr.drc:587
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.180s  Memory: 1105.00M
+"output" in: sky130A_mr.drc:587
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 1105.00M
+"enclosing" in: sky130A_mr.drc:588
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.230s  Memory: 1105.00M
+"output" in: sky130A_mr.drc:588
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 1105.00M
+"not" in: sky130A_mr.drc:589
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.060s  Memory: 1105.00M
+"output" in: sky130A_mr.drc:589
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 1105.00M
+"enclosing" in: sky130A_mr.drc:591
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.230s  Memory: 1105.00M
+"second_edges" in: sky130A_mr.drc:591
+    Edges: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 1105.00M
+"width" in: sky130A_mr.drc:592
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 1105.00M
+"polygons" in: sky130A_mr.drc:593
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 1105.00M
+"interacting" in: sky130A_mr.drc:593
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 1105.00M
+"output" in: sky130A_mr.drc:594
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 1105.00M
+END: 70/44 (via3)
+START: 71/20 (m4)
+"width" in: sky130A_mr.drc:600
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 1105.00M
+"output" in: sky130A_mr.drc:600
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 1105.00M
+"sized" in: sky130A_mr.drc:602
+    Polygons (raw): 18 (flat)  18 (hierarchical)
+    Elapsed: 0.010s  Memory: 1105.00M
+"sized" in: sky130A_mr.drc:602
+    Polygons (raw): 18 (flat)  18 (hierarchical)
+    Elapsed: 0.000s  Memory: 1105.00M
+"snap" in: sky130A_mr.drc:602
+    Polygons (raw): 18 (flat)  18 (hierarchical)
+    Elapsed: 0.010s  Memory: 1105.00M
+"&" in: sky130A_mr.drc:602
+    Polygons (raw): 45 (flat)  45 (hierarchical)
+    Elapsed: 0.010s  Memory: 1105.00M
+"edges" in: sky130A_mr.drc:603
+    Edges: 565 (flat)  565 (hierarchical)
+    Elapsed: 0.010s  Memory: 1105.00M
+"-" in: sky130A_mr.drc:603
+    Edges: 113 (flat)  113 (hierarchical)
+    Elapsed: 0.010s  Memory: 1105.00M
+"edges" in: sky130A_mr.drc:604
+    Edges: 362 (flat)  362 (hierarchical)
+    Elapsed: 0.000s  Memory: 1105.00M
+"merged" in: sky130A_mr.drc:604
+    Polygons (raw): 29 (flat)  29 (hierarchical)
+    Elapsed: 0.020s  Memory: 1105.00M
+"outside_part" in: sky130A_mr.drc:604
+    Edges: 367 (flat)  367 (hierarchical)
+    Elapsed: 0.000s  Memory: 1105.00M
+"space" in: sky130A_mr.drc:606
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 1105.00M
+"output" in: sky130A_mr.drc:606
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 1105.00M
+"with_area" in: sky130A_mr.drc:608
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 1105.00M
+"output" in: sky130A_mr.drc:608
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 1105.00M
+"separation" in: sky130A_mr.drc:610
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 1105.00M
+"space" in: sky130A_mr.drc:610
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 1105.00M
+"+" in: sky130A_mr.drc:610
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 1105.00M
+"output" in: sky130A_mr.drc:610
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 1105.00M
+"enclosing" in: sky130A_mr.drc:615
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.170s  Memory: 1105.00M
+"output" in: sky130A_mr.drc:615
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 1105.00M
+"not" in: sky130A_mr.drc:616
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.050s  Memory: 1105.00M
+"output" in: sky130A_mr.drc:616
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 1105.00M
+END: 71/20 (m4)
+START: 71/44 (via4)
+"not" in: sky130A_mr.drc:629
+    Polygons (raw): 552 (flat)  552 (hierarchical)
+    Elapsed: 0.000s  Memory: 1105.00M
+"non_rectangles" in: sky130A_mr.drc:630
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 1105.00M
+"output" in: sky130A_mr.drc:630
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 1105.00M
+"width" in: sky130A_mr.drc:631
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 1105.00M
+"output" in: sky130A_mr.drc:631
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 1105.00M
+"drc" in: sky130A_mr.drc:632
+    Edges: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 1105.00M
+"output" in: sky130A_mr.drc:632
+    Edges: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 1105.00M
+"space" in: sky130A_mr.drc:634
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 1105.00M
+"polygons" in: sky130A_mr.drc:634
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 1105.00M
+"output" in: sky130A_mr.drc:634
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 1105.00M
+"enclosing" in: sky130A_mr.drc:642
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 1105.00M
+"output" in: sky130A_mr.drc:642
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 1105.00M
+"not" in: sky130A_mr.drc:643
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 1105.00M
+"output" in: sky130A_mr.drc:643
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 1105.00M
+END: 71/44 (via4)
+START: 72/20 (m5)
+"width" in: sky130A_mr.drc:648
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 1105.00M
+"output" in: sky130A_mr.drc:648
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 1105.00M
+"space" in: sky130A_mr.drc:650
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 1105.00M
+"output" in: sky130A_mr.drc:650
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 1105.00M
+"enclosing" in: sky130A_mr.drc:652
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 1105.00M
+"output" in: sky130A_mr.drc:652
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 1105.00M
+"not" in: sky130A_mr.drc:653
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 1105.00M
+"output" in: sky130A_mr.drc:653
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 1105.00M
+"with_area" in: sky130A_mr.drc:657
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 1105.00M
+"output" in: sky130A_mr.drc:657
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 1105.00M
+END: 72/20 (m5)
+START: 76/20 (pad)
+"space" in: sky130A_mr.drc:662
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 1105.00M
+"output" in: sky130A_mr.drc:662
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 1105.00M
+END: 76/20 (pad)
+Writing report database: /home/krishna/Comparator_MPW6/precheck_results/01_JUN_2022___10_26_55/outputs/reports/klayout_beol_check.xml ..
+Total elapsed: 3.790s  Memory: 1105.00M
diff --git a/precheck_results/01_JUN_2022___10_26_55/logs/klayout_beol_check.total b/precheck_results/01_JUN_2022___10_26_55/logs/klayout_beol_check.total
new file mode 100755
index 0000000..c227083
--- /dev/null
+++ b/precheck_results/01_JUN_2022___10_26_55/logs/klayout_beol_check.total
@@ -0,0 +1 @@
+0
\ No newline at end of file
diff --git a/precheck_results/01_JUN_2022___10_26_55/logs/klayout_feol_check.log b/precheck_results/01_JUN_2022___10_26_55/logs/klayout_feol_check.log
new file mode 100755
index 0000000..3a1e4f5
--- /dev/null
+++ b/precheck_results/01_JUN_2022___10_26_55/logs/klayout_feol_check.log
@@ -0,0 +1,789 @@
+/home/krishna/mpw_precheckmpw6/checks/tech-files/sky130A_mr.drc:36: warning: already initialized constant DRC::DRCEngine::FEOL
+/home/krishna/mpw_precheckmpw6/checks/tech-files/sky130A_mr.drc:28: warning: previous definition of FEOL was here
+/home/krishna/mpw_precheckmpw6/checks/tech-files/sky130A_mr.drc:44: warning: already initialized constant DRC::DRCEngine::BEOL
+/home/krishna/mpw_precheckmpw6/checks/tech-files/sky130A_mr.drc:29: warning: previous definition of BEOL was here
+/home/krishna/mpw_precheckmpw6/checks/tech-files/sky130A_mr.drc:50: warning: already initialized constant DRC::DRCEngine::OFFGRID
+/home/krishna/mpw_precheckmpw6/checks/tech-files/sky130A_mr.drc:30: warning: previous definition of OFFGRID was here
+/home/krishna/mpw_precheckmpw6/checks/tech-files/sky130A_mr.drc:56: warning: already initialized constant DRC::DRCEngine::SEAL
+/home/krishna/mpw_precheckmpw6/checks/tech-files/sky130A_mr.drc:31: warning: previous definition of SEAL was here
+/home/krishna/mpw_precheckmpw6/checks/tech-files/sky130A_mr.drc:62: warning: already initialized constant DRC::DRCEngine::FLOATING_MET
+/home/krishna/mpw_precheckmpw6/checks/tech-files/sky130A_mr.drc:32: warning: previous definition of FLOATING_MET was here
+"input" in: sky130A_mr.drc:112
+    Polygons (raw): 62 (flat)  25 (hierarchical)
+    Elapsed: 0.010s  Memory: 522.00M
+"polygons" in: sky130A_mr.drc:113
+    Polygons (raw): 38 (flat)  30 (hierarchical)
+    Elapsed: 0.000s  Memory: 522.00M
+"polygons" in: sky130A_mr.drc:114
+    Polygons (raw): 89 (flat)  69 (hierarchical)
+    Elapsed: 0.010s  Memory: 522.00M
+"polygons" in: sky130A_mr.drc:115
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 522.00M
+"polygons" in: sky130A_mr.drc:116
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 522.00M
+"polygons" in: sky130A_mr.drc:117
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 522.00M
+"polygons" in: sky130A_mr.drc:118
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 522.00M
+"polygons" in: sky130A_mr.drc:119
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 522.00M
+"polygons" in: sky130A_mr.drc:120
+    Polygons (raw): 10 (flat)  2 (hierarchical)
+    Elapsed: 0.000s  Memory: 522.00M
+"polygons" in: sky130A_mr.drc:121
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 522.00M
+"polygons" in: sky130A_mr.drc:122
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 522.00M
+"polygons" in: sky130A_mr.drc:123
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 522.00M
+"polygons" in: sky130A_mr.drc:124
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 522.00M
+"polygons" in: sky130A_mr.drc:125
+    Polygons (raw): 202 (flat)  116 (hierarchical)
+    Elapsed: 0.010s  Memory: 522.00M
+"polygons" in: sky130A_mr.drc:126
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 522.00M
+"polygons" in: sky130A_mr.drc:127
+    Polygons (raw): 62 (flat)  43 (hierarchical)
+    Elapsed: 0.010s  Memory: 522.00M
+"polygons" in: sky130A_mr.drc:128
+    Polygons (raw): 52 (flat)  26 (hierarchical)
+    Elapsed: 0.000s  Memory: 522.00M
+"polygons" in: sky130A_mr.drc:129
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 522.00M
+"polygons" in: sky130A_mr.drc:130
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 522.00M
+"polygons" in: sky130A_mr.drc:131
+    Polygons (raw): 33 (flat)  24 (hierarchical)
+    Elapsed: 0.000s  Memory: 522.00M
+"polygons" in: sky130A_mr.drc:132
+    Polygons (raw): 907 (flat)  291 (hierarchical)
+    Elapsed: 0.010s  Memory: 522.00M
+"polygons" in: sky130A_mr.drc:134
+    Polygons (raw): 566 (flat)  394 (hierarchical)
+    Elapsed: 0.000s  Memory: 522.00M
+"polygons" in: sky130A_mr.drc:135
+    Polygons (raw): 1756 (flat)  1480 (hierarchical)
+    Elapsed: 0.010s  Memory: 522.00M
+"polygons" in: sky130A_mr.drc:137
+    Polygons (raw): 349 (flat)  299 (hierarchical)
+    Elapsed: 0.010s  Memory: 522.00M
+"polygons" in: sky130A_mr.drc:138
+    Polygons (raw): 2570 (flat)  2570 (hierarchical)
+    Elapsed: 0.000s  Memory: 522.00M
+"polygons" in: sky130A_mr.drc:140
+    Polygons (raw): 603 (flat)  603 (hierarchical)
+    Elapsed: 0.010s  Memory: 522.00M
+"polygons" in: sky130A_mr.drc:141
+    Polygons (raw): 1761 (flat)  1761 (hierarchical)
+    Elapsed: 0.010s  Memory: 522.00M
+"polygons" in: sky130A_mr.drc:143
+    Polygons (raw): 459 (flat)  459 (hierarchical)
+    Elapsed: 0.010s  Memory: 522.00M
+"polygons" in: sky130A_mr.drc:144
+    Polygons (raw): 25800 (flat)  25800 (hierarchical)
+    Elapsed: 0.020s  Memory: 523.00M
+"polygons" in: sky130A_mr.drc:146
+    Polygons (raw): 175 (flat)  175 (hierarchical)
+    Elapsed: 0.010s  Memory: 523.00M
+"polygons" in: sky130A_mr.drc:147
+    Polygons (raw): 552 (flat)  552 (hierarchical)
+    Elapsed: 0.010s  Memory: 523.00M
+"polygons" in: sky130A_mr.drc:149
+    Polygons (raw): 151 (flat)  151 (hierarchical)
+    Elapsed: 0.000s  Memory: 523.00M
+"polygons" in: sky130A_mr.drc:151
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 523.00M
+"polygons" in: sky130A_mr.drc:152
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 523.00M
+"polygons" in: sky130A_mr.drc:153
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 523.00M
+"polygons" in: sky130A_mr.drc:154
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 523.00M
+"polygons" in: sky130A_mr.drc:155
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 523.00M
+"polygons" in: sky130A_mr.drc:156
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 523.00M
+"polygons" in: sky130A_mr.drc:157
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 523.00M
+"polygons" in: sky130A_mr.drc:158
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 523.00M
+"polygons" in: sky130A_mr.drc:159
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 523.00M
+"polygons" in: sky130A_mr.drc:160
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 523.00M
+"polygons" in: sky130A_mr.drc:161
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 523.00M
+"polygons" in: sky130A_mr.drc:162
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 523.00M
+"polygons" in: sky130A_mr.drc:163
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 523.00M
+"polygons" in: sky130A_mr.drc:164
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 523.00M
+"polygons" in: sky130A_mr.drc:165
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 523.00M
+"polygons" in: sky130A_mr.drc:166
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 523.00M
+"polygons" in: sky130A_mr.drc:167
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 523.00M
+"polygons" in: sky130A_mr.drc:168
+    Polygons (raw): 10 (flat)  2 (hierarchical)
+    Elapsed: 0.000s  Memory: 523.00M
+"polygons" in: sky130A_mr.drc:169
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 523.00M
+"polygons" in: sky130A_mr.drc:170
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 523.00M
+"polygons" in: sky130A_mr.drc:171
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 523.00M
+"polygons" in: sky130A_mr.drc:172
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 523.00M
+"polygons" in: sky130A_mr.drc:173
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 523.00M
+"polygons" in: sky130A_mr.drc:174
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 523.00M
+"polygons" in: sky130A_mr.drc:175
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 523.00M
+"polygons" in: sky130A_mr.drc:176
+    Polygons (raw): 1 (flat)  1 (hierarchical)
+    Elapsed: 0.000s  Memory: 523.00M
+"polygons" in: sky130A_mr.drc:177
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 523.00M
+"polygons" in: sky130A_mr.drc:178
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 523.00M
+"polygons" in: sky130A_mr.drc:179
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 523.00M
+"polygons" in: sky130A_mr.drc:180
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 523.00M
+"polygons" in: sky130A_mr.drc:181
+    Polygons (raw): 4 (flat)  3 (hierarchical)
+    Elapsed: 0.000s  Memory: 523.00M
+"polygons" in: sky130A_mr.drc:182
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 523.00M
+"polygons" in: sky130A_mr.drc:183
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 523.00M
+"polygons" in: sky130A_mr.drc:184
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 523.00M
+"polygons" in: sky130A_mr.drc:185
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 523.00M
+"polygons" in: sky130A_mr.drc:186
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 523.00M
+"polygons" in: sky130A_mr.drc:187
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 523.00M
+"polygons" in: sky130A_mr.drc:188
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 523.00M
+"polygons" in: sky130A_mr.drc:189
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 523.00M
+"polygons" in: sky130A_mr.drc:190
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 523.00M
+"polygons" in: sky130A_mr.drc:191
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 523.00M
+"polygons" in: sky130A_mr.drc:192
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 523.00M
+"polygons" in: sky130A_mr.drc:193
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 523.00M
+"polygons" in: sky130A_mr.drc:194
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 523.00M
+"polygons" in: sky130A_mr.drc:195
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 523.00M
+"polygons" in: sky130A_mr.drc:196
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 523.00M
+"polygons" in: sky130A_mr.drc:197
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 523.00M
+"polygons" in: sky130A_mr.drc:198
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 523.00M
+"polygons" in: sky130A_mr.drc:199
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 523.00M
+"polygons" in: sky130A_mr.drc:200
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 523.00M
+"polygons" in: sky130A_mr.drc:201
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 523.00M
+"polygons" in: sky130A_mr.drc:202
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 523.00M
+"polygons" in: sky130A_mr.drc:203
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 523.00M
+DRC section
+FEOL section
+START: 64/18 (dnwell)
+"width" in: sky130A_mr.drc:229
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 523.00M
+"output" in: sky130A_mr.drc:229
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 523.00M
+END: 64/18 (dnwell)
+"input" in: sky130A_mr.drc:233
+    Polygons (raw): 62 (flat)  43 (hierarchical)
+    Elapsed: 0.000s  Memory: 523.00M
+"input" in: sky130A_mr.drc:234
+    Polygons (raw): 52 (flat)  26 (hierarchical)
+    Elapsed: 0.010s  Memory: 523.00M
+"input" in: sky130A_mr.drc:235
+    Polygons (raw): 89 (flat)  69 (hierarchical)
+    Elapsed: 0.000s  Memory: 523.00M
+START: 64/20 (nwell)
+"width" in: sky130A_mr.drc:239
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 523.00M
+"output" in: sky130A_mr.drc:239
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 523.00M
+"space" in: sky130A_mr.drc:240
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 1067.00M
+"output" in: sky130A_mr.drc:240
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 1067.00M
+"merge" in: sky130A_mr.drc:241
+    Polygons (raw): 15 (flat)  14 (hierarchical)
+    Elapsed: 0.010s  Memory: 1067.00M
+"holes" in: sky130A_mr.drc:242
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 1067.00M
+"enclosing" in: sky130A_mr.drc:242
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 1067.00M
+"output" in: sky130A_mr.drc:242
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 1067.00M
+END: 64/20 (nwell)
+START: 78/44 (hvtp)
+"width" in: sky130A_mr.drc:247
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 1067.00M
+"output" in: sky130A_mr.drc:247
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 1067.00M
+"space" in: sky130A_mr.drc:248
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 1067.00M
+"output" in: sky130A_mr.drc:248
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 1067.00M
+END: 78/44 (hvtp)
+START: 18/20 (htvr)
+"width" in: sky130A_mr.drc:253
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 1067.00M
+"output" in: sky130A_mr.drc:253
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 1067.00M
+"separation" in: sky130A_mr.drc:254
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 1067.00M
+"output" in: sky130A_mr.drc:254
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 1067.00M
+"and" in: sky130A_mr.drc:255
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 1067.00M
+"output" in: sky130A_mr.drc:255
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 1067.00M
+END: 18/20 (htvr)
+START: 25/44 (lvtn)
+"width" in: sky130A_mr.drc:260
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 1067.00M
+"output" in: sky130A_mr.drc:260
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 1067.00M
+"space" in: sky130A_mr.drc:261
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 1067.00M
+"output" in: sky130A_mr.drc:261
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 1067.00M
+END: 25/44 (lvtn)
+START: 92/44 (ncm)
+"width" in: sky130A_mr.drc:266
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 1067.00M
+"output" in: sky130A_mr.drc:266
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 1067.00M
+"space" in: sky130A_mr.drc:267
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 1067.00M
+"output" in: sky130A_mr.drc:267
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 1067.00M
+END: 92/44 (ncm)
+START: 65/20 (diff)
+"or" in: sky130A_mr.drc:272
+    Polygons (raw): 68 (flat)  35 (hierarchical)
+    Elapsed: 0.010s  Memory: 1067.00M
+"rectangles" in: sky130A_mr.drc:273
+    Polygons (raw): 31 (flat)  11 (hierarchical)
+    Elapsed: 0.000s  Memory: 1067.00M
+"width" in: sky130A_mr.drc:273
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 1067.00M
+"polygons" in: sky130A_mr.drc:273
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 1067.00M
+"edges" in: sky130A_mr.drc:274
+    Edges: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 1067.00M
+"outside_part" in: sky130A_mr.drc:274
+    Edges: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 1067.00M
+"outside" in: sky130A_mr.drc:274
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 1067.00M
+"edges" in: sky130A_mr.drc:274
+    Edges: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 1067.00M
+"not" in: sky130A_mr.drc:274
+    Edges: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 1067.00M
+"output" in: sky130A_mr.drc:275
+    Edges: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 1067.00M
+"outside" in: sky130A_mr.drc:276
+    Polygons (raw): 48 (flat)  17 (hierarchical)
+    Elapsed: 0.010s  Memory: 1067.00M
+"width" in: sky130A_mr.drc:276
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 1067.00M
+"output" in: sky130A_mr.drc:276
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 1067.00M
+END: 65/20 (diff)
+START: 65/44 (tap)
+"rectangles" in: sky130A_mr.drc:280
+    Polygons (raw): 14 (flat)  14 (hierarchical)
+    Elapsed: 0.010s  Memory: 1067.00M
+"width" in: sky130A_mr.drc:280
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 1067.00M
+"polygons" in: sky130A_mr.drc:280
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 1067.00M
+"edges" in: sky130A_mr.drc:281
+    Edges: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 1067.00M
+"outside_part" in: sky130A_mr.drc:281
+    Edges: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 1067.00M
+"outside" in: sky130A_mr.drc:281
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 1067.00M
+"edges" in: sky130A_mr.drc:281
+    Edges: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 1067.00M
+"not" in: sky130A_mr.drc:281
+    Edges: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 1067.00M
+"output" in: sky130A_mr.drc:282
+    Edges: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 1067.00M
+"not" in: sky130A_mr.drc:283
+    Polygons (raw): 38 (flat)  30 (hierarchical)
+    Elapsed: 0.010s  Memory: 1067.00M
+"width" in: sky130A_mr.drc:283
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 1067.00M
+"output" in: sky130A_mr.drc:283
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 1067.00M
+END: 65/44 (tap)
+"space" in: sky130A_mr.drc:286
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 1067.00M
+"output" in: sky130A_mr.drc:286
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 1067.00M
+START: 80/20 (tunm)
+"width" in: sky130A_mr.drc:290
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 1067.00M
+"output" in: sky130A_mr.drc:290
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 1067.00M
+"space" in: sky130A_mr.drc:291
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 1067.00M
+"output" in: sky130A_mr.drc:291
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 1067.00M
+END: 80/20 (tunm)
+START: 66/20 (poly)
+"width" in: sky130A_mr.drc:296
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 1067.00M
+"output" in: sky130A_mr.drc:296
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 1067.00M
+"not" in: sky130A_mr.drc:297
+    Polygons (raw): 202 (flat)  116 (hierarchical)
+    Elapsed: 0.010s  Memory: 1067.00M
+"space" in: sky130A_mr.drc:297
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 1067.00M
+"output" in: sky130A_mr.drc:297
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 1067.00M
+START: 86/20 (rpm)
+"width" in: sky130A_mr.drc:302
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 1067.00M
+"output" in: sky130A_mr.drc:302
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 1067.00M
+"space" in: sky130A_mr.drc:303
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 1067.00M
+"output" in: sky130A_mr.drc:303
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 1067.00M
+END: 86/20 (rpm)
+START: 79/20 (urpm)
+"width" in: sky130A_mr.drc:308
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 1067.00M
+"output" in: sky130A_mr.drc:308
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 1067.00M
+"space" in: sky130A_mr.drc:309
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 1067.00M
+"output" in: sky130A_mr.drc:309
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 1067.00M
+END: 79/20 (urpm)
+START: 95/20 (npc)
+"width" in: sky130A_mr.drc:314
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 1067.00M
+"output" in: sky130A_mr.drc:314
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 1067.00M
+"space" in: sky130A_mr.drc:315
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 1067.00M
+"output" in: sky130A_mr.drc:315
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 1067.00M
+END: 95/20 (npc)
+START: 93/44 (nsdm)
+"outside" in: sky130A_mr.drc:320
+    Polygons (raw): 34 (flat)  22 (hierarchical)
+    Elapsed: 0.000s  Memory: 1067.00M
+"width" in: sky130A_mr.drc:320
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 1067.00M
+"output" in: sky130A_mr.drc:320
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 1067.00M
+"not" in: sky130A_mr.drc:321
+    Polygons (raw): 62 (flat)  43 (hierarchical)
+    Elapsed: 0.010s  Memory: 1067.00M
+"space" in: sky130A_mr.drc:321
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 1067.00M
+"output" in: sky130A_mr.drc:321
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 1067.00M
+END: 93/44 (nsdm)
+START: 94/20 (psdm)
+"outside" in: sky130A_mr.drc:326
+    Polygons (raw): 28 (flat)  16 (hierarchical)
+    Elapsed: 0.010s  Memory: 1067.00M
+"width" in: sky130A_mr.drc:326
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 1067.00M
+"output" in: sky130A_mr.drc:326
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 1067.00M
+"not" in: sky130A_mr.drc:327
+    Polygons (raw): 52 (flat)  26 (hierarchical)
+    Elapsed: 0.010s  Memory: 1067.00M
+"space" in: sky130A_mr.drc:327
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 1067.00M
+"output" in: sky130A_mr.drc:327
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 1067.00M
+END: 94/20 (psdm)
+START: 66/44 (licon)
+"not" in: sky130A_mr.drc:338
+    Polygons (raw): 359 (flat)  106 (hierarchical)
+    Elapsed: 0.010s  Memory: 1067.00M
+"and" in: sky130A_mr.drc:339
+    Polygons (raw): 743 (flat)  236 (hierarchical)
+    Elapsed: 0.010s  Memory: 1067.00M
+"interacting" in: sky130A_mr.drc:339
+    Polygons (raw): 743 (flat)  236 (hierarchical)
+    Elapsed: 0.010s  Memory: 1067.00M
+"not" in: sky130A_mr.drc:340
+    Polygons (raw): 743 (flat)  236 (hierarchical)
+    Elapsed: 0.000s  Memory: 1067.00M
+"non_rectangles" in: sky130A_mr.drc:341
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 1067.00M
+"output" in: sky130A_mr.drc:341
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 1067.00M
+"or" in: sky130A_mr.drc:342
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 1067.00M
+"not" in: sky130A_mr.drc:342
+    Polygons (raw): 907 (flat)  291 (hierarchical)
+    Elapsed: 0.000s  Memory: 1067.00M
+"edges" in: sky130A_mr.drc:342
+    Edges: 3628 (flat)  1164 (hierarchical)
+    Elapsed: 0.010s  Memory: 1067.00M
+"without_length" in: sky130A_mr.drc:342
+    Edges: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 1067.00M
+"output" in: sky130A_mr.drc:342
+    Edges: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 1067.00M
+"separation" in: sky130A_mr.drc:343
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 1067.00M
+"output" in: sky130A_mr.drc:343
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 1067.00M
+"and" in: sky130A_mr.drc:344
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 1067.00M
+"output" in: sky130A_mr.drc:344
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 1067.00M
+"interacting" in: sky130A_mr.drc:345
+    Polygons (raw): 164 (flat)  55 (hierarchical)
+    Elapsed: 0.010s  Memory: 1067.00M
+"interacting" in: sky130A_mr.drc:345
+    Polygons (raw): 743 (flat)  236 (hierarchical)
+    Elapsed: 0.010s  Memory: 1067.00M
+"and" in: sky130A_mr.drc:345
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 1067.00M
+"output" in: sky130A_mr.drc:345
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 1067.00M
+END: 66/44 (licon)
+START: 89/44 (capm)
+"and" in: sky130A_mr.drc:350
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 1067.00M
+"sized" in: sky130A_mr.drc:350
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 1067.00M
+"width" in: sky130A_mr.drc:351
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 1067.00M
+"output" in: sky130A_mr.drc:351
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 1067.00M
+"space" in: sky130A_mr.drc:352
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 1067.00M
+"output" in: sky130A_mr.drc:352
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 1067.00M
+"interacting" in: sky130A_mr.drc:353
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 1067.00M
+"isolated" in: sky130A_mr.drc:353
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 1067.00M
+"output" in: sky130A_mr.drc:353
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 1067.00M
+"isolated" in: sky130A_mr.drc:354
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 1067.00M
+"output" in: sky130A_mr.drc:354
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 1067.00M
+"and" in: sky130A_mr.drc:355
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 1067.00M
+"enclosing" in: sky130A_mr.drc:355
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 1067.00M
+"output" in: sky130A_mr.drc:355
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 1067.00M
+"enclosing" in: sky130A_mr.drc:356
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 1067.00M
+"output" in: sky130A_mr.drc:356
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 1067.00M
+"enclosing" in: sky130A_mr.drc:357
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 1067.00M
+"output" in: sky130A_mr.drc:357
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 1067.00M
+"separation" in: sky130A_mr.drc:358
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 1067.00M
+"output" in: sky130A_mr.drc:358
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 1067.00M
+END: 89/44 (capm)
+START: 97/44 (cap2m)
+"and" in: sky130A_mr.drc:363
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 1067.00M
+"sized" in: sky130A_mr.drc:363
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 1067.00M
+"width" in: sky130A_mr.drc:364
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 1067.00M
+"output" in: sky130A_mr.drc:364
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 1067.00M
+"space" in: sky130A_mr.drc:365
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 1067.00M
+"output" in: sky130A_mr.drc:365
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 1067.00M
+"interacting" in: sky130A_mr.drc:366
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 1067.00M
+"isolated" in: sky130A_mr.drc:366
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 1067.00M
+"output" in: sky130A_mr.drc:366
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 1067.00M
+"isolated" in: sky130A_mr.drc:368
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 1067.00M
+"output" in: sky130A_mr.drc:368
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 1067.00M
+"and" in: sky130A_mr.drc:369
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 1067.00M
+"enclosing" in: sky130A_mr.drc:369
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 1067.00M
+"output" in: sky130A_mr.drc:369
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 1067.00M
+"enclosing" in: sky130A_mr.drc:370
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 1067.00M
+"output" in: sky130A_mr.drc:370
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 1067.00M
+"enclosing" in: sky130A_mr.drc:371
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 1067.00M
+"output" in: sky130A_mr.drc:371
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 1067.00M
+"separation" in: sky130A_mr.drc:372
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 1067.00M
+"output" in: sky130A_mr.drc:372
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 1067.00M
+END: 97/44 (cap2m)
+FEOL section
+START: 75/20 (hvi)
+"not" in: sky130A_mr.drc:672
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 1067.00M
+"width" in: sky130A_mr.drc:673
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 1067.00M
+"output" in: sky130A_mr.drc:673
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 1067.00M
+"space" in: sky130A_mr.drc:674
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 1067.00M
+"output" in: sky130A_mr.drc:674
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 1067.00M
+END: 75/20 (hvi)
+START: 125/20 (hvntm)
+"not" in: sky130A_mr.drc:679
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 1067.00M
+"width" in: sky130A_mr.drc:680
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 1067.00M
+"output" in: sky130A_mr.drc:680
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 1067.00M
+"space" in: sky130A_mr.drc:681
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 1067.00M
+"output" in: sky130A_mr.drc:681
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 1067.00M
+END: 125/20 (hvntm)
+Writing report database: /home/krishna/Comparator_MPW6/precheck_results/01_JUN_2022___10_26_55/outputs/reports/klayout_feol_check.xml ..
+Total elapsed: 1.500s  Memory: 1067.00M
diff --git a/precheck_results/01_JUN_2022___10_26_55/logs/klayout_feol_check.total b/precheck_results/01_JUN_2022___10_26_55/logs/klayout_feol_check.total
new file mode 100755
index 0000000..c227083
--- /dev/null
+++ b/precheck_results/01_JUN_2022___10_26_55/logs/klayout_feol_check.total
@@ -0,0 +1 @@
+0
\ No newline at end of file
diff --git a/precheck_results/01_JUN_2022___10_26_55/logs/klayout_met_min_ca_density_check.log b/precheck_results/01_JUN_2022___10_26_55/logs/klayout_met_min_ca_density_check.log
new file mode 100755
index 0000000..8125395
--- /dev/null
+++ b/precheck_results/01_JUN_2022___10_26_55/logs/klayout_met_min_ca_density_check.log
@@ -0,0 +1,79 @@
+"polygons" in: met_min_ca_density.lydrc:35
+    Polygons (raw): 616 (flat)  404 (hierarchical)
+    Elapsed: 0.000s  Memory: 522.00M
+"polygons" in: met_min_ca_density.lydrc:36
+    Polygons (raw): 1756 (flat)  1480 (hierarchical)
+    Elapsed: 0.010s  Memory: 522.00M
+"polygons" in: met_min_ca_density.lydrc:38
+    Polygons (raw): 369 (flat)  303 (hierarchical)
+    Elapsed: 0.000s  Memory: 522.00M
+"polygons" in: met_min_ca_density.lydrc:39
+    Polygons (raw): 2570 (flat)  2570 (hierarchical)
+    Elapsed: 0.010s  Memory: 522.00M
+"polygons" in: met_min_ca_density.lydrc:41
+    Polygons (raw): 1097 (flat)  1097 (hierarchical)
+    Elapsed: 0.000s  Memory: 522.00M
+"polygons" in: met_min_ca_density.lydrc:42
+    Polygons (raw): 1761 (flat)  1761 (hierarchical)
+    Elapsed: 0.000s  Memory: 522.00M
+"polygons" in: met_min_ca_density.lydrc:44
+    Polygons (raw): 643 (flat)  643 (hierarchical)
+    Elapsed: 0.010s  Memory: 522.00M
+"polygons" in: met_min_ca_density.lydrc:45
+    Polygons (raw): 25800 (flat)  25800 (hierarchical)
+    Elapsed: 0.010s  Memory: 523.00M
+"polygons" in: met_min_ca_density.lydrc:47
+    Polygons (raw): 179 (flat)  179 (hierarchical)
+    Elapsed: 0.000s  Memory: 523.00M
+"polygons" in: met_min_ca_density.lydrc:48
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 523.00M
+"polygons" in: met_min_ca_density.lydrc:49
+    Polygons (raw): 552 (flat)  552 (hierarchical)
+    Elapsed: 0.000s  Memory: 523.00M
+"polygons" in: met_min_ca_density.lydrc:51
+    Polygons (raw): 157 (flat)  157 (hierarchical)
+    Elapsed: 0.010s  Memory: 523.00M
+"input" in: met_min_ca_density.lydrc:53
+    Polygons (raw): 5 (flat)  4 (hierarchical)
+    Elapsed: 0.000s  Memory: 523.00M
+"area" in: met_min_ca_density.lydrc:55
+    Elapsed: 0.010s  Memory: 523.00M
+"polygons" in: met_min_ca_density.lydrc:59
+    Polygons (raw): 616 (flat)  404 (hierarchical)
+    Elapsed: 0.000s  Memory: 523.00M
+"area" in: met_min_ca_density.lydrc:59
+    Elapsed: 0.010s  Memory: 523.00M
+li1_ca_density is 0.9998144907840715
+"polygons" in: met_min_ca_density.lydrc:69
+    Polygons (raw): 369 (flat)  303 (hierarchical)
+    Elapsed: 0.010s  Memory: 523.00M
+"area" in: met_min_ca_density.lydrc:69
+    Elapsed: 0.000s  Memory: 523.00M
+m1_ca_density is 0.9997226470316392
+"polygons" in: met_min_ca_density.lydrc:79
+    Polygons (raw): 1097 (flat)  1097 (hierarchical)
+    Elapsed: 0.010s  Memory: 523.00M
+"area" in: met_min_ca_density.lydrc:79
+    Elapsed: 0.010s  Memory: 523.00M
+m2_ca_density is 0.999675358061566
+"polygons" in: met_min_ca_density.lydrc:89
+    Polygons (raw): 643 (flat)  643 (hierarchical)
+    Elapsed: 0.000s  Memory: 523.00M
+"area" in: met_min_ca_density.lydrc:89
+    Elapsed: 0.010s  Memory: 523.00M
+m3_ca_density is 0.9841049439747431
+"polygons" in: met_min_ca_density.lydrc:99
+    Polygons (raw): 179 (flat)  179 (hierarchical)
+    Elapsed: 0.010s  Memory: 523.00M
+"area" in: met_min_ca_density.lydrc:99
+    Elapsed: 0.000s  Memory: 523.00M
+m4_ca_density is 0.9788664518310243
+"polygons" in: met_min_ca_density.lydrc:109
+    Polygons (raw): 157 (flat)  157 (hierarchical)
+    Elapsed: 0.010s  Memory: 523.00M
+"area" in: met_min_ca_density.lydrc:109
+    Elapsed: 0.000s  Memory: 523.00M
+m5_ca_density is 0.9695939883250312
+Writing report database: /home/krishna/Comparator_MPW6/precheck_results/01_JUN_2022___10_26_55/outputs/reports/klayout_met_min_ca_density_check.xml ..
+Total elapsed: 0.180s  Memory: 522.00M
diff --git a/precheck_results/01_JUN_2022___10_26_55/logs/klayout_met_min_ca_density_check.total b/precheck_results/01_JUN_2022___10_26_55/logs/klayout_met_min_ca_density_check.total
new file mode 100755
index 0000000..c227083
--- /dev/null
+++ b/precheck_results/01_JUN_2022___10_26_55/logs/klayout_met_min_ca_density_check.total
@@ -0,0 +1 @@
+0
\ No newline at end of file
diff --git a/precheck_results/01_JUN_2022___10_26_55/logs/klayout_offgrid_check.log b/precheck_results/01_JUN_2022___10_26_55/logs/klayout_offgrid_check.log
new file mode 100755
index 0000000..cdb57f6
--- /dev/null
+++ b/precheck_results/01_JUN_2022___10_26_55/logs/klayout_offgrid_check.log
@@ -0,0 +1,755 @@
+/home/krishna/mpw_precheckmpw6/checks/tech-files/sky130A_mr.drc:38: warning: already initialized constant DRC::DRCEngine::FEOL
+/home/krishna/mpw_precheckmpw6/checks/tech-files/sky130A_mr.drc:28: warning: previous definition of FEOL was here
+/home/krishna/mpw_precheckmpw6/checks/tech-files/sky130A_mr.drc:44: warning: already initialized constant DRC::DRCEngine::BEOL
+/home/krishna/mpw_precheckmpw6/checks/tech-files/sky130A_mr.drc:29: warning: previous definition of BEOL was here
+/home/krishna/mpw_precheckmpw6/checks/tech-files/sky130A_mr.drc:48: warning: already initialized constant DRC::DRCEngine::OFFGRID
+/home/krishna/mpw_precheckmpw6/checks/tech-files/sky130A_mr.drc:30: warning: previous definition of OFFGRID was here
+/home/krishna/mpw_precheckmpw6/checks/tech-files/sky130A_mr.drc:56: warning: already initialized constant DRC::DRCEngine::SEAL
+/home/krishna/mpw_precheckmpw6/checks/tech-files/sky130A_mr.drc:31: warning: previous definition of SEAL was here
+/home/krishna/mpw_precheckmpw6/checks/tech-files/sky130A_mr.drc:62: warning: already initialized constant DRC::DRCEngine::FLOATING_MET
+/home/krishna/mpw_precheckmpw6/checks/tech-files/sky130A_mr.drc:32: warning: previous definition of FLOATING_MET was here
+"input" in: sky130A_mr.drc:112
+    Polygons (raw): 62 (flat)  25 (hierarchical)
+    Elapsed: 0.010s  Memory: 522.00M
+"polygons" in: sky130A_mr.drc:113
+    Polygons (raw): 38 (flat)  30 (hierarchical)
+    Elapsed: 0.010s  Memory: 522.00M
+"polygons" in: sky130A_mr.drc:114
+    Polygons (raw): 89 (flat)  69 (hierarchical)
+    Elapsed: 0.000s  Memory: 522.00M
+"polygons" in: sky130A_mr.drc:115
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 522.00M
+"polygons" in: sky130A_mr.drc:116
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 522.00M
+"polygons" in: sky130A_mr.drc:117
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 522.00M
+"polygons" in: sky130A_mr.drc:118
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 522.00M
+"polygons" in: sky130A_mr.drc:119
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 522.00M
+"polygons" in: sky130A_mr.drc:120
+    Polygons (raw): 10 (flat)  2 (hierarchical)
+    Elapsed: 0.000s  Memory: 522.00M
+"polygons" in: sky130A_mr.drc:121
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 522.00M
+"polygons" in: sky130A_mr.drc:122
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 522.00M
+"polygons" in: sky130A_mr.drc:123
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 522.00M
+"polygons" in: sky130A_mr.drc:124
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 522.00M
+"polygons" in: sky130A_mr.drc:125
+    Polygons (raw): 202 (flat)  116 (hierarchical)
+    Elapsed: 0.010s  Memory: 522.00M
+"polygons" in: sky130A_mr.drc:126
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 522.00M
+"polygons" in: sky130A_mr.drc:127
+    Polygons (raw): 62 (flat)  43 (hierarchical)
+    Elapsed: 0.000s  Memory: 522.00M
+"polygons" in: sky130A_mr.drc:128
+    Polygons (raw): 52 (flat)  26 (hierarchical)
+    Elapsed: 0.010s  Memory: 522.00M
+"polygons" in: sky130A_mr.drc:129
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 522.00M
+"polygons" in: sky130A_mr.drc:130
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 522.00M
+"polygons" in: sky130A_mr.drc:131
+    Polygons (raw): 33 (flat)  24 (hierarchical)
+    Elapsed: 0.000s  Memory: 522.00M
+"polygons" in: sky130A_mr.drc:132
+    Polygons (raw): 907 (flat)  291 (hierarchical)
+    Elapsed: 0.010s  Memory: 522.00M
+"polygons" in: sky130A_mr.drc:134
+    Polygons (raw): 566 (flat)  394 (hierarchical)
+    Elapsed: 0.000s  Memory: 522.00M
+"polygons" in: sky130A_mr.drc:135
+    Polygons (raw): 1756 (flat)  1480 (hierarchical)
+    Elapsed: 0.010s  Memory: 522.00M
+"polygons" in: sky130A_mr.drc:137
+    Polygons (raw): 349 (flat)  299 (hierarchical)
+    Elapsed: 0.000s  Memory: 522.00M
+"polygons" in: sky130A_mr.drc:138
+    Polygons (raw): 2570 (flat)  2570 (hierarchical)
+    Elapsed: 0.010s  Memory: 522.00M
+"polygons" in: sky130A_mr.drc:140
+    Polygons (raw): 603 (flat)  603 (hierarchical)
+    Elapsed: 0.010s  Memory: 522.00M
+"polygons" in: sky130A_mr.drc:141
+    Polygons (raw): 1761 (flat)  1761 (hierarchical)
+    Elapsed: 0.000s  Memory: 522.00M
+"polygons" in: sky130A_mr.drc:143
+    Polygons (raw): 459 (flat)  459 (hierarchical)
+    Elapsed: 0.010s  Memory: 522.00M
+"polygons" in: sky130A_mr.drc:144
+    Polygons (raw): 25800 (flat)  25800 (hierarchical)
+    Elapsed: 0.010s  Memory: 523.00M
+"polygons" in: sky130A_mr.drc:146
+    Polygons (raw): 175 (flat)  175 (hierarchical)
+    Elapsed: 0.010s  Memory: 523.00M
+"polygons" in: sky130A_mr.drc:147
+    Polygons (raw): 552 (flat)  552 (hierarchical)
+    Elapsed: 0.010s  Memory: 523.00M
+"polygons" in: sky130A_mr.drc:149
+    Polygons (raw): 151 (flat)  151 (hierarchical)
+    Elapsed: 0.000s  Memory: 523.00M
+"polygons" in: sky130A_mr.drc:151
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 523.00M
+"polygons" in: sky130A_mr.drc:152
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 523.00M
+"polygons" in: sky130A_mr.drc:153
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 523.00M
+"polygons" in: sky130A_mr.drc:154
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 523.00M
+"polygons" in: sky130A_mr.drc:155
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 523.00M
+"polygons" in: sky130A_mr.drc:156
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 523.00M
+"polygons" in: sky130A_mr.drc:157
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 523.00M
+"polygons" in: sky130A_mr.drc:158
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 523.00M
+"polygons" in: sky130A_mr.drc:159
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 523.00M
+"polygons" in: sky130A_mr.drc:160
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 523.00M
+"polygons" in: sky130A_mr.drc:161
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 523.00M
+"polygons" in: sky130A_mr.drc:162
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 523.00M
+"polygons" in: sky130A_mr.drc:163
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 523.00M
+"polygons" in: sky130A_mr.drc:164
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 523.00M
+"polygons" in: sky130A_mr.drc:165
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 523.00M
+"polygons" in: sky130A_mr.drc:166
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 523.00M
+"polygons" in: sky130A_mr.drc:167
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 523.00M
+"polygons" in: sky130A_mr.drc:168
+    Polygons (raw): 10 (flat)  2 (hierarchical)
+    Elapsed: 0.000s  Memory: 523.00M
+"polygons" in: sky130A_mr.drc:169
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 523.00M
+"polygons" in: sky130A_mr.drc:170
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 523.00M
+"polygons" in: sky130A_mr.drc:171
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 523.00M
+"polygons" in: sky130A_mr.drc:172
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 523.00M
+"polygons" in: sky130A_mr.drc:173
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 523.00M
+"polygons" in: sky130A_mr.drc:174
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 523.00M
+"polygons" in: sky130A_mr.drc:175
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 523.00M
+"polygons" in: sky130A_mr.drc:176
+    Polygons (raw): 1 (flat)  1 (hierarchical)
+    Elapsed: 0.000s  Memory: 523.00M
+"polygons" in: sky130A_mr.drc:177
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 523.00M
+"polygons" in: sky130A_mr.drc:178
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 523.00M
+"polygons" in: sky130A_mr.drc:179
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 523.00M
+"polygons" in: sky130A_mr.drc:180
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 523.00M
+"polygons" in: sky130A_mr.drc:181
+    Polygons (raw): 4 (flat)  3 (hierarchical)
+    Elapsed: 0.010s  Memory: 523.00M
+"polygons" in: sky130A_mr.drc:182
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 523.00M
+"polygons" in: sky130A_mr.drc:183
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 523.00M
+"polygons" in: sky130A_mr.drc:184
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 523.00M
+"polygons" in: sky130A_mr.drc:185
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 523.00M
+"polygons" in: sky130A_mr.drc:186
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 523.00M
+"polygons" in: sky130A_mr.drc:187
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 523.00M
+"polygons" in: sky130A_mr.drc:188
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 523.00M
+"polygons" in: sky130A_mr.drc:189
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 523.00M
+"polygons" in: sky130A_mr.drc:190
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 523.00M
+"polygons" in: sky130A_mr.drc:191
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 523.00M
+"polygons" in: sky130A_mr.drc:192
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 523.00M
+"polygons" in: sky130A_mr.drc:193
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 523.00M
+"polygons" in: sky130A_mr.drc:194
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 523.00M
+"polygons" in: sky130A_mr.drc:195
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 523.00M
+"polygons" in: sky130A_mr.drc:196
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 523.00M
+"polygons" in: sky130A_mr.drc:197
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 523.00M
+"polygons" in: sky130A_mr.drc:198
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 523.00M
+"polygons" in: sky130A_mr.drc:199
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 523.00M
+"polygons" in: sky130A_mr.drc:200
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 523.00M
+"polygons" in: sky130A_mr.drc:201
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 523.00M
+"polygons" in: sky130A_mr.drc:202
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 523.00M
+"polygons" in: sky130A_mr.drc:203
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 523.00M
+DRC section
+OFFGRID-ANGLES section
+"ongrid" in: sky130A_mr.drc:690
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 523.00M
+"output" in: sky130A_mr.drc:690
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 523.00M
+"with_angle" in: sky130A_mr.drc:691
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 523.00M
+"output" in: sky130A_mr.drc:691
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 523.00M
+"ongrid" in: sky130A_mr.drc:692
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 523.00M
+"output" in: sky130A_mr.drc:692
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 523.00M
+"with_angle" in: sky130A_mr.drc:693
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 523.00M
+"output" in: sky130A_mr.drc:693
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 523.00M
+"ongrid" in: sky130A_mr.drc:694
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 523.00M
+"output" in: sky130A_mr.drc:694
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 523.00M
+"with_angle" in: sky130A_mr.drc:695
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 523.00M
+"output" in: sky130A_mr.drc:695
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 523.00M
+"ongrid" in: sky130A_mr.drc:696
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 523.00M
+"output" in: sky130A_mr.drc:696
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 523.00M
+"with_angle" in: sky130A_mr.drc:697
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 523.00M
+"output" in: sky130A_mr.drc:697
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 523.00M
+"ongrid" in: sky130A_mr.drc:698
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 523.00M
+"output" in: sky130A_mr.drc:698
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 523.00M
+"with_angle" in: sky130A_mr.drc:699
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 523.00M
+"output" in: sky130A_mr.drc:699
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 523.00M
+"ongrid" in: sky130A_mr.drc:700
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 523.00M
+"output" in: sky130A_mr.drc:700
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 523.00M
+"with_angle" in: sky130A_mr.drc:701
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 523.00M
+"output" in: sky130A_mr.drc:701
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 523.00M
+"ongrid" in: sky130A_mr.drc:702
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 523.00M
+"output" in: sky130A_mr.drc:702
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 523.00M
+"with_angle" in: sky130A_mr.drc:703
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 523.00M
+"output" in: sky130A_mr.drc:703
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 523.00M
+"ongrid" in: sky130A_mr.drc:704
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 523.00M
+"output" in: sky130A_mr.drc:704
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 523.00M
+"with_angle" in: sky130A_mr.drc:705
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 523.00M
+"output" in: sky130A_mr.drc:705
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 523.00M
+"ongrid" in: sky130A_mr.drc:706
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 523.00M
+"output" in: sky130A_mr.drc:706
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 523.00M
+"ongrid" in: sky130A_mr.drc:707
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 523.00M
+"output" in: sky130A_mr.drc:707
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 523.00M
+"and" in: sky130A_mr.drc:708
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 523.00M
+"not" in: sky130A_mr.drc:708
+    Polygons (raw): 62 (flat)  25 (hierarchical)
+    Elapsed: 0.010s  Memory: 523.00M
+"with_angle" in: sky130A_mr.drc:708
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 523.00M
+"output" in: sky130A_mr.drc:708
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 523.00M
+"and" in: sky130A_mr.drc:709
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 523.00M
+"and" in: sky130A_mr.drc:709
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 523.00M
+"with_angle" in: sky130A_mr.drc:709
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 523.00M
+"output" in: sky130A_mr.drc:709
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 523.00M
+"and" in: sky130A_mr.drc:710
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 523.00M
+"not" in: sky130A_mr.drc:710
+    Polygons (raw): 38 (flat)  30 (hierarchical)
+    Elapsed: 0.010s  Memory: 523.00M
+"with_angle" in: sky130A_mr.drc:710
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 523.00M
+"output" in: sky130A_mr.drc:710
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 523.00M
+"and" in: sky130A_mr.drc:711
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 523.00M
+"and" in: sky130A_mr.drc:711
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 523.00M
+"with_angle" in: sky130A_mr.drc:711
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 523.00M
+"output" in: sky130A_mr.drc:711
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 523.00M
+"ongrid" in: sky130A_mr.drc:712
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 523.00M
+"output" in: sky130A_mr.drc:712
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 523.00M
+"with_angle" in: sky130A_mr.drc:713
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 523.00M
+"output" in: sky130A_mr.drc:713
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 523.00M
+"ongrid" in: sky130A_mr.drc:714
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 523.00M
+"output" in: sky130A_mr.drc:714
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 523.00M
+"with_angle" in: sky130A_mr.drc:715
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 523.00M
+"output" in: sky130A_mr.drc:715
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 523.00M
+"ongrid" in: sky130A_mr.drc:716
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 523.00M
+"output" in: sky130A_mr.drc:716
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 523.00M
+"with_angle" in: sky130A_mr.drc:717
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 523.00M
+"output" in: sky130A_mr.drc:717
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 523.00M
+"ongrid" in: sky130A_mr.drc:718
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 523.00M
+"output" in: sky130A_mr.drc:718
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 523.00M
+"with_angle" in: sky130A_mr.drc:719
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 523.00M
+"output" in: sky130A_mr.drc:719
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 523.00M
+"ongrid" in: sky130A_mr.drc:720
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 523.00M
+"output" in: sky130A_mr.drc:720
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 523.00M
+"with_angle" in: sky130A_mr.drc:721
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 523.00M
+"output" in: sky130A_mr.drc:721
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 523.00M
+"ongrid" in: sky130A_mr.drc:722
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 523.00M
+"output" in: sky130A_mr.drc:722
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 523.00M
+"with_angle" in: sky130A_mr.drc:723
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 523.00M
+"output" in: sky130A_mr.drc:723
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 523.00M
+"ongrid" in: sky130A_mr.drc:724
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 523.00M
+"output" in: sky130A_mr.drc:724
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 523.00M
+"with_angle" in: sky130A_mr.drc:725
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 523.00M
+"output" in: sky130A_mr.drc:725
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 523.00M
+"ongrid" in: sky130A_mr.drc:726
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 523.00M
+"output" in: sky130A_mr.drc:726
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 523.00M
+"with_angle" in: sky130A_mr.drc:727
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 523.00M
+"output" in: sky130A_mr.drc:727
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 523.00M
+"ongrid" in: sky130A_mr.drc:728
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 523.00M
+"output" in: sky130A_mr.drc:728
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 523.00M
+"with_angle" in: sky130A_mr.drc:729
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 523.00M
+"output" in: sky130A_mr.drc:729
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 523.00M
+"ongrid" in: sky130A_mr.drc:730
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 523.00M
+"output" in: sky130A_mr.drc:730
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 523.00M
+"with_angle" in: sky130A_mr.drc:731
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 523.00M
+"output" in: sky130A_mr.drc:731
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 523.00M
+"ongrid" in: sky130A_mr.drc:732
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 523.00M
+"output" in: sky130A_mr.drc:732
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 523.00M
+"with_angle" in: sky130A_mr.drc:733
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 523.00M
+"output" in: sky130A_mr.drc:733
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 523.00M
+"ongrid" in: sky130A_mr.drc:734
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.020s  Memory: 524.00M
+"output" in: sky130A_mr.drc:734
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 524.00M
+"with_angle" in: sky130A_mr.drc:735
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 524.00M
+"output" in: sky130A_mr.drc:735
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 524.00M
+"ongrid" in: sky130A_mr.drc:736
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 524.00M
+"output" in: sky130A_mr.drc:736
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 524.00M
+"with_angle" in: sky130A_mr.drc:737
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 524.00M
+"output" in: sky130A_mr.drc:737
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 524.00M
+"ongrid" in: sky130A_mr.drc:738
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 524.00M
+"output" in: sky130A_mr.drc:738
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 524.00M
+"with_angle" in: sky130A_mr.drc:739
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 524.00M
+"output" in: sky130A_mr.drc:739
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 524.00M
+"ongrid" in: sky130A_mr.drc:740
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 524.00M
+"output" in: sky130A_mr.drc:740
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 524.00M
+"with_angle" in: sky130A_mr.drc:741
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 524.00M
+"output" in: sky130A_mr.drc:741
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 524.00M
+"ongrid" in: sky130A_mr.drc:742
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.140s  Memory: 530.00M
+"output" in: sky130A_mr.drc:742
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 530.00M
+"with_angle" in: sky130A_mr.drc:743
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.030s  Memory: 530.00M
+"output" in: sky130A_mr.drc:743
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 530.00M
+"ongrid" in: sky130A_mr.drc:744
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 530.00M
+"output" in: sky130A_mr.drc:744
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 530.00M
+"with_angle" in: sky130A_mr.drc:745
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 530.00M
+"output" in: sky130A_mr.drc:745
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 530.00M
+"ongrid" in: sky130A_mr.drc:746
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 530.00M
+"output" in: sky130A_mr.drc:746
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 530.00M
+"with_angle" in: sky130A_mr.drc:747
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 530.00M
+"output" in: sky130A_mr.drc:747
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 530.00M
+"ongrid" in: sky130A_mr.drc:748
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 530.00M
+"output" in: sky130A_mr.drc:748
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 530.00M
+"with_angle" in: sky130A_mr.drc:749
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 530.00M
+"output" in: sky130A_mr.drc:749
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 530.00M
+"ongrid" in: sky130A_mr.drc:750
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 530.00M
+"output" in: sky130A_mr.drc:750
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 530.00M
+"with_angle" in: sky130A_mr.drc:751
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 530.00M
+"output" in: sky130A_mr.drc:751
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 530.00M
+"ongrid" in: sky130A_mr.drc:752
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 530.00M
+"output" in: sky130A_mr.drc:752
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 530.00M
+"with_angle" in: sky130A_mr.drc:753
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 530.00M
+"output" in: sky130A_mr.drc:753
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 530.00M
+"ongrid" in: sky130A_mr.drc:754
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 530.00M
+"output" in: sky130A_mr.drc:754
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 530.00M
+"with_angle" in: sky130A_mr.drc:755
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 530.00M
+"output" in: sky130A_mr.drc:755
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 530.00M
+"ongrid" in: sky130A_mr.drc:756
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 530.00M
+"output" in: sky130A_mr.drc:756
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 530.00M
+"with_angle" in: sky130A_mr.drc:757
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 530.00M
+"output" in: sky130A_mr.drc:757
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 530.00M
+"ongrid" in: sky130A_mr.drc:758
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 530.00M
+"output" in: sky130A_mr.drc:758
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 530.00M
+"with_angle" in: sky130A_mr.drc:759
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 530.00M
+"output" in: sky130A_mr.drc:759
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 530.00M
+"ongrid" in: sky130A_mr.drc:760
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 530.00M
+"output" in: sky130A_mr.drc:760
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 530.00M
+"with_angle" in: sky130A_mr.drc:761
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 530.00M
+"output" in: sky130A_mr.drc:761
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 530.00M
+"ongrid" in: sky130A_mr.drc:762
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 530.00M
+"output" in: sky130A_mr.drc:762
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 530.00M
+"with_angle" in: sky130A_mr.drc:763
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 530.00M
+"output" in: sky130A_mr.drc:763
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 530.00M
+"ongrid" in: sky130A_mr.drc:764
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 530.00M
+"output" in: sky130A_mr.drc:764
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 530.00M
+"with_angle" in: sky130A_mr.drc:765
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 530.00M
+"output" in: sky130A_mr.drc:765
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 530.00M
+"ongrid" in: sky130A_mr.drc:766
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 530.00M
+"output" in: sky130A_mr.drc:766
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 530.00M
+Writing report database: /home/krishna/Comparator_MPW6/precheck_results/01_JUN_2022___10_26_55/outputs/reports/klayout_offgrid_check.xml ..
+Total elapsed: 1.500s  Memory: 530.00M
diff --git a/precheck_results/01_JUN_2022___10_26_55/logs/klayout_offgrid_check.total b/precheck_results/01_JUN_2022___10_26_55/logs/klayout_offgrid_check.total
new file mode 100755
index 0000000..c227083
--- /dev/null
+++ b/precheck_results/01_JUN_2022___10_26_55/logs/klayout_offgrid_check.total
@@ -0,0 +1 @@
+0
\ No newline at end of file
diff --git a/precheck_results/01_JUN_2022___10_26_55/logs/klayout_pin_label_purposes_overlapping_drawing_check.log b/precheck_results/01_JUN_2022___10_26_55/logs/klayout_pin_label_purposes_overlapping_drawing_check.log
new file mode 100755
index 0000000..360d963
--- /dev/null
+++ b/precheck_results/01_JUN_2022___10_26_55/logs/klayout_pin_label_purposes_overlapping_drawing_check.log
@@ -0,0 +1,31 @@
+Running pin_label_purposes_overlapping_drawing.rb.drc on file=/home/krishna/Comparator_MPW6/gds/user_analog_project_wrapper.gds, topcell=user_analog_project_wrapper, output to /home/krishna/Comparator_MPW6/precheck_results/01_JUN_2022___10_26_55/outputs/reports/klayout_pin_label_purposes_overlapping_drawing_check.xml
+  deep:true tiled:false threads:12
+--- #err|description, table for cell: user_analog_project_wrapper
+NO-Check ----        pwell:64/44/EMP   122/16/dat    64/59/EMP    44/16/EMP     44/5/EMP
+         ----        nwell:64/20/dat    64/16/dat     64/5/EMP
+         ----         diff:65/20/dat    65/16/EMP     65/6/EMP
+         ----          tap:65/44/dat    65/48/EMP     65/5/EMP
+         ----         poly:66/20/dat    66/16/EMP     66/5/EMP
+         ----       licon1:66/44/dat    66/58/EMP
+         ----          li1:67/20/dat    67/16/dat     67/5/EMP
+         ----         mcon:67/44/dat    67/48/EMP
+         ----         met1:68/20/dat    68/16/dat     68/5/EMP
+         ----          via:68/44/dat    68/58/EMP
+         ----         met2:69/20/dat    69/16/dat     69/5/EMP
+         ----         via2:69/44/dat    69/58/EMP
+       1 70/16: met3, pin/label not-over drawing:70/20
+         ----         met3:70/20/dat    70/16/dat     70/5/EMP
+         ----         via3:70/44/dat    70/48/EMP
+         ----         met4:71/20/dat    71/16/dat     71/5/EMP
+         ----         via4:71/44/dat    71/48/EMP
+       2 72/16: met5, pin/label not-over drawing:72/20
+         ----         met5:72/20/dat    72/16/dat     72/5/EMP
+         ----          pad:76/20/EMP     76/5/EMP    76/16/EMP
+         ----          pnp:82/44/EMP    82/59/EMP
+         ----          npn:82/20/EMP     82/5/EMP
+         ----          rdl:74/20/EMP    74/16/EMP     74/5/EMP
+         ----     inductor:82/24/EMP    82/25/EMP
+       3 total error(s) among 2 error type(s), 33 checks, cell: user_analog_project_wrapper
+Writing report...
+VmPeak:	 2305224 kB
+VmHWM:	  258612 kB
diff --git a/precheck_results/01_JUN_2022___10_26_55/logs/klayout_pin_label_purposes_overlapping_drawing_check.total b/precheck_results/01_JUN_2022___10_26_55/logs/klayout_pin_label_purposes_overlapping_drawing_check.total
new file mode 100755
index 0000000..e440e5c
--- /dev/null
+++ b/precheck_results/01_JUN_2022___10_26_55/logs/klayout_pin_label_purposes_overlapping_drawing_check.total
@@ -0,0 +1 @@
+3
\ No newline at end of file
diff --git a/precheck_results/01_JUN_2022___10_26_55/logs/klayout_zeroarea_check.log b/precheck_results/01_JUN_2022___10_26_55/logs/klayout_zeroarea_check.log
new file mode 100755
index 0000000..91010f0
--- /dev/null
+++ b/precheck_results/01_JUN_2022___10_26_55/logs/klayout_zeroarea_check.log
@@ -0,0 +1,4 @@
+0 zero-area shapes
+writing to /home/krishna/Comparator_MPW6/precheck_results/01_JUN_2022___10_26_55/outputs/user_analog_project_wrapper_no_zero_areas.gds
+VmPeak:	  542076 kB
+VmHWM:	  261504 kB
diff --git a/precheck_results/01_JUN_2022___10_26_55/logs/klayout_zeroarea_check.total b/precheck_results/01_JUN_2022___10_26_55/logs/klayout_zeroarea_check.total
new file mode 100755
index 0000000..c227083
--- /dev/null
+++ b/precheck_results/01_JUN_2022___10_26_55/logs/klayout_zeroarea_check.total
@@ -0,0 +1 @@
+0
\ No newline at end of file
diff --git a/precheck_results/01_JUN_2022___10_26_55/logs/magic_drc_check.log b/precheck_results/01_JUN_2022___10_26_55/logs/magic_drc_check.log
new file mode 100755
index 0000000..92cc22c
--- /dev/null
+++ b/precheck_results/01_JUN_2022___10_26_55/logs/magic_drc_check.log
@@ -0,0 +1,30 @@
+
+Magic 8.3 revision 274 - Compiled on Fri Mar  4 22:54:15 UTC 2022.
+Starting magic under Tcl interpreter
+Using the terminal as the console.
+Using NULL graphics device.
+Processing system .magicrc file
+Sourcing design .magicrc for technology sky130A ...
+2 Magic internal units = 1 Lambda
+Could not find file '/usr/local/share/pdk/sky130A/sky130A/libs.tech/magic/sky130A.tech' in any of these directories:
+         . $CAD_ROOT/magic/sys $CAD_ROOT/magic/sys/current
+Error parsing "/home/krishna/mpw_precheckmpw6/checks/tech-files/sky130A.magicrc": couldn't read file "/usr/local/share/pdk/sky130A/sky130A/libs.tech/magic/sky130A.tcl": no such file or directory
+Bad local startup file "/home/krishna/mpw_precheckmpw6/checks/tech-files/sky130A.magicrc", continuing without.
+Scaled tech values by 2 / 1 to match internal grid scaling
+Loading "/home/krishna/mpw_precheckmpw6/checks/drc_checks/magic/magic_drc_check.tcl" from command line.
+Don't know how to read GDS-II:
+Nothing in "cifinput" section of tech file.
+[INFO]: Loading user_analog_project_wrapper
+
+File user_analog_project_wrapper.mag couldn't be read
+No such file or directory
+Creating new cell
+"drc(full)" is not one of the DRC styles Magic knows.
+The current style is "default".
+The DRC styles are: default.
+No errors found.
+[INFO]: COUNT: 0
+[INFO]: Should be divided by 3 or 4
+[INFO]: DRC Checking DONE (/home/krishna/Comparator_MPW6/precheck_results/01_JUN_2022___10_26_55/outputs/reports/magic_drc_check.drc.report)
+[INFO]: Saving mag view with DRC errors(/home/krishna/Comparator_MPW6/precheck_results/01_JUN_2022___10_26_55/outputs/user_analog_project_wrapper.magic.drc.mag)
+[INFO]: Saved
diff --git a/precheck_results/01_JUN_2022___10_26_55/logs/magic_drc_check.total b/precheck_results/01_JUN_2022___10_26_55/logs/magic_drc_check.total
new file mode 100755
index 0000000..c227083
--- /dev/null
+++ b/precheck_results/01_JUN_2022___10_26_55/logs/magic_drc_check.total
@@ -0,0 +1 @@
+0
\ No newline at end of file
diff --git a/precheck_results/01_JUN_2022___10_26_55/logs/pdks.info b/precheck_results/01_JUN_2022___10_26_55/logs/pdks.info
new file mode 100755
index 0000000..3072015
--- /dev/null
+++ b/precheck_results/01_JUN_2022___10_26_55/logs/pdks.info
@@ -0,0 +1,2 @@
+Open PDKs a3d6ffea8022332b859daa454cef7ee7131c5181
+Skywater PDK f70d8ca46961ff92719d8870a18a076370b85f6c
\ No newline at end of file
diff --git a/precheck_results/01_JUN_2022___10_26_55/logs/precheck.log b/precheck_results/01_JUN_2022___10_26_55/logs/precheck.log
new file mode 100755
index 0000000..9c813c2
--- /dev/null
+++ b/precheck_results/01_JUN_2022___10_26_55/logs/precheck.log
@@ -0,0 +1,60 @@
+2022-06-01 10:26:55 - [INFO] - {{EXTRACTING FILES}} Extracting compressed files in: /home/krishna/Comparator_MPW6
+2022-06-01 10:26:55 - [INFO] - {{Project Type Info}} analog
+2022-06-01 10:26:55 - [INFO] - {{Project GDS Info}} user_analog_project_wrapper: 34c33fb592f107568df6d082ab4736d23359a425
+2022-06-01 10:26:56 - [INFO] - {{Tools Info}} KLayout: v0.27.8 | Magic: v8.3.274
+2022-06-01 10:26:56 - [INFO] - {{PDKs Info}} Open PDKs: a3d6ffea8022332b859daa454cef7ee7131c5181 | Skywater PDK: f70d8ca46961ff92719d8870a18a076370b85f6c
+2022-06-01 10:26:56 - [INFO] - {{START}} Precheck Started, the full log 'precheck.log' will be located in '/home/krishna/Comparator_MPW6/precheck_results/01_JUN_2022___10_26_55/logs'
+2022-06-01 10:26:56 - [INFO] - {{PRECHECK SEQUENCE}} Precheck will run the following checks: [License, Makefile, Default, Documentation, Consistency, XOR, Magic DRC, Klayout FEOL, Klayout BEOL, Klayout Offgrid, Klayout Metal Minimum Clear Area Density, Klayout Pin Label Purposes Overlapping Drawing, Klayout ZeroArea]
+2022-06-01 10:26:56 - [INFO] - {{STEP UPDATE}} Executing Check 1 of 13: License
+2022-06-01 10:26:57 - [INFO] - An approved LICENSE (Apache-2.0) was found in /home/krishna/Comparator_MPW6.
+2022-06-01 10:26:57 - [INFO] - {{MAIN LICENSE CHECK PASSED}} An approved LICENSE was found in project root.
+2022-06-01 10:26:57 - [INFO] - An approved LICENSE (Apache-2.0) was found in /home/krishna/Comparator_MPW6.
+2022-06-01 10:26:57 - [INFO] - {{SUBMODULES LICENSE CHECK PASSED}} No prohibited LICENSE file(s) was found in project submodules
+2022-06-01 10:26:58 - [WARNING] - {{SPDX COMPLIANCE CHECK FAILED}} Found 166 non-compliant file(s) with the SPDX Standard.
+2022-06-01 10:26:58 - [INFO] - SPDX COMPLIANCE: NON-COMPLIANT FILE(S) PREVIEW: ['/home/krishna/Comparator_MPW6/Makefile', '/home/krishna/Comparator_MPW6/.spiceinit', '/home/krishna/Comparator_MPW6/verilog/dv/Makefile', '/home/krishna/Comparator_MPW6/verilog/dv/mprj_por/mprj_por.c', '/home/krishna/Comparator_MPW6/verilog/dv/mprj_por/mprj_por_tb.v', '/home/krishna/Comparator_MPW6/verilog/dv/mprj_por/Makefile', '/home/krishna/Comparator_MPW6/verilog/rtl/uprj_analog_netlists.v', '/home/krishna/Comparator_MPW6/verilog/rtl/example_por.v', '/home/krishna/Comparator_MPW6/verilog/rtl/user_analog_proj_example.v', '/home/krishna/Comparator_MPW6/verilog/rtl/user_analog_project_wrapper.v', '/home/krishna/Comparator_MPW6/verilog/rtl/comparator.v', '/home/krishna/Comparator_MPW6/precheck_results/01_JUN_2022___10_26_55/logs/tools.info', '/home/krishna/Comparator_MPW6/precheck_results/01_JUN_2022___10_26_55/logs/gds.info', '/home/krishna/Comparator_MPW6/precheck_results/01_JUN_2022___10_26_55/logs/pdks.info', '/home/krishna/Comparator_MPW6/precheck_results/01_JUN_2022___08_58_30/outputs/reports/magic_drc_check.drc.report']
+2022-06-01 10:26:58 - [INFO] - For the full SPDX compliance report check: /home/krishna/Comparator_MPW6/precheck_results/01_JUN_2022___10_26_55/logs/spdx_compliance_report.log
+2022-06-01 10:26:58 - [INFO] - {{STEP UPDATE}} Executing Check 2 of 13: Makefile
+2022-06-01 10:26:58 - [INFO] - {{MAKEFILE CHECK PASSED}} Makefile valid.
+2022-06-01 10:26:58 - [INFO] - {{STEP UPDATE}} Executing Check 3 of 13: Default
+2022-06-01 10:26:58 - [INFO] - {{README DEFAULT CHECK PASSED}} Project 'README.md' was modified and is not identical to the default 'README.md'
+2022-06-01 10:26:58 - [INFO] - {{CONTENT DEFAULT CHECK PASSED}} Project 'gds' was modified and is not identical to the default 'gds'
+2022-06-01 10:26:58 - [INFO] - {{STEP UPDATE}} Executing Check 4 of 13: Documentation
+2022-06-01 10:26:58 - [INFO] - {{DOCUMENTATION CHECK PASSED}} Project documentation is appropriate.
+2022-06-01 10:26:58 - [INFO] - {{STEP UPDATE}} Executing Check 5 of 13: Consistency
+2022-06-01 10:26:59 - [INFO] - HIERARCHY CHECK PASSED: Module user_analog_project_wrapper is instantiated in caravan. 
+2022-06-01 10:26:59 - [INFO] - COMPLEXITY CHECK PASSED: Netlist caravan contains at least 8 instances (68 instances). 
+2022-06-01 10:26:59 - [INFO] - MODELING CHECK PASSED: Netlist caravan is structural.
+2022-06-01 10:26:59 - [INFO] - SUBMODULE HOOKS CHECK PASSED: All module ports for user_analog_project_wrapper are correctly connected in the top level netlist caravan.
+2022-06-01 10:26:59 - [INFO] - {{NETLIST CONSISTENCY CHECK PASSED}} caravan netlist passed all consistency checks.
+2022-06-01 10:26:59 - [INFO] - PORTS CHECK PASSED: Netlist user_analog_project_wrapper ports match the golden wrapper ports
+2022-06-01 10:26:59 - [INFO] - COMPLEXITY CHECK PASSED: Netlist user_analog_project_wrapper contains at least 1 instances (19 instances). 
+2022-06-01 10:26:59 - [INFO] - MODELING CHECK PASSED: Netlist user_analog_project_wrapper is structural.
+2022-06-01 10:26:59 - [INFO] - LAYOUT CHECK PASSED: The GDS layout for user_analog_project_wrapper matches the provided structural netlist.
+2022-06-01 10:26:59 - [INFO] - {{NETLIST CONSISTENCY CHECK PASSED}} user_analog_project_wrapper netlist passed all consistency checks.
+2022-06-01 10:26:59 - [INFO] - {{CONSISTENCY CHECK PASSED}} The user netlist and the top netlist are valid.
+2022-06-01 10:26:59 - [INFO] - {{STEP UPDATE}} Executing Check 6 of 13: XOR
+2022-06-01 10:27:01 - [ERROR] - XOR CHECK FILE NOT FOUND in /home/krishna/Comparator_MPW6/precheck_results/01_JUN_2022___10_26_55/logs/xor_check.total
+2022-06-01 10:27:01 - [WARNING] - {{XOR CHECK FAILED}} The GDS file has non-conforming geometries.
+2022-06-01 10:27:01 - [INFO] - {{STEP UPDATE}} Executing Check 7 of 13: Magic DRC
+2022-06-01 10:27:01 - [INFO] - 0 DRC violations
+2022-06-01 10:27:01 - [INFO] - {{MAGIC DRC CHECK PASSED}} The GDS file, user_analog_project_wrapper.gds, has no DRC violations.
+2022-06-01 10:27:01 - [INFO] - {{STEP UPDATE}} Executing Check 8 of 13: Klayout FEOL
+2022-06-01 10:27:03 - [INFO] - No DRC Violations found
+2022-06-01 10:27:03 - [INFO] - {{Klayout FEOL CHECK PASSED}} The GDS file, user_analog_project_wrapper.gds, has no DRC violations.
+2022-06-01 10:27:03 - [INFO] - {{STEP UPDATE}} Executing Check 9 of 13: Klayout BEOL
+2022-06-01 10:27:08 - [INFO] - No DRC Violations found
+2022-06-01 10:27:08 - [INFO] - {{Klayout BEOL CHECK PASSED}} The GDS file, user_analog_project_wrapper.gds, has no DRC violations.
+2022-06-01 10:27:08 - [INFO] - {{STEP UPDATE}} Executing Check 10 of 13: Klayout Offgrid
+2022-06-01 10:27:10 - [INFO] - No DRC Violations found
+2022-06-01 10:27:10 - [INFO] - {{Klayout Offgrid CHECK PASSED}} The GDS file, user_analog_project_wrapper.gds, has no DRC violations.
+2022-06-01 10:27:10 - [INFO] - {{STEP UPDATE}} Executing Check 11 of 13: Klayout Metal Minimum Clear Area Density
+2022-06-01 10:27:11 - [INFO] - No DRC Violations found
+2022-06-01 10:27:11 - [INFO] - {{Klayout Metal Minimum Clear Area Density CHECK PASSED}} The GDS file, user_analog_project_wrapper.gds, has no DRC violations.
+2022-06-01 10:27:11 - [INFO] - {{STEP UPDATE}} Executing Check 12 of 13: Klayout Pin Label Purposes Overlapping Drawing
+2022-06-01 10:27:12 - [ERROR] - Total # of DRC violations is 3 Please check /home/krishna/Comparator_MPW6/precheck_results/01_JUN_2022___10_26_55/outputs/reports/klayout_pin_label_purposes_overlapping_drawing_check.xml For more details
+2022-06-01 10:27:12 - [WARNING] - {{Klayout Pin Label Purposes Overlapping Drawing CHECK FAILED}} The GDS file, user_analog_project_wrapper.gds, has DRC violations.
+2022-06-01 10:27:12 - [INFO] - {{STEP UPDATE}} Executing Check 13 of 13: Klayout ZeroArea
+2022-06-01 10:27:13 - [INFO] - No DRC Violations found
+2022-06-01 10:27:13 - [INFO] - {{Klayout ZeroArea CHECK PASSED}} The GDS file, user_analog_project_wrapper.gds, has no DRC violations.
+2022-06-01 10:27:13 - [INFO] - {{FINISH}} Executing Finished, the full log 'precheck.log' can be found in '/home/krishna/Comparator_MPW6/precheck_results/01_JUN_2022___10_26_55/logs'
+2022-06-01 10:27:13 - [CRITICAL] - {{FAILURE}} 2 Check(s) Failed: ['XOR', 'Klayout Pin Label Purposes Overlapping Drawing'] !!!
diff --git a/precheck_results/01_JUN_2022___10_26_55/logs/spdx_compliance_report.log b/precheck_results/01_JUN_2022___10_26_55/logs/spdx_compliance_report.log
new file mode 100755
index 0000000..bb4a6e4
--- /dev/null
+++ b/precheck_results/01_JUN_2022___10_26_55/logs/spdx_compliance_report.log
@@ -0,0 +1,166 @@
+/home/krishna/Comparator_MPW6/Makefile
+/home/krishna/Comparator_MPW6/.spiceinit
+/home/krishna/Comparator_MPW6/verilog/dv/Makefile
+/home/krishna/Comparator_MPW6/verilog/dv/mprj_por/mprj_por.c
+/home/krishna/Comparator_MPW6/verilog/dv/mprj_por/mprj_por_tb.v
+/home/krishna/Comparator_MPW6/verilog/dv/mprj_por/Makefile
+/home/krishna/Comparator_MPW6/verilog/rtl/uprj_analog_netlists.v
+/home/krishna/Comparator_MPW6/verilog/rtl/example_por.v
+/home/krishna/Comparator_MPW6/verilog/rtl/user_analog_proj_example.v
+/home/krishna/Comparator_MPW6/verilog/rtl/user_analog_project_wrapper.v
+/home/krishna/Comparator_MPW6/verilog/rtl/comparator.v
+/home/krishna/Comparator_MPW6/precheck_results/01_JUN_2022___10_26_55/logs/tools.info
+/home/krishna/Comparator_MPW6/precheck_results/01_JUN_2022___10_26_55/logs/gds.info
+/home/krishna/Comparator_MPW6/precheck_results/01_JUN_2022___10_26_55/logs/pdks.info
+/home/krishna/Comparator_MPW6/precheck_results/01_JUN_2022___08_58_30/outputs/reports/magic_drc_check.drc.report
+/home/krishna/Comparator_MPW6/precheck_results/01_JUN_2022___08_58_30/logs/klayout_zeroarea_check.total
+/home/krishna/Comparator_MPW6/precheck_results/01_JUN_2022___08_58_30/logs/tools.info
+/home/krishna/Comparator_MPW6/precheck_results/01_JUN_2022___08_58_30/logs/klayout_beol_check.total
+/home/krishna/Comparator_MPW6/precheck_results/01_JUN_2022___08_58_30/logs/klayout_pin_label_purposes_overlapping_drawing_check.total
+/home/krishna/Comparator_MPW6/precheck_results/01_JUN_2022___08_58_30/logs/klayout_feol_check.total
+/home/krishna/Comparator_MPW6/precheck_results/01_JUN_2022___08_58_30/logs/gds.info
+/home/krishna/Comparator_MPW6/precheck_results/01_JUN_2022___08_58_30/logs/pdks.info
+/home/krishna/Comparator_MPW6/precheck_results/01_JUN_2022___08_58_30/logs/magic_drc_check.total
+/home/krishna/Comparator_MPW6/precheck_results/01_JUN_2022___08_58_30/logs/klayout_offgrid_check.total
+/home/krishna/Comparator_MPW6/precheck_results/01_JUN_2022___08_58_30/logs/klayout_met_min_ca_density_check.total
+/home/krishna/Comparator_MPW6/precheck_results/01_JUN_2022___10_22_16/outputs/reports/magic_drc_check.drc.report
+/home/krishna/Comparator_MPW6/precheck_results/01_JUN_2022___10_22_16/logs/klayout_zeroarea_check.total
+/home/krishna/Comparator_MPW6/precheck_results/01_JUN_2022___10_22_16/logs/tools.info
+/home/krishna/Comparator_MPW6/precheck_results/01_JUN_2022___10_22_16/logs/klayout_beol_check.total
+/home/krishna/Comparator_MPW6/precheck_results/01_JUN_2022___10_22_16/logs/klayout_pin_label_purposes_overlapping_drawing_check.total
+/home/krishna/Comparator_MPW6/precheck_results/01_JUN_2022___10_22_16/logs/klayout_feol_check.total
+/home/krishna/Comparator_MPW6/precheck_results/01_JUN_2022___10_22_16/logs/gds.info
+/home/krishna/Comparator_MPW6/precheck_results/01_JUN_2022___10_22_16/logs/pdks.info
+/home/krishna/Comparator_MPW6/precheck_results/01_JUN_2022___10_22_16/logs/magic_drc_check.total
+/home/krishna/Comparator_MPW6/precheck_results/01_JUN_2022___10_22_16/logs/klayout_offgrid_check.total
+/home/krishna/Comparator_MPW6/precheck_results/01_JUN_2022___10_22_16/logs/klayout_met_min_ca_density_check.total
+/home/krishna/Comparator_MPW6/precheck_results/01_JUN_2022___09_57_04/outputs/reports/magic_drc_check.drc.report
+/home/krishna/Comparator_MPW6/precheck_results/01_JUN_2022___09_57_04/logs/klayout_zeroarea_check.total
+/home/krishna/Comparator_MPW6/precheck_results/01_JUN_2022___09_57_04/logs/tools.info
+/home/krishna/Comparator_MPW6/precheck_results/01_JUN_2022___09_57_04/logs/klayout_beol_check.total
+/home/krishna/Comparator_MPW6/precheck_results/01_JUN_2022___09_57_04/logs/klayout_pin_label_purposes_overlapping_drawing_check.total
+/home/krishna/Comparator_MPW6/precheck_results/01_JUN_2022___09_57_04/logs/klayout_feol_check.total
+/home/krishna/Comparator_MPW6/precheck_results/01_JUN_2022___09_57_04/logs/gds.info
+/home/krishna/Comparator_MPW6/precheck_results/01_JUN_2022___09_57_04/logs/pdks.info
+/home/krishna/Comparator_MPW6/precheck_results/01_JUN_2022___09_57_04/logs/magic_drc_check.total
+/home/krishna/Comparator_MPW6/precheck_results/01_JUN_2022___09_57_04/logs/klayout_offgrid_check.total
+/home/krishna/Comparator_MPW6/precheck_results/01_JUN_2022___09_57_04/logs/klayout_met_min_ca_density_check.total
+/home/krishna/Comparator_MPW6/precheck_results/28_MAY_2022___11_09_07/logs/tools.info
+/home/krishna/Comparator_MPW6/precheck_results/28_MAY_2022___11_09_07/logs/gds.info
+/home/krishna/Comparator_MPW6/precheck_results/28_MAY_2022___11_09_07/logs/pdks.info
+/home/krishna/Comparator_MPW6/precheck_results/28_MAY_2022___11_10_33/logs/tools.info
+/home/krishna/Comparator_MPW6/precheck_results/28_MAY_2022___11_10_33/logs/gds.info
+/home/krishna/Comparator_MPW6/precheck_results/28_MAY_2022___11_10_33/logs/pdks.info
+/home/krishna/Comparator_MPW6/precheck_results/01_JUN_2022___07_48_55/outputs/reports/magic_drc_check.drc.report
+/home/krishna/Comparator_MPW6/precheck_results/01_JUN_2022___07_48_55/logs/klayout_zeroarea_check.total
+/home/krishna/Comparator_MPW6/precheck_results/01_JUN_2022___07_48_55/logs/tools.info
+/home/krishna/Comparator_MPW6/precheck_results/01_JUN_2022___07_48_55/logs/klayout_beol_check.total
+/home/krishna/Comparator_MPW6/precheck_results/01_JUN_2022___07_48_55/logs/klayout_pin_label_purposes_overlapping_drawing_check.total
+/home/krishna/Comparator_MPW6/precheck_results/01_JUN_2022___07_48_55/logs/klayout_feol_check.total
+/home/krishna/Comparator_MPW6/precheck_results/01_JUN_2022___07_48_55/logs/gds.info
+/home/krishna/Comparator_MPW6/precheck_results/01_JUN_2022___07_48_55/logs/pdks.info
+/home/krishna/Comparator_MPW6/precheck_results/01_JUN_2022___07_48_55/logs/magic_drc_check.total
+/home/krishna/Comparator_MPW6/precheck_results/01_JUN_2022___07_48_55/logs/klayout_offgrid_check.total
+/home/krishna/Comparator_MPW6/precheck_results/01_JUN_2022___07_48_55/logs/klayout_met_min_ca_density_check.total
+/home/krishna/Comparator_MPW6/precheck_results/01_JUN_2022___09_54_15/outputs/reports/magic_drc_check.drc.report
+/home/krishna/Comparator_MPW6/precheck_results/01_JUN_2022___09_54_15/logs/klayout_zeroarea_check.total
+/home/krishna/Comparator_MPW6/precheck_results/01_JUN_2022___09_54_15/logs/tools.info
+/home/krishna/Comparator_MPW6/precheck_results/01_JUN_2022___09_54_15/logs/klayout_beol_check.total
+/home/krishna/Comparator_MPW6/precheck_results/01_JUN_2022___09_54_15/logs/klayout_pin_label_purposes_overlapping_drawing_check.total
+/home/krishna/Comparator_MPW6/precheck_results/01_JUN_2022___09_54_15/logs/klayout_feol_check.total
+/home/krishna/Comparator_MPW6/precheck_results/01_JUN_2022___09_54_15/logs/gds.info
+/home/krishna/Comparator_MPW6/precheck_results/01_JUN_2022___09_54_15/logs/pdks.info
+/home/krishna/Comparator_MPW6/precheck_results/01_JUN_2022___09_54_15/logs/magic_drc_check.total
+/home/krishna/Comparator_MPW6/precheck_results/01_JUN_2022___09_54_15/logs/klayout_offgrid_check.total
+/home/krishna/Comparator_MPW6/precheck_results/01_JUN_2022___09_54_15/logs/klayout_met_min_ca_density_check.total
+/home/krishna/Comparator_MPW6/precheck_results/28_MAY_2022___10_48_59/logs/tools.info
+/home/krishna/Comparator_MPW6/precheck_results/28_MAY_2022___10_48_59/logs/gds.info
+/home/krishna/Comparator_MPW6/precheck_results/28_MAY_2022___10_48_59/logs/pdks.info
+/home/krishna/Comparator_MPW6/precheck_results/01_JUN_2022___10_02_59/outputs/reports/magic_drc_check.drc.report
+/home/krishna/Comparator_MPW6/precheck_results/01_JUN_2022___10_02_59/logs/klayout_zeroarea_check.total
+/home/krishna/Comparator_MPW6/precheck_results/01_JUN_2022___10_02_59/logs/tools.info
+/home/krishna/Comparator_MPW6/precheck_results/01_JUN_2022___10_02_59/logs/klayout_beol_check.total
+/home/krishna/Comparator_MPW6/precheck_results/01_JUN_2022___10_02_59/logs/klayout_pin_label_purposes_overlapping_drawing_check.total
+/home/krishna/Comparator_MPW6/precheck_results/01_JUN_2022___10_02_59/logs/klayout_feol_check.total
+/home/krishna/Comparator_MPW6/precheck_results/01_JUN_2022___10_02_59/logs/gds.info
+/home/krishna/Comparator_MPW6/precheck_results/01_JUN_2022___10_02_59/logs/pdks.info
+/home/krishna/Comparator_MPW6/precheck_results/01_JUN_2022___10_02_59/logs/magic_drc_check.total
+/home/krishna/Comparator_MPW6/precheck_results/01_JUN_2022___10_02_59/logs/klayout_offgrid_check.total
+/home/krishna/Comparator_MPW6/precheck_results/01_JUN_2022___10_02_59/logs/klayout_met_min_ca_density_check.total
+/home/krishna/Comparator_MPW6/precheck_results/29_MAY_2022___12_32_11/logs/tools.info
+/home/krishna/Comparator_MPW6/precheck_results/29_MAY_2022___12_32_11/logs/gds.info
+/home/krishna/Comparator_MPW6/precheck_results/29_MAY_2022___12_32_11/logs/pdks.info
+/home/krishna/Comparator_MPW6/precheck_results/27_MAY_2022___15_12_40/logs/tools.info
+/home/krishna/Comparator_MPW6/precheck_results/27_MAY_2022___15_12_40/logs/gds.info
+/home/krishna/Comparator_MPW6/precheck_results/27_MAY_2022___15_12_40/logs/pdks.info
+/home/krishna/Comparator_MPW6/precheck_results/29_MAY_2022___12_33_25/logs/tools.info
+/home/krishna/Comparator_MPW6/precheck_results/29_MAY_2022___12_33_25/logs/gds.info
+/home/krishna/Comparator_MPW6/precheck_results/29_MAY_2022___12_33_25/logs/pdks.info
+/home/krishna/Comparator_MPW6/precheck_results/31_MAY_2022___14_28_48/logs/tools.info
+/home/krishna/Comparator_MPW6/precheck_results/31_MAY_2022___14_28_48/logs/gds.info
+/home/krishna/Comparator_MPW6/precheck_results/31_MAY_2022___14_28_48/logs/pdks.info
+/home/krishna/Comparator_MPW6/precheck_results/27_MAY_2022___15_25_17/logs/tools.info
+/home/krishna/Comparator_MPW6/precheck_results/27_MAY_2022___15_25_17/logs/gds.info
+/home/krishna/Comparator_MPW6/precheck_results/27_MAY_2022___15_25_17/logs/pdks.info
+/home/krishna/Comparator_MPW6/mag/sky130_fd_pr__pfet_01v8_AC5Z8B#0.ext
+/home/krishna/Comparator_MPW6/mag/latch_3.ext
+/home/krishna/Comparator_MPW6/mag/inv_W2.ext
+/home/krishna/Comparator_MPW6/mag/sky130_fd_pr__diode_pw2nd_05v5_KLAK3C.ext
+/home/krishna/Comparator_MPW6/mag/sky130_fd_pr__diode_pw2nd_05v5_FT7GK8.ext
+/home/krishna/Comparator_MPW6/mag/sky130_fd_pr__diode_pw2nd_05v5_3P6M5Y.ext
+/home/krishna/Comparator_MPW6/mag/sky130_fd_pr__pfet_01v8_5SM9EE.ext
+/home/krishna/Comparator_MPW6/mag/latch_22.ext
+/home/krishna/Comparator_MPW6/mag/sky130_fd_pr__diode_pw2nd_05v5_GT7G3L.ext
+/home/krishna/Comparator_MPW6/mag/inv_W2#0.ext
+/home/krishna/Comparator_MPW6/mag/.magicrc
+/home/krishna/Comparator_MPW6/mag/sky130_fd_pr__diode_pd2nw_05v5_G4XDRY.ext
+/home/krishna/Comparator_MPW6/mag/sky130_fd_pr__nfet_01v8_XJTKXQ#1.ext
+/home/krishna/Comparator_MPW6/mag/sky130_fd_pr__nfet_01v8_XJTKXQ.ext
+/home/krishna/Comparator_MPW6/mag/sky130_fd_pr__pfet_01v8_AC5Z8B.ext
+/home/krishna/Comparator_MPW6/mag/buffer_2#1.ext
+/home/krishna/Comparator_MPW6/mag/comparator_v6.ext
+/home/krishna/Comparator_MPW6/mag/buffer_1#0.ext
+/home/krishna/Comparator_MPW6/mag/latch_2.ext
+/home/krishna/Comparator_MPW6/mag/user_analog_project_wrapper.ext
+/home/krishna/Comparator_MPW6/mag/buffer_2#0.ext
+/home/krishna/Comparator_MPW6/mag/sky130_fd_pr__diode_pd2nw_05v5_RT56W3.ext
+/home/krishna/Comparator_MPW6/mag/latch/SR_latch.ext
+/home/krishna/Comparator_MPW6/mag/latch/sky130_fd_pr__pfet_01v8_AC5Z8B#0.ext
+/home/krishna/Comparator_MPW6/mag/latch/sky130A.magicrc
+/home/krishna/Comparator_MPW6/mag/latch/latch_22.ext
+/home/krishna/Comparator_MPW6/mag/latch/sky130_fd_pr__pfet_01v8_AC5E9B.ext
+/home/krishna/Comparator_MPW6/mag/latch/.magicrc
+/home/krishna/Comparator_MPW6/mag/latch/sky130_fd_pr__nfet_01v8_XJTKXQ#1.ext
+/home/krishna/Comparator_MPW6/mag/latch/sky130_fd_pr__nfet_01v8_F5U58G.ext
+/home/krishna/Comparator_MPW6/mag/latch/sky130_fd_pr__pfet_01v8_GJYUB2.ext
+/home/krishna/Comparator_MPW6/mag/latch/latch_2.ext
+/home/krishna/Comparator_MPW6/mag/latch/sky130_fd_pr__pfet_01v8_5SVZDE.ext
+/home/krishna/Comparator_MPW6/mag/latch/latch.ext
+/home/krishna/Comparator_MPW6/mag/preamp/sky130_fd_pr__nfet_01v8_G6PLX8.ext
+/home/krishna/Comparator_MPW6/mag/preamp/preamp_part1.ext
+/home/krishna/Comparator_MPW6/mag/preamp/preamp_part2.ext
+/home/krishna/Comparator_MPW6/mag/preamp/sky130_fd_pr__nfet_01v8_XJTKXQ#0.ext
+/home/krishna/Comparator_MPW6/mag/preamp/sky130_fd_pr__nfet_01v8_F5U58G#1.ext
+/home/krishna/Comparator_MPW6/mag/preamp/.magicrc
+/home/krishna/Comparator_MPW6/mag/preamp/sky130_fd_pr__pfet_01v8_RFM3CD.ext
+/home/krishna/Comparator_MPW6/mag/preamp/sky130_fd_pr__nfet_01v8_8FHE5N.ext
+/home/krishna/Comparator_MPW6/mag/preamp/sky130_fd_pr__nfet_01v8_F5U58G#0.ext
+/home/krishna/Comparator_MPW6/xschem/xschemrc
+/home/krishna/Comparator_MPW6/xschem/buffer.sch
+/home/krishna/Comparator_MPW6/xschem/user_analog_project_wrapper.sym
+/home/krishna/Comparator_MPW6/xschem/SR_latch.sym
+/home/krishna/Comparator_MPW6/xschem/comparator.sch
+/home/krishna/Comparator_MPW6/xschem/comparator.sym
+/home/krishna/Comparator_MPW6/xschem/analog_wrapper_tb.sch
+/home/krishna/Comparator_MPW6/xschem/user_analog_project_wrapper.sch
+/home/krishna/Comparator_MPW6/xschem/.spiceinit
+/home/krishna/Comparator_MPW6/xschem/comparator_tb.sch
+/home/krishna/Comparator_MPW6/xschem/SR_latch.sch
+/home/krishna/Comparator_MPW6/xschem/buffer.sym
+/home/krishna/Comparator_MPW6/docs/Makefile
+/home/krishna/Comparator_MPW6/docs/environment.yml
+/home/krishna/Comparator_MPW6/docs/source/conf.py
+/home/krishna/Comparator_MPW6/docs/source/index.rst
+/home/krishna/Comparator_MPW6/netgen/run_lvs_wrapper_verilog.sh
+/home/krishna/Comparator_MPW6/netgen/run_lvs_por.sh
+/home/krishna/Comparator_MPW6/netgen/run_lvs_wrapper_xschem.sh
diff --git a/precheck_results/01_JUN_2022___10_26_55/logs/tools.info b/precheck_results/01_JUN_2022___10_26_55/logs/tools.info
new file mode 100755
index 0000000..9b2230a
--- /dev/null
+++ b/precheck_results/01_JUN_2022___10_26_55/logs/tools.info
@@ -0,0 +1,2 @@
+KLayout: 0.27.8
+Magic: 8.3.274
\ No newline at end of file
diff --git a/precheck_results/01_JUN_2022___10_26_55/logs/xor_check.log b/precheck_results/01_JUN_2022___10_26_55/logs/xor_check.log
new file mode 100755
index 0000000..a0b75b5
--- /dev/null
+++ b/precheck_results/01_JUN_2022___10_26_55/logs/xor_check.log
@@ -0,0 +1,179 @@
+Reading file /home/krishna/Comparator_MPW6/gds/user_analog_project_wrapper.gds for cell user_analog_project_wrapper
+dbu=0.001
+cell user_analog_project_wrapper dbu-bbox(ll;ur)=(-4000,-4000;2924000,3524000)
+cell user_analog_project_wrapper dbu-bbox(left,bottom,right,top)=(-4000,-4000,2924000,3524000)
+cell user_analog_project_wrapper dbu-size(width,height)=(2928000,3528000)
+cell user_analog_project_wrapper micron-bbox(left,bottom,right,top)=(-4.0,-4.0,2924.0,3524.0)
+cell user_analog_project_wrapper micron-size(width,height)=(2928.0,3528.0)
+Done.
+
+Magic 8.3 revision 274 - Compiled on Fri Mar  4 22:54:15 UTC 2022.
+Starting magic under Tcl interpreter
+Using the terminal as the console.
+Using NULL graphics device.
+Processing system .magicrc file
+Loading "/home/krishna/mpw_precheckmpw6/checks/xor_check/erase_box.tcl" from command line.
+"sky130(vendor)" is not one of the CIF input styles Magic knows.
+Error: No style is set
+The CIF input styles are: .
+Don't know how to read GDS-II:
+Nothing in "cifinput" section of tech file.
+File user_analog_project_wrapper.mag couldn't be read
+No such file or directory
+Creating new cell
+Root cell box:
+           width x height  (   llx,  lly  ), (   urx,  ury  )  area (units^2)
+
+microns:  43.000 x 3520.000  (-43.000,  0.000), ( 0.000,  3520.000)  151360.000
+lambda:       43 x 3520    (   -43,  0    ), (     0,  3520 )  151360    
+Root cell box:
+           width x height  (   llx,  lly  ), (   urx,  ury  )  area (units^2)
+
+microns:  43.000 x 3520.000  ( 2920.000,  0.000), ( 2963.000,  3520.000)  151360.000
+lambda:       43 x 3520    (  2920,  0    ), (  2963,  3520 )  151360    
+Root cell box:
+           width x height  (   llx,  lly  ), (   urx,  ury  )  area (units^2)
+
+microns:  3006.000 x 38.000  (-43.000, -38.000), ( 2963.000,  0.000)  114228.000
+lambda:     3006 x 38      (   -43, -38   ), (  2963,  0    )  114228    
+Root cell box:
+           width x height  (   llx,  lly  ), (   urx,  ury  )  area (units^2)
+
+microns:  3006.000 x 37.000  (-43.000,  3520.000), ( 2963.000,  3557.000)  111222.000
+lambda:     3006 x 37      (   -43,  3520 ), (  2963,  3557 )  111222    
+Unrecognized layer: metal5
+Layer names are:
+    mag or magnet
+    f or fence
+    r or rotate
+    $
+    *
+    errors
+    labels
+    subcell
+    connect
+Unrecognized layer: metal4
+Layer names are:
+    mag or magnet
+    f or fence
+    r or rotate
+    $
+    *
+    errors
+    labels
+    subcell
+    connect
+Unrecognized layer: metal5
+Layer names are:
+    mag or magnet
+    f or fence
+    r or rotate
+    $
+    *
+    errors
+    labels
+    subcell
+    connect
+Unrecognized layer: metal4
+Layer names are:
+    mag or magnet
+    f or fence
+    r or rotate
+    $
+    *
+    errors
+    labels
+    subcell
+    connect
+No CIF/GDS output style set!
+I/O error in writing file /home/krishna/Comparator_MPW6/precheck_results/01_JUN_2022___10_26_55/outputs/user_analog_project_wrapper_erased.gds.
+File may be incompletely written.
+
+Magic 8.3 revision 274 - Compiled on Fri Mar  4 22:54:15 UTC 2022.
+Starting magic under Tcl interpreter
+Using the terminal as the console.
+Using NULL graphics device.
+Processing system .magicrc file
+Loading "/home/krishna/mpw_precheckmpw6/checks/xor_check/erase_box.tcl" from command line.
+"sky130(vendor)" is not one of the CIF input styles Magic knows.
+Error: No style is set
+The CIF input styles are: .
+Don't know how to read GDS-II:
+Nothing in "cifinput" section of tech file.
+File user_analog_project_wrapper.mag couldn't be read
+No such file or directory
+Creating new cell
+Root cell box:
+           width x height  (   llx,  lly  ), (   urx,  ury  )  area (units^2)
+
+microns:  43.000 x 3520.000  (-43.000,  0.000), ( 0.000,  3520.000)  151360.000
+lambda:       43 x 3520    (   -43,  0    ), (     0,  3520 )  151360    
+Root cell box:
+           width x height  (   llx,  lly  ), (   urx,  ury  )  area (units^2)
+
+microns:  43.000 x 3520.000  ( 2920.000,  0.000), ( 2963.000,  3520.000)  151360.000
+lambda:       43 x 3520    (  2920,  0    ), (  2963,  3520 )  151360    
+Root cell box:
+           width x height  (   llx,  lly  ), (   urx,  ury  )  area (units^2)
+
+microns:  3006.000 x 38.000  (-43.000, -38.000), ( 2963.000,  0.000)  114228.000
+lambda:     3006 x 38      (   -43, -38   ), (  2963,  0    )  114228    
+Root cell box:
+           width x height  (   llx,  lly  ), (   urx,  ury  )  area (units^2)
+
+microns:  3006.000 x 37.000  (-43.000,  3520.000), ( 2963.000,  3557.000)  111222.000
+lambda:     3006 x 37      (   -43,  3520 ), (  2963,  3557 )  111222    
+Unrecognized layer: metal5
+Layer names are:
+    mag or magnet
+    f or fence
+    r or rotate
+    $
+    *
+    errors
+    labels
+    subcell
+    connect
+Unrecognized layer: metal4
+Layer names are:
+    mag or magnet
+    f or fence
+    r or rotate
+    $
+    *
+    errors
+    labels
+    subcell
+    connect
+Unrecognized layer: metal5
+Layer names are:
+    mag or magnet
+    f or fence
+    r or rotate
+    $
+    *
+    errors
+    labels
+    subcell
+    connect
+Unrecognized layer: metal4
+Layer names are:
+    mag or magnet
+    f or fence
+    r or rotate
+    $
+    *
+    errors
+    labels
+    subcell
+    connect
+No CIF/GDS output style set!
+I/O error in writing file /home/krishna/Comparator_MPW6/precheck_results/01_JUN_2022___10_26_55/outputs/user_analog_project_wrapper_empty_erased.gds.
+File may be incompletely written.
+Reading /home/krishna/Comparator_MPW6/precheck_results/01_JUN_2022___10_26_55/outputs/user_analog_project_wrapper_erased.gds ..
+ERROR: In /home/krishna/mpw_precheckmpw6/checks/xor_check/xor.rb.drc: 'source': Stream has unknown format: /home/krishna/Comparator_MPW6/precheck_results/01_JUN_2022___10_26_55/outputs/user_analog_project_wrapper_erased.gds in Layout::read
+Total elapsed: 0.000s  Memory: 521.00M
+ERROR: 'source': Stream has unknown format: /home/krishna/Comparator_MPW6/precheck_results/01_JUN_2022___10_26_55/outputs/user_analog_project_wrapper_erased.gds in Layout::read in Executable::execute
+  /home/krishna/mpw_precheckmpw6/checks/xor_check/xor.rb.drc:15:in `execute'
+  :/built-in-macros/drc_interpreters.lym:27:in `instance_eval'
+  :/built-in-macros/drc_interpreters.lym:27:in `execute'
diff --git a/precheck_results/01_JUN_2022___10_26_55/outputs/reports/klayout_beol_check.xml b/precheck_results/01_JUN_2022___10_26_55/outputs/reports/klayout_beol_check.xml
new file mode 100755
index 0000000..9ad4ffd
--- /dev/null
+++ b/precheck_results/01_JUN_2022___10_26_55/outputs/reports/klayout_beol_check.xml
@@ -0,0 +1,447 @@
+<?xml version="1.0" encoding="utf-8"?>
+<report-database>
+ <description>SKY130 DRC runset</description>
+ <original-file/>
+ <generator>drc: script='/home/krishna/mpw_precheckmpw6/checks/tech-files/sky130A_mr.drc'</generator>
+ <top-cell>user_analog_project_wrapper</top-cell>
+ <tags>
+ </tags>
+ <categories>
+  <category>
+   <name>li.1</name>
+   <description>li.1 : min. li width : 0.17um</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>li.3</name>
+   <description>li.3 : min. li spacing : 0.17um</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>li.5</name>
+   <description>li.5 : min. li enclosure of licon of 2 adjacent edges : 0.08um</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>li.6</name>
+   <description>li.6 : min. li area : 0.0561um²</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>ct.1</name>
+   <description>ct.1: non-ring mcon should be rectangular</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>ct.1_a</name>
+   <description>ct.1_a : minimum width of mcon : 0.17um</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>ct.1_b</name>
+   <description>ct.1_b : maximum length of mcon : 0.17um</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>ct.2</name>
+   <description>ct.2 : min. mcon spacing : 0.19um</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>ct.4</name>
+   <description>ct.4 : mcon should covered by li</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>m1.1</name>
+   <description>m1.1 : min. m1 width : 0.14um</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>m1.2</name>
+   <description>m1.2 : min. m1 spacing : 0.14um</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>m1.3ab</name>
+   <description>m1.3ab : min. 3um.m1 spacing m1 : 0.28um</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>791_m1.4</name>
+   <description>791_m1.4 : min. m1 enclosure of mcon : 0.03um</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>m1.4</name>
+   <description>m1.4 : mcon periphery must be enclosed by m1</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>m1.4a</name>
+   <description>m1.4a : min. m1 enclosure of mcon for specific cells : 0.005um</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>m1.4a_a</name>
+   <description>m1.4a_a : mcon periph must be enclosed by met1 for specific cells</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>m1.6</name>
+   <description>m1.6 : min. m1 area : 0.083um²</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>m1.7</name>
+   <description>m1.7 : min. m1 with holes area : 0.14um²</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>m1.5</name>
+   <description>m1.5 : min. m1 enclosure of mcon of 2 adjacent edges : 0.06um</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>via.1a</name>
+   <description>via.1a : via outside of moduleCut should be rectangular</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>via.1a_a</name>
+   <description>via.1a_a : min. width of via outside of moduleCut : 0.15um</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>via.1a_b</name>
+   <description>via.1a_b : maximum length of via : 0.15um</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>via.2</name>
+   <description>via.2 : min. via spacing : 0.17um</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>via.4a</name>
+   <description>via.4a : min. m1 enclosure of 0.15um via : 0.055um</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>via.4a_a</name>
+   <description>via.4a_a : 0.15um via must be enclosed by met1</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>via.5a</name>
+   <description>via.5a : min. m1 enclosure of 0.15um via of 2 adjacent edges : 0.085um</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>m2.1</name>
+   <description>m2.1 : min. m2 width : 0.14um</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>m2.2</name>
+   <description>m2.2 : min. m2 spacing : 0.14um</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>m2.3ab</name>
+   <description>m2.3ab : min. 3um.m2 spacing m2 : 0.28um</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>m2.6</name>
+   <description>m2.6 : min. m2 area : 0.0676um²</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>m2.7</name>
+   <description>m2.7 : min. m2 holes area : 0.14um²</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>m2.4</name>
+   <description>m2.4 : min. m2 enclosure of via : 0.055um</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>m2.4_a</name>
+   <description>m2.4_a : via in periphery must be enclosed by met2</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>m2.5</name>
+   <description>m2.5 : min. m2 enclosure of via of 2 adjacent edges : 0.085um</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>via2.1a</name>
+   <description>via2.1a : via2 outside of moduleCut should be rectangular</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>via2.1a_a</name>
+   <description>via2.1a_a : min. width of via2 outside of moduleCut : 0.2um</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>via2.1a_b</name>
+   <description>via2.1a_b : maximum length of via2 : 0.2um</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>via2.2</name>
+   <description>via2.2 : min. via2 spacing : 0.2um</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>via2.4</name>
+   <description>via2.4 : min. m2 enclosure of via2 : 0.04um</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>via2.4_a</name>
+   <description>via2.4_a : via must be enclosed by met2</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>via2.5</name>
+   <description>via2.5 : min. m3 enclosure of via2 of 2 adjacent edges : 0.085um</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>m3.1</name>
+   <description>m3.1 : min. m3 width : 0.3um</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>m3.2</name>
+   <description>m3.2 : min. m3 spacing : 0.3um</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>m3.3cd</name>
+   <description>m3.3cd : min. 3um.m3 spacing m3 : 0.4um</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>m3.4</name>
+   <description>m3.4 : min. m3 enclosure of via2 : 0.065um</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>m3.4_a</name>
+   <description>m3.4_a : via2 must be enclosed by met3</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>via3.1</name>
+   <description>via3.1 : via3 outside of moduleCut should be rectangular</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>via3.1_a</name>
+   <description>via3.1_a : min. width of via3 outside of moduleCut : 0.2um</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>via3.1_b</name>
+   <description>via3.1_b : maximum length of via3 : 0.2um</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>via3.2</name>
+   <description>via3.2 : min. via3 spacing : 0.2um</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>via3.4</name>
+   <description>via3.4 : min. m3 enclosure of via3 : 0.06um</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>via3.4_a</name>
+   <description>via3.4_a : non-ring via3 must be enclosed by met3</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>via3.5</name>
+   <description>via3.5 : min. m3 enclosure of via3 of 2 adjacent edges : 0.09um</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>m4.1</name>
+   <description>m4.1 : min. m4 width : 0.3um</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>m4.2</name>
+   <description>m4.2 : min. m4 spacing : 0.3um</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>m4.4a</name>
+   <description>m4.4a : min. m4 area : 0.240um²</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>m4.5ab</name>
+   <description>m4.5ab : min. 3um.m4 spacing m4 : 0.4um</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>m4.3</name>
+   <description>m4.3 : min. m4 enclosure of via3 : 0.065um</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>m4.3_a</name>
+   <description>m4.3_a : via3 must be enclosed by met4</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>via4.1</name>
+   <description>via4.1 : via4 outside of moduleCut should be rectangular</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>via4.1_a</name>
+   <description>via4.1_a : min. width of via4 outside of moduleCut : 0.8um</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>via4.1_b</name>
+   <description>via4.1_b : maximum length of via4 : 0.8um</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>via4.2</name>
+   <description>via4.2 : min. via4 spacing : 0.8um</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>via4.4</name>
+   <description>via4.4 : min. m4 enclosure of via4 : 0.19um</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>via4.4_a</name>
+   <description>via4.4_a : m4 must enclose all via4</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>m5.1</name>
+   <description>m5.1 : min. m5 width : 1.6um</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>m5.2</name>
+   <description>m5.2 : min. m5 spacing : 1.6um</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>m5.3</name>
+   <description>m5.3 : min. m5 enclosure of via4 : 0.31um</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>m5.3_a</name>
+   <description>m5.3_a : via must be enclosed by m5</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>m5.4</name>
+   <description>m5.4 : min. m5 area : 4.0um²</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>pad.2</name>
+   <description>pad.2 : min. pad spacing : 1.27um</description>
+   <categories>
+   </categories>
+  </category>
+ </categories>
+ <cells>
+  <cell>
+   <name>user_analog_project_wrapper</name>
+   <variant/>
+   <references>
+   </references>
+  </cell>
+ </cells>
+ <items>
+ </items>
+</report-database>
diff --git a/precheck_results/01_JUN_2022___10_26_55/outputs/reports/klayout_feol_check.xml b/precheck_results/01_JUN_2022___10_26_55/outputs/reports/klayout_feol_check.xml
new file mode 100755
index 0000000..5c0c69c
--- /dev/null
+++ b/precheck_results/01_JUN_2022___10_26_55/outputs/reports/klayout_feol_check.xml
@@ -0,0 +1,363 @@
+<?xml version="1.0" encoding="utf-8"?>
+<report-database>
+ <description>SKY130 DRC runset</description>
+ <original-file/>
+ <generator>drc: script='/home/krishna/mpw_precheckmpw6/checks/tech-files/sky130A_mr.drc'</generator>
+ <top-cell>user_analog_project_wrapper</top-cell>
+ <tags>
+ </tags>
+ <categories>
+  <category>
+   <name>dnwell.2</name>
+   <description>dnwell.2 : min. dnwell width : 3.0um</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>nwell.1</name>
+   <description>nwell.1 : min. nwell width : 0.84um</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>nwell.2a</name>
+   <description>nwell.2a : min. nwell spacing (merged if less) : 1.27um</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>nwell.6</name>
+   <description>nwell.6 : min enclosure of nwellHole by dnwell : 1.03um</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>hvtp.1</name>
+   <description>hvtp.1 : min. hvtp width : 0.38um</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>hvtp.2</name>
+   <description>hvtp.2 : min. hvtp spacing : 0.38um</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>hvtr.1</name>
+   <description>hvtr.1 : min. hvtr width : 0.38um</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>hvtr.2</name>
+   <description>hvtr.2 : min. hvtr spacing : 0.38um</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>hvtr.2_a</name>
+   <description>hvtr.2_a : hvtr must not overlap hvtp</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>lvtn.1a</name>
+   <description>lvtn.1a : min. lvtn width : 0.38um</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>lvtn.2</name>
+   <description>lvtn.2 : min. lvtn spacing : 0.38um</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>ncm.1</name>
+   <description>ncm.1 : min. ncm width : 0.38um</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>ncm.2a</name>
+   <description>ncm.2a : min. ncm spacing : 0.38um</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>difftap.1</name>
+   <description>difftap.1 : min. diff width across areaid:ce : 0.15um</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>difftap.1_a</name>
+   <description>difftap.1_a : min. diff width in periphery : 0.15um</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>difftap.1_b</name>
+   <description>difftap.1_b : min. tap width across areaid:ce : 0.15um</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>difftap.1_c</name>
+   <description>difftap.1_c : min. tap width in periphery : 0.15um</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>difftap.3</name>
+   <description>difftap.3 : min. difftap spacing : 0.27um</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>tunm.1</name>
+   <description>tunm.1 : min. tunm width : 0.41um</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>tunm.2</name>
+   <description>tunm.2 : min. tunm spacing : 0.5um</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>poly.1a</name>
+   <description>poly.1a : min. poly width : 0.15um</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>poly.2</name>
+   <description>poly.2 : min. poly spacing : 0.21um</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>rpm.1a</name>
+   <description>rpm.1a : min. rpm width : 1.27um</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>rpm.2</name>
+   <description>rpm.2 : min. rpm spacing : 0.84um</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>urpm.1a</name>
+   <description>urpm.1a : min. rpm width : 1.27um</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>urpm.2</name>
+   <description>urpm.2 : min. rpm spacing : 0.84um</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>npc.1</name>
+   <description>npc.1 : min. npc width : 0.27um</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>npc.2</name>
+   <description>npc.2 : min. npc spacing, should be manually merged if less than : 0.27um</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>nsd.1</name>
+   <description>nsd.1 : min. nsdm width : 0.38um</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>nsd.2</name>
+   <description>nsd.2 : min. nsdm spacing, should be manually merged if less than : 0.38um</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>psd.1</name>
+   <description>psd.1 : min. psdm width : 0.38um</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>psd.2</name>
+   <description>psd.2 : min. psdm spacing, should be manually merged if less than : 0.38um</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>licon.1</name>
+   <description>licon.1 : licon should be rectangle</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>licon.1_a/b</name>
+   <description>licon.1_a/b : minimum/maximum width of licon : 0.17um</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>licon.13</name>
+   <description>licon.13 : min. difftap licon spacing to npc : 0.09um</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>licon.13_a</name>
+   <description>licon.13_a : licon of diffTap in periphery must not overlap npc</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>licon.17</name>
+   <description>licon.17 : Licons may not overlap both poly and (diff or tap)</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>capm.1</name>
+   <description>capm.1 : min. capm width : 1.0um</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>capm.2a</name>
+   <description>capm.2a : min. capm spacing : 0.84um</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>capm.2b</name>
+   <description>capm.2b : min. capm spacing : 1.2um</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>capm.2b_a</name>
+   <description>capm.2b_a : min. spacing of m3_bot_plate : 1.2um</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>capm.3</name>
+   <description>capm.3 : min. capm and m3 enclosure of m3 : 0.14um</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>capm.3_a</name>
+   <description>capm.3_a : min. m3 enclosure of capm : 0.14um</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>capm.4</name>
+   <description>capm.4 : min. capm enclosure of via3 : 0.14um</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>capm.5</name>
+   <description>capm.5 : min. capm spacing to via3 : 0.14um</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>cap2m.1</name>
+   <description>cap2m.1 : min. cap2m width : 1.0um</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>cap2m.2a</name>
+   <description>cap2m.2a : min. cap2m spacing : 0.84um</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>cap2m.2b</name>
+   <description>cap2m.2b : min. cap2m spacing : 1.2um</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>cap2m.2b_a</name>
+   <description>cap2m.2b_a : min. spacing of m4_bot_plate : 1.2um</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>cap2m.3</name>
+   <description>cap2m.3 : min. m4 enclosure of cap2m : 0.14um</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>cap2m.3_a</name>
+   <description>cap2m.3_a : min. m4 enclosure of cap2m : 0.14um</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>cap2m.4</name>
+   <description>cap2m.4 : min. cap2m enclosure of via4 : 0.14um</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>cap2m.5</name>
+   <description>cap2m.5 : min. cap2m spacing to via4 : 0.14um</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>hvi.1</name>
+   <description>hvi.1 : min. hvi width : 0.6um</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>hvi.2a</name>
+   <description>hvi.2a : min. hvi spacing : 0.7um</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>hvntm.1</name>
+   <description>hvntm.1 : min. hvntm width : 0.7um</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>hvntm.2</name>
+   <description>hvntm.2 : min. hvntm spacing : 0.7um</description>
+   <categories>
+   </categories>
+  </category>
+ </categories>
+ <cells>
+  <cell>
+   <name>user_analog_project_wrapper</name>
+   <variant/>
+   <references>
+   </references>
+  </cell>
+ </cells>
+ <items>
+ </items>
+</report-database>
diff --git a/precheck_results/01_JUN_2022___10_26_55/outputs/reports/klayout_met_min_ca_density_check.xml b/precheck_results/01_JUN_2022___10_26_55/outputs/reports/klayout_met_min_ca_density_check.xml
new file mode 100755
index 0000000..dc650ad
--- /dev/null
+++ b/precheck_results/01_JUN_2022___10_26_55/outputs/reports/klayout_met_min_ca_density_check.xml
@@ -0,0 +1,21 @@
+<?xml version="1.0" encoding="utf-8"?>
+<report-database>
+ <description>Density Checks</description>
+ <original-file/>
+ <generator>drc: script='/home/krishna/mpw_precheckmpw6/checks/drc_checks/klayout/met_min_ca_density.lydrc'</generator>
+ <top-cell>user_analog_project_wrapper</top-cell>
+ <tags>
+ </tags>
+ <categories>
+ </categories>
+ <cells>
+  <cell>
+   <name>user_analog_project_wrapper</name>
+   <variant/>
+   <references>
+   </references>
+  </cell>
+ </cells>
+ <items>
+ </items>
+</report-database>
diff --git a/precheck_results/01_JUN_2022___10_26_55/outputs/reports/klayout_offgrid_check.xml b/precheck_results/01_JUN_2022___10_26_55/outputs/reports/klayout_offgrid_check.xml
new file mode 100755
index 0000000..80a7de2
--- /dev/null
+++ b/precheck_results/01_JUN_2022___10_26_55/outputs/reports/klayout_offgrid_check.xml
@@ -0,0 +1,483 @@
+<?xml version="1.0" encoding="utf-8"?>
+<report-database>
+ <description>SKY130 DRC runset</description>
+ <original-file/>
+ <generator>drc: script='/home/krishna/mpw_precheckmpw6/checks/tech-files/sky130A_mr.drc'</generator>
+ <top-cell>user_analog_project_wrapper</top-cell>
+ <tags>
+ </tags>
+ <categories>
+  <category>
+   <name>dnwell_OFFGRID</name>
+   <description>x.1b : OFFGRID vertex on dnwell</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>dnwell_angle</name>
+   <description>x.3a : non 45 degree angle dnwell</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>nwell_OFFGRID</name>
+   <description>x.1b : OFFGRID vertex on nwell</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>nwell_angle</name>
+   <description>x.3a : non 45 degree angle nwell</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>pwbm_OFFGRID</name>
+   <description>x.1b : OFFGRID vertex on pwbm</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>pwbm_angle</name>
+   <description>x.3a : non 45 degree angle pwbm</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>pwde_OFFGRID</name>
+   <description>x.1b : OFFGRID vertex on pwde</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>pwde_angle</name>
+   <description>x.3a : non 45 degree angle pwde</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>hvtp_OFFGRID</name>
+   <description>x.1b : OFFGRID vertex on hvtp</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>hvtp_angle</name>
+   <description>x.3a : non 45 degree angle hvtp</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>hvtr_OFFGRID</name>
+   <description>x.1b : OFFGRID vertex on hvtr</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>hvtr_angle</name>
+   <description>x.3a : non 45 degree angle hvtr</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>lvtn_OFFGRID</name>
+   <description>x.1b : OFFGRID vertex on lvtn</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>lvtn_angle</name>
+   <description>x.3a : non 45 degree angle lvtn</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>ncm_OFFGRID</name>
+   <description>x.1b : OFFGRID vertex on ncm</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>ncm_angle</name>
+   <description>x.3a : non 45 degree angle ncm</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>diff_OFFGRID</name>
+   <description>x.1b : OFFGRID vertex on diff</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>tap_OFFGRID</name>
+   <description>x.1b : OFFGRID vertex on tap</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>diff_angle</name>
+   <description>x.2 : non 90 degree angle diff</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>diff_angle</name>
+   <description>x.2c : non 45 degree angle diff</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>tap_angle</name>
+   <description>x.2 : non 90 degree angle tap</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>tap_angle</name>
+   <description>x.2c : non 45 degree angle tap</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>tunm_OFFGRID</name>
+   <description>x.1b : OFFGRID vertex on tunm</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>tunm_angle</name>
+   <description>x.3a : non 45 degree angle tunm</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>poly_OFFGRID</name>
+   <description>x.1b : OFFGRID vertex on poly</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>poly_angle</name>
+   <description>x.2 : non 90 degree angle poly</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>rpm_OFFGRID</name>
+   <description>x.1b : OFFGRID vertex on rpm</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>rpm_angle</name>
+   <description>x.3a : non 45 degree angle rpm</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>npc_OFFGRID</name>
+   <description>x.1b : OFFGRID vertex on npc</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>npc_angle</name>
+   <description>x.3a : non 45 degree angle npc</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>nsdm_OFFGRID</name>
+   <description>x.1b : OFFGRID vertex on nsdm</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>nsdm_angle</name>
+   <description>x.3a : non 45 degree angle nsdm</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>psdm_OFFGRID</name>
+   <description>x.1b : OFFGRID vertex on psdm</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>psdm_angle</name>
+   <description>x.3a : non 45 degree angle psdm</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>licon_OFFGRID</name>
+   <description>x.1b : OFFGRID vertex on licon</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>licon_angle</name>
+   <description>x.2 : non 90 degree angle licon</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>li_OFFGRID</name>
+   <description>x.1b : OFFGRID vertex on li</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>li_angle</name>
+   <description>x.3a : non 45 degree angle li</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>ct_OFFGRID</name>
+   <description>x.1b : OFFGRID vertex on mcon</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>ct_angle</name>
+   <description>x.2 : non 90 degree angle mcon</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>vpp_OFFGRID</name>
+   <description>x.1b : OFFGRID vertex on vpp</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>vpp_angle</name>
+   <description>x.3a : non 45 degree angle vpp</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>m1_OFFGRID</name>
+   <description>x.1b : OFFGRID vertex on m1</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>m1_angle</name>
+   <description>x.3a : non 45 degree angle m1</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>via_OFFGRID</name>
+   <description>x.1b : OFFGRID vertex on via</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>via_angle</name>
+   <description>x.2 : non 90 degree angle via</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>m2_OFFGRID</name>
+   <description>x.1b : OFFGRID vertex on m2</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>m2_angle</name>
+   <description>x.3a : non 45 degree angle m2</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>via2_OFFGRID</name>
+   <description>x.1b : OFFGRID vertex on via2</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>via2_angle</name>
+   <description>x.2 : non 90 degree angle via2</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>m3_OFFGRID</name>
+   <description>x.1b : OFFGRID vertex on m3</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>m3_angle</name>
+   <description>x.3a : non 45 degree angle m3</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>via3_OFFGRID</name>
+   <description>x.1b : OFFGRID vertex on via3</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>via3_angle</name>
+   <description>x.2 : non 90 degree angle via3</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>nsm_OFFGRID</name>
+   <description>x.1b : OFFGRID vertex on nsm</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>nsm_angle</name>
+   <description>x.3a : non 45 degree angle nsm</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>m4_OFFGRID</name>
+   <description>x.1b : OFFGRID vertex on m4</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>m4_angle</name>
+   <description>x.3a : non 45 degree angle m4</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>via4_OFFGRID</name>
+   <description>x.1b : OFFGRID vertex on via4</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>via4_angle</name>
+   <description>x.2 : non 90 degree angle via4</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>m5_OFFGRID</name>
+   <description>x.1b : OFFGRID vertex on m5</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>m5_angle</name>
+   <description>x.3a : non 45 degree angle m5</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>pad_OFFGRID</name>
+   <description>x.1b : OFFGRID vertex on pad</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>pad_angle</name>
+   <description>x.3a : non 45 degree angle pad</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>mf_OFFGRID</name>
+   <description>x.1b : OFFGRID vertex on mf</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>mf_angle</name>
+   <description>x.2 : non 90 degree angle mf</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>hvi_OFFGRID</name>
+   <description>x.1b : OFFGRID vertex on hvi</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>hvi_angle</name>
+   <description>x.3a : non 45 degree angle hvi</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>hvntm_OFFGRID</name>
+   <description>x.1b : OFFGRID vertex on hvntm</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>hvntm_angle</name>
+   <description>x.3a : non 45 degree angle hvntm</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>vhvi_OFFGRID</name>
+   <description>x.1b : OFFGRID vertex on vhvi</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>vhvi_angle</name>
+   <description>x.3a : non 45 degree angle vhvi</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>uhvi_OFFGRID</name>
+   <description>x.1b : OFFGRID vertex on uhvi</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>uhvi_angle</name>
+   <description>x.3a : non 45 degree angle uhvi</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>pwell_rs_OFFGRID</name>
+   <description>x.1b : OFFGRID vertex on pwell_rs</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>pwell_rs_angle</name>
+   <description>x.3a : non 45 degree angle pwell_rs</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>areaid_re_OFFGRID</name>
+   <description>x.1b : OFFGRID vertex on areaid.re</description>
+   <categories>
+   </categories>
+  </category>
+ </categories>
+ <cells>
+  <cell>
+   <name>user_analog_project_wrapper</name>
+   <variant/>
+   <references>
+   </references>
+  </cell>
+ </cells>
+ <items>
+ </items>
+</report-database>
diff --git a/precheck_results/01_JUN_2022___10_26_55/outputs/reports/klayout_pin_label_purposes_overlapping_drawing_check.xml b/precheck_results/01_JUN_2022___10_26_55/outputs/reports/klayout_pin_label_purposes_overlapping_drawing_check.xml
new file mode 100755
index 0000000..17d072c
--- /dev/null
+++ b/precheck_results/01_JUN_2022___10_26_55/outputs/reports/klayout_pin_label_purposes_overlapping_drawing_check.xml
@@ -0,0 +1,66 @@
+<?xml version="1.0" encoding="utf-8"?>
+<report-database>
+ <description>pin_label_purposes_overlapping_drawing.rb.drc, input=/home/krishna/Comparator_MPW6/gds/user_analog_project_wrapper.gds, topcell=user_analog_project_wrapper</description>
+ <original-file/>
+ <generator>drc: script='/home/krishna/mpw_precheckmpw6/checks/drc_checks/klayout/pin_label_purposes_overlapping_drawing.rb.drc'</generator>
+ <top-cell>user_analog_project_wrapper</top-cell>
+ <tags>
+ </tags>
+ <categories>
+  <category>
+   <name>70/16: met3, pin/label not-over drawing:70/20</name>
+   <description/>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>72/16: met5, pin/label not-over drawing:72/20</name>
+   <description/>
+   <categories>
+   </categories>
+  </category>
+ </categories>
+ <cells>
+  <cell>
+   <name>user_analog_project_wrapper</name>
+   <variant/>
+   <references>
+   </references>
+  </cell>
+ </cells>
+ <items>
+  <item>
+   <tags/>
+   <category>'70/16: met3, pin/label not-over drawing:70/20'</category>
+   <cell>user_analog_project_wrapper</cell>
+   <visited>false</visited>
+   <multiplicity>1</multiplicity>
+   <image/>
+   <values>
+    <value>polygon: (852.9,3511.5;852.9,3513.28;852.98,3513.28;852.98,3511.5)</value>
+   </values>
+  </item>
+  <item>
+   <tags/>
+   <category>'72/16: met5, pin/label not-over drawing:72/20'</category>
+   <cell>user_analog_project_wrapper</cell>
+   <visited>false</visited>
+   <multiplicity>1</multiplicity>
+   <image/>
+   <values>
+    <value>polygon: (879.47,3523.57;879.47,3524;879.48,3524;879.48,3523.57)</value>
+   </values>
+  </item>
+  <item>
+   <tags/>
+   <category>'72/16: met5, pin/label not-over drawing:72/20'</category>
+   <cell>user_analog_project_wrapper</cell>
+   <visited>false</visited>
+   <multiplicity>1</multiplicity>
+   <image/>
+   <values>
+    <value>polygon: (827.97,3512.7;827.97,3524;827.98,3524;827.98,3512.7)</value>
+   </values>
+  </item>
+ </items>
+</report-database>
diff --git a/precheck_results/01_JUN_2022___10_26_55/outputs/reports/klayout_zeroarea_check.xml b/precheck_results/01_JUN_2022___10_26_55/outputs/reports/klayout_zeroarea_check.xml
new file mode 100755
index 0000000..c90408a
--- /dev/null
+++ b/precheck_results/01_JUN_2022___10_26_55/outputs/reports/klayout_zeroarea_check.xml
@@ -0,0 +1,21 @@
+<?xml version="1.0" encoding="utf-8"?>
+<report-database>
+ <description>zero area check</description>
+ <original-file/>
+ <generator>drc: script='/home/krishna/mpw_precheckmpw6/checks/drc_checks/klayout/zeroarea.rb.drc'</generator>
+ <top-cell>user_analog_project_wrapper</top-cell>
+ <tags>
+ </tags>
+ <categories>
+ </categories>
+ <cells>
+  <cell>
+   <name>user_analog_project_wrapper</name>
+   <variant/>
+   <references>
+   </references>
+  </cell>
+ </cells>
+ <items>
+ </items>
+</report-database>
diff --git a/precheck_results/01_JUN_2022___10_26_55/outputs/reports/magic_drc_check.drc.report b/precheck_results/01_JUN_2022___10_26_55/outputs/reports/magic_drc_check.drc.report
new file mode 100755
index 0000000..829b9d5
--- /dev/null
+++ b/precheck_results/01_JUN_2022___10_26_55/outputs/reports/magic_drc_check.drc.report
@@ -0,0 +1,5 @@
+user_analog_project_wrapper
+----------------------------------------
+[INFO]: COUNT: 0
+[INFO]: Should be divided by 3 or 4
+
diff --git a/precheck_results/01_JUN_2022___10_26_55/outputs/reports/magic_drc_check.rdb b/precheck_results/01_JUN_2022___10_26_55/outputs/reports/magic_drc_check.rdb
new file mode 100755
index 0000000..8a882f3
--- /dev/null
+++ b/precheck_results/01_JUN_2022___10_26_55/outputs/reports/magic_drc_check.rdb
@@ -0,0 +1,2 @@
+$user_analog_project_wrapper
+ 100
diff --git a/precheck_results/01_JUN_2022___10_26_55/outputs/reports/magic_drc_check.tcl b/precheck_results/01_JUN_2022___10_26_55/outputs/reports/magic_drc_check.tcl
new file mode 100755
index 0000000..e69de29
--- /dev/null
+++ b/precheck_results/01_JUN_2022___10_26_55/outputs/reports/magic_drc_check.tcl
diff --git a/precheck_results/01_JUN_2022___10_26_55/outputs/reports/magic_drc_check.tr b/precheck_results/01_JUN_2022___10_26_55/outputs/reports/magic_drc_check.tr
new file mode 100755
index 0000000..e69de29
--- /dev/null
+++ b/precheck_results/01_JUN_2022___10_26_55/outputs/reports/magic_drc_check.tr
diff --git a/precheck_results/01_JUN_2022___10_26_55/outputs/reports/magic_drc_check.xml b/precheck_results/01_JUN_2022___10_26_55/outputs/reports/magic_drc_check.xml
new file mode 100755
index 0000000..7161d7c
--- /dev/null
+++ b/precheck_results/01_JUN_2022___10_26_55/outputs/reports/magic_drc_check.xml
@@ -0,0 +1,10 @@
+<?xml version="1.0" ?>
+<report-database>
+    <categories/>
+    <cells>
+        <cell>
+            <name>user_analog_project_wrapper</name>
+        </cell>
+    </cells>
+    <items/>
+</report-database>
diff --git a/precheck_results/01_JUN_2022___10_26_55/outputs/user_analog_project_wrapper.magic.drc.mag b/precheck_results/01_JUN_2022___10_26_55/outputs/user_analog_project_wrapper.magic.drc.mag
new file mode 100755
index 0000000..bc7865b
--- /dev/null
+++ b/precheck_results/01_JUN_2022___10_26_55/outputs/user_analog_project_wrapper.magic.drc.mag
@@ -0,0 +1,7 @@
+magic
+tech minimum
+magscale 1 2
+timestamp 0
+<< checkpaint >>
+rect 0 0 1 1
+<< end >>
diff --git a/precheck_results/01_JUN_2022___10_26_55/outputs/user_analog_project_wrapper_empty_erased.gds b/precheck_results/01_JUN_2022___10_26_55/outputs/user_analog_project_wrapper_empty_erased.gds
new file mode 100755
index 0000000..e69de29
--- /dev/null
+++ b/precheck_results/01_JUN_2022___10_26_55/outputs/user_analog_project_wrapper_empty_erased.gds
diff --git a/precheck_results/01_JUN_2022___10_26_55/outputs/user_analog_project_wrapper_erased.gds b/precheck_results/01_JUN_2022___10_26_55/outputs/user_analog_project_wrapper_erased.gds
new file mode 100755
index 0000000..e69de29
--- /dev/null
+++ b/precheck_results/01_JUN_2022___10_26_55/outputs/user_analog_project_wrapper_erased.gds
diff --git a/precheck_results/01_JUN_2022___10_26_55/outputs/user_analog_project_wrapper_no_zero_areas.gds b/precheck_results/01_JUN_2022___10_26_55/outputs/user_analog_project_wrapper_no_zero_areas.gds
new file mode 100755
index 0000000..792b98e
--- /dev/null
+++ b/precheck_results/01_JUN_2022___10_26_55/outputs/user_analog_project_wrapper_no_zero_areas.gds
Binary files differ
diff --git a/precheck_results/02_JUN_2022___13_34_14/logs/gds.info b/precheck_results/02_JUN_2022___13_34_14/logs/gds.info
new file mode 100755
index 0000000..ec0bf70
--- /dev/null
+++ b/precheck_results/02_JUN_2022___13_34_14/logs/gds.info
@@ -0,0 +1 @@
+user_analog_project_wrapper.gds: c5a92e25aa2d12a2530e27a44c6a4f6ec7751bb6
\ No newline at end of file
diff --git a/precheck_results/02_JUN_2022___13_34_14/logs/klayout_beol_check.log b/precheck_results/02_JUN_2022___13_34_14/logs/klayout_beol_check.log
new file mode 100755
index 0000000..718ecba
--- /dev/null
+++ b/precheck_results/02_JUN_2022___13_34_14/logs/klayout_beol_check.log
@@ -0,0 +1,992 @@
+/home/krishna/mpw_precheckmpw6/checks/tech-files/sky130A_mr.drc:38: warning: already initialized constant DRC::DRCEngine::FEOL
+/home/krishna/mpw_precheckmpw6/checks/tech-files/sky130A_mr.drc:28: warning: previous definition of FEOL was here
+/home/krishna/mpw_precheckmpw6/checks/tech-files/sky130A_mr.drc:42: warning: already initialized constant DRC::DRCEngine::BEOL
+/home/krishna/mpw_precheckmpw6/checks/tech-files/sky130A_mr.drc:29: warning: previous definition of BEOL was here
+/home/krishna/mpw_precheckmpw6/checks/tech-files/sky130A_mr.drc:50: warning: already initialized constant DRC::DRCEngine::OFFGRID
+/home/krishna/mpw_precheckmpw6/checks/tech-files/sky130A_mr.drc:30: warning: previous definition of OFFGRID was here
+/home/krishna/mpw_precheckmpw6/checks/tech-files/sky130A_mr.drc:56: warning: already initialized constant DRC::DRCEngine::SEAL
+/home/krishna/mpw_precheckmpw6/checks/tech-files/sky130A_mr.drc:31: warning: previous definition of SEAL was here
+/home/krishna/mpw_precheckmpw6/checks/tech-files/sky130A_mr.drc:62: warning: already initialized constant DRC::DRCEngine::FLOATING_MET
+/home/krishna/mpw_precheckmpw6/checks/tech-files/sky130A_mr.drc:32: warning: previous definition of FLOATING_MET was here
+"input" in: sky130A_mr.drc:112
+    Polygons (raw): 62 (flat)  25 (hierarchical)
+    Elapsed: 0.000s  Memory: 522.00M
+"polygons" in: sky130A_mr.drc:113
+    Polygons (raw): 38 (flat)  30 (hierarchical)
+    Elapsed: 0.010s  Memory: 522.00M
+"polygons" in: sky130A_mr.drc:114
+    Polygons (raw): 89 (flat)  69 (hierarchical)
+    Elapsed: 0.000s  Memory: 522.00M
+"polygons" in: sky130A_mr.drc:115
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 522.00M
+"polygons" in: sky130A_mr.drc:116
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 522.00M
+"polygons" in: sky130A_mr.drc:117
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 522.00M
+"polygons" in: sky130A_mr.drc:118
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 522.00M
+"polygons" in: sky130A_mr.drc:119
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 522.00M
+"polygons" in: sky130A_mr.drc:120
+    Polygons (raw): 10 (flat)  2 (hierarchical)
+    Elapsed: 0.000s  Memory: 522.00M
+"polygons" in: sky130A_mr.drc:121
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 522.00M
+"polygons" in: sky130A_mr.drc:122
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 522.00M
+"polygons" in: sky130A_mr.drc:123
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 522.00M
+"polygons" in: sky130A_mr.drc:124
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 522.00M
+"polygons" in: sky130A_mr.drc:125
+    Polygons (raw): 202 (flat)  116 (hierarchical)
+    Elapsed: 0.000s  Memory: 522.00M
+"polygons" in: sky130A_mr.drc:126
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 522.00M
+"polygons" in: sky130A_mr.drc:127
+    Polygons (raw): 62 (flat)  43 (hierarchical)
+    Elapsed: 0.000s  Memory: 522.00M
+"polygons" in: sky130A_mr.drc:128
+    Polygons (raw): 52 (flat)  26 (hierarchical)
+    Elapsed: 0.010s  Memory: 522.00M
+"polygons" in: sky130A_mr.drc:129
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 522.00M
+"polygons" in: sky130A_mr.drc:130
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 522.00M
+"polygons" in: sky130A_mr.drc:131
+    Polygons (raw): 33 (flat)  24 (hierarchical)
+    Elapsed: 0.000s  Memory: 522.00M
+"polygons" in: sky130A_mr.drc:132
+    Polygons (raw): 907 (flat)  291 (hierarchical)
+    Elapsed: 0.010s  Memory: 522.00M
+"polygons" in: sky130A_mr.drc:134
+    Polygons (raw): 566 (flat)  394 (hierarchical)
+    Elapsed: 0.000s  Memory: 522.00M
+"polygons" in: sky130A_mr.drc:135
+    Polygons (raw): 1756 (flat)  1480 (hierarchical)
+    Elapsed: 0.010s  Memory: 522.00M
+"polygons" in: sky130A_mr.drc:137
+    Polygons (raw): 349 (flat)  299 (hierarchical)
+    Elapsed: 0.010s  Memory: 522.00M
+"polygons" in: sky130A_mr.drc:138
+    Polygons (raw): 2570 (flat)  2570 (hierarchical)
+    Elapsed: 0.000s  Memory: 522.00M
+"polygons" in: sky130A_mr.drc:140
+    Polygons (raw): 603 (flat)  603 (hierarchical)
+    Elapsed: 0.010s  Memory: 522.00M
+"polygons" in: sky130A_mr.drc:141
+    Polygons (raw): 1761 (flat)  1761 (hierarchical)
+    Elapsed: 0.000s  Memory: 522.00M
+"polygons" in: sky130A_mr.drc:143
+    Polygons (raw): 459 (flat)  459 (hierarchical)
+    Elapsed: 0.010s  Memory: 522.00M
+"polygons" in: sky130A_mr.drc:144
+    Polygons (raw): 25800 (flat)  25800 (hierarchical)
+    Elapsed: 0.010s  Memory: 523.00M
+"polygons" in: sky130A_mr.drc:146
+    Polygons (raw): 175 (flat)  175 (hierarchical)
+    Elapsed: 0.000s  Memory: 523.00M
+"polygons" in: sky130A_mr.drc:147
+    Polygons (raw): 552 (flat)  552 (hierarchical)
+    Elapsed: 0.010s  Memory: 523.00M
+"polygons" in: sky130A_mr.drc:149
+    Polygons (raw): 151 (flat)  151 (hierarchical)
+    Elapsed: 0.000s  Memory: 523.00M
+"polygons" in: sky130A_mr.drc:151
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 523.00M
+"polygons" in: sky130A_mr.drc:152
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 523.00M
+"polygons" in: sky130A_mr.drc:153
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 523.00M
+"polygons" in: sky130A_mr.drc:154
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 523.00M
+"polygons" in: sky130A_mr.drc:155
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 523.00M
+"polygons" in: sky130A_mr.drc:156
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 523.00M
+"polygons" in: sky130A_mr.drc:157
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 523.00M
+"polygons" in: sky130A_mr.drc:158
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 523.00M
+"polygons" in: sky130A_mr.drc:159
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 523.00M
+"polygons" in: sky130A_mr.drc:160
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 523.00M
+"polygons" in: sky130A_mr.drc:161
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 523.00M
+"polygons" in: sky130A_mr.drc:162
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 523.00M
+"polygons" in: sky130A_mr.drc:163
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 523.00M
+"polygons" in: sky130A_mr.drc:164
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 523.00M
+"polygons" in: sky130A_mr.drc:165
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 523.00M
+"polygons" in: sky130A_mr.drc:166
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 523.00M
+"polygons" in: sky130A_mr.drc:167
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 523.00M
+"polygons" in: sky130A_mr.drc:168
+    Polygons (raw): 10 (flat)  2 (hierarchical)
+    Elapsed: 0.010s  Memory: 523.00M
+"polygons" in: sky130A_mr.drc:169
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 523.00M
+"polygons" in: sky130A_mr.drc:170
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 523.00M
+"polygons" in: sky130A_mr.drc:171
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 523.00M
+"polygons" in: sky130A_mr.drc:172
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 523.00M
+"polygons" in: sky130A_mr.drc:173
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 523.00M
+"polygons" in: sky130A_mr.drc:174
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 523.00M
+"polygons" in: sky130A_mr.drc:175
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 523.00M
+"polygons" in: sky130A_mr.drc:176
+    Polygons (raw): 1 (flat)  1 (hierarchical)
+    Elapsed: 0.010s  Memory: 523.00M
+"polygons" in: sky130A_mr.drc:177
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 523.00M
+"polygons" in: sky130A_mr.drc:178
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 523.00M
+"polygons" in: sky130A_mr.drc:179
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 523.00M
+"polygons" in: sky130A_mr.drc:180
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 523.00M
+"polygons" in: sky130A_mr.drc:181
+    Polygons (raw): 4 (flat)  3 (hierarchical)
+    Elapsed: 0.010s  Memory: 523.00M
+"polygons" in: sky130A_mr.drc:182
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 523.00M
+"polygons" in: sky130A_mr.drc:183
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 523.00M
+"polygons" in: sky130A_mr.drc:184
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 523.00M
+"polygons" in: sky130A_mr.drc:185
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 523.00M
+"polygons" in: sky130A_mr.drc:186
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 523.00M
+"polygons" in: sky130A_mr.drc:187
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 523.00M
+"polygons" in: sky130A_mr.drc:188
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 523.00M
+"polygons" in: sky130A_mr.drc:189
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 523.00M
+"polygons" in: sky130A_mr.drc:190
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 523.00M
+"polygons" in: sky130A_mr.drc:191
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 523.00M
+"polygons" in: sky130A_mr.drc:192
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 523.00M
+"polygons" in: sky130A_mr.drc:193
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 523.00M
+"polygons" in: sky130A_mr.drc:194
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 523.00M
+"polygons" in: sky130A_mr.drc:195
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 523.00M
+"polygons" in: sky130A_mr.drc:196
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 523.00M
+"polygons" in: sky130A_mr.drc:197
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 523.00M
+"polygons" in: sky130A_mr.drc:198
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 523.00M
+"polygons" in: sky130A_mr.drc:199
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 523.00M
+"polygons" in: sky130A_mr.drc:200
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 523.00M
+"polygons" in: sky130A_mr.drc:201
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 523.00M
+"polygons" in: sky130A_mr.drc:202
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 523.00M
+"polygons" in: sky130A_mr.drc:203
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 523.00M
+DRC section
+BEOL section
+START: 67/20 (li)
+"not" in: sky130A_mr.drc:381
+    Polygons (raw): 566 (flat)  394 (hierarchical)
+    Elapsed: 0.010s  Memory: 523.00M
+"width" in: sky130A_mr.drc:382
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 523.00M
+"output" in: sky130A_mr.drc:382
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 523.00M
+"edges" in: sky130A_mr.drc:384
+    Edges: 2849 (flat)  1598 (hierarchical)
+    Elapsed: 0.030s  Memory: 1067.00M
+"space" in: sky130A_mr.drc:384
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.020s  Memory: 1067.00M
+"output" in: sky130A_mr.drc:384
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 1067.00M
+"not" in: sky130A_mr.drc:385
+    Polygons (raw): 907 (flat)  291 (hierarchical)
+    Elapsed: 0.000s  Memory: 1067.00M
+"enclosing" in: sky130A_mr.drc:386
+    Edge pairs: 1195 (flat)  859 (hierarchical)
+    Elapsed: 0.030s  Memory: 1067.00M
+"second_edges" in: sky130A_mr.drc:386
+    Edges: 1195 (flat)  859 (hierarchical)
+    Elapsed: 0.010s  Memory: 1067.00M
+"width" in: sky130A_mr.drc:387
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 1067.00M
+"polygons" in: sky130A_mr.drc:388
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 1067.00M
+"interacting" in: sky130A_mr.drc:388
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 1067.00M
+"output" in: sky130A_mr.drc:389
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 1067.00M
+"with_area" in: sky130A_mr.drc:390
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 1067.00M
+"output" in: sky130A_mr.drc:390
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 1067.00M
+END: 67/20 (li)
+START: 67/44 (mcon)
+"not" in: sky130A_mr.drc:395
+    Polygons (raw): 1756 (flat)  1480 (hierarchical)
+    Elapsed: 0.000s  Memory: 1067.00M
+"not" in: sky130A_mr.drc:402
+    Polygons (raw): 1756 (flat)  1480 (hierarchical)
+    Elapsed: 0.010s  Memory: 1067.00M
+"non_rectangles" in: sky130A_mr.drc:403
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 1067.00M
+"output" in: sky130A_mr.drc:403
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 1067.00M
+"drc" in: sky130A_mr.drc:405
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.020s  Memory: 1068.00M
+"output" in: sky130A_mr.drc:405
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 1068.00M
+"drc" in: sky130A_mr.drc:406
+    Edges: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.020s  Memory: 1068.00M
+"output" in: sky130A_mr.drc:406
+    Edges: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 1068.00M
+"space" in: sky130A_mr.drc:407
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 1068.00M
+"output" in: sky130A_mr.drc:407
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 1068.00M
+"not" in: sky130A_mr.drc:413
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 1068.00M
+"output" in: sky130A_mr.drc:413
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 1068.00M
+END: 67/44 (mcon)
+START: 68/20 (m1)
+"width" in: sky130A_mr.drc:418
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 1068.00M
+"output" in: sky130A_mr.drc:418
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 1068.00M
+"sized" in: sky130A_mr.drc:419
+    Polygons (raw): 17 (flat)  17 (hierarchical)
+    Elapsed: 0.000s  Memory: 1068.00M
+"sized" in: sky130A_mr.drc:419
+    Polygons (raw): 17 (flat)  17 (hierarchical)
+    Elapsed: 0.000s  Memory: 1068.00M
+"snap" in: sky130A_mr.drc:419
+    Polygons (raw): 17 (flat)  17 (hierarchical)
+    Elapsed: 0.010s  Memory: 1068.00M
+"&" in: sky130A_mr.drc:419
+    Polygons (raw): 17 (flat)  17 (hierarchical)
+    Elapsed: 0.000s  Memory: 1068.00M
+"edges" in: sky130A_mr.drc:420
+    Edges: 1235 (flat)  1093 (hierarchical)
+    Elapsed: 0.020s  Memory: 1068.00M
+"-" in: sky130A_mr.drc:420
+    Edges: 1100 (flat)  964 (hierarchical)
+    Elapsed: 0.000s  Memory: 1068.00M
+"edges" in: sky130A_mr.drc:421
+    Edges: 122 (flat)  122 (hierarchical)
+    Elapsed: 0.010s  Memory: 1068.00M
+"merged" in: sky130A_mr.drc:421
+    Polygons (raw): 123 (flat)  113 (hierarchical)
+    Elapsed: 0.010s  Memory: 1068.00M
+"outside_part" in: sky130A_mr.drc:421
+    Edges: 138 (flat)  138 (hierarchical)
+    Elapsed: 0.000s  Memory: 1068.00M
+"space" in: sky130A_mr.drc:423
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.020s  Memory: 1068.00M
+"output" in: sky130A_mr.drc:423
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 1068.00M
+"separation" in: sky130A_mr.drc:425
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 1068.00M
+"space" in: sky130A_mr.drc:425
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 1068.00M
+"+" in: sky130A_mr.drc:425
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 1068.00M
+"output" in: sky130A_mr.drc:425
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 1068.00M
+"input" in: sky130A_mr.drc:429
+    Polygons (raw): 349 (flat)  305 (hierarchical)
+    Elapsed: 0.000s  Memory: 1068.00M
+"enclosing" in: sky130A_mr.drc:431
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.020s  Memory: 1068.00M
+"output" in: sky130A_mr.drc:431
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 1068.00M
+"not" in: sky130A_mr.drc:432
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 1068.00M
+"output" in: sky130A_mr.drc:432
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 1068.00M
+"input" in: sky130A_mr.drc:434
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 1068.00M
+"enclosing" in: sky130A_mr.drc:435
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.020s  Memory: 1084.00M
+"output" in: sky130A_mr.drc:435
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 1068.00M
+"not" in: sky130A_mr.drc:437
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 1068.00M
+"output" in: sky130A_mr.drc:437
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 1068.00M
+"with_area" in: sky130A_mr.drc:439
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 1068.00M
+"output" in: sky130A_mr.drc:439
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 1068.00M
+"holes" in: sky130A_mr.drc:441
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 1068.00M
+"with_area" in: sky130A_mr.drc:441
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 1068.00M
+"output" in: sky130A_mr.drc:441
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 1068.00M
+"enclosing" in: sky130A_mr.drc:448
+    Edge pairs: 245 (flat)  205 (hierarchical)
+    Elapsed: 0.020s  Memory: 1068.00M
+"second_edges" in: sky130A_mr.drc:448
+    Edges: 245 (flat)  205 (hierarchical)
+    Elapsed: 0.000s  Memory: 1068.00M
+"width" in: sky130A_mr.drc:449
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 1068.00M
+"polygons" in: sky130A_mr.drc:450
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 1068.00M
+"interacting" in: sky130A_mr.drc:450
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.020s  Memory: 1068.00M
+"output" in: sky130A_mr.drc:451
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 1068.00M
+END: 68/20 (m1)
+START: 68/44 (via)
+"not" in: sky130A_mr.drc:465
+    Polygons (raw): 2570 (flat)  2570 (hierarchical)
+    Elapsed: 0.000s  Memory: 1068.00M
+"non_rectangles" in: sky130A_mr.drc:467
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.020s  Memory: 1068.00M
+"output" in: sky130A_mr.drc:467
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 1068.00M
+"width" in: sky130A_mr.drc:468
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 1068.00M
+"output" in: sky130A_mr.drc:468
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 1068.00M
+"drc" in: sky130A_mr.drc:470
+    Edges: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 1068.00M
+"output" in: sky130A_mr.drc:470
+    Edges: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 1068.00M
+"space" in: sky130A_mr.drc:472
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.020s  Memory: 1068.00M
+"output" in: sky130A_mr.drc:472
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 1068.00M
+"edges" in: sky130A_mr.drc:480
+    Edges: 1235 (flat)  1093 (hierarchical)
+    Elapsed: 0.010s  Memory: 1068.00M
+"drc" in: sky130A_mr.drc:480
+    Edges: 10280 (flat)  10280 (hierarchical)
+    Elapsed: 0.040s  Memory: 1068.00M
+"enclosing" in: sky130A_mr.drc:480
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 1068.00M
+"output" in: sky130A_mr.drc:480
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 1068.00M
+"squares" in: sky130A_mr.drc:481
+    Polygons (raw): 2570 (flat)  2570 (hierarchical)
+    Elapsed: 0.000s  Memory: 1068.00M
+"drc" in: sky130A_mr.drc:481
+    Edges: 10280 (flat)  10280 (hierarchical)
+    Elapsed: 0.040s  Memory: 1068.00M
+"not" in: sky130A_mr.drc:481
+    Edges: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.020s  Memory: 1068.00M
+"output" in: sky130A_mr.drc:481
+    Edges: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 1068.00M
+"edges" in: sky130A_mr.drc:483
+    Edges: 1235 (flat)  1093 (hierarchical)
+    Elapsed: 0.020s  Memory: 1068.00M
+"drc" in: sky130A_mr.drc:483
+    Edges: 10280 (flat)  10280 (hierarchical)
+    Elapsed: 0.030s  Memory: 1068.00M
+"enclosing" in: sky130A_mr.drc:483
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.020s  Memory: 1068.00M
+"second_edges" in: sky130A_mr.drc:483
+    Edges: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 1068.00M
+"width" in: sky130A_mr.drc:484
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 1068.00M
+"polygons" in: sky130A_mr.drc:485
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 1068.00M
+"interacting" in: sky130A_mr.drc:485
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 1068.00M
+"output" in: sky130A_mr.drc:486
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 1068.00M
+END: 68/44 (via)
+START: 69/20 (m2)
+"width" in: sky130A_mr.drc:493
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 1069.00M
+"output" in: sky130A_mr.drc:493
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 1069.00M
+"sized" in: sky130A_mr.drc:495
+    Polygons (raw): 13 (flat)  13 (hierarchical)
+    Elapsed: 0.000s  Memory: 1069.00M
+"sized" in: sky130A_mr.drc:495
+    Polygons (raw): 13 (flat)  13 (hierarchical)
+    Elapsed: 0.010s  Memory: 1069.00M
+"snap" in: sky130A_mr.drc:495
+    Polygons (raw): 13 (flat)  13 (hierarchical)
+    Elapsed: 0.010s  Memory: 1069.00M
+"&" in: sky130A_mr.drc:495
+    Polygons (raw): 13 (flat)  13 (hierarchical)
+    Elapsed: 0.000s  Memory: 1069.00M
+"edges" in: sky130A_mr.drc:496
+    Edges: 2367 (flat)  2367 (hierarchical)
+    Elapsed: 0.010s  Memory: 1069.00M
+"-" in: sky130A_mr.drc:496
+    Edges: 2296 (flat)  2296 (hierarchical)
+    Elapsed: 0.010s  Memory: 1069.00M
+"edges" in: sky130A_mr.drc:497
+    Edges: 64 (flat)  64 (hierarchical)
+    Elapsed: 0.010s  Memory: 1069.00M
+"merged" in: sky130A_mr.drc:497
+    Polygons (raw): 550 (flat)  550 (hierarchical)
+    Elapsed: 0.010s  Memory: 1069.00M
+"outside_part" in: sky130A_mr.drc:497
+    Edges: 65 (flat)  65 (hierarchical)
+    Elapsed: 0.000s  Memory: 1069.00M
+"not" in: sky130A_mr.drc:498
+    Polygons (raw): 2570 (flat)  2570 (hierarchical)
+    Elapsed: 0.010s  Memory: 1069.00M
+"space" in: sky130A_mr.drc:500
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.020s  Memory: 1069.00M
+"output" in: sky130A_mr.drc:500
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 1069.00M
+"separation" in: sky130A_mr.drc:502
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 1069.00M
+"space" in: sky130A_mr.drc:502
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 1069.00M
+"+" in: sky130A_mr.drc:502
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 1069.00M
+"output" in: sky130A_mr.drc:502
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 1069.00M
+"with_area" in: sky130A_mr.drc:504
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 1069.00M
+"output" in: sky130A_mr.drc:504
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 1069.00M
+"holes" in: sky130A_mr.drc:505
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 1069.00M
+"with_area" in: sky130A_mr.drc:505
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 1069.00M
+"output" in: sky130A_mr.drc:505
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 1069.00M
+"enclosing" in: sky130A_mr.drc:510
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.020s  Memory: 1069.00M
+"output" in: sky130A_mr.drc:510
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 1069.00M
+"not" in: sky130A_mr.drc:511
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.020s  Memory: 1069.00M
+"output" in: sky130A_mr.drc:511
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 1069.00M
+"enclosing" in: sky130A_mr.drc:512
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.020s  Memory: 1069.00M
+"second_edges" in: sky130A_mr.drc:512
+    Edges: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 1069.00M
+"width" in: sky130A_mr.drc:513
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 1069.00M
+"polygons" in: sky130A_mr.drc:514
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 1069.00M
+"interacting" in: sky130A_mr.drc:514
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 1069.00M
+"output" in: sky130A_mr.drc:515
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 1069.00M
+END: 69/20 (m2)
+START: 69/44 (via2)
+"not" in: sky130A_mr.drc:530
+    Polygons (raw): 1761 (flat)  1761 (hierarchical)
+    Elapsed: 0.010s  Memory: 1069.00M
+"non_rectangles" in: sky130A_mr.drc:531
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 1069.00M
+"output" in: sky130A_mr.drc:531
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 1069.00M
+"width" in: sky130A_mr.drc:532
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 1069.00M
+"output" in: sky130A_mr.drc:532
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 1069.00M
+"edges" in: sky130A_mr.drc:533
+    Edges: 7044 (flat)  7044 (hierarchical)
+    Elapsed: 0.010s  Memory: 1069.00M
+"without_length" in: sky130A_mr.drc:533
+    Edges: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.030s  Memory: 1071.00M
+"output" in: sky130A_mr.drc:533
+    Edges: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 1071.00M
+"space" in: sky130A_mr.drc:534
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.020s  Memory: 1071.00M
+"output" in: sky130A_mr.drc:534
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 1071.00M
+"enclosing" in: sky130A_mr.drc:542
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 1071.00M
+"output" in: sky130A_mr.drc:542
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 1071.00M
+"not" in: sky130A_mr.drc:543
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 1071.00M
+"output" in: sky130A_mr.drc:543
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 1071.00M
+"enclosing" in: sky130A_mr.drc:545
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 1071.00M
+"second_edges" in: sky130A_mr.drc:545
+    Edges: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 1071.00M
+"width" in: sky130A_mr.drc:546
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 1071.00M
+"polygons" in: sky130A_mr.drc:547
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 1071.00M
+"interacting" in: sky130A_mr.drc:547
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 1071.00M
+"output" in: sky130A_mr.drc:548
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 1071.00M
+END: 69/44 (via2)
+START: 70/20 (m3)
+"width" in: sky130A_mr.drc:554
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 1071.00M
+"output" in: sky130A_mr.drc:554
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 1071.00M
+"sized" in: sky130A_mr.drc:556
+    Polygons (raw): 53 (flat)  53 (hierarchical)
+    Elapsed: 0.000s  Memory: 1071.00M
+"sized" in: sky130A_mr.drc:556
+    Polygons (raw): 53 (flat)  53 (hierarchical)
+    Elapsed: 0.010s  Memory: 1071.00M
+"snap" in: sky130A_mr.drc:556
+    Polygons (raw): 53 (flat)  53 (hierarchical)
+    Elapsed: 0.010s  Memory: 1071.00M
+"&" in: sky130A_mr.drc:556
+    Polygons (raw): 98 (flat)  98 (hierarchical)
+    Elapsed: 0.010s  Memory: 1071.00M
+"edges" in: sky130A_mr.drc:557
+    Edges: 1661 (flat)  1661 (hierarchical)
+    Elapsed: 0.010s  Memory: 1071.00M
+"-" in: sky130A_mr.drc:557
+    Edges: 883 (flat)  883 (hierarchical)
+    Elapsed: 0.010s  Memory: 1071.00M
+"edges" in: sky130A_mr.drc:558
+    Edges: 673 (flat)  673 (hierarchical)
+    Elapsed: 0.010s  Memory: 1071.00M
+"merged" in: sky130A_mr.drc:558
+    Polygons (raw): 228 (flat)  228 (hierarchical)
+    Elapsed: 0.000s  Memory: 1071.00M
+"outside_part" in: sky130A_mr.drc:558
+    Edges: 678 (flat)  678 (hierarchical)
+    Elapsed: 0.010s  Memory: 1071.00M
+"space" in: sky130A_mr.drc:560
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.020s  Memory: 1071.00M
+"output" in: sky130A_mr.drc:560
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 1071.00M
+"separation" in: sky130A_mr.drc:562
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 1071.00M
+"space" in: sky130A_mr.drc:562
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 1071.00M
+"+" in: sky130A_mr.drc:562
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 1071.00M
+"output" in: sky130A_mr.drc:562
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 1071.00M
+"enclosing" in: sky130A_mr.drc:567
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.020s  Memory: 1071.00M
+"output" in: sky130A_mr.drc:567
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 1071.00M
+"not" in: sky130A_mr.drc:568
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 1071.00M
+"output" in: sky130A_mr.drc:568
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 1071.00M
+END: 70/20 (m3)
+START: 70/44 (via3)
+"not" in: sky130A_mr.drc:582
+    Polygons (raw): 25800 (flat)  25800 (hierarchical)
+    Elapsed: 0.000s  Memory: 1071.00M
+"non_rectangles" in: sky130A_mr.drc:583
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.130s  Memory: 1078.00M
+"output" in: sky130A_mr.drc:583
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 1078.00M
+"width" in: sky130A_mr.drc:584
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.020s  Memory: 1078.00M
+"output" in: sky130A_mr.drc:584
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 1078.00M
+"edges" in: sky130A_mr.drc:585
+    Edges: 103200 (flat)  103200 (hierarchical)
+    Elapsed: 0.090s  Memory: 1078.00M
+"without_length" in: sky130A_mr.drc:585
+    Edges: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.250s  Memory: 1105.00M
+"output" in: sky130A_mr.drc:585
+    Edges: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 1105.00M
+"space" in: sky130A_mr.drc:587
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.180s  Memory: 1105.00M
+"output" in: sky130A_mr.drc:587
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 1105.00M
+"enclosing" in: sky130A_mr.drc:588
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.230s  Memory: 1105.00M
+"output" in: sky130A_mr.drc:588
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 1105.00M
+"not" in: sky130A_mr.drc:589
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.050s  Memory: 1105.00M
+"output" in: sky130A_mr.drc:589
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 1105.00M
+"enclosing" in: sky130A_mr.drc:591
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.230s  Memory: 1105.00M
+"second_edges" in: sky130A_mr.drc:591
+    Edges: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 1105.00M
+"width" in: sky130A_mr.drc:592
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 1105.00M
+"polygons" in: sky130A_mr.drc:593
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 1105.00M
+"interacting" in: sky130A_mr.drc:593
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 1105.00M
+"output" in: sky130A_mr.drc:594
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 1105.00M
+END: 70/44 (via3)
+START: 71/20 (m4)
+"width" in: sky130A_mr.drc:600
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 1105.00M
+"output" in: sky130A_mr.drc:600
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 1105.00M
+"sized" in: sky130A_mr.drc:602
+    Polygons (raw): 18 (flat)  18 (hierarchical)
+    Elapsed: 0.000s  Memory: 1105.00M
+"sized" in: sky130A_mr.drc:602
+    Polygons (raw): 18 (flat)  18 (hierarchical)
+    Elapsed: 0.010s  Memory: 1105.00M
+"snap" in: sky130A_mr.drc:602
+    Polygons (raw): 18 (flat)  18 (hierarchical)
+    Elapsed: 0.010s  Memory: 1105.00M
+"&" in: sky130A_mr.drc:602
+    Polygons (raw): 45 (flat)  45 (hierarchical)
+    Elapsed: 0.000s  Memory: 1105.00M
+"edges" in: sky130A_mr.drc:603
+    Edges: 565 (flat)  565 (hierarchical)
+    Elapsed: 0.020s  Memory: 1105.00M
+"-" in: sky130A_mr.drc:603
+    Edges: 113 (flat)  113 (hierarchical)
+    Elapsed: 0.010s  Memory: 1105.00M
+"edges" in: sky130A_mr.drc:604
+    Edges: 362 (flat)  362 (hierarchical)
+    Elapsed: 0.010s  Memory: 1105.00M
+"merged" in: sky130A_mr.drc:604
+    Polygons (raw): 29 (flat)  29 (hierarchical)
+    Elapsed: 0.000s  Memory: 1105.00M
+"outside_part" in: sky130A_mr.drc:604
+    Edges: 367 (flat)  367 (hierarchical)
+    Elapsed: 0.010s  Memory: 1105.00M
+"space" in: sky130A_mr.drc:606
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 1105.00M
+"output" in: sky130A_mr.drc:606
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 1105.00M
+"with_area" in: sky130A_mr.drc:608
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 1105.00M
+"output" in: sky130A_mr.drc:608
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 1105.00M
+"separation" in: sky130A_mr.drc:610
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 1105.00M
+"space" in: sky130A_mr.drc:610
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 1105.00M
+"+" in: sky130A_mr.drc:610
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 1105.00M
+"output" in: sky130A_mr.drc:610
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 1105.00M
+"enclosing" in: sky130A_mr.drc:615
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.230s  Memory: 1105.00M
+"output" in: sky130A_mr.drc:615
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.020s  Memory: 1105.00M
+"not" in: sky130A_mr.drc:616
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.050s  Memory: 1105.00M
+"output" in: sky130A_mr.drc:616
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 1105.00M
+END: 71/20 (m4)
+START: 71/44 (via4)
+"not" in: sky130A_mr.drc:629
+    Polygons (raw): 552 (flat)  552 (hierarchical)
+    Elapsed: 0.010s  Memory: 1105.00M
+"non_rectangles" in: sky130A_mr.drc:630
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 1105.00M
+"output" in: sky130A_mr.drc:630
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 1105.00M
+"width" in: sky130A_mr.drc:631
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 1105.00M
+"output" in: sky130A_mr.drc:631
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 1105.00M
+"drc" in: sky130A_mr.drc:632
+    Edges: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 1105.00M
+"output" in: sky130A_mr.drc:632
+    Edges: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 1105.00M
+"space" in: sky130A_mr.drc:634
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 1105.00M
+"polygons" in: sky130A_mr.drc:634
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 1105.00M
+"output" in: sky130A_mr.drc:634
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 1105.00M
+"enclosing" in: sky130A_mr.drc:642
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 1105.00M
+"output" in: sky130A_mr.drc:642
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 1105.00M
+"not" in: sky130A_mr.drc:643
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 1105.00M
+"output" in: sky130A_mr.drc:643
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 1105.00M
+END: 71/44 (via4)
+START: 72/20 (m5)
+"width" in: sky130A_mr.drc:648
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 1105.00M
+"output" in: sky130A_mr.drc:648
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 1105.00M
+"space" in: sky130A_mr.drc:650
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 1105.00M
+"output" in: sky130A_mr.drc:650
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 1105.00M
+"enclosing" in: sky130A_mr.drc:652
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 1105.00M
+"output" in: sky130A_mr.drc:652
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 1105.00M
+"not" in: sky130A_mr.drc:653
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 1105.00M
+"output" in: sky130A_mr.drc:653
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 1105.00M
+"with_area" in: sky130A_mr.drc:657
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 1105.00M
+"output" in: sky130A_mr.drc:657
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 1105.00M
+END: 72/20 (m5)
+START: 76/20 (pad)
+"space" in: sky130A_mr.drc:662
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 1105.00M
+"output" in: sky130A_mr.drc:662
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 1105.00M
+END: 76/20 (pad)
+Writing report database: /home/krishna/Comparator_MPW6/precheck_results/02_JUN_2022___13_34_14/outputs/reports/klayout_beol_check.xml ..
+Total elapsed: 3.860s  Memory: 1105.00M
diff --git a/precheck_results/02_JUN_2022___13_34_14/logs/klayout_beol_check.total b/precheck_results/02_JUN_2022___13_34_14/logs/klayout_beol_check.total
new file mode 100755
index 0000000..c227083
--- /dev/null
+++ b/precheck_results/02_JUN_2022___13_34_14/logs/klayout_beol_check.total
@@ -0,0 +1 @@
+0
\ No newline at end of file
diff --git a/precheck_results/02_JUN_2022___13_34_14/logs/klayout_feol_check.log b/precheck_results/02_JUN_2022___13_34_14/logs/klayout_feol_check.log
new file mode 100755
index 0000000..5806f08
--- /dev/null
+++ b/precheck_results/02_JUN_2022___13_34_14/logs/klayout_feol_check.log
@@ -0,0 +1,789 @@
+/home/krishna/mpw_precheckmpw6/checks/tech-files/sky130A_mr.drc:36: warning: already initialized constant DRC::DRCEngine::FEOL
+/home/krishna/mpw_precheckmpw6/checks/tech-files/sky130A_mr.drc:28: warning: previous definition of FEOL was here
+/home/krishna/mpw_precheckmpw6/checks/tech-files/sky130A_mr.drc:44: warning: already initialized constant DRC::DRCEngine::BEOL
+/home/krishna/mpw_precheckmpw6/checks/tech-files/sky130A_mr.drc:29: warning: previous definition of BEOL was here
+/home/krishna/mpw_precheckmpw6/checks/tech-files/sky130A_mr.drc:50: warning: already initialized constant DRC::DRCEngine::OFFGRID
+/home/krishna/mpw_precheckmpw6/checks/tech-files/sky130A_mr.drc:30: warning: previous definition of OFFGRID was here
+/home/krishna/mpw_precheckmpw6/checks/tech-files/sky130A_mr.drc:56: warning: already initialized constant DRC::DRCEngine::SEAL
+/home/krishna/mpw_precheckmpw6/checks/tech-files/sky130A_mr.drc:31: warning: previous definition of SEAL was here
+/home/krishna/mpw_precheckmpw6/checks/tech-files/sky130A_mr.drc:62: warning: already initialized constant DRC::DRCEngine::FLOATING_MET
+/home/krishna/mpw_precheckmpw6/checks/tech-files/sky130A_mr.drc:32: warning: previous definition of FLOATING_MET was here
+"input" in: sky130A_mr.drc:112
+    Polygons (raw): 62 (flat)  25 (hierarchical)
+    Elapsed: 0.010s  Memory: 522.00M
+"polygons" in: sky130A_mr.drc:113
+    Polygons (raw): 38 (flat)  30 (hierarchical)
+    Elapsed: 0.010s  Memory: 522.00M
+"polygons" in: sky130A_mr.drc:114
+    Polygons (raw): 89 (flat)  69 (hierarchical)
+    Elapsed: 0.000s  Memory: 522.00M
+"polygons" in: sky130A_mr.drc:115
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 522.00M
+"polygons" in: sky130A_mr.drc:116
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 522.00M
+"polygons" in: sky130A_mr.drc:117
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 522.00M
+"polygons" in: sky130A_mr.drc:118
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 522.00M
+"polygons" in: sky130A_mr.drc:119
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 522.00M
+"polygons" in: sky130A_mr.drc:120
+    Polygons (raw): 10 (flat)  2 (hierarchical)
+    Elapsed: 0.000s  Memory: 522.00M
+"polygons" in: sky130A_mr.drc:121
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 522.00M
+"polygons" in: sky130A_mr.drc:122
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 522.00M
+"polygons" in: sky130A_mr.drc:123
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 522.00M
+"polygons" in: sky130A_mr.drc:124
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 522.00M
+"polygons" in: sky130A_mr.drc:125
+    Polygons (raw): 202 (flat)  116 (hierarchical)
+    Elapsed: 0.010s  Memory: 522.00M
+"polygons" in: sky130A_mr.drc:126
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 522.00M
+"polygons" in: sky130A_mr.drc:127
+    Polygons (raw): 62 (flat)  43 (hierarchical)
+    Elapsed: 0.010s  Memory: 522.00M
+"polygons" in: sky130A_mr.drc:128
+    Polygons (raw): 52 (flat)  26 (hierarchical)
+    Elapsed: 0.000s  Memory: 522.00M
+"polygons" in: sky130A_mr.drc:129
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 522.00M
+"polygons" in: sky130A_mr.drc:130
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 522.00M
+"polygons" in: sky130A_mr.drc:131
+    Polygons (raw): 33 (flat)  24 (hierarchical)
+    Elapsed: 0.010s  Memory: 522.00M
+"polygons" in: sky130A_mr.drc:132
+    Polygons (raw): 907 (flat)  291 (hierarchical)
+    Elapsed: 0.010s  Memory: 522.00M
+"polygons" in: sky130A_mr.drc:134
+    Polygons (raw): 566 (flat)  394 (hierarchical)
+    Elapsed: 0.000s  Memory: 522.00M
+"polygons" in: sky130A_mr.drc:135
+    Polygons (raw): 1756 (flat)  1480 (hierarchical)
+    Elapsed: 0.010s  Memory: 522.00M
+"polygons" in: sky130A_mr.drc:137
+    Polygons (raw): 349 (flat)  299 (hierarchical)
+    Elapsed: 0.000s  Memory: 522.00M
+"polygons" in: sky130A_mr.drc:138
+    Polygons (raw): 2570 (flat)  2570 (hierarchical)
+    Elapsed: 0.010s  Memory: 522.00M
+"polygons" in: sky130A_mr.drc:140
+    Polygons (raw): 603 (flat)  603 (hierarchical)
+    Elapsed: 0.010s  Memory: 522.00M
+"polygons" in: sky130A_mr.drc:141
+    Polygons (raw): 1761 (flat)  1761 (hierarchical)
+    Elapsed: 0.000s  Memory: 522.00M
+"polygons" in: sky130A_mr.drc:143
+    Polygons (raw): 459 (flat)  459 (hierarchical)
+    Elapsed: 0.010s  Memory: 522.00M
+"polygons" in: sky130A_mr.drc:144
+    Polygons (raw): 25800 (flat)  25800 (hierarchical)
+    Elapsed: 0.010s  Memory: 523.00M
+"polygons" in: sky130A_mr.drc:146
+    Polygons (raw): 175 (flat)  175 (hierarchical)
+    Elapsed: 0.010s  Memory: 523.00M
+"polygons" in: sky130A_mr.drc:147
+    Polygons (raw): 552 (flat)  552 (hierarchical)
+    Elapsed: 0.010s  Memory: 523.00M
+"polygons" in: sky130A_mr.drc:149
+    Polygons (raw): 151 (flat)  151 (hierarchical)
+    Elapsed: 0.000s  Memory: 523.00M
+"polygons" in: sky130A_mr.drc:151
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 523.00M
+"polygons" in: sky130A_mr.drc:152
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 523.00M
+"polygons" in: sky130A_mr.drc:153
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 523.00M
+"polygons" in: sky130A_mr.drc:154
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 523.00M
+"polygons" in: sky130A_mr.drc:155
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 523.00M
+"polygons" in: sky130A_mr.drc:156
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 523.00M
+"polygons" in: sky130A_mr.drc:157
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 523.00M
+"polygons" in: sky130A_mr.drc:158
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 523.00M
+"polygons" in: sky130A_mr.drc:159
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 523.00M
+"polygons" in: sky130A_mr.drc:160
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 523.00M
+"polygons" in: sky130A_mr.drc:161
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 523.00M
+"polygons" in: sky130A_mr.drc:162
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 523.00M
+"polygons" in: sky130A_mr.drc:163
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 523.00M
+"polygons" in: sky130A_mr.drc:164
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 523.00M
+"polygons" in: sky130A_mr.drc:165
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 523.00M
+"polygons" in: sky130A_mr.drc:166
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 523.00M
+"polygons" in: sky130A_mr.drc:167
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 523.00M
+"polygons" in: sky130A_mr.drc:168
+    Polygons (raw): 10 (flat)  2 (hierarchical)
+    Elapsed: 0.000s  Memory: 523.00M
+"polygons" in: sky130A_mr.drc:169
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 523.00M
+"polygons" in: sky130A_mr.drc:170
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 523.00M
+"polygons" in: sky130A_mr.drc:171
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 523.00M
+"polygons" in: sky130A_mr.drc:172
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 523.00M
+"polygons" in: sky130A_mr.drc:173
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 523.00M
+"polygons" in: sky130A_mr.drc:174
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 523.00M
+"polygons" in: sky130A_mr.drc:175
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 523.00M
+"polygons" in: sky130A_mr.drc:176
+    Polygons (raw): 1 (flat)  1 (hierarchical)
+    Elapsed: 0.000s  Memory: 523.00M
+"polygons" in: sky130A_mr.drc:177
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 523.00M
+"polygons" in: sky130A_mr.drc:178
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 523.00M
+"polygons" in: sky130A_mr.drc:179
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 523.00M
+"polygons" in: sky130A_mr.drc:180
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 523.00M
+"polygons" in: sky130A_mr.drc:181
+    Polygons (raw): 4 (flat)  3 (hierarchical)
+    Elapsed: 0.010s  Memory: 523.00M
+"polygons" in: sky130A_mr.drc:182
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 523.00M
+"polygons" in: sky130A_mr.drc:183
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 523.00M
+"polygons" in: sky130A_mr.drc:184
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 523.00M
+"polygons" in: sky130A_mr.drc:185
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 523.00M
+"polygons" in: sky130A_mr.drc:186
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 523.00M
+"polygons" in: sky130A_mr.drc:187
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 523.00M
+"polygons" in: sky130A_mr.drc:188
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 523.00M
+"polygons" in: sky130A_mr.drc:189
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 523.00M
+"polygons" in: sky130A_mr.drc:190
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 523.00M
+"polygons" in: sky130A_mr.drc:191
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 523.00M
+"polygons" in: sky130A_mr.drc:192
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 523.00M
+"polygons" in: sky130A_mr.drc:193
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 523.00M
+"polygons" in: sky130A_mr.drc:194
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 523.00M
+"polygons" in: sky130A_mr.drc:195
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 523.00M
+"polygons" in: sky130A_mr.drc:196
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 523.00M
+"polygons" in: sky130A_mr.drc:197
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 523.00M
+"polygons" in: sky130A_mr.drc:198
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 523.00M
+"polygons" in: sky130A_mr.drc:199
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 523.00M
+"polygons" in: sky130A_mr.drc:200
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 523.00M
+"polygons" in: sky130A_mr.drc:201
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 523.00M
+"polygons" in: sky130A_mr.drc:202
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 523.00M
+"polygons" in: sky130A_mr.drc:203
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 523.00M
+DRC section
+FEOL section
+START: 64/18 (dnwell)
+"width" in: sky130A_mr.drc:229
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 523.00M
+"output" in: sky130A_mr.drc:229
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 523.00M
+END: 64/18 (dnwell)
+"input" in: sky130A_mr.drc:233
+    Polygons (raw): 62 (flat)  43 (hierarchical)
+    Elapsed: 0.010s  Memory: 523.00M
+"input" in: sky130A_mr.drc:234
+    Polygons (raw): 52 (flat)  26 (hierarchical)
+    Elapsed: 0.010s  Memory: 523.00M
+"input" in: sky130A_mr.drc:235
+    Polygons (raw): 89 (flat)  69 (hierarchical)
+    Elapsed: 0.000s  Memory: 523.00M
+START: 64/20 (nwell)
+"width" in: sky130A_mr.drc:239
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 523.00M
+"output" in: sky130A_mr.drc:239
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 523.00M
+"space" in: sky130A_mr.drc:240
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 1067.00M
+"output" in: sky130A_mr.drc:240
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 1067.00M
+"merge" in: sky130A_mr.drc:241
+    Polygons (raw): 15 (flat)  14 (hierarchical)
+    Elapsed: 0.010s  Memory: 1067.00M
+"holes" in: sky130A_mr.drc:242
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 1067.00M
+"enclosing" in: sky130A_mr.drc:242
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 1067.00M
+"output" in: sky130A_mr.drc:242
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 1067.00M
+END: 64/20 (nwell)
+START: 78/44 (hvtp)
+"width" in: sky130A_mr.drc:247
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 1067.00M
+"output" in: sky130A_mr.drc:247
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 1067.00M
+"space" in: sky130A_mr.drc:248
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 1067.00M
+"output" in: sky130A_mr.drc:248
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 1067.00M
+END: 78/44 (hvtp)
+START: 18/20 (htvr)
+"width" in: sky130A_mr.drc:253
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 1067.00M
+"output" in: sky130A_mr.drc:253
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 1067.00M
+"separation" in: sky130A_mr.drc:254
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 1067.00M
+"output" in: sky130A_mr.drc:254
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 1067.00M
+"and" in: sky130A_mr.drc:255
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 1067.00M
+"output" in: sky130A_mr.drc:255
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 1067.00M
+END: 18/20 (htvr)
+START: 25/44 (lvtn)
+"width" in: sky130A_mr.drc:260
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 1067.00M
+"output" in: sky130A_mr.drc:260
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 1067.00M
+"space" in: sky130A_mr.drc:261
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 1067.00M
+"output" in: sky130A_mr.drc:261
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 1067.00M
+END: 25/44 (lvtn)
+START: 92/44 (ncm)
+"width" in: sky130A_mr.drc:266
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 1067.00M
+"output" in: sky130A_mr.drc:266
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 1067.00M
+"space" in: sky130A_mr.drc:267
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 1067.00M
+"output" in: sky130A_mr.drc:267
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 1067.00M
+END: 92/44 (ncm)
+START: 65/20 (diff)
+"or" in: sky130A_mr.drc:272
+    Polygons (raw): 68 (flat)  35 (hierarchical)
+    Elapsed: 0.000s  Memory: 1067.00M
+"rectangles" in: sky130A_mr.drc:273
+    Polygons (raw): 31 (flat)  11 (hierarchical)
+    Elapsed: 0.010s  Memory: 1067.00M
+"width" in: sky130A_mr.drc:273
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 1067.00M
+"polygons" in: sky130A_mr.drc:273
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 1067.00M
+"edges" in: sky130A_mr.drc:274
+    Edges: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 1067.00M
+"outside_part" in: sky130A_mr.drc:274
+    Edges: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 1067.00M
+"outside" in: sky130A_mr.drc:274
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 1067.00M
+"edges" in: sky130A_mr.drc:274
+    Edges: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 1067.00M
+"not" in: sky130A_mr.drc:274
+    Edges: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 1067.00M
+"output" in: sky130A_mr.drc:275
+    Edges: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 1067.00M
+"outside" in: sky130A_mr.drc:276
+    Polygons (raw): 48 (flat)  17 (hierarchical)
+    Elapsed: 0.000s  Memory: 1067.00M
+"width" in: sky130A_mr.drc:276
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 1067.00M
+"output" in: sky130A_mr.drc:276
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 1067.00M
+END: 65/20 (diff)
+START: 65/44 (tap)
+"rectangles" in: sky130A_mr.drc:280
+    Polygons (raw): 14 (flat)  14 (hierarchical)
+    Elapsed: 0.000s  Memory: 1067.00M
+"width" in: sky130A_mr.drc:280
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 1067.00M
+"polygons" in: sky130A_mr.drc:280
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 1067.00M
+"edges" in: sky130A_mr.drc:281
+    Edges: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 1067.00M
+"outside_part" in: sky130A_mr.drc:281
+    Edges: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 1067.00M
+"outside" in: sky130A_mr.drc:281
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 1067.00M
+"edges" in: sky130A_mr.drc:281
+    Edges: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 1067.00M
+"not" in: sky130A_mr.drc:281
+    Edges: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 1067.00M
+"output" in: sky130A_mr.drc:282
+    Edges: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 1067.00M
+"not" in: sky130A_mr.drc:283
+    Polygons (raw): 38 (flat)  30 (hierarchical)
+    Elapsed: 0.010s  Memory: 1067.00M
+"width" in: sky130A_mr.drc:283
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 1067.00M
+"output" in: sky130A_mr.drc:283
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 1067.00M
+END: 65/44 (tap)
+"space" in: sky130A_mr.drc:286
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 1067.00M
+"output" in: sky130A_mr.drc:286
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 1067.00M
+START: 80/20 (tunm)
+"width" in: sky130A_mr.drc:290
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 1067.00M
+"output" in: sky130A_mr.drc:290
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 1067.00M
+"space" in: sky130A_mr.drc:291
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 1067.00M
+"output" in: sky130A_mr.drc:291
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 1067.00M
+END: 80/20 (tunm)
+START: 66/20 (poly)
+"width" in: sky130A_mr.drc:296
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 1067.00M
+"output" in: sky130A_mr.drc:296
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 1067.00M
+"not" in: sky130A_mr.drc:297
+    Polygons (raw): 202 (flat)  116 (hierarchical)
+    Elapsed: 0.010s  Memory: 1067.00M
+"space" in: sky130A_mr.drc:297
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 1067.00M
+"output" in: sky130A_mr.drc:297
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 1067.00M
+START: 86/20 (rpm)
+"width" in: sky130A_mr.drc:302
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 1067.00M
+"output" in: sky130A_mr.drc:302
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 1067.00M
+"space" in: sky130A_mr.drc:303
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 1067.00M
+"output" in: sky130A_mr.drc:303
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 1067.00M
+END: 86/20 (rpm)
+START: 79/20 (urpm)
+"width" in: sky130A_mr.drc:308
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 1067.00M
+"output" in: sky130A_mr.drc:308
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 1067.00M
+"space" in: sky130A_mr.drc:309
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 1067.00M
+"output" in: sky130A_mr.drc:309
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 1067.00M
+END: 79/20 (urpm)
+START: 95/20 (npc)
+"width" in: sky130A_mr.drc:314
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 1067.00M
+"output" in: sky130A_mr.drc:314
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 1067.00M
+"space" in: sky130A_mr.drc:315
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 1067.00M
+"output" in: sky130A_mr.drc:315
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 1067.00M
+END: 95/20 (npc)
+START: 93/44 (nsdm)
+"outside" in: sky130A_mr.drc:320
+    Polygons (raw): 34 (flat)  22 (hierarchical)
+    Elapsed: 0.000s  Memory: 1067.00M
+"width" in: sky130A_mr.drc:320
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 1067.00M
+"output" in: sky130A_mr.drc:320
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 1067.00M
+"not" in: sky130A_mr.drc:321
+    Polygons (raw): 62 (flat)  43 (hierarchical)
+    Elapsed: 0.000s  Memory: 1067.00M
+"space" in: sky130A_mr.drc:321
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 1067.00M
+"output" in: sky130A_mr.drc:321
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 1067.00M
+END: 93/44 (nsdm)
+START: 94/20 (psdm)
+"outside" in: sky130A_mr.drc:326
+    Polygons (raw): 28 (flat)  16 (hierarchical)
+    Elapsed: 0.010s  Memory: 1067.00M
+"width" in: sky130A_mr.drc:326
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 1067.00M
+"output" in: sky130A_mr.drc:326
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 1067.00M
+"not" in: sky130A_mr.drc:327
+    Polygons (raw): 52 (flat)  26 (hierarchical)
+    Elapsed: 0.010s  Memory: 1067.00M
+"space" in: sky130A_mr.drc:327
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 1067.00M
+"output" in: sky130A_mr.drc:327
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 1067.00M
+END: 94/20 (psdm)
+START: 66/44 (licon)
+"not" in: sky130A_mr.drc:338
+    Polygons (raw): 359 (flat)  106 (hierarchical)
+    Elapsed: 0.010s  Memory: 1067.00M
+"and" in: sky130A_mr.drc:339
+    Polygons (raw): 743 (flat)  236 (hierarchical)
+    Elapsed: 0.010s  Memory: 1067.00M
+"interacting" in: sky130A_mr.drc:339
+    Polygons (raw): 743 (flat)  236 (hierarchical)
+    Elapsed: 0.010s  Memory: 1067.00M
+"not" in: sky130A_mr.drc:340
+    Polygons (raw): 743 (flat)  236 (hierarchical)
+    Elapsed: 0.010s  Memory: 1067.00M
+"non_rectangles" in: sky130A_mr.drc:341
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 1067.00M
+"output" in: sky130A_mr.drc:341
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 1067.00M
+"or" in: sky130A_mr.drc:342
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 1067.00M
+"not" in: sky130A_mr.drc:342
+    Polygons (raw): 907 (flat)  291 (hierarchical)
+    Elapsed: 0.010s  Memory: 1067.00M
+"edges" in: sky130A_mr.drc:342
+    Edges: 3628 (flat)  1164 (hierarchical)
+    Elapsed: 0.010s  Memory: 1067.00M
+"without_length" in: sky130A_mr.drc:342
+    Edges: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 1067.00M
+"output" in: sky130A_mr.drc:342
+    Edges: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 1067.00M
+"separation" in: sky130A_mr.drc:343
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 1067.00M
+"output" in: sky130A_mr.drc:343
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 1067.00M
+"and" in: sky130A_mr.drc:344
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 1067.00M
+"output" in: sky130A_mr.drc:344
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 1067.00M
+"interacting" in: sky130A_mr.drc:345
+    Polygons (raw): 164 (flat)  55 (hierarchical)
+    Elapsed: 0.010s  Memory: 1067.00M
+"interacting" in: sky130A_mr.drc:345
+    Polygons (raw): 743 (flat)  236 (hierarchical)
+    Elapsed: 0.010s  Memory: 1067.00M
+"and" in: sky130A_mr.drc:345
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 1067.00M
+"output" in: sky130A_mr.drc:345
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 1067.00M
+END: 66/44 (licon)
+START: 89/44 (capm)
+"and" in: sky130A_mr.drc:350
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 1067.00M
+"sized" in: sky130A_mr.drc:350
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 1067.00M
+"width" in: sky130A_mr.drc:351
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 1067.00M
+"output" in: sky130A_mr.drc:351
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 1067.00M
+"space" in: sky130A_mr.drc:352
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 1067.00M
+"output" in: sky130A_mr.drc:352
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 1067.00M
+"interacting" in: sky130A_mr.drc:353
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 1067.00M
+"isolated" in: sky130A_mr.drc:353
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 1067.00M
+"output" in: sky130A_mr.drc:353
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 1067.00M
+"isolated" in: sky130A_mr.drc:354
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 1067.00M
+"output" in: sky130A_mr.drc:354
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 1067.00M
+"and" in: sky130A_mr.drc:355
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 1067.00M
+"enclosing" in: sky130A_mr.drc:355
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 1067.00M
+"output" in: sky130A_mr.drc:355
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 1067.00M
+"enclosing" in: sky130A_mr.drc:356
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 1067.00M
+"output" in: sky130A_mr.drc:356
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 1067.00M
+"enclosing" in: sky130A_mr.drc:357
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 1067.00M
+"output" in: sky130A_mr.drc:357
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 1067.00M
+"separation" in: sky130A_mr.drc:358
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 1067.00M
+"output" in: sky130A_mr.drc:358
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 1067.00M
+END: 89/44 (capm)
+START: 97/44 (cap2m)
+"and" in: sky130A_mr.drc:363
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 1067.00M
+"sized" in: sky130A_mr.drc:363
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 1067.00M
+"width" in: sky130A_mr.drc:364
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 1067.00M
+"output" in: sky130A_mr.drc:364
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 1067.00M
+"space" in: sky130A_mr.drc:365
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 1067.00M
+"output" in: sky130A_mr.drc:365
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 1067.00M
+"interacting" in: sky130A_mr.drc:366
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 1067.00M
+"isolated" in: sky130A_mr.drc:366
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 1067.00M
+"output" in: sky130A_mr.drc:366
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 1067.00M
+"isolated" in: sky130A_mr.drc:368
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 1067.00M
+"output" in: sky130A_mr.drc:368
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 1067.00M
+"and" in: sky130A_mr.drc:369
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 1067.00M
+"enclosing" in: sky130A_mr.drc:369
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 1067.00M
+"output" in: sky130A_mr.drc:369
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 1067.00M
+"enclosing" in: sky130A_mr.drc:370
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 1067.00M
+"output" in: sky130A_mr.drc:370
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 1067.00M
+"enclosing" in: sky130A_mr.drc:371
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 1067.00M
+"output" in: sky130A_mr.drc:371
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 1067.00M
+"separation" in: sky130A_mr.drc:372
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 1067.00M
+"output" in: sky130A_mr.drc:372
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 1067.00M
+END: 97/44 (cap2m)
+FEOL section
+START: 75/20 (hvi)
+"not" in: sky130A_mr.drc:672
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 1067.00M
+"width" in: sky130A_mr.drc:673
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 1067.00M
+"output" in: sky130A_mr.drc:673
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 1067.00M
+"space" in: sky130A_mr.drc:674
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 1067.00M
+"output" in: sky130A_mr.drc:674
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 1067.00M
+END: 75/20 (hvi)
+START: 125/20 (hvntm)
+"not" in: sky130A_mr.drc:679
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 1067.00M
+"width" in: sky130A_mr.drc:680
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 1067.00M
+"output" in: sky130A_mr.drc:680
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 1067.00M
+"space" in: sky130A_mr.drc:681
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 1067.00M
+"output" in: sky130A_mr.drc:681
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 1067.00M
+END: 125/20 (hvntm)
+Writing report database: /home/krishna/Comparator_MPW6/precheck_results/02_JUN_2022___13_34_14/outputs/reports/klayout_feol_check.xml ..
+Total elapsed: 1.450s  Memory: 1067.00M
diff --git a/precheck_results/02_JUN_2022___13_34_14/logs/klayout_feol_check.total b/precheck_results/02_JUN_2022___13_34_14/logs/klayout_feol_check.total
new file mode 100755
index 0000000..c227083
--- /dev/null
+++ b/precheck_results/02_JUN_2022___13_34_14/logs/klayout_feol_check.total
@@ -0,0 +1 @@
+0
\ No newline at end of file
diff --git a/precheck_results/02_JUN_2022___13_34_14/logs/klayout_met_min_ca_density_check.log b/precheck_results/02_JUN_2022___13_34_14/logs/klayout_met_min_ca_density_check.log
new file mode 100755
index 0000000..060eaaf
--- /dev/null
+++ b/precheck_results/02_JUN_2022___13_34_14/logs/klayout_met_min_ca_density_check.log
@@ -0,0 +1,79 @@
+"polygons" in: met_min_ca_density.lydrc:35
+    Polygons (raw): 616 (flat)  404 (hierarchical)
+    Elapsed: 0.010s  Memory: 522.00M
+"polygons" in: met_min_ca_density.lydrc:36
+    Polygons (raw): 1756 (flat)  1480 (hierarchical)
+    Elapsed: 0.010s  Memory: 522.00M
+"polygons" in: met_min_ca_density.lydrc:38
+    Polygons (raw): 369 (flat)  303 (hierarchical)
+    Elapsed: 0.000s  Memory: 522.00M
+"polygons" in: met_min_ca_density.lydrc:39
+    Polygons (raw): 2570 (flat)  2570 (hierarchical)
+    Elapsed: 0.010s  Memory: 522.00M
+"polygons" in: met_min_ca_density.lydrc:41
+    Polygons (raw): 1097 (flat)  1097 (hierarchical)
+    Elapsed: 0.000s  Memory: 522.00M
+"polygons" in: met_min_ca_density.lydrc:42
+    Polygons (raw): 1761 (flat)  1761 (hierarchical)
+    Elapsed: 0.010s  Memory: 522.00M
+"polygons" in: met_min_ca_density.lydrc:44
+    Polygons (raw): 643 (flat)  643 (hierarchical)
+    Elapsed: 0.000s  Memory: 522.00M
+"polygons" in: met_min_ca_density.lydrc:45
+    Polygons (raw): 25800 (flat)  25800 (hierarchical)
+    Elapsed: 0.020s  Memory: 523.00M
+"polygons" in: met_min_ca_density.lydrc:47
+    Polygons (raw): 179 (flat)  179 (hierarchical)
+    Elapsed: 0.010s  Memory: 523.00M
+"polygons" in: met_min_ca_density.lydrc:48
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 523.00M
+"polygons" in: met_min_ca_density.lydrc:49
+    Polygons (raw): 552 (flat)  552 (hierarchical)
+    Elapsed: 0.010s  Memory: 523.00M
+"polygons" in: met_min_ca_density.lydrc:51
+    Polygons (raw): 157 (flat)  157 (hierarchical)
+    Elapsed: 0.000s  Memory: 523.00M
+"input" in: met_min_ca_density.lydrc:53
+    Polygons (raw): 5 (flat)  4 (hierarchical)
+    Elapsed: 0.010s  Memory: 523.00M
+"area" in: met_min_ca_density.lydrc:55
+    Elapsed: 0.000s  Memory: 523.00M
+"polygons" in: met_min_ca_density.lydrc:59
+    Polygons (raw): 616 (flat)  404 (hierarchical)
+    Elapsed: 0.010s  Memory: 523.00M
+"area" in: met_min_ca_density.lydrc:59
+    Elapsed: 0.000s  Memory: 523.00M
+li1_ca_density is 0.9998144907840715
+"polygons" in: met_min_ca_density.lydrc:69
+    Polygons (raw): 369 (flat)  303 (hierarchical)
+    Elapsed: 0.010s  Memory: 523.00M
+"area" in: met_min_ca_density.lydrc:69
+    Elapsed: 0.010s  Memory: 523.00M
+m1_ca_density is 0.9997226470316392
+"polygons" in: met_min_ca_density.lydrc:79
+    Polygons (raw): 1097 (flat)  1097 (hierarchical)
+    Elapsed: 0.000s  Memory: 523.00M
+"area" in: met_min_ca_density.lydrc:79
+    Elapsed: 0.010s  Memory: 523.00M
+m2_ca_density is 0.999675358061566
+"polygons" in: met_min_ca_density.lydrc:89
+    Polygons (raw): 643 (flat)  643 (hierarchical)
+    Elapsed: 0.010s  Memory: 523.00M
+"area" in: met_min_ca_density.lydrc:89
+    Elapsed: 0.000s  Memory: 523.00M
+m3_ca_density is 0.9841049439747431
+"polygons" in: met_min_ca_density.lydrc:99
+    Polygons (raw): 179 (flat)  179 (hierarchical)
+    Elapsed: 0.010s  Memory: 523.00M
+"area" in: met_min_ca_density.lydrc:99
+    Elapsed: 0.000s  Memory: 523.00M
+m4_ca_density is 0.9788664518310243
+"polygons" in: met_min_ca_density.lydrc:109
+    Polygons (raw): 157 (flat)  157 (hierarchical)
+    Elapsed: 0.010s  Memory: 523.00M
+"area" in: met_min_ca_density.lydrc:109
+    Elapsed: 0.000s  Memory: 523.00M
+m5_ca_density is 0.9695939883250312
+Writing report database: /home/krishna/Comparator_MPW6/precheck_results/02_JUN_2022___13_34_14/outputs/reports/klayout_met_min_ca_density_check.xml ..
+Total elapsed: 0.160s  Memory: 522.00M
diff --git a/precheck_results/02_JUN_2022___13_34_14/logs/klayout_met_min_ca_density_check.total b/precheck_results/02_JUN_2022___13_34_14/logs/klayout_met_min_ca_density_check.total
new file mode 100755
index 0000000..c227083
--- /dev/null
+++ b/precheck_results/02_JUN_2022___13_34_14/logs/klayout_met_min_ca_density_check.total
@@ -0,0 +1 @@
+0
\ No newline at end of file
diff --git a/precheck_results/02_JUN_2022___13_34_14/logs/klayout_offgrid_check.log b/precheck_results/02_JUN_2022___13_34_14/logs/klayout_offgrid_check.log
new file mode 100755
index 0000000..1f365b3
--- /dev/null
+++ b/precheck_results/02_JUN_2022___13_34_14/logs/klayout_offgrid_check.log
@@ -0,0 +1,755 @@
+/home/krishna/mpw_precheckmpw6/checks/tech-files/sky130A_mr.drc:38: warning: already initialized constant DRC::DRCEngine::FEOL
+/home/krishna/mpw_precheckmpw6/checks/tech-files/sky130A_mr.drc:28: warning: previous definition of FEOL was here
+/home/krishna/mpw_precheckmpw6/checks/tech-files/sky130A_mr.drc:44: warning: already initialized constant DRC::DRCEngine::BEOL
+/home/krishna/mpw_precheckmpw6/checks/tech-files/sky130A_mr.drc:29: warning: previous definition of BEOL was here
+/home/krishna/mpw_precheckmpw6/checks/tech-files/sky130A_mr.drc:48: warning: already initialized constant DRC::DRCEngine::OFFGRID
+/home/krishna/mpw_precheckmpw6/checks/tech-files/sky130A_mr.drc:30: warning: previous definition of OFFGRID was here
+/home/krishna/mpw_precheckmpw6/checks/tech-files/sky130A_mr.drc:56: warning: already initialized constant DRC::DRCEngine::SEAL
+/home/krishna/mpw_precheckmpw6/checks/tech-files/sky130A_mr.drc:31: warning: previous definition of SEAL was here
+/home/krishna/mpw_precheckmpw6/checks/tech-files/sky130A_mr.drc:62: warning: already initialized constant DRC::DRCEngine::FLOATING_MET
+/home/krishna/mpw_precheckmpw6/checks/tech-files/sky130A_mr.drc:32: warning: previous definition of FLOATING_MET was here
+"input" in: sky130A_mr.drc:112
+    Polygons (raw): 62 (flat)  25 (hierarchical)
+    Elapsed: 0.000s  Memory: 522.00M
+"polygons" in: sky130A_mr.drc:113
+    Polygons (raw): 38 (flat)  30 (hierarchical)
+    Elapsed: 0.010s  Memory: 522.00M
+"polygons" in: sky130A_mr.drc:114
+    Polygons (raw): 89 (flat)  69 (hierarchical)
+    Elapsed: 0.000s  Memory: 522.00M
+"polygons" in: sky130A_mr.drc:115
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 522.00M
+"polygons" in: sky130A_mr.drc:116
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 522.00M
+"polygons" in: sky130A_mr.drc:117
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 522.00M
+"polygons" in: sky130A_mr.drc:118
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 522.00M
+"polygons" in: sky130A_mr.drc:119
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 522.00M
+"polygons" in: sky130A_mr.drc:120
+    Polygons (raw): 10 (flat)  2 (hierarchical)
+    Elapsed: 0.000s  Memory: 522.00M
+"polygons" in: sky130A_mr.drc:121
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 522.00M
+"polygons" in: sky130A_mr.drc:122
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 522.00M
+"polygons" in: sky130A_mr.drc:123
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 522.00M
+"polygons" in: sky130A_mr.drc:124
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 522.00M
+"polygons" in: sky130A_mr.drc:125
+    Polygons (raw): 202 (flat)  116 (hierarchical)
+    Elapsed: 0.010s  Memory: 522.00M
+"polygons" in: sky130A_mr.drc:126
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 522.00M
+"polygons" in: sky130A_mr.drc:127
+    Polygons (raw): 62 (flat)  43 (hierarchical)
+    Elapsed: 0.000s  Memory: 522.00M
+"polygons" in: sky130A_mr.drc:128
+    Polygons (raw): 52 (flat)  26 (hierarchical)
+    Elapsed: 0.000s  Memory: 522.00M
+"polygons" in: sky130A_mr.drc:129
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 522.00M
+"polygons" in: sky130A_mr.drc:130
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 522.00M
+"polygons" in: sky130A_mr.drc:131
+    Polygons (raw): 33 (flat)  24 (hierarchical)
+    Elapsed: 0.010s  Memory: 522.00M
+"polygons" in: sky130A_mr.drc:132
+    Polygons (raw): 907 (flat)  291 (hierarchical)
+    Elapsed: 0.000s  Memory: 522.00M
+"polygons" in: sky130A_mr.drc:134
+    Polygons (raw): 566 (flat)  394 (hierarchical)
+    Elapsed: 0.010s  Memory: 522.00M
+"polygons" in: sky130A_mr.drc:135
+    Polygons (raw): 1756 (flat)  1480 (hierarchical)
+    Elapsed: 0.000s  Memory: 522.00M
+"polygons" in: sky130A_mr.drc:137
+    Polygons (raw): 349 (flat)  299 (hierarchical)
+    Elapsed: 0.000s  Memory: 522.00M
+"polygons" in: sky130A_mr.drc:138
+    Polygons (raw): 2570 (flat)  2570 (hierarchical)
+    Elapsed: 0.010s  Memory: 522.00M
+"polygons" in: sky130A_mr.drc:140
+    Polygons (raw): 603 (flat)  603 (hierarchical)
+    Elapsed: 0.000s  Memory: 522.00M
+"polygons" in: sky130A_mr.drc:141
+    Polygons (raw): 1761 (flat)  1761 (hierarchical)
+    Elapsed: 0.010s  Memory: 522.00M
+"polygons" in: sky130A_mr.drc:143
+    Polygons (raw): 459 (flat)  459 (hierarchical)
+    Elapsed: 0.000s  Memory: 522.00M
+"polygons" in: sky130A_mr.drc:144
+    Polygons (raw): 25800 (flat)  25800 (hierarchical)
+    Elapsed: 0.020s  Memory: 523.00M
+"polygons" in: sky130A_mr.drc:146
+    Polygons (raw): 175 (flat)  175 (hierarchical)
+    Elapsed: 0.010s  Memory: 523.00M
+"polygons" in: sky130A_mr.drc:147
+    Polygons (raw): 552 (flat)  552 (hierarchical)
+    Elapsed: 0.000s  Memory: 523.00M
+"polygons" in: sky130A_mr.drc:149
+    Polygons (raw): 151 (flat)  151 (hierarchical)
+    Elapsed: 0.010s  Memory: 523.00M
+"polygons" in: sky130A_mr.drc:151
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 523.00M
+"polygons" in: sky130A_mr.drc:152
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 523.00M
+"polygons" in: sky130A_mr.drc:153
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 523.00M
+"polygons" in: sky130A_mr.drc:154
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 523.00M
+"polygons" in: sky130A_mr.drc:155
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 523.00M
+"polygons" in: sky130A_mr.drc:156
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 523.00M
+"polygons" in: sky130A_mr.drc:157
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 523.00M
+"polygons" in: sky130A_mr.drc:158
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 523.00M
+"polygons" in: sky130A_mr.drc:159
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 523.00M
+"polygons" in: sky130A_mr.drc:160
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 523.00M
+"polygons" in: sky130A_mr.drc:161
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 523.00M
+"polygons" in: sky130A_mr.drc:162
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 523.00M
+"polygons" in: sky130A_mr.drc:163
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 523.00M
+"polygons" in: sky130A_mr.drc:164
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 523.00M
+"polygons" in: sky130A_mr.drc:165
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 523.00M
+"polygons" in: sky130A_mr.drc:166
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 523.00M
+"polygons" in: sky130A_mr.drc:167
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 523.00M
+"polygons" in: sky130A_mr.drc:168
+    Polygons (raw): 10 (flat)  2 (hierarchical)
+    Elapsed: 0.000s  Memory: 523.00M
+"polygons" in: sky130A_mr.drc:169
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 523.00M
+"polygons" in: sky130A_mr.drc:170
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 523.00M
+"polygons" in: sky130A_mr.drc:171
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 523.00M
+"polygons" in: sky130A_mr.drc:172
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 523.00M
+"polygons" in: sky130A_mr.drc:173
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 523.00M
+"polygons" in: sky130A_mr.drc:174
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 523.00M
+"polygons" in: sky130A_mr.drc:175
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 523.00M
+"polygons" in: sky130A_mr.drc:176
+    Polygons (raw): 1 (flat)  1 (hierarchical)
+    Elapsed: 0.000s  Memory: 523.00M
+"polygons" in: sky130A_mr.drc:177
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 523.00M
+"polygons" in: sky130A_mr.drc:178
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 523.00M
+"polygons" in: sky130A_mr.drc:179
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 523.00M
+"polygons" in: sky130A_mr.drc:180
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 523.00M
+"polygons" in: sky130A_mr.drc:181
+    Polygons (raw): 4 (flat)  3 (hierarchical)
+    Elapsed: 0.010s  Memory: 523.00M
+"polygons" in: sky130A_mr.drc:182
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 523.00M
+"polygons" in: sky130A_mr.drc:183
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 523.00M
+"polygons" in: sky130A_mr.drc:184
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 523.00M
+"polygons" in: sky130A_mr.drc:185
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 523.00M
+"polygons" in: sky130A_mr.drc:186
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 523.00M
+"polygons" in: sky130A_mr.drc:187
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 523.00M
+"polygons" in: sky130A_mr.drc:188
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 523.00M
+"polygons" in: sky130A_mr.drc:189
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 523.00M
+"polygons" in: sky130A_mr.drc:190
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 523.00M
+"polygons" in: sky130A_mr.drc:191
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 523.00M
+"polygons" in: sky130A_mr.drc:192
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 523.00M
+"polygons" in: sky130A_mr.drc:193
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 523.00M
+"polygons" in: sky130A_mr.drc:194
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 523.00M
+"polygons" in: sky130A_mr.drc:195
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 523.00M
+"polygons" in: sky130A_mr.drc:196
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 523.00M
+"polygons" in: sky130A_mr.drc:197
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 523.00M
+"polygons" in: sky130A_mr.drc:198
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 523.00M
+"polygons" in: sky130A_mr.drc:199
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 523.00M
+"polygons" in: sky130A_mr.drc:200
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 523.00M
+"polygons" in: sky130A_mr.drc:201
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 523.00M
+"polygons" in: sky130A_mr.drc:202
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 523.00M
+"polygons" in: sky130A_mr.drc:203
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 523.00M
+DRC section
+OFFGRID-ANGLES section
+"ongrid" in: sky130A_mr.drc:690
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 523.00M
+"output" in: sky130A_mr.drc:690
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 523.00M
+"with_angle" in: sky130A_mr.drc:691
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 523.00M
+"output" in: sky130A_mr.drc:691
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 523.00M
+"ongrid" in: sky130A_mr.drc:692
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 523.00M
+"output" in: sky130A_mr.drc:692
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 523.00M
+"with_angle" in: sky130A_mr.drc:693
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 523.00M
+"output" in: sky130A_mr.drc:693
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 523.00M
+"ongrid" in: sky130A_mr.drc:694
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 523.00M
+"output" in: sky130A_mr.drc:694
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 523.00M
+"with_angle" in: sky130A_mr.drc:695
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 523.00M
+"output" in: sky130A_mr.drc:695
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 523.00M
+"ongrid" in: sky130A_mr.drc:696
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 523.00M
+"output" in: sky130A_mr.drc:696
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 523.00M
+"with_angle" in: sky130A_mr.drc:697
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 523.00M
+"output" in: sky130A_mr.drc:697
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 523.00M
+"ongrid" in: sky130A_mr.drc:698
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 523.00M
+"output" in: sky130A_mr.drc:698
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 523.00M
+"with_angle" in: sky130A_mr.drc:699
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 523.00M
+"output" in: sky130A_mr.drc:699
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 523.00M
+"ongrid" in: sky130A_mr.drc:700
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 523.00M
+"output" in: sky130A_mr.drc:700
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 523.00M
+"with_angle" in: sky130A_mr.drc:701
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 523.00M
+"output" in: sky130A_mr.drc:701
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 523.00M
+"ongrid" in: sky130A_mr.drc:702
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 523.00M
+"output" in: sky130A_mr.drc:702
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 523.00M
+"with_angle" in: sky130A_mr.drc:703
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 523.00M
+"output" in: sky130A_mr.drc:703
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 523.00M
+"ongrid" in: sky130A_mr.drc:704
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 523.00M
+"output" in: sky130A_mr.drc:704
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 523.00M
+"with_angle" in: sky130A_mr.drc:705
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 523.00M
+"output" in: sky130A_mr.drc:705
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 523.00M
+"ongrid" in: sky130A_mr.drc:706
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 523.00M
+"output" in: sky130A_mr.drc:706
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 523.00M
+"ongrid" in: sky130A_mr.drc:707
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 523.00M
+"output" in: sky130A_mr.drc:707
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 523.00M
+"and" in: sky130A_mr.drc:708
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 523.00M
+"not" in: sky130A_mr.drc:708
+    Polygons (raw): 62 (flat)  25 (hierarchical)
+    Elapsed: 0.010s  Memory: 523.00M
+"with_angle" in: sky130A_mr.drc:708
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 523.00M
+"output" in: sky130A_mr.drc:708
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 523.00M
+"and" in: sky130A_mr.drc:709
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 523.00M
+"and" in: sky130A_mr.drc:709
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 523.00M
+"with_angle" in: sky130A_mr.drc:709
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 523.00M
+"output" in: sky130A_mr.drc:709
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 523.00M
+"and" in: sky130A_mr.drc:710
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 523.00M
+"not" in: sky130A_mr.drc:710
+    Polygons (raw): 38 (flat)  30 (hierarchical)
+    Elapsed: 0.010s  Memory: 523.00M
+"with_angle" in: sky130A_mr.drc:710
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 523.00M
+"output" in: sky130A_mr.drc:710
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 523.00M
+"and" in: sky130A_mr.drc:711
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 523.00M
+"and" in: sky130A_mr.drc:711
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 523.00M
+"with_angle" in: sky130A_mr.drc:711
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 523.00M
+"output" in: sky130A_mr.drc:711
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 523.00M
+"ongrid" in: sky130A_mr.drc:712
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 523.00M
+"output" in: sky130A_mr.drc:712
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 523.00M
+"with_angle" in: sky130A_mr.drc:713
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 523.00M
+"output" in: sky130A_mr.drc:713
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 523.00M
+"ongrid" in: sky130A_mr.drc:714
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 523.00M
+"output" in: sky130A_mr.drc:714
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 523.00M
+"with_angle" in: sky130A_mr.drc:715
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 523.00M
+"output" in: sky130A_mr.drc:715
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 523.00M
+"ongrid" in: sky130A_mr.drc:716
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 523.00M
+"output" in: sky130A_mr.drc:716
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 523.00M
+"with_angle" in: sky130A_mr.drc:717
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 523.00M
+"output" in: sky130A_mr.drc:717
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 523.00M
+"ongrid" in: sky130A_mr.drc:718
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 523.00M
+"output" in: sky130A_mr.drc:718
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 523.00M
+"with_angle" in: sky130A_mr.drc:719
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 523.00M
+"output" in: sky130A_mr.drc:719
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 523.00M
+"ongrid" in: sky130A_mr.drc:720
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 523.00M
+"output" in: sky130A_mr.drc:720
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 523.00M
+"with_angle" in: sky130A_mr.drc:721
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 523.00M
+"output" in: sky130A_mr.drc:721
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 523.00M
+"ongrid" in: sky130A_mr.drc:722
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 523.00M
+"output" in: sky130A_mr.drc:722
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 523.00M
+"with_angle" in: sky130A_mr.drc:723
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 523.00M
+"output" in: sky130A_mr.drc:723
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 523.00M
+"ongrid" in: sky130A_mr.drc:724
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 523.00M
+"output" in: sky130A_mr.drc:724
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 523.00M
+"with_angle" in: sky130A_mr.drc:725
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 523.00M
+"output" in: sky130A_mr.drc:725
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 523.00M
+"ongrid" in: sky130A_mr.drc:726
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 523.00M
+"output" in: sky130A_mr.drc:726
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 523.00M
+"with_angle" in: sky130A_mr.drc:727
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 523.00M
+"output" in: sky130A_mr.drc:727
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 523.00M
+"ongrid" in: sky130A_mr.drc:728
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 523.00M
+"output" in: sky130A_mr.drc:728
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 523.00M
+"with_angle" in: sky130A_mr.drc:729
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 523.00M
+"output" in: sky130A_mr.drc:729
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 523.00M
+"ongrid" in: sky130A_mr.drc:730
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 523.00M
+"output" in: sky130A_mr.drc:730
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 523.00M
+"with_angle" in: sky130A_mr.drc:731
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 523.00M
+"output" in: sky130A_mr.drc:731
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 523.00M
+"ongrid" in: sky130A_mr.drc:732
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 523.00M
+"output" in: sky130A_mr.drc:732
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 523.00M
+"with_angle" in: sky130A_mr.drc:733
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 523.00M
+"output" in: sky130A_mr.drc:733
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 523.00M
+"ongrid" in: sky130A_mr.drc:734
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.020s  Memory: 524.00M
+"output" in: sky130A_mr.drc:734
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 524.00M
+"with_angle" in: sky130A_mr.drc:735
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 524.00M
+"output" in: sky130A_mr.drc:735
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 524.00M
+"ongrid" in: sky130A_mr.drc:736
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 524.00M
+"output" in: sky130A_mr.drc:736
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 524.00M
+"with_angle" in: sky130A_mr.drc:737
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 524.00M
+"output" in: sky130A_mr.drc:737
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 524.00M
+"ongrid" in: sky130A_mr.drc:738
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 524.00M
+"output" in: sky130A_mr.drc:738
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 524.00M
+"with_angle" in: sky130A_mr.drc:739
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 524.00M
+"output" in: sky130A_mr.drc:739
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 524.00M
+"ongrid" in: sky130A_mr.drc:740
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 524.00M
+"output" in: sky130A_mr.drc:740
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 524.00M
+"with_angle" in: sky130A_mr.drc:741
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 524.00M
+"output" in: sky130A_mr.drc:741
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 524.00M
+"ongrid" in: sky130A_mr.drc:742
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.130s  Memory: 530.00M
+"output" in: sky130A_mr.drc:742
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 530.00M
+"with_angle" in: sky130A_mr.drc:743
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.030s  Memory: 530.00M
+"output" in: sky130A_mr.drc:743
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 530.00M
+"ongrid" in: sky130A_mr.drc:744
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 530.00M
+"output" in: sky130A_mr.drc:744
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 530.00M
+"with_angle" in: sky130A_mr.drc:745
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 530.00M
+"output" in: sky130A_mr.drc:745
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 530.00M
+"ongrid" in: sky130A_mr.drc:746
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 530.00M
+"output" in: sky130A_mr.drc:746
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 530.00M
+"with_angle" in: sky130A_mr.drc:747
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 530.00M
+"output" in: sky130A_mr.drc:747
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 530.00M
+"ongrid" in: sky130A_mr.drc:748
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 530.00M
+"output" in: sky130A_mr.drc:748
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 530.00M
+"with_angle" in: sky130A_mr.drc:749
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 530.00M
+"output" in: sky130A_mr.drc:749
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 530.00M
+"ongrid" in: sky130A_mr.drc:750
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 530.00M
+"output" in: sky130A_mr.drc:750
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 530.00M
+"with_angle" in: sky130A_mr.drc:751
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 530.00M
+"output" in: sky130A_mr.drc:751
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 530.00M
+"ongrid" in: sky130A_mr.drc:752
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 530.00M
+"output" in: sky130A_mr.drc:752
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 530.00M
+"with_angle" in: sky130A_mr.drc:753
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 530.00M
+"output" in: sky130A_mr.drc:753
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 530.00M
+"ongrid" in: sky130A_mr.drc:754
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 530.00M
+"output" in: sky130A_mr.drc:754
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 530.00M
+"with_angle" in: sky130A_mr.drc:755
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 530.00M
+"output" in: sky130A_mr.drc:755
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 530.00M
+"ongrid" in: sky130A_mr.drc:756
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 530.00M
+"output" in: sky130A_mr.drc:756
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 530.00M
+"with_angle" in: sky130A_mr.drc:757
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 530.00M
+"output" in: sky130A_mr.drc:757
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 530.00M
+"ongrid" in: sky130A_mr.drc:758
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 530.00M
+"output" in: sky130A_mr.drc:758
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 530.00M
+"with_angle" in: sky130A_mr.drc:759
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 530.00M
+"output" in: sky130A_mr.drc:759
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 530.00M
+"ongrid" in: sky130A_mr.drc:760
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 530.00M
+"output" in: sky130A_mr.drc:760
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 530.00M
+"with_angle" in: sky130A_mr.drc:761
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 530.00M
+"output" in: sky130A_mr.drc:761
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 530.00M
+"ongrid" in: sky130A_mr.drc:762
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 530.00M
+"output" in: sky130A_mr.drc:762
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 530.00M
+"with_angle" in: sky130A_mr.drc:763
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 530.00M
+"output" in: sky130A_mr.drc:763
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 530.00M
+"ongrid" in: sky130A_mr.drc:764
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 530.00M
+"output" in: sky130A_mr.drc:764
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 530.00M
+"with_angle" in: sky130A_mr.drc:765
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 530.00M
+"output" in: sky130A_mr.drc:765
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 530.00M
+"ongrid" in: sky130A_mr.drc:766
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 530.00M
+"output" in: sky130A_mr.drc:766
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 530.00M
+Writing report database: /home/krishna/Comparator_MPW6/precheck_results/02_JUN_2022___13_34_14/outputs/reports/klayout_offgrid_check.xml ..
+Total elapsed: 1.440s  Memory: 530.00M
diff --git a/precheck_results/02_JUN_2022___13_34_14/logs/klayout_offgrid_check.total b/precheck_results/02_JUN_2022___13_34_14/logs/klayout_offgrid_check.total
new file mode 100755
index 0000000..c227083
--- /dev/null
+++ b/precheck_results/02_JUN_2022___13_34_14/logs/klayout_offgrid_check.total
@@ -0,0 +1 @@
+0
\ No newline at end of file
diff --git a/precheck_results/02_JUN_2022___13_34_14/logs/klayout_pin_label_purposes_overlapping_drawing_check.log b/precheck_results/02_JUN_2022___13_34_14/logs/klayout_pin_label_purposes_overlapping_drawing_check.log
new file mode 100755
index 0000000..1bcc3f1
--- /dev/null
+++ b/precheck_results/02_JUN_2022___13_34_14/logs/klayout_pin_label_purposes_overlapping_drawing_check.log
@@ -0,0 +1,31 @@
+Running pin_label_purposes_overlapping_drawing.rb.drc on file=/home/krishna/Comparator_MPW6/gds/user_analog_project_wrapper.gds, topcell=user_analog_project_wrapper, output to /home/krishna/Comparator_MPW6/precheck_results/02_JUN_2022___13_34_14/outputs/reports/klayout_pin_label_purposes_overlapping_drawing_check.xml
+  deep:true tiled:false threads:12
+--- #err|description, table for cell: user_analog_project_wrapper
+NO-Check ----        pwell:64/44/EMP   122/16/dat    64/59/EMP    44/16/EMP     44/5/EMP
+         ----        nwell:64/20/dat    64/16/dat     64/5/EMP
+         ----         diff:65/20/dat    65/16/EMP     65/6/EMP
+         ----          tap:65/44/dat    65/48/EMP     65/5/EMP
+         ----         poly:66/20/dat    66/16/EMP     66/5/EMP
+         ----       licon1:66/44/dat    66/58/EMP
+         ----          li1:67/20/dat    67/16/dat     67/5/EMP
+         ----         mcon:67/44/dat    67/48/EMP
+         ----         met1:68/20/dat    68/16/dat     68/5/EMP
+         ----          via:68/44/dat    68/58/EMP
+         ----         met2:69/20/dat    69/16/dat     69/5/EMP
+         ----         via2:69/44/dat    69/58/EMP
+       1 70/16: met3, pin/label not-over drawing:70/20
+         ----         met3:70/20/dat    70/16/dat     70/5/EMP
+         ----         via3:70/44/dat    70/48/EMP
+         ----         met4:71/20/dat    71/16/dat     71/5/EMP
+         ----         via4:71/44/dat    71/48/EMP
+       2 72/16: met5, pin/label not-over drawing:72/20
+         ----         met5:72/20/dat    72/16/dat     72/5/EMP
+         ----          pad:76/20/EMP     76/5/EMP    76/16/EMP
+         ----          pnp:82/44/EMP    82/59/EMP
+         ----          npn:82/20/EMP     82/5/EMP
+         ----          rdl:74/20/EMP    74/16/EMP     74/5/EMP
+         ----     inductor:82/24/EMP    82/25/EMP
+       3 total error(s) among 2 error type(s), 33 checks, cell: user_analog_project_wrapper
+Writing report...
+VmPeak:	 2305224 kB
+VmHWM:	  258304 kB
diff --git a/precheck_results/02_JUN_2022___13_34_14/logs/klayout_pin_label_purposes_overlapping_drawing_check.total b/precheck_results/02_JUN_2022___13_34_14/logs/klayout_pin_label_purposes_overlapping_drawing_check.total
new file mode 100755
index 0000000..e440e5c
--- /dev/null
+++ b/precheck_results/02_JUN_2022___13_34_14/logs/klayout_pin_label_purposes_overlapping_drawing_check.total
@@ -0,0 +1 @@
+3
\ No newline at end of file
diff --git a/precheck_results/02_JUN_2022___13_34_14/logs/klayout_zeroarea_check.log b/precheck_results/02_JUN_2022___13_34_14/logs/klayout_zeroarea_check.log
new file mode 100755
index 0000000..9c2b330
--- /dev/null
+++ b/precheck_results/02_JUN_2022___13_34_14/logs/klayout_zeroarea_check.log
@@ -0,0 +1,4 @@
+0 zero-area shapes
+writing to /home/krishna/Comparator_MPW6/precheck_results/02_JUN_2022___13_34_14/outputs/user_analog_project_wrapper_no_zero_areas.gds
+VmPeak:	  542072 kB
+VmHWM:	  261188 kB
diff --git a/precheck_results/02_JUN_2022___13_34_14/logs/klayout_zeroarea_check.total b/precheck_results/02_JUN_2022___13_34_14/logs/klayout_zeroarea_check.total
new file mode 100755
index 0000000..c227083
--- /dev/null
+++ b/precheck_results/02_JUN_2022___13_34_14/logs/klayout_zeroarea_check.total
@@ -0,0 +1 @@
+0
\ No newline at end of file
diff --git a/precheck_results/02_JUN_2022___13_34_14/logs/magic_drc_check.log b/precheck_results/02_JUN_2022___13_34_14/logs/magic_drc_check.log
new file mode 100755
index 0000000..3e34497
--- /dev/null
+++ b/precheck_results/02_JUN_2022___13_34_14/logs/magic_drc_check.log
@@ -0,0 +1,30 @@
+
+Magic 8.3 revision 274 - Compiled on Fri Mar  4 22:54:15 UTC 2022.
+Starting magic under Tcl interpreter
+Using the terminal as the console.
+Using NULL graphics device.
+Processing system .magicrc file
+Sourcing design .magicrc for technology sky130A ...
+2 Magic internal units = 1 Lambda
+Could not find file '/usr/local/share/pdk/sky130A/sky130A/libs.tech/magic/sky130A.tech' in any of these directories:
+         . $CAD_ROOT/magic/sys $CAD_ROOT/magic/sys/current
+Error parsing "/home/krishna/mpw_precheckmpw6/checks/tech-files/sky130A.magicrc": couldn't read file "/usr/local/share/pdk/sky130A/sky130A/libs.tech/magic/sky130A.tcl": no such file or directory
+Bad local startup file "/home/krishna/mpw_precheckmpw6/checks/tech-files/sky130A.magicrc", continuing without.
+Scaled tech values by 2 / 1 to match internal grid scaling
+Loading "/home/krishna/mpw_precheckmpw6/checks/drc_checks/magic/magic_drc_check.tcl" from command line.
+Don't know how to read GDS-II:
+Nothing in "cifinput" section of tech file.
+[INFO]: Loading user_analog_project_wrapper
+
+File user_analog_project_wrapper.mag couldn't be read
+No such file or directory
+Creating new cell
+"drc(full)" is not one of the DRC styles Magic knows.
+The current style is "default".
+The DRC styles are: default.
+No errors found.
+[INFO]: COUNT: 0
+[INFO]: Should be divided by 3 or 4
+[INFO]: DRC Checking DONE (/home/krishna/Comparator_MPW6/precheck_results/02_JUN_2022___13_34_14/outputs/reports/magic_drc_check.drc.report)
+[INFO]: Saving mag view with DRC errors(/home/krishna/Comparator_MPW6/precheck_results/02_JUN_2022___13_34_14/outputs/user_analog_project_wrapper.magic.drc.mag)
+[INFO]: Saved
diff --git a/precheck_results/02_JUN_2022___13_34_14/logs/magic_drc_check.total b/precheck_results/02_JUN_2022___13_34_14/logs/magic_drc_check.total
new file mode 100755
index 0000000..c227083
--- /dev/null
+++ b/precheck_results/02_JUN_2022___13_34_14/logs/magic_drc_check.total
@@ -0,0 +1 @@
+0
\ No newline at end of file
diff --git a/precheck_results/02_JUN_2022___13_34_14/logs/pdks.info b/precheck_results/02_JUN_2022___13_34_14/logs/pdks.info
new file mode 100755
index 0000000..3072015
--- /dev/null
+++ b/precheck_results/02_JUN_2022___13_34_14/logs/pdks.info
@@ -0,0 +1,2 @@
+Open PDKs a3d6ffea8022332b859daa454cef7ee7131c5181
+Skywater PDK f70d8ca46961ff92719d8870a18a076370b85f6c
\ No newline at end of file
diff --git a/precheck_results/02_JUN_2022___13_34_14/logs/precheck.log b/precheck_results/02_JUN_2022___13_34_14/logs/precheck.log
new file mode 100755
index 0000000..66f0b0e
--- /dev/null
+++ b/precheck_results/02_JUN_2022___13_34_14/logs/precheck.log
@@ -0,0 +1,60 @@
+2022-06-02 13:34:14 - [INFO] - {{EXTRACTING FILES}} Extracting compressed files in: /home/krishna/Comparator_MPW6
+2022-06-02 13:34:15 - [INFO] - {{Project Type Info}} analog
+2022-06-02 13:34:15 - [INFO] - {{Project GDS Info}} user_analog_project_wrapper: c5a92e25aa2d12a2530e27a44c6a4f6ec7751bb6
+2022-06-02 13:34:16 - [INFO] - {{Tools Info}} KLayout: v0.27.8 | Magic: v8.3.274
+2022-06-02 13:34:16 - [INFO] - {{PDKs Info}} Open PDKs: a3d6ffea8022332b859daa454cef7ee7131c5181 | Skywater PDK: f70d8ca46961ff92719d8870a18a076370b85f6c
+2022-06-02 13:34:16 - [INFO] - {{START}} Precheck Started, the full log 'precheck.log' will be located in '/home/krishna/Comparator_MPW6/precheck_results/02_JUN_2022___13_34_14/logs'
+2022-06-02 13:34:16 - [INFO] - {{PRECHECK SEQUENCE}} Precheck will run the following checks: [License, Makefile, Default, Documentation, Consistency, XOR, Magic DRC, Klayout FEOL, Klayout BEOL, Klayout Offgrid, Klayout Metal Minimum Clear Area Density, Klayout Pin Label Purposes Overlapping Drawing, Klayout ZeroArea]
+2022-06-02 13:34:16 - [INFO] - {{STEP UPDATE}} Executing Check 1 of 13: License
+2022-06-02 13:34:17 - [INFO] - An approved LICENSE (Apache-2.0) was found in /home/krishna/Comparator_MPW6.
+2022-06-02 13:34:17 - [INFO] - {{MAIN LICENSE CHECK PASSED}} An approved LICENSE was found in project root.
+2022-06-02 13:34:18 - [INFO] - An approved LICENSE (Apache-2.0) was found in /home/krishna/Comparator_MPW6.
+2022-06-02 13:34:18 - [INFO] - {{SUBMODULES LICENSE CHECK PASSED}} No prohibited LICENSE file(s) was found in project submodules
+2022-06-02 13:34:19 - [WARNING] - {{SPDX COMPLIANCE CHECK FAILED}} Found 177 non-compliant file(s) with the SPDX Standard.
+2022-06-02 13:34:19 - [INFO] - SPDX COMPLIANCE: NON-COMPLIANT FILE(S) PREVIEW: ['/home/krishna/Comparator_MPW6/Makefile', '/home/krishna/Comparator_MPW6/.spiceinit', '/home/krishna/Comparator_MPW6/verilog/dv/Makefile', '/home/krishna/Comparator_MPW6/verilog/dv/mprj_por/mprj_por.c', '/home/krishna/Comparator_MPW6/verilog/dv/mprj_por/mprj_por_tb.v', '/home/krishna/Comparator_MPW6/verilog/dv/mprj_por/Makefile', '/home/krishna/Comparator_MPW6/verilog/rtl/uprj_analog_netlists.v', '/home/krishna/Comparator_MPW6/verilog/rtl/example_por.v', '/home/krishna/Comparator_MPW6/verilog/rtl/user_analog_proj_example.v', '/home/krishna/Comparator_MPW6/verilog/rtl/user_analog_project_wrapper.v', '/home/krishna/Comparator_MPW6/verilog/rtl/comparator.v', '/home/krishna/Comparator_MPW6/precheck_results/01_JUN_2022___10_26_55/outputs/reports/magic_drc_check.drc.report', '/home/krishna/Comparator_MPW6/precheck_results/01_JUN_2022___10_26_55/logs/klayout_zeroarea_check.total', '/home/krishna/Comparator_MPW6/precheck_results/01_JUN_2022___10_26_55/logs/tools.info', '/home/krishna/Comparator_MPW6/precheck_results/01_JUN_2022___10_26_55/logs/klayout_beol_check.total']
+2022-06-02 13:34:19 - [INFO] - For the full SPDX compliance report check: /home/krishna/Comparator_MPW6/precheck_results/02_JUN_2022___13_34_14/logs/spdx_compliance_report.log
+2022-06-02 13:34:19 - [INFO] - {{STEP UPDATE}} Executing Check 2 of 13: Makefile
+2022-06-02 13:34:19 - [INFO] - {{MAKEFILE CHECK PASSED}} Makefile valid.
+2022-06-02 13:34:19 - [INFO] - {{STEP UPDATE}} Executing Check 3 of 13: Default
+2022-06-02 13:34:19 - [INFO] - {{README DEFAULT CHECK PASSED}} Project 'README.md' was modified and is not identical to the default 'README.md'
+2022-06-02 13:34:19 - [INFO] - {{CONTENT DEFAULT CHECK PASSED}} Project 'gds' was modified and is not identical to the default 'gds'
+2022-06-02 13:34:19 - [INFO] - {{STEP UPDATE}} Executing Check 4 of 13: Documentation
+2022-06-02 13:34:19 - [INFO] - {{DOCUMENTATION CHECK PASSED}} Project documentation is appropriate.
+2022-06-02 13:34:19 - [INFO] - {{STEP UPDATE}} Executing Check 5 of 13: Consistency
+2022-06-02 13:34:21 - [INFO] - HIERARCHY CHECK PASSED: Module user_analog_project_wrapper is instantiated in caravan. 
+2022-06-02 13:34:21 - [INFO] - COMPLEXITY CHECK PASSED: Netlist caravan contains at least 8 instances (68 instances). 
+2022-06-02 13:34:21 - [INFO] - MODELING CHECK PASSED: Netlist caravan is structural.
+2022-06-02 13:34:21 - [INFO] - SUBMODULE HOOKS CHECK PASSED: All module ports for user_analog_project_wrapper are correctly connected in the top level netlist caravan.
+2022-06-02 13:34:21 - [INFO] - {{NETLIST CONSISTENCY CHECK PASSED}} caravan netlist passed all consistency checks.
+2022-06-02 13:34:21 - [INFO] - PORTS CHECK PASSED: Netlist user_analog_project_wrapper ports match the golden wrapper ports
+2022-06-02 13:34:21 - [INFO] - COMPLEXITY CHECK PASSED: Netlist user_analog_project_wrapper contains at least 1 instances (19 instances). 
+2022-06-02 13:34:21 - [INFO] - MODELING CHECK PASSED: Netlist user_analog_project_wrapper is structural.
+2022-06-02 13:34:21 - [INFO] - LAYOUT CHECK PASSED: The GDS layout for user_analog_project_wrapper matches the provided structural netlist.
+2022-06-02 13:34:21 - [INFO] - {{NETLIST CONSISTENCY CHECK PASSED}} user_analog_project_wrapper netlist passed all consistency checks.
+2022-06-02 13:34:21 - [INFO] - {{CONSISTENCY CHECK PASSED}} The user netlist and the top netlist are valid.
+2022-06-02 13:34:21 - [INFO] - {{STEP UPDATE}} Executing Check 6 of 13: XOR
+2022-06-02 13:34:23 - [ERROR] - XOR CHECK FILE NOT FOUND in /home/krishna/Comparator_MPW6/precheck_results/02_JUN_2022___13_34_14/logs/xor_check.total
+2022-06-02 13:34:23 - [WARNING] - {{XOR CHECK FAILED}} The GDS file has non-conforming geometries.
+2022-06-02 13:34:23 - [INFO] - {{STEP UPDATE}} Executing Check 7 of 13: Magic DRC
+2022-06-02 13:34:23 - [INFO] - 0 DRC violations
+2022-06-02 13:34:23 - [INFO] - {{MAGIC DRC CHECK PASSED}} The GDS file, user_analog_project_wrapper.gds, has no DRC violations.
+2022-06-02 13:34:23 - [INFO] - {{STEP UPDATE}} Executing Check 8 of 13: Klayout FEOL
+2022-06-02 13:34:26 - [INFO] - No DRC Violations found
+2022-06-02 13:34:26 - [INFO] - {{Klayout FEOL CHECK PASSED}} The GDS file, user_analog_project_wrapper.gds, has no DRC violations.
+2022-06-02 13:34:26 - [INFO] - {{STEP UPDATE}} Executing Check 9 of 13: Klayout BEOL
+2022-06-02 13:34:30 - [INFO] - No DRC Violations found
+2022-06-02 13:34:30 - [INFO] - {{Klayout BEOL CHECK PASSED}} The GDS file, user_analog_project_wrapper.gds, has no DRC violations.
+2022-06-02 13:34:30 - [INFO] - {{STEP UPDATE}} Executing Check 10 of 13: Klayout Offgrid
+2022-06-02 13:34:32 - [INFO] - No DRC Violations found
+2022-06-02 13:34:32 - [INFO] - {{Klayout Offgrid CHECK PASSED}} The GDS file, user_analog_project_wrapper.gds, has no DRC violations.
+2022-06-02 13:34:32 - [INFO] - {{STEP UPDATE}} Executing Check 11 of 13: Klayout Metal Minimum Clear Area Density
+2022-06-02 13:34:33 - [INFO] - No DRC Violations found
+2022-06-02 13:34:33 - [INFO] - {{Klayout Metal Minimum Clear Area Density CHECK PASSED}} The GDS file, user_analog_project_wrapper.gds, has no DRC violations.
+2022-06-02 13:34:33 - [INFO] - {{STEP UPDATE}} Executing Check 12 of 13: Klayout Pin Label Purposes Overlapping Drawing
+2022-06-02 13:34:35 - [ERROR] - Total # of DRC violations is 3 Please check /home/krishna/Comparator_MPW6/precheck_results/02_JUN_2022___13_34_14/outputs/reports/klayout_pin_label_purposes_overlapping_drawing_check.xml For more details
+2022-06-02 13:34:35 - [WARNING] - {{Klayout Pin Label Purposes Overlapping Drawing CHECK FAILED}} The GDS file, user_analog_project_wrapper.gds, has DRC violations.
+2022-06-02 13:34:35 - [INFO] - {{STEP UPDATE}} Executing Check 13 of 13: Klayout ZeroArea
+2022-06-02 13:34:35 - [INFO] - No DRC Violations found
+2022-06-02 13:34:35 - [INFO] - {{Klayout ZeroArea CHECK PASSED}} The GDS file, user_analog_project_wrapper.gds, has no DRC violations.
+2022-06-02 13:34:35 - [INFO] - {{FINISH}} Executing Finished, the full log 'precheck.log' can be found in '/home/krishna/Comparator_MPW6/precheck_results/02_JUN_2022___13_34_14/logs'
+2022-06-02 13:34:35 - [CRITICAL] - {{FAILURE}} 2 Check(s) Failed: ['XOR', 'Klayout Pin Label Purposes Overlapping Drawing'] !!!
diff --git a/precheck_results/02_JUN_2022___13_34_14/logs/spdx_compliance_report.log b/precheck_results/02_JUN_2022___13_34_14/logs/spdx_compliance_report.log
new file mode 100755
index 0000000..cb028f5
--- /dev/null
+++ b/precheck_results/02_JUN_2022___13_34_14/logs/spdx_compliance_report.log
@@ -0,0 +1,177 @@
+/home/krishna/Comparator_MPW6/Makefile
+/home/krishna/Comparator_MPW6/.spiceinit
+/home/krishna/Comparator_MPW6/verilog/dv/Makefile
+/home/krishna/Comparator_MPW6/verilog/dv/mprj_por/mprj_por.c
+/home/krishna/Comparator_MPW6/verilog/dv/mprj_por/mprj_por_tb.v
+/home/krishna/Comparator_MPW6/verilog/dv/mprj_por/Makefile
+/home/krishna/Comparator_MPW6/verilog/rtl/uprj_analog_netlists.v
+/home/krishna/Comparator_MPW6/verilog/rtl/example_por.v
+/home/krishna/Comparator_MPW6/verilog/rtl/user_analog_proj_example.v
+/home/krishna/Comparator_MPW6/verilog/rtl/user_analog_project_wrapper.v
+/home/krishna/Comparator_MPW6/verilog/rtl/comparator.v
+/home/krishna/Comparator_MPW6/precheck_results/01_JUN_2022___10_26_55/outputs/reports/magic_drc_check.drc.report
+/home/krishna/Comparator_MPW6/precheck_results/01_JUN_2022___10_26_55/logs/klayout_zeroarea_check.total
+/home/krishna/Comparator_MPW6/precheck_results/01_JUN_2022___10_26_55/logs/tools.info
+/home/krishna/Comparator_MPW6/precheck_results/01_JUN_2022___10_26_55/logs/klayout_beol_check.total
+/home/krishna/Comparator_MPW6/precheck_results/01_JUN_2022___10_26_55/logs/klayout_pin_label_purposes_overlapping_drawing_check.total
+/home/krishna/Comparator_MPW6/precheck_results/01_JUN_2022___10_26_55/logs/klayout_feol_check.total
+/home/krishna/Comparator_MPW6/precheck_results/01_JUN_2022___10_26_55/logs/gds.info
+/home/krishna/Comparator_MPW6/precheck_results/01_JUN_2022___10_26_55/logs/pdks.info
+/home/krishna/Comparator_MPW6/precheck_results/01_JUN_2022___10_26_55/logs/magic_drc_check.total
+/home/krishna/Comparator_MPW6/precheck_results/01_JUN_2022___10_26_55/logs/klayout_offgrid_check.total
+/home/krishna/Comparator_MPW6/precheck_results/01_JUN_2022___10_26_55/logs/klayout_met_min_ca_density_check.total
+/home/krishna/Comparator_MPW6/precheck_results/01_JUN_2022___08_58_30/outputs/reports/magic_drc_check.drc.report
+/home/krishna/Comparator_MPW6/precheck_results/01_JUN_2022___08_58_30/logs/klayout_zeroarea_check.total
+/home/krishna/Comparator_MPW6/precheck_results/01_JUN_2022___08_58_30/logs/tools.info
+/home/krishna/Comparator_MPW6/precheck_results/01_JUN_2022___08_58_30/logs/klayout_beol_check.total
+/home/krishna/Comparator_MPW6/precheck_results/01_JUN_2022___08_58_30/logs/klayout_pin_label_purposes_overlapping_drawing_check.total
+/home/krishna/Comparator_MPW6/precheck_results/01_JUN_2022___08_58_30/logs/klayout_feol_check.total
+/home/krishna/Comparator_MPW6/precheck_results/01_JUN_2022___08_58_30/logs/gds.info
+/home/krishna/Comparator_MPW6/precheck_results/01_JUN_2022___08_58_30/logs/pdks.info
+/home/krishna/Comparator_MPW6/precheck_results/01_JUN_2022___08_58_30/logs/magic_drc_check.total
+/home/krishna/Comparator_MPW6/precheck_results/01_JUN_2022___08_58_30/logs/klayout_offgrid_check.total
+/home/krishna/Comparator_MPW6/precheck_results/01_JUN_2022___08_58_30/logs/klayout_met_min_ca_density_check.total
+/home/krishna/Comparator_MPW6/precheck_results/01_JUN_2022___10_22_16/outputs/reports/magic_drc_check.drc.report
+/home/krishna/Comparator_MPW6/precheck_results/01_JUN_2022___10_22_16/logs/klayout_zeroarea_check.total
+/home/krishna/Comparator_MPW6/precheck_results/01_JUN_2022___10_22_16/logs/tools.info
+/home/krishna/Comparator_MPW6/precheck_results/01_JUN_2022___10_22_16/logs/klayout_beol_check.total
+/home/krishna/Comparator_MPW6/precheck_results/01_JUN_2022___10_22_16/logs/klayout_pin_label_purposes_overlapping_drawing_check.total
+/home/krishna/Comparator_MPW6/precheck_results/01_JUN_2022___10_22_16/logs/klayout_feol_check.total
+/home/krishna/Comparator_MPW6/precheck_results/01_JUN_2022___10_22_16/logs/gds.info
+/home/krishna/Comparator_MPW6/precheck_results/01_JUN_2022___10_22_16/logs/pdks.info
+/home/krishna/Comparator_MPW6/precheck_results/01_JUN_2022___10_22_16/logs/magic_drc_check.total
+/home/krishna/Comparator_MPW6/precheck_results/01_JUN_2022___10_22_16/logs/klayout_offgrid_check.total
+/home/krishna/Comparator_MPW6/precheck_results/01_JUN_2022___10_22_16/logs/klayout_met_min_ca_density_check.total
+/home/krishna/Comparator_MPW6/precheck_results/01_JUN_2022___09_57_04/outputs/reports/magic_drc_check.drc.report
+/home/krishna/Comparator_MPW6/precheck_results/01_JUN_2022___09_57_04/logs/klayout_zeroarea_check.total
+/home/krishna/Comparator_MPW6/precheck_results/01_JUN_2022___09_57_04/logs/tools.info
+/home/krishna/Comparator_MPW6/precheck_results/01_JUN_2022___09_57_04/logs/klayout_beol_check.total
+/home/krishna/Comparator_MPW6/precheck_results/01_JUN_2022___09_57_04/logs/klayout_pin_label_purposes_overlapping_drawing_check.total
+/home/krishna/Comparator_MPW6/precheck_results/01_JUN_2022___09_57_04/logs/klayout_feol_check.total
+/home/krishna/Comparator_MPW6/precheck_results/01_JUN_2022___09_57_04/logs/gds.info
+/home/krishna/Comparator_MPW6/precheck_results/01_JUN_2022___09_57_04/logs/pdks.info
+/home/krishna/Comparator_MPW6/precheck_results/01_JUN_2022___09_57_04/logs/magic_drc_check.total
+/home/krishna/Comparator_MPW6/precheck_results/01_JUN_2022___09_57_04/logs/klayout_offgrid_check.total
+/home/krishna/Comparator_MPW6/precheck_results/01_JUN_2022___09_57_04/logs/klayout_met_min_ca_density_check.total
+/home/krishna/Comparator_MPW6/precheck_results/28_MAY_2022___11_09_07/logs/tools.info
+/home/krishna/Comparator_MPW6/precheck_results/28_MAY_2022___11_09_07/logs/gds.info
+/home/krishna/Comparator_MPW6/precheck_results/28_MAY_2022___11_09_07/logs/pdks.info
+/home/krishna/Comparator_MPW6/precheck_results/28_MAY_2022___11_10_33/logs/tools.info
+/home/krishna/Comparator_MPW6/precheck_results/28_MAY_2022___11_10_33/logs/gds.info
+/home/krishna/Comparator_MPW6/precheck_results/28_MAY_2022___11_10_33/logs/pdks.info
+/home/krishna/Comparator_MPW6/precheck_results/01_JUN_2022___07_48_55/outputs/reports/magic_drc_check.drc.report
+/home/krishna/Comparator_MPW6/precheck_results/01_JUN_2022___07_48_55/logs/klayout_zeroarea_check.total
+/home/krishna/Comparator_MPW6/precheck_results/01_JUN_2022___07_48_55/logs/tools.info
+/home/krishna/Comparator_MPW6/precheck_results/01_JUN_2022___07_48_55/logs/klayout_beol_check.total
+/home/krishna/Comparator_MPW6/precheck_results/01_JUN_2022___07_48_55/logs/klayout_pin_label_purposes_overlapping_drawing_check.total
+/home/krishna/Comparator_MPW6/precheck_results/01_JUN_2022___07_48_55/logs/klayout_feol_check.total
+/home/krishna/Comparator_MPW6/precheck_results/01_JUN_2022___07_48_55/logs/gds.info
+/home/krishna/Comparator_MPW6/precheck_results/01_JUN_2022___07_48_55/logs/pdks.info
+/home/krishna/Comparator_MPW6/precheck_results/01_JUN_2022___07_48_55/logs/magic_drc_check.total
+/home/krishna/Comparator_MPW6/precheck_results/01_JUN_2022___07_48_55/logs/klayout_offgrid_check.total
+/home/krishna/Comparator_MPW6/precheck_results/01_JUN_2022___07_48_55/logs/klayout_met_min_ca_density_check.total
+/home/krishna/Comparator_MPW6/precheck_results/01_JUN_2022___09_54_15/outputs/reports/magic_drc_check.drc.report
+/home/krishna/Comparator_MPW6/precheck_results/01_JUN_2022___09_54_15/logs/klayout_zeroarea_check.total
+/home/krishna/Comparator_MPW6/precheck_results/01_JUN_2022___09_54_15/logs/tools.info
+/home/krishna/Comparator_MPW6/precheck_results/01_JUN_2022___09_54_15/logs/klayout_beol_check.total
+/home/krishna/Comparator_MPW6/precheck_results/01_JUN_2022___09_54_15/logs/klayout_pin_label_purposes_overlapping_drawing_check.total
+/home/krishna/Comparator_MPW6/precheck_results/01_JUN_2022___09_54_15/logs/klayout_feol_check.total
+/home/krishna/Comparator_MPW6/precheck_results/01_JUN_2022___09_54_15/logs/gds.info
+/home/krishna/Comparator_MPW6/precheck_results/01_JUN_2022___09_54_15/logs/pdks.info
+/home/krishna/Comparator_MPW6/precheck_results/01_JUN_2022___09_54_15/logs/magic_drc_check.total
+/home/krishna/Comparator_MPW6/precheck_results/01_JUN_2022___09_54_15/logs/klayout_offgrid_check.total
+/home/krishna/Comparator_MPW6/precheck_results/01_JUN_2022___09_54_15/logs/klayout_met_min_ca_density_check.total
+/home/krishna/Comparator_MPW6/precheck_results/28_MAY_2022___10_48_59/logs/tools.info
+/home/krishna/Comparator_MPW6/precheck_results/28_MAY_2022___10_48_59/logs/gds.info
+/home/krishna/Comparator_MPW6/precheck_results/28_MAY_2022___10_48_59/logs/pdks.info
+/home/krishna/Comparator_MPW6/precheck_results/01_JUN_2022___10_02_59/outputs/reports/magic_drc_check.drc.report
+/home/krishna/Comparator_MPW6/precheck_results/01_JUN_2022___10_02_59/logs/klayout_zeroarea_check.total
+/home/krishna/Comparator_MPW6/precheck_results/01_JUN_2022___10_02_59/logs/tools.info
+/home/krishna/Comparator_MPW6/precheck_results/01_JUN_2022___10_02_59/logs/klayout_beol_check.total
+/home/krishna/Comparator_MPW6/precheck_results/01_JUN_2022___10_02_59/logs/klayout_pin_label_purposes_overlapping_drawing_check.total
+/home/krishna/Comparator_MPW6/precheck_results/01_JUN_2022___10_02_59/logs/klayout_feol_check.total
+/home/krishna/Comparator_MPW6/precheck_results/01_JUN_2022___10_02_59/logs/gds.info
+/home/krishna/Comparator_MPW6/precheck_results/01_JUN_2022___10_02_59/logs/pdks.info
+/home/krishna/Comparator_MPW6/precheck_results/01_JUN_2022___10_02_59/logs/magic_drc_check.total
+/home/krishna/Comparator_MPW6/precheck_results/01_JUN_2022___10_02_59/logs/klayout_offgrid_check.total
+/home/krishna/Comparator_MPW6/precheck_results/01_JUN_2022___10_02_59/logs/klayout_met_min_ca_density_check.total
+/home/krishna/Comparator_MPW6/precheck_results/02_JUN_2022___13_34_14/logs/tools.info
+/home/krishna/Comparator_MPW6/precheck_results/02_JUN_2022___13_34_14/logs/gds.info
+/home/krishna/Comparator_MPW6/precheck_results/02_JUN_2022___13_34_14/logs/pdks.info
+/home/krishna/Comparator_MPW6/precheck_results/29_MAY_2022___12_32_11/logs/tools.info
+/home/krishna/Comparator_MPW6/precheck_results/29_MAY_2022___12_32_11/logs/gds.info
+/home/krishna/Comparator_MPW6/precheck_results/29_MAY_2022___12_32_11/logs/pdks.info
+/home/krishna/Comparator_MPW6/precheck_results/27_MAY_2022___15_12_40/logs/tools.info
+/home/krishna/Comparator_MPW6/precheck_results/27_MAY_2022___15_12_40/logs/gds.info
+/home/krishna/Comparator_MPW6/precheck_results/27_MAY_2022___15_12_40/logs/pdks.info
+/home/krishna/Comparator_MPW6/precheck_results/29_MAY_2022___12_33_25/logs/tools.info
+/home/krishna/Comparator_MPW6/precheck_results/29_MAY_2022___12_33_25/logs/gds.info
+/home/krishna/Comparator_MPW6/precheck_results/29_MAY_2022___12_33_25/logs/pdks.info
+/home/krishna/Comparator_MPW6/precheck_results/31_MAY_2022___14_28_48/logs/tools.info
+/home/krishna/Comparator_MPW6/precheck_results/31_MAY_2022___14_28_48/logs/gds.info
+/home/krishna/Comparator_MPW6/precheck_results/31_MAY_2022___14_28_48/logs/pdks.info
+/home/krishna/Comparator_MPW6/precheck_results/27_MAY_2022___15_25_17/logs/tools.info
+/home/krishna/Comparator_MPW6/precheck_results/27_MAY_2022___15_25_17/logs/gds.info
+/home/krishna/Comparator_MPW6/precheck_results/27_MAY_2022___15_25_17/logs/pdks.info
+/home/krishna/Comparator_MPW6/mag/sky130_fd_pr__pfet_01v8_AC5Z8B#0.ext
+/home/krishna/Comparator_MPW6/mag/latch_3.ext
+/home/krishna/Comparator_MPW6/mag/inv_W2.ext
+/home/krishna/Comparator_MPW6/mag/sky130_fd_pr__diode_pw2nd_05v5_KLAK3C.ext
+/home/krishna/Comparator_MPW6/mag/sky130_fd_pr__diode_pw2nd_05v5_FT7GK8.ext
+/home/krishna/Comparator_MPW6/mag/sky130_fd_pr__diode_pw2nd_05v5_3P6M5Y.ext
+/home/krishna/Comparator_MPW6/mag/sky130_fd_pr__pfet_01v8_5SM9EE.ext
+/home/krishna/Comparator_MPW6/mag/latch_22.ext
+/home/krishna/Comparator_MPW6/mag/sky130_fd_pr__diode_pw2nd_05v5_GT7G3L.ext
+/home/krishna/Comparator_MPW6/mag/inv_W2#0.ext
+/home/krishna/Comparator_MPW6/mag/.magicrc
+/home/krishna/Comparator_MPW6/mag/sky130_fd_pr__diode_pd2nw_05v5_G4XDRY.ext
+/home/krishna/Comparator_MPW6/mag/sky130_fd_pr__nfet_01v8_XJTKXQ#1.ext
+/home/krishna/Comparator_MPW6/mag/sky130_fd_pr__nfet_01v8_XJTKXQ.ext
+/home/krishna/Comparator_MPW6/mag/sky130_fd_pr__pfet_01v8_AC5Z8B.ext
+/home/krishna/Comparator_MPW6/mag/buffer_2#1.ext
+/home/krishna/Comparator_MPW6/mag/comparator_v6.ext
+/home/krishna/Comparator_MPW6/mag/buffer_1#0.ext
+/home/krishna/Comparator_MPW6/mag/latch_2.ext
+/home/krishna/Comparator_MPW6/mag/user_analog_project_wrapper.ext
+/home/krishna/Comparator_MPW6/mag/buffer_2#0.ext
+/home/krishna/Comparator_MPW6/mag/sky130_fd_pr__diode_pd2nw_05v5_RT56W3.ext
+/home/krishna/Comparator_MPW6/mag/latch/SR_latch.ext
+/home/krishna/Comparator_MPW6/mag/latch/sky130_fd_pr__pfet_01v8_AC5Z8B#0.ext
+/home/krishna/Comparator_MPW6/mag/latch/sky130A.magicrc
+/home/krishna/Comparator_MPW6/mag/latch/latch_22.ext
+/home/krishna/Comparator_MPW6/mag/latch/sky130_fd_pr__pfet_01v8_AC5E9B.ext
+/home/krishna/Comparator_MPW6/mag/latch/.magicrc
+/home/krishna/Comparator_MPW6/mag/latch/sky130_fd_pr__nfet_01v8_XJTKXQ#1.ext
+/home/krishna/Comparator_MPW6/mag/latch/sky130_fd_pr__nfet_01v8_F5U58G.ext
+/home/krishna/Comparator_MPW6/mag/latch/sky130_fd_pr__pfet_01v8_GJYUB2.ext
+/home/krishna/Comparator_MPW6/mag/latch/latch_2.ext
+/home/krishna/Comparator_MPW6/mag/latch/sky130_fd_pr__pfet_01v8_5SVZDE.ext
+/home/krishna/Comparator_MPW6/mag/latch/latch.ext
+/home/krishna/Comparator_MPW6/mag/preamp/sky130_fd_pr__nfet_01v8_G6PLX8.ext
+/home/krishna/Comparator_MPW6/mag/preamp/preamp_part1.ext
+/home/krishna/Comparator_MPW6/mag/preamp/preamp_part2.ext
+/home/krishna/Comparator_MPW6/mag/preamp/sky130_fd_pr__nfet_01v8_XJTKXQ#0.ext
+/home/krishna/Comparator_MPW6/mag/preamp/sky130_fd_pr__nfet_01v8_F5U58G#1.ext
+/home/krishna/Comparator_MPW6/mag/preamp/.magicrc
+/home/krishna/Comparator_MPW6/mag/preamp/sky130_fd_pr__pfet_01v8_RFM3CD.ext
+/home/krishna/Comparator_MPW6/mag/preamp/sky130_fd_pr__nfet_01v8_8FHE5N.ext
+/home/krishna/Comparator_MPW6/mag/preamp/sky130_fd_pr__nfet_01v8_F5U58G#0.ext
+/home/krishna/Comparator_MPW6/xschem/xschemrc
+/home/krishna/Comparator_MPW6/xschem/buffer.sch
+/home/krishna/Comparator_MPW6/xschem/user_analog_project_wrapper.sym
+/home/krishna/Comparator_MPW6/xschem/SR_latch.sym
+/home/krishna/Comparator_MPW6/xschem/comparator.sch
+/home/krishna/Comparator_MPW6/xschem/comparator.sym
+/home/krishna/Comparator_MPW6/xschem/analog_wrapper_tb.sch
+/home/krishna/Comparator_MPW6/xschem/user_analog_project_wrapper.sch
+/home/krishna/Comparator_MPW6/xschem/.spiceinit
+/home/krishna/Comparator_MPW6/xschem/comparator_tb.sch
+/home/krishna/Comparator_MPW6/xschem/SR_latch.sch
+/home/krishna/Comparator_MPW6/xschem/buffer.sym
+/home/krishna/Comparator_MPW6/docs/Makefile
+/home/krishna/Comparator_MPW6/docs/environment.yml
+/home/krishna/Comparator_MPW6/docs/source/conf.py
+/home/krishna/Comparator_MPW6/docs/source/index.rst
+/home/krishna/Comparator_MPW6/netgen/run_lvs_wrapper_verilog.sh
+/home/krishna/Comparator_MPW6/netgen/run_lvs_por.sh
+/home/krishna/Comparator_MPW6/netgen/run_lvs_wrapper_xschem.sh
diff --git a/precheck_results/02_JUN_2022___13_34_14/logs/tools.info b/precheck_results/02_JUN_2022___13_34_14/logs/tools.info
new file mode 100755
index 0000000..9b2230a
--- /dev/null
+++ b/precheck_results/02_JUN_2022___13_34_14/logs/tools.info
@@ -0,0 +1,2 @@
+KLayout: 0.27.8
+Magic: 8.3.274
\ No newline at end of file
diff --git a/precheck_results/02_JUN_2022___13_34_14/logs/xor_check.log b/precheck_results/02_JUN_2022___13_34_14/logs/xor_check.log
new file mode 100755
index 0000000..9ba8697
--- /dev/null
+++ b/precheck_results/02_JUN_2022___13_34_14/logs/xor_check.log
@@ -0,0 +1,179 @@
+Reading file /home/krishna/Comparator_MPW6/gds/user_analog_project_wrapper.gds for cell user_analog_project_wrapper
+dbu=0.001
+cell user_analog_project_wrapper dbu-bbox(ll;ur)=(-4000,-4000;2924000,3524000)
+cell user_analog_project_wrapper dbu-bbox(left,bottom,right,top)=(-4000,-4000,2924000,3524000)
+cell user_analog_project_wrapper dbu-size(width,height)=(2928000,3528000)
+cell user_analog_project_wrapper micron-bbox(left,bottom,right,top)=(-4.0,-4.0,2924.0,3524.0)
+cell user_analog_project_wrapper micron-size(width,height)=(2928.0,3528.0)
+Done.
+
+Magic 8.3 revision 274 - Compiled on Fri Mar  4 22:54:15 UTC 2022.
+Starting magic under Tcl interpreter
+Using the terminal as the console.
+Using NULL graphics device.
+Processing system .magicrc file
+Loading "/home/krishna/mpw_precheckmpw6/checks/xor_check/erase_box.tcl" from command line.
+"sky130(vendor)" is not one of the CIF input styles Magic knows.
+Error: No style is set
+The CIF input styles are: .
+Don't know how to read GDS-II:
+Nothing in "cifinput" section of tech file.
+File user_analog_project_wrapper.mag couldn't be read
+No such file or directory
+Creating new cell
+Root cell box:
+           width x height  (   llx,  lly  ), (   urx,  ury  )  area (units^2)
+
+microns:  43.000 x 3520.000  (-43.000,  0.000), ( 0.000,  3520.000)  151360.000
+lambda:       43 x 3520    (   -43,  0    ), (     0,  3520 )  151360    
+Root cell box:
+           width x height  (   llx,  lly  ), (   urx,  ury  )  area (units^2)
+
+microns:  43.000 x 3520.000  ( 2920.000,  0.000), ( 2963.000,  3520.000)  151360.000
+lambda:       43 x 3520    (  2920,  0    ), (  2963,  3520 )  151360    
+Root cell box:
+           width x height  (   llx,  lly  ), (   urx,  ury  )  area (units^2)
+
+microns:  3006.000 x 38.000  (-43.000, -38.000), ( 2963.000,  0.000)  114228.000
+lambda:     3006 x 38      (   -43, -38   ), (  2963,  0    )  114228    
+Root cell box:
+           width x height  (   llx,  lly  ), (   urx,  ury  )  area (units^2)
+
+microns:  3006.000 x 37.000  (-43.000,  3520.000), ( 2963.000,  3557.000)  111222.000
+lambda:     3006 x 37      (   -43,  3520 ), (  2963,  3557 )  111222    
+Unrecognized layer: metal5
+Layer names are:
+    mag or magnet
+    f or fence
+    r or rotate
+    $
+    *
+    errors
+    labels
+    subcell
+    connect
+Unrecognized layer: metal4
+Layer names are:
+    mag or magnet
+    f or fence
+    r or rotate
+    $
+    *
+    errors
+    labels
+    subcell
+    connect
+Unrecognized layer: metal5
+Layer names are:
+    mag or magnet
+    f or fence
+    r or rotate
+    $
+    *
+    errors
+    labels
+    subcell
+    connect
+Unrecognized layer: metal4
+Layer names are:
+    mag or magnet
+    f or fence
+    r or rotate
+    $
+    *
+    errors
+    labels
+    subcell
+    connect
+No CIF/GDS output style set!
+I/O error in writing file /home/krishna/Comparator_MPW6/precheck_results/02_JUN_2022___13_34_14/outputs/user_analog_project_wrapper_erased.gds.
+File may be incompletely written.
+
+Magic 8.3 revision 274 - Compiled on Fri Mar  4 22:54:15 UTC 2022.
+Starting magic under Tcl interpreter
+Using the terminal as the console.
+Using NULL graphics device.
+Processing system .magicrc file
+Loading "/home/krishna/mpw_precheckmpw6/checks/xor_check/erase_box.tcl" from command line.
+"sky130(vendor)" is not one of the CIF input styles Magic knows.
+Error: No style is set
+The CIF input styles are: .
+Don't know how to read GDS-II:
+Nothing in "cifinput" section of tech file.
+File user_analog_project_wrapper.mag couldn't be read
+No such file or directory
+Creating new cell
+Root cell box:
+           width x height  (   llx,  lly  ), (   urx,  ury  )  area (units^2)
+
+microns:  43.000 x 3520.000  (-43.000,  0.000), ( 0.000,  3520.000)  151360.000
+lambda:       43 x 3520    (   -43,  0    ), (     0,  3520 )  151360    
+Root cell box:
+           width x height  (   llx,  lly  ), (   urx,  ury  )  area (units^2)
+
+microns:  43.000 x 3520.000  ( 2920.000,  0.000), ( 2963.000,  3520.000)  151360.000
+lambda:       43 x 3520    (  2920,  0    ), (  2963,  3520 )  151360    
+Root cell box:
+           width x height  (   llx,  lly  ), (   urx,  ury  )  area (units^2)
+
+microns:  3006.000 x 38.000  (-43.000, -38.000), ( 2963.000,  0.000)  114228.000
+lambda:     3006 x 38      (   -43, -38   ), (  2963,  0    )  114228    
+Root cell box:
+           width x height  (   llx,  lly  ), (   urx,  ury  )  area (units^2)
+
+microns:  3006.000 x 37.000  (-43.000,  3520.000), ( 2963.000,  3557.000)  111222.000
+lambda:     3006 x 37      (   -43,  3520 ), (  2963,  3557 )  111222    
+Unrecognized layer: metal5
+Layer names are:
+    mag or magnet
+    f or fence
+    r or rotate
+    $
+    *
+    errors
+    labels
+    subcell
+    connect
+Unrecognized layer: metal4
+Layer names are:
+    mag or magnet
+    f or fence
+    r or rotate
+    $
+    *
+    errors
+    labels
+    subcell
+    connect
+Unrecognized layer: metal5
+Layer names are:
+    mag or magnet
+    f or fence
+    r or rotate
+    $
+    *
+    errors
+    labels
+    subcell
+    connect
+Unrecognized layer: metal4
+Layer names are:
+    mag or magnet
+    f or fence
+    r or rotate
+    $
+    *
+    errors
+    labels
+    subcell
+    connect
+No CIF/GDS output style set!
+I/O error in writing file /home/krishna/Comparator_MPW6/precheck_results/02_JUN_2022___13_34_14/outputs/user_analog_project_wrapper_empty_erased.gds.
+File may be incompletely written.
+Reading /home/krishna/Comparator_MPW6/precheck_results/02_JUN_2022___13_34_14/outputs/user_analog_project_wrapper_erased.gds ..
+ERROR: In /home/krishna/mpw_precheckmpw6/checks/xor_check/xor.rb.drc: 'source': Stream has unknown format: /home/krishna/Comparator_MPW6/precheck_results/02_JUN_2022___13_34_14/outputs/user_analog_project_wrapper_erased.gds in Layout::read
+Total elapsed: 0.000s  Memory: 521.00M
+ERROR: 'source': Stream has unknown format: /home/krishna/Comparator_MPW6/precheck_results/02_JUN_2022___13_34_14/outputs/user_analog_project_wrapper_erased.gds in Layout::read in Executable::execute
+  /home/krishna/mpw_precheckmpw6/checks/xor_check/xor.rb.drc:15:in `execute'
+  :/built-in-macros/drc_interpreters.lym:27:in `instance_eval'
+  :/built-in-macros/drc_interpreters.lym:27:in `execute'
diff --git a/precheck_results/02_JUN_2022___13_34_14/outputs/reports/klayout_beol_check.xml b/precheck_results/02_JUN_2022___13_34_14/outputs/reports/klayout_beol_check.xml
new file mode 100755
index 0000000..9ad4ffd
--- /dev/null
+++ b/precheck_results/02_JUN_2022___13_34_14/outputs/reports/klayout_beol_check.xml
@@ -0,0 +1,447 @@
+<?xml version="1.0" encoding="utf-8"?>
+<report-database>
+ <description>SKY130 DRC runset</description>
+ <original-file/>
+ <generator>drc: script='/home/krishna/mpw_precheckmpw6/checks/tech-files/sky130A_mr.drc'</generator>
+ <top-cell>user_analog_project_wrapper</top-cell>
+ <tags>
+ </tags>
+ <categories>
+  <category>
+   <name>li.1</name>
+   <description>li.1 : min. li width : 0.17um</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>li.3</name>
+   <description>li.3 : min. li spacing : 0.17um</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>li.5</name>
+   <description>li.5 : min. li enclosure of licon of 2 adjacent edges : 0.08um</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>li.6</name>
+   <description>li.6 : min. li area : 0.0561um²</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>ct.1</name>
+   <description>ct.1: non-ring mcon should be rectangular</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>ct.1_a</name>
+   <description>ct.1_a : minimum width of mcon : 0.17um</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>ct.1_b</name>
+   <description>ct.1_b : maximum length of mcon : 0.17um</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>ct.2</name>
+   <description>ct.2 : min. mcon spacing : 0.19um</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>ct.4</name>
+   <description>ct.4 : mcon should covered by li</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>m1.1</name>
+   <description>m1.1 : min. m1 width : 0.14um</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>m1.2</name>
+   <description>m1.2 : min. m1 spacing : 0.14um</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>m1.3ab</name>
+   <description>m1.3ab : min. 3um.m1 spacing m1 : 0.28um</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>791_m1.4</name>
+   <description>791_m1.4 : min. m1 enclosure of mcon : 0.03um</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>m1.4</name>
+   <description>m1.4 : mcon periphery must be enclosed by m1</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>m1.4a</name>
+   <description>m1.4a : min. m1 enclosure of mcon for specific cells : 0.005um</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>m1.4a_a</name>
+   <description>m1.4a_a : mcon periph must be enclosed by met1 for specific cells</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>m1.6</name>
+   <description>m1.6 : min. m1 area : 0.083um²</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>m1.7</name>
+   <description>m1.7 : min. m1 with holes area : 0.14um²</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>m1.5</name>
+   <description>m1.5 : min. m1 enclosure of mcon of 2 adjacent edges : 0.06um</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>via.1a</name>
+   <description>via.1a : via outside of moduleCut should be rectangular</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>via.1a_a</name>
+   <description>via.1a_a : min. width of via outside of moduleCut : 0.15um</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>via.1a_b</name>
+   <description>via.1a_b : maximum length of via : 0.15um</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>via.2</name>
+   <description>via.2 : min. via spacing : 0.17um</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>via.4a</name>
+   <description>via.4a : min. m1 enclosure of 0.15um via : 0.055um</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>via.4a_a</name>
+   <description>via.4a_a : 0.15um via must be enclosed by met1</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>via.5a</name>
+   <description>via.5a : min. m1 enclosure of 0.15um via of 2 adjacent edges : 0.085um</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>m2.1</name>
+   <description>m2.1 : min. m2 width : 0.14um</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>m2.2</name>
+   <description>m2.2 : min. m2 spacing : 0.14um</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>m2.3ab</name>
+   <description>m2.3ab : min. 3um.m2 spacing m2 : 0.28um</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>m2.6</name>
+   <description>m2.6 : min. m2 area : 0.0676um²</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>m2.7</name>
+   <description>m2.7 : min. m2 holes area : 0.14um²</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>m2.4</name>
+   <description>m2.4 : min. m2 enclosure of via : 0.055um</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>m2.4_a</name>
+   <description>m2.4_a : via in periphery must be enclosed by met2</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>m2.5</name>
+   <description>m2.5 : min. m2 enclosure of via of 2 adjacent edges : 0.085um</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>via2.1a</name>
+   <description>via2.1a : via2 outside of moduleCut should be rectangular</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>via2.1a_a</name>
+   <description>via2.1a_a : min. width of via2 outside of moduleCut : 0.2um</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>via2.1a_b</name>
+   <description>via2.1a_b : maximum length of via2 : 0.2um</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>via2.2</name>
+   <description>via2.2 : min. via2 spacing : 0.2um</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>via2.4</name>
+   <description>via2.4 : min. m2 enclosure of via2 : 0.04um</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>via2.4_a</name>
+   <description>via2.4_a : via must be enclosed by met2</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>via2.5</name>
+   <description>via2.5 : min. m3 enclosure of via2 of 2 adjacent edges : 0.085um</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>m3.1</name>
+   <description>m3.1 : min. m3 width : 0.3um</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>m3.2</name>
+   <description>m3.2 : min. m3 spacing : 0.3um</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>m3.3cd</name>
+   <description>m3.3cd : min. 3um.m3 spacing m3 : 0.4um</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>m3.4</name>
+   <description>m3.4 : min. m3 enclosure of via2 : 0.065um</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>m3.4_a</name>
+   <description>m3.4_a : via2 must be enclosed by met3</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>via3.1</name>
+   <description>via3.1 : via3 outside of moduleCut should be rectangular</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>via3.1_a</name>
+   <description>via3.1_a : min. width of via3 outside of moduleCut : 0.2um</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>via3.1_b</name>
+   <description>via3.1_b : maximum length of via3 : 0.2um</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>via3.2</name>
+   <description>via3.2 : min. via3 spacing : 0.2um</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>via3.4</name>
+   <description>via3.4 : min. m3 enclosure of via3 : 0.06um</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>via3.4_a</name>
+   <description>via3.4_a : non-ring via3 must be enclosed by met3</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>via3.5</name>
+   <description>via3.5 : min. m3 enclosure of via3 of 2 adjacent edges : 0.09um</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>m4.1</name>
+   <description>m4.1 : min. m4 width : 0.3um</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>m4.2</name>
+   <description>m4.2 : min. m4 spacing : 0.3um</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>m4.4a</name>
+   <description>m4.4a : min. m4 area : 0.240um²</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>m4.5ab</name>
+   <description>m4.5ab : min. 3um.m4 spacing m4 : 0.4um</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>m4.3</name>
+   <description>m4.3 : min. m4 enclosure of via3 : 0.065um</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>m4.3_a</name>
+   <description>m4.3_a : via3 must be enclosed by met4</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>via4.1</name>
+   <description>via4.1 : via4 outside of moduleCut should be rectangular</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>via4.1_a</name>
+   <description>via4.1_a : min. width of via4 outside of moduleCut : 0.8um</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>via4.1_b</name>
+   <description>via4.1_b : maximum length of via4 : 0.8um</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>via4.2</name>
+   <description>via4.2 : min. via4 spacing : 0.8um</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>via4.4</name>
+   <description>via4.4 : min. m4 enclosure of via4 : 0.19um</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>via4.4_a</name>
+   <description>via4.4_a : m4 must enclose all via4</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>m5.1</name>
+   <description>m5.1 : min. m5 width : 1.6um</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>m5.2</name>
+   <description>m5.2 : min. m5 spacing : 1.6um</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>m5.3</name>
+   <description>m5.3 : min. m5 enclosure of via4 : 0.31um</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>m5.3_a</name>
+   <description>m5.3_a : via must be enclosed by m5</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>m5.4</name>
+   <description>m5.4 : min. m5 area : 4.0um²</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>pad.2</name>
+   <description>pad.2 : min. pad spacing : 1.27um</description>
+   <categories>
+   </categories>
+  </category>
+ </categories>
+ <cells>
+  <cell>
+   <name>user_analog_project_wrapper</name>
+   <variant/>
+   <references>
+   </references>
+  </cell>
+ </cells>
+ <items>
+ </items>
+</report-database>
diff --git a/precheck_results/02_JUN_2022___13_34_14/outputs/reports/klayout_feol_check.xml b/precheck_results/02_JUN_2022___13_34_14/outputs/reports/klayout_feol_check.xml
new file mode 100755
index 0000000..5c0c69c
--- /dev/null
+++ b/precheck_results/02_JUN_2022___13_34_14/outputs/reports/klayout_feol_check.xml
@@ -0,0 +1,363 @@
+<?xml version="1.0" encoding="utf-8"?>
+<report-database>
+ <description>SKY130 DRC runset</description>
+ <original-file/>
+ <generator>drc: script='/home/krishna/mpw_precheckmpw6/checks/tech-files/sky130A_mr.drc'</generator>
+ <top-cell>user_analog_project_wrapper</top-cell>
+ <tags>
+ </tags>
+ <categories>
+  <category>
+   <name>dnwell.2</name>
+   <description>dnwell.2 : min. dnwell width : 3.0um</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>nwell.1</name>
+   <description>nwell.1 : min. nwell width : 0.84um</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>nwell.2a</name>
+   <description>nwell.2a : min. nwell spacing (merged if less) : 1.27um</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>nwell.6</name>
+   <description>nwell.6 : min enclosure of nwellHole by dnwell : 1.03um</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>hvtp.1</name>
+   <description>hvtp.1 : min. hvtp width : 0.38um</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>hvtp.2</name>
+   <description>hvtp.2 : min. hvtp spacing : 0.38um</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>hvtr.1</name>
+   <description>hvtr.1 : min. hvtr width : 0.38um</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>hvtr.2</name>
+   <description>hvtr.2 : min. hvtr spacing : 0.38um</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>hvtr.2_a</name>
+   <description>hvtr.2_a : hvtr must not overlap hvtp</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>lvtn.1a</name>
+   <description>lvtn.1a : min. lvtn width : 0.38um</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>lvtn.2</name>
+   <description>lvtn.2 : min. lvtn spacing : 0.38um</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>ncm.1</name>
+   <description>ncm.1 : min. ncm width : 0.38um</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>ncm.2a</name>
+   <description>ncm.2a : min. ncm spacing : 0.38um</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>difftap.1</name>
+   <description>difftap.1 : min. diff width across areaid:ce : 0.15um</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>difftap.1_a</name>
+   <description>difftap.1_a : min. diff width in periphery : 0.15um</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>difftap.1_b</name>
+   <description>difftap.1_b : min. tap width across areaid:ce : 0.15um</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>difftap.1_c</name>
+   <description>difftap.1_c : min. tap width in periphery : 0.15um</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>difftap.3</name>
+   <description>difftap.3 : min. difftap spacing : 0.27um</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>tunm.1</name>
+   <description>tunm.1 : min. tunm width : 0.41um</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>tunm.2</name>
+   <description>tunm.2 : min. tunm spacing : 0.5um</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>poly.1a</name>
+   <description>poly.1a : min. poly width : 0.15um</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>poly.2</name>
+   <description>poly.2 : min. poly spacing : 0.21um</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>rpm.1a</name>
+   <description>rpm.1a : min. rpm width : 1.27um</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>rpm.2</name>
+   <description>rpm.2 : min. rpm spacing : 0.84um</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>urpm.1a</name>
+   <description>urpm.1a : min. rpm width : 1.27um</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>urpm.2</name>
+   <description>urpm.2 : min. rpm spacing : 0.84um</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>npc.1</name>
+   <description>npc.1 : min. npc width : 0.27um</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>npc.2</name>
+   <description>npc.2 : min. npc spacing, should be manually merged if less than : 0.27um</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>nsd.1</name>
+   <description>nsd.1 : min. nsdm width : 0.38um</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>nsd.2</name>
+   <description>nsd.2 : min. nsdm spacing, should be manually merged if less than : 0.38um</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>psd.1</name>
+   <description>psd.1 : min. psdm width : 0.38um</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>psd.2</name>
+   <description>psd.2 : min. psdm spacing, should be manually merged if less than : 0.38um</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>licon.1</name>
+   <description>licon.1 : licon should be rectangle</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>licon.1_a/b</name>
+   <description>licon.1_a/b : minimum/maximum width of licon : 0.17um</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>licon.13</name>
+   <description>licon.13 : min. difftap licon spacing to npc : 0.09um</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>licon.13_a</name>
+   <description>licon.13_a : licon of diffTap in periphery must not overlap npc</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>licon.17</name>
+   <description>licon.17 : Licons may not overlap both poly and (diff or tap)</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>capm.1</name>
+   <description>capm.1 : min. capm width : 1.0um</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>capm.2a</name>
+   <description>capm.2a : min. capm spacing : 0.84um</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>capm.2b</name>
+   <description>capm.2b : min. capm spacing : 1.2um</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>capm.2b_a</name>
+   <description>capm.2b_a : min. spacing of m3_bot_plate : 1.2um</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>capm.3</name>
+   <description>capm.3 : min. capm and m3 enclosure of m3 : 0.14um</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>capm.3_a</name>
+   <description>capm.3_a : min. m3 enclosure of capm : 0.14um</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>capm.4</name>
+   <description>capm.4 : min. capm enclosure of via3 : 0.14um</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>capm.5</name>
+   <description>capm.5 : min. capm spacing to via3 : 0.14um</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>cap2m.1</name>
+   <description>cap2m.1 : min. cap2m width : 1.0um</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>cap2m.2a</name>
+   <description>cap2m.2a : min. cap2m spacing : 0.84um</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>cap2m.2b</name>
+   <description>cap2m.2b : min. cap2m spacing : 1.2um</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>cap2m.2b_a</name>
+   <description>cap2m.2b_a : min. spacing of m4_bot_plate : 1.2um</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>cap2m.3</name>
+   <description>cap2m.3 : min. m4 enclosure of cap2m : 0.14um</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>cap2m.3_a</name>
+   <description>cap2m.3_a : min. m4 enclosure of cap2m : 0.14um</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>cap2m.4</name>
+   <description>cap2m.4 : min. cap2m enclosure of via4 : 0.14um</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>cap2m.5</name>
+   <description>cap2m.5 : min. cap2m spacing to via4 : 0.14um</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>hvi.1</name>
+   <description>hvi.1 : min. hvi width : 0.6um</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>hvi.2a</name>
+   <description>hvi.2a : min. hvi spacing : 0.7um</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>hvntm.1</name>
+   <description>hvntm.1 : min. hvntm width : 0.7um</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>hvntm.2</name>
+   <description>hvntm.2 : min. hvntm spacing : 0.7um</description>
+   <categories>
+   </categories>
+  </category>
+ </categories>
+ <cells>
+  <cell>
+   <name>user_analog_project_wrapper</name>
+   <variant/>
+   <references>
+   </references>
+  </cell>
+ </cells>
+ <items>
+ </items>
+</report-database>
diff --git a/precheck_results/02_JUN_2022___13_34_14/outputs/reports/klayout_met_min_ca_density_check.xml b/precheck_results/02_JUN_2022___13_34_14/outputs/reports/klayout_met_min_ca_density_check.xml
new file mode 100755
index 0000000..dc650ad
--- /dev/null
+++ b/precheck_results/02_JUN_2022___13_34_14/outputs/reports/klayout_met_min_ca_density_check.xml
@@ -0,0 +1,21 @@
+<?xml version="1.0" encoding="utf-8"?>
+<report-database>
+ <description>Density Checks</description>
+ <original-file/>
+ <generator>drc: script='/home/krishna/mpw_precheckmpw6/checks/drc_checks/klayout/met_min_ca_density.lydrc'</generator>
+ <top-cell>user_analog_project_wrapper</top-cell>
+ <tags>
+ </tags>
+ <categories>
+ </categories>
+ <cells>
+  <cell>
+   <name>user_analog_project_wrapper</name>
+   <variant/>
+   <references>
+   </references>
+  </cell>
+ </cells>
+ <items>
+ </items>
+</report-database>
diff --git a/precheck_results/02_JUN_2022___13_34_14/outputs/reports/klayout_offgrid_check.xml b/precheck_results/02_JUN_2022___13_34_14/outputs/reports/klayout_offgrid_check.xml
new file mode 100755
index 0000000..80a7de2
--- /dev/null
+++ b/precheck_results/02_JUN_2022___13_34_14/outputs/reports/klayout_offgrid_check.xml
@@ -0,0 +1,483 @@
+<?xml version="1.0" encoding="utf-8"?>
+<report-database>
+ <description>SKY130 DRC runset</description>
+ <original-file/>
+ <generator>drc: script='/home/krishna/mpw_precheckmpw6/checks/tech-files/sky130A_mr.drc'</generator>
+ <top-cell>user_analog_project_wrapper</top-cell>
+ <tags>
+ </tags>
+ <categories>
+  <category>
+   <name>dnwell_OFFGRID</name>
+   <description>x.1b : OFFGRID vertex on dnwell</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>dnwell_angle</name>
+   <description>x.3a : non 45 degree angle dnwell</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>nwell_OFFGRID</name>
+   <description>x.1b : OFFGRID vertex on nwell</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>nwell_angle</name>
+   <description>x.3a : non 45 degree angle nwell</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>pwbm_OFFGRID</name>
+   <description>x.1b : OFFGRID vertex on pwbm</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>pwbm_angle</name>
+   <description>x.3a : non 45 degree angle pwbm</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>pwde_OFFGRID</name>
+   <description>x.1b : OFFGRID vertex on pwde</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>pwde_angle</name>
+   <description>x.3a : non 45 degree angle pwde</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>hvtp_OFFGRID</name>
+   <description>x.1b : OFFGRID vertex on hvtp</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>hvtp_angle</name>
+   <description>x.3a : non 45 degree angle hvtp</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>hvtr_OFFGRID</name>
+   <description>x.1b : OFFGRID vertex on hvtr</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>hvtr_angle</name>
+   <description>x.3a : non 45 degree angle hvtr</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>lvtn_OFFGRID</name>
+   <description>x.1b : OFFGRID vertex on lvtn</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>lvtn_angle</name>
+   <description>x.3a : non 45 degree angle lvtn</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>ncm_OFFGRID</name>
+   <description>x.1b : OFFGRID vertex on ncm</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>ncm_angle</name>
+   <description>x.3a : non 45 degree angle ncm</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>diff_OFFGRID</name>
+   <description>x.1b : OFFGRID vertex on diff</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>tap_OFFGRID</name>
+   <description>x.1b : OFFGRID vertex on tap</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>diff_angle</name>
+   <description>x.2 : non 90 degree angle diff</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>diff_angle</name>
+   <description>x.2c : non 45 degree angle diff</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>tap_angle</name>
+   <description>x.2 : non 90 degree angle tap</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>tap_angle</name>
+   <description>x.2c : non 45 degree angle tap</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>tunm_OFFGRID</name>
+   <description>x.1b : OFFGRID vertex on tunm</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>tunm_angle</name>
+   <description>x.3a : non 45 degree angle tunm</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>poly_OFFGRID</name>
+   <description>x.1b : OFFGRID vertex on poly</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>poly_angle</name>
+   <description>x.2 : non 90 degree angle poly</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>rpm_OFFGRID</name>
+   <description>x.1b : OFFGRID vertex on rpm</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>rpm_angle</name>
+   <description>x.3a : non 45 degree angle rpm</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>npc_OFFGRID</name>
+   <description>x.1b : OFFGRID vertex on npc</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>npc_angle</name>
+   <description>x.3a : non 45 degree angle npc</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>nsdm_OFFGRID</name>
+   <description>x.1b : OFFGRID vertex on nsdm</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>nsdm_angle</name>
+   <description>x.3a : non 45 degree angle nsdm</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>psdm_OFFGRID</name>
+   <description>x.1b : OFFGRID vertex on psdm</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>psdm_angle</name>
+   <description>x.3a : non 45 degree angle psdm</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>licon_OFFGRID</name>
+   <description>x.1b : OFFGRID vertex on licon</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>licon_angle</name>
+   <description>x.2 : non 90 degree angle licon</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>li_OFFGRID</name>
+   <description>x.1b : OFFGRID vertex on li</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>li_angle</name>
+   <description>x.3a : non 45 degree angle li</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>ct_OFFGRID</name>
+   <description>x.1b : OFFGRID vertex on mcon</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>ct_angle</name>
+   <description>x.2 : non 90 degree angle mcon</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>vpp_OFFGRID</name>
+   <description>x.1b : OFFGRID vertex on vpp</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>vpp_angle</name>
+   <description>x.3a : non 45 degree angle vpp</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>m1_OFFGRID</name>
+   <description>x.1b : OFFGRID vertex on m1</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>m1_angle</name>
+   <description>x.3a : non 45 degree angle m1</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>via_OFFGRID</name>
+   <description>x.1b : OFFGRID vertex on via</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>via_angle</name>
+   <description>x.2 : non 90 degree angle via</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>m2_OFFGRID</name>
+   <description>x.1b : OFFGRID vertex on m2</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>m2_angle</name>
+   <description>x.3a : non 45 degree angle m2</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>via2_OFFGRID</name>
+   <description>x.1b : OFFGRID vertex on via2</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>via2_angle</name>
+   <description>x.2 : non 90 degree angle via2</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>m3_OFFGRID</name>
+   <description>x.1b : OFFGRID vertex on m3</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>m3_angle</name>
+   <description>x.3a : non 45 degree angle m3</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>via3_OFFGRID</name>
+   <description>x.1b : OFFGRID vertex on via3</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>via3_angle</name>
+   <description>x.2 : non 90 degree angle via3</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>nsm_OFFGRID</name>
+   <description>x.1b : OFFGRID vertex on nsm</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>nsm_angle</name>
+   <description>x.3a : non 45 degree angle nsm</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>m4_OFFGRID</name>
+   <description>x.1b : OFFGRID vertex on m4</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>m4_angle</name>
+   <description>x.3a : non 45 degree angle m4</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>via4_OFFGRID</name>
+   <description>x.1b : OFFGRID vertex on via4</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>via4_angle</name>
+   <description>x.2 : non 90 degree angle via4</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>m5_OFFGRID</name>
+   <description>x.1b : OFFGRID vertex on m5</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>m5_angle</name>
+   <description>x.3a : non 45 degree angle m5</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>pad_OFFGRID</name>
+   <description>x.1b : OFFGRID vertex on pad</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>pad_angle</name>
+   <description>x.3a : non 45 degree angle pad</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>mf_OFFGRID</name>
+   <description>x.1b : OFFGRID vertex on mf</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>mf_angle</name>
+   <description>x.2 : non 90 degree angle mf</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>hvi_OFFGRID</name>
+   <description>x.1b : OFFGRID vertex on hvi</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>hvi_angle</name>
+   <description>x.3a : non 45 degree angle hvi</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>hvntm_OFFGRID</name>
+   <description>x.1b : OFFGRID vertex on hvntm</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>hvntm_angle</name>
+   <description>x.3a : non 45 degree angle hvntm</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>vhvi_OFFGRID</name>
+   <description>x.1b : OFFGRID vertex on vhvi</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>vhvi_angle</name>
+   <description>x.3a : non 45 degree angle vhvi</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>uhvi_OFFGRID</name>
+   <description>x.1b : OFFGRID vertex on uhvi</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>uhvi_angle</name>
+   <description>x.3a : non 45 degree angle uhvi</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>pwell_rs_OFFGRID</name>
+   <description>x.1b : OFFGRID vertex on pwell_rs</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>pwell_rs_angle</name>
+   <description>x.3a : non 45 degree angle pwell_rs</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>areaid_re_OFFGRID</name>
+   <description>x.1b : OFFGRID vertex on areaid.re</description>
+   <categories>
+   </categories>
+  </category>
+ </categories>
+ <cells>
+  <cell>
+   <name>user_analog_project_wrapper</name>
+   <variant/>
+   <references>
+   </references>
+  </cell>
+ </cells>
+ <items>
+ </items>
+</report-database>
diff --git a/precheck_results/02_JUN_2022___13_34_14/outputs/reports/klayout_pin_label_purposes_overlapping_drawing_check.xml b/precheck_results/02_JUN_2022___13_34_14/outputs/reports/klayout_pin_label_purposes_overlapping_drawing_check.xml
new file mode 100755
index 0000000..17d072c
--- /dev/null
+++ b/precheck_results/02_JUN_2022___13_34_14/outputs/reports/klayout_pin_label_purposes_overlapping_drawing_check.xml
@@ -0,0 +1,66 @@
+<?xml version="1.0" encoding="utf-8"?>
+<report-database>
+ <description>pin_label_purposes_overlapping_drawing.rb.drc, input=/home/krishna/Comparator_MPW6/gds/user_analog_project_wrapper.gds, topcell=user_analog_project_wrapper</description>
+ <original-file/>
+ <generator>drc: script='/home/krishna/mpw_precheckmpw6/checks/drc_checks/klayout/pin_label_purposes_overlapping_drawing.rb.drc'</generator>
+ <top-cell>user_analog_project_wrapper</top-cell>
+ <tags>
+ </tags>
+ <categories>
+  <category>
+   <name>70/16: met3, pin/label not-over drawing:70/20</name>
+   <description/>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>72/16: met5, pin/label not-over drawing:72/20</name>
+   <description/>
+   <categories>
+   </categories>
+  </category>
+ </categories>
+ <cells>
+  <cell>
+   <name>user_analog_project_wrapper</name>
+   <variant/>
+   <references>
+   </references>
+  </cell>
+ </cells>
+ <items>
+  <item>
+   <tags/>
+   <category>'70/16: met3, pin/label not-over drawing:70/20'</category>
+   <cell>user_analog_project_wrapper</cell>
+   <visited>false</visited>
+   <multiplicity>1</multiplicity>
+   <image/>
+   <values>
+    <value>polygon: (852.9,3511.5;852.9,3513.28;852.98,3513.28;852.98,3511.5)</value>
+   </values>
+  </item>
+  <item>
+   <tags/>
+   <category>'72/16: met5, pin/label not-over drawing:72/20'</category>
+   <cell>user_analog_project_wrapper</cell>
+   <visited>false</visited>
+   <multiplicity>1</multiplicity>
+   <image/>
+   <values>
+    <value>polygon: (879.47,3523.57;879.47,3524;879.48,3524;879.48,3523.57)</value>
+   </values>
+  </item>
+  <item>
+   <tags/>
+   <category>'72/16: met5, pin/label not-over drawing:72/20'</category>
+   <cell>user_analog_project_wrapper</cell>
+   <visited>false</visited>
+   <multiplicity>1</multiplicity>
+   <image/>
+   <values>
+    <value>polygon: (827.97,3512.7;827.97,3524;827.98,3524;827.98,3512.7)</value>
+   </values>
+  </item>
+ </items>
+</report-database>
diff --git a/precheck_results/02_JUN_2022___13_34_14/outputs/reports/klayout_zeroarea_check.xml b/precheck_results/02_JUN_2022___13_34_14/outputs/reports/klayout_zeroarea_check.xml
new file mode 100755
index 0000000..c90408a
--- /dev/null
+++ b/precheck_results/02_JUN_2022___13_34_14/outputs/reports/klayout_zeroarea_check.xml
@@ -0,0 +1,21 @@
+<?xml version="1.0" encoding="utf-8"?>
+<report-database>
+ <description>zero area check</description>
+ <original-file/>
+ <generator>drc: script='/home/krishna/mpw_precheckmpw6/checks/drc_checks/klayout/zeroarea.rb.drc'</generator>
+ <top-cell>user_analog_project_wrapper</top-cell>
+ <tags>
+ </tags>
+ <categories>
+ </categories>
+ <cells>
+  <cell>
+   <name>user_analog_project_wrapper</name>
+   <variant/>
+   <references>
+   </references>
+  </cell>
+ </cells>
+ <items>
+ </items>
+</report-database>
diff --git a/precheck_results/02_JUN_2022___13_34_14/outputs/reports/magic_drc_check.drc.report b/precheck_results/02_JUN_2022___13_34_14/outputs/reports/magic_drc_check.drc.report
new file mode 100755
index 0000000..829b9d5
--- /dev/null
+++ b/precheck_results/02_JUN_2022___13_34_14/outputs/reports/magic_drc_check.drc.report
@@ -0,0 +1,5 @@
+user_analog_project_wrapper
+----------------------------------------
+[INFO]: COUNT: 0
+[INFO]: Should be divided by 3 or 4
+
diff --git a/precheck_results/02_JUN_2022___13_34_14/outputs/reports/magic_drc_check.rdb b/precheck_results/02_JUN_2022___13_34_14/outputs/reports/magic_drc_check.rdb
new file mode 100755
index 0000000..8a882f3
--- /dev/null
+++ b/precheck_results/02_JUN_2022___13_34_14/outputs/reports/magic_drc_check.rdb
@@ -0,0 +1,2 @@
+$user_analog_project_wrapper
+ 100
diff --git a/precheck_results/02_JUN_2022___13_34_14/outputs/reports/magic_drc_check.tcl b/precheck_results/02_JUN_2022___13_34_14/outputs/reports/magic_drc_check.tcl
new file mode 100755
index 0000000..e69de29
--- /dev/null
+++ b/precheck_results/02_JUN_2022___13_34_14/outputs/reports/magic_drc_check.tcl
diff --git a/precheck_results/02_JUN_2022___13_34_14/outputs/reports/magic_drc_check.tr b/precheck_results/02_JUN_2022___13_34_14/outputs/reports/magic_drc_check.tr
new file mode 100755
index 0000000..e69de29
--- /dev/null
+++ b/precheck_results/02_JUN_2022___13_34_14/outputs/reports/magic_drc_check.tr
diff --git a/precheck_results/02_JUN_2022___13_34_14/outputs/reports/magic_drc_check.xml b/precheck_results/02_JUN_2022___13_34_14/outputs/reports/magic_drc_check.xml
new file mode 100755
index 0000000..7161d7c
--- /dev/null
+++ b/precheck_results/02_JUN_2022___13_34_14/outputs/reports/magic_drc_check.xml
@@ -0,0 +1,10 @@
+<?xml version="1.0" ?>
+<report-database>
+    <categories/>
+    <cells>
+        <cell>
+            <name>user_analog_project_wrapper</name>
+        </cell>
+    </cells>
+    <items/>
+</report-database>
diff --git a/precheck_results/02_JUN_2022___13_34_14/outputs/user_analog_project_wrapper.magic.drc.mag b/precheck_results/02_JUN_2022___13_34_14/outputs/user_analog_project_wrapper.magic.drc.mag
new file mode 100755
index 0000000..bc7865b
--- /dev/null
+++ b/precheck_results/02_JUN_2022___13_34_14/outputs/user_analog_project_wrapper.magic.drc.mag
@@ -0,0 +1,7 @@
+magic
+tech minimum
+magscale 1 2
+timestamp 0
+<< checkpaint >>
+rect 0 0 1 1
+<< end >>
diff --git a/precheck_results/02_JUN_2022___13_34_14/outputs/user_analog_project_wrapper_empty_erased.gds b/precheck_results/02_JUN_2022___13_34_14/outputs/user_analog_project_wrapper_empty_erased.gds
new file mode 100755
index 0000000..e69de29
--- /dev/null
+++ b/precheck_results/02_JUN_2022___13_34_14/outputs/user_analog_project_wrapper_empty_erased.gds
diff --git a/precheck_results/02_JUN_2022___13_34_14/outputs/user_analog_project_wrapper_erased.gds b/precheck_results/02_JUN_2022___13_34_14/outputs/user_analog_project_wrapper_erased.gds
new file mode 100755
index 0000000..e69de29
--- /dev/null
+++ b/precheck_results/02_JUN_2022___13_34_14/outputs/user_analog_project_wrapper_erased.gds
diff --git a/precheck_results/02_JUN_2022___13_34_14/outputs/user_analog_project_wrapper_no_zero_areas.gds b/precheck_results/02_JUN_2022___13_34_14/outputs/user_analog_project_wrapper_no_zero_areas.gds
new file mode 100755
index 0000000..3c8aef0
--- /dev/null
+++ b/precheck_results/02_JUN_2022___13_34_14/outputs/user_analog_project_wrapper_no_zero_areas.gds
Binary files differ
diff --git a/precheck_results/02_JUN_2022___13_44_02/logs/gds.info b/precheck_results/02_JUN_2022___13_44_02/logs/gds.info
new file mode 100644
index 0000000..39ad664
--- /dev/null
+++ b/precheck_results/02_JUN_2022___13_44_02/logs/gds.info
@@ -0,0 +1 @@
+user_analog_project_wrapper.gds: da65c73f0037044e43be350669b9c1a98297af69
\ No newline at end of file
diff --git a/precheck_results/02_JUN_2022___13_44_02/logs/klayout_beol_check.log b/precheck_results/02_JUN_2022___13_44_02/logs/klayout_beol_check.log
new file mode 100644
index 0000000..84f069d
--- /dev/null
+++ b/precheck_results/02_JUN_2022___13_44_02/logs/klayout_beol_check.log
@@ -0,0 +1,992 @@
+/home/krishna/mpw_precheckmpw6/checks/tech-files/sky130A_mr.drc:38: warning: already initialized constant DRC::DRCEngine::FEOL
+/home/krishna/mpw_precheckmpw6/checks/tech-files/sky130A_mr.drc:28: warning: previous definition of FEOL was here
+/home/krishna/mpw_precheckmpw6/checks/tech-files/sky130A_mr.drc:42: warning: already initialized constant DRC::DRCEngine::BEOL
+/home/krishna/mpw_precheckmpw6/checks/tech-files/sky130A_mr.drc:29: warning: previous definition of BEOL was here
+/home/krishna/mpw_precheckmpw6/checks/tech-files/sky130A_mr.drc:50: warning: already initialized constant DRC::DRCEngine::OFFGRID
+/home/krishna/mpw_precheckmpw6/checks/tech-files/sky130A_mr.drc:30: warning: previous definition of OFFGRID was here
+/home/krishna/mpw_precheckmpw6/checks/tech-files/sky130A_mr.drc:56: warning: already initialized constant DRC::DRCEngine::SEAL
+/home/krishna/mpw_precheckmpw6/checks/tech-files/sky130A_mr.drc:31: warning: previous definition of SEAL was here
+/home/krishna/mpw_precheckmpw6/checks/tech-files/sky130A_mr.drc:62: warning: already initialized constant DRC::DRCEngine::FLOATING_MET
+/home/krishna/mpw_precheckmpw6/checks/tech-files/sky130A_mr.drc:32: warning: previous definition of FLOATING_MET was here
+"input" in: sky130A_mr.drc:112
+    Polygons (raw): 62 (flat)  25 (hierarchical)
+    Elapsed: 0.000s  Memory: 522.00M
+"polygons" in: sky130A_mr.drc:113
+    Polygons (raw): 38 (flat)  30 (hierarchical)
+    Elapsed: 0.010s  Memory: 522.00M
+"polygons" in: sky130A_mr.drc:114
+    Polygons (raw): 89 (flat)  69 (hierarchical)
+    Elapsed: 0.000s  Memory: 522.00M
+"polygons" in: sky130A_mr.drc:115
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 522.00M
+"polygons" in: sky130A_mr.drc:116
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 522.00M
+"polygons" in: sky130A_mr.drc:117
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 522.00M
+"polygons" in: sky130A_mr.drc:118
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 522.00M
+"polygons" in: sky130A_mr.drc:119
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 522.00M
+"polygons" in: sky130A_mr.drc:120
+    Polygons (raw): 10 (flat)  2 (hierarchical)
+    Elapsed: 0.000s  Memory: 522.00M
+"polygons" in: sky130A_mr.drc:121
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 522.00M
+"polygons" in: sky130A_mr.drc:122
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 522.00M
+"polygons" in: sky130A_mr.drc:123
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 522.00M
+"polygons" in: sky130A_mr.drc:124
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 522.00M
+"polygons" in: sky130A_mr.drc:125
+    Polygons (raw): 202 (flat)  116 (hierarchical)
+    Elapsed: 0.000s  Memory: 522.00M
+"polygons" in: sky130A_mr.drc:126
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 522.00M
+"polygons" in: sky130A_mr.drc:127
+    Polygons (raw): 62 (flat)  43 (hierarchical)
+    Elapsed: 0.000s  Memory: 522.00M
+"polygons" in: sky130A_mr.drc:128
+    Polygons (raw): 52 (flat)  26 (hierarchical)
+    Elapsed: 0.010s  Memory: 522.00M
+"polygons" in: sky130A_mr.drc:129
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 522.00M
+"polygons" in: sky130A_mr.drc:130
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 522.00M
+"polygons" in: sky130A_mr.drc:131
+    Polygons (raw): 33 (flat)  24 (hierarchical)
+    Elapsed: 0.010s  Memory: 522.00M
+"polygons" in: sky130A_mr.drc:132
+    Polygons (raw): 907 (flat)  291 (hierarchical)
+    Elapsed: 0.000s  Memory: 522.00M
+"polygons" in: sky130A_mr.drc:134
+    Polygons (raw): 566 (flat)  394 (hierarchical)
+    Elapsed: 0.010s  Memory: 522.00M
+"polygons" in: sky130A_mr.drc:135
+    Polygons (raw): 1756 (flat)  1480 (hierarchical)
+    Elapsed: 0.000s  Memory: 522.00M
+"polygons" in: sky130A_mr.drc:137
+    Polygons (raw): 349 (flat)  299 (hierarchical)
+    Elapsed: 0.010s  Memory: 522.00M
+"polygons" in: sky130A_mr.drc:138
+    Polygons (raw): 2570 (flat)  2570 (hierarchical)
+    Elapsed: 0.000s  Memory: 522.00M
+"polygons" in: sky130A_mr.drc:140
+    Polygons (raw): 603 (flat)  603 (hierarchical)
+    Elapsed: 0.010s  Memory: 522.00M
+"polygons" in: sky130A_mr.drc:141
+    Polygons (raw): 1761 (flat)  1761 (hierarchical)
+    Elapsed: 0.010s  Memory: 522.00M
+"polygons" in: sky130A_mr.drc:143
+    Polygons (raw): 459 (flat)  459 (hierarchical)
+    Elapsed: 0.000s  Memory: 522.00M
+"polygons" in: sky130A_mr.drc:144
+    Polygons (raw): 25800 (flat)  25800 (hierarchical)
+    Elapsed: 0.020s  Memory: 523.00M
+"polygons" in: sky130A_mr.drc:146
+    Polygons (raw): 177 (flat)  177 (hierarchical)
+    Elapsed: 0.000s  Memory: 523.00M
+"polygons" in: sky130A_mr.drc:147
+    Polygons (raw): 552 (flat)  552 (hierarchical)
+    Elapsed: 0.010s  Memory: 523.00M
+"polygons" in: sky130A_mr.drc:149
+    Polygons (raw): 151 (flat)  151 (hierarchical)
+    Elapsed: 0.000s  Memory: 523.00M
+"polygons" in: sky130A_mr.drc:151
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 523.00M
+"polygons" in: sky130A_mr.drc:152
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 523.00M
+"polygons" in: sky130A_mr.drc:153
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 523.00M
+"polygons" in: sky130A_mr.drc:154
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 523.00M
+"polygons" in: sky130A_mr.drc:155
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 523.00M
+"polygons" in: sky130A_mr.drc:156
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 523.00M
+"polygons" in: sky130A_mr.drc:157
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 523.00M
+"polygons" in: sky130A_mr.drc:158
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 523.00M
+"polygons" in: sky130A_mr.drc:159
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 523.00M
+"polygons" in: sky130A_mr.drc:160
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 523.00M
+"polygons" in: sky130A_mr.drc:161
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 523.00M
+"polygons" in: sky130A_mr.drc:162
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 523.00M
+"polygons" in: sky130A_mr.drc:163
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 523.00M
+"polygons" in: sky130A_mr.drc:164
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 523.00M
+"polygons" in: sky130A_mr.drc:165
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 523.00M
+"polygons" in: sky130A_mr.drc:166
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 523.00M
+"polygons" in: sky130A_mr.drc:167
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 523.00M
+"polygons" in: sky130A_mr.drc:168
+    Polygons (raw): 10 (flat)  2 (hierarchical)
+    Elapsed: 0.000s  Memory: 523.00M
+"polygons" in: sky130A_mr.drc:169
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 523.00M
+"polygons" in: sky130A_mr.drc:170
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 523.00M
+"polygons" in: sky130A_mr.drc:171
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 523.00M
+"polygons" in: sky130A_mr.drc:172
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 523.00M
+"polygons" in: sky130A_mr.drc:173
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 523.00M
+"polygons" in: sky130A_mr.drc:174
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 523.00M
+"polygons" in: sky130A_mr.drc:175
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 523.00M
+"polygons" in: sky130A_mr.drc:176
+    Polygons (raw): 1 (flat)  1 (hierarchical)
+    Elapsed: 0.010s  Memory: 523.00M
+"polygons" in: sky130A_mr.drc:177
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 523.00M
+"polygons" in: sky130A_mr.drc:178
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 523.00M
+"polygons" in: sky130A_mr.drc:179
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 523.00M
+"polygons" in: sky130A_mr.drc:180
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 523.00M
+"polygons" in: sky130A_mr.drc:181
+    Polygons (raw): 4 (flat)  3 (hierarchical)
+    Elapsed: 0.000s  Memory: 523.00M
+"polygons" in: sky130A_mr.drc:182
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 523.00M
+"polygons" in: sky130A_mr.drc:183
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 523.00M
+"polygons" in: sky130A_mr.drc:184
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 523.00M
+"polygons" in: sky130A_mr.drc:185
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 523.00M
+"polygons" in: sky130A_mr.drc:186
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 523.00M
+"polygons" in: sky130A_mr.drc:187
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 523.00M
+"polygons" in: sky130A_mr.drc:188
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 523.00M
+"polygons" in: sky130A_mr.drc:189
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 523.00M
+"polygons" in: sky130A_mr.drc:190
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 523.00M
+"polygons" in: sky130A_mr.drc:191
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 523.00M
+"polygons" in: sky130A_mr.drc:192
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 523.00M
+"polygons" in: sky130A_mr.drc:193
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 523.00M
+"polygons" in: sky130A_mr.drc:194
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 523.00M
+"polygons" in: sky130A_mr.drc:195
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 523.00M
+"polygons" in: sky130A_mr.drc:196
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 523.00M
+"polygons" in: sky130A_mr.drc:197
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 523.00M
+"polygons" in: sky130A_mr.drc:198
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 523.00M
+"polygons" in: sky130A_mr.drc:199
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 523.00M
+"polygons" in: sky130A_mr.drc:200
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 523.00M
+"polygons" in: sky130A_mr.drc:201
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 523.00M
+"polygons" in: sky130A_mr.drc:202
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 523.00M
+"polygons" in: sky130A_mr.drc:203
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 523.00M
+DRC section
+BEOL section
+START: 67/20 (li)
+"not" in: sky130A_mr.drc:381
+    Polygons (raw): 566 (flat)  394 (hierarchical)
+    Elapsed: 0.010s  Memory: 523.00M
+"width" in: sky130A_mr.drc:382
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 523.00M
+"output" in: sky130A_mr.drc:382
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 523.00M
+"edges" in: sky130A_mr.drc:384
+    Edges: 2849 (flat)  1598 (hierarchical)
+    Elapsed: 0.030s  Memory: 1067.00M
+"space" in: sky130A_mr.drc:384
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 1067.00M
+"output" in: sky130A_mr.drc:384
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 1067.00M
+"not" in: sky130A_mr.drc:385
+    Polygons (raw): 907 (flat)  291 (hierarchical)
+    Elapsed: 0.010s  Memory: 1067.00M
+"enclosing" in: sky130A_mr.drc:386
+    Edge pairs: 1195 (flat)  859 (hierarchical)
+    Elapsed: 0.020s  Memory: 1067.00M
+"second_edges" in: sky130A_mr.drc:386
+    Edges: 1195 (flat)  859 (hierarchical)
+    Elapsed: 0.010s  Memory: 1067.00M
+"width" in: sky130A_mr.drc:387
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 1067.00M
+"polygons" in: sky130A_mr.drc:388
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 1067.00M
+"interacting" in: sky130A_mr.drc:388
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 1067.00M
+"output" in: sky130A_mr.drc:389
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 1067.00M
+"with_area" in: sky130A_mr.drc:390
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 1067.00M
+"output" in: sky130A_mr.drc:390
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 1067.00M
+END: 67/20 (li)
+START: 67/44 (mcon)
+"not" in: sky130A_mr.drc:395
+    Polygons (raw): 1756 (flat)  1480 (hierarchical)
+    Elapsed: 0.000s  Memory: 1067.00M
+"not" in: sky130A_mr.drc:402
+    Polygons (raw): 1756 (flat)  1480 (hierarchical)
+    Elapsed: 0.010s  Memory: 1067.00M
+"non_rectangles" in: sky130A_mr.drc:403
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 1067.00M
+"output" in: sky130A_mr.drc:403
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 1067.00M
+"drc" in: sky130A_mr.drc:405
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.020s  Memory: 1068.00M
+"output" in: sky130A_mr.drc:405
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 1068.00M
+"drc" in: sky130A_mr.drc:406
+    Edges: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 1068.00M
+"output" in: sky130A_mr.drc:406
+    Edges: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 1068.00M
+"space" in: sky130A_mr.drc:407
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 1068.00M
+"output" in: sky130A_mr.drc:407
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 1068.00M
+"not" in: sky130A_mr.drc:413
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.020s  Memory: 1068.00M
+"output" in: sky130A_mr.drc:413
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 1068.00M
+END: 67/44 (mcon)
+START: 68/20 (m1)
+"width" in: sky130A_mr.drc:418
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 1068.00M
+"output" in: sky130A_mr.drc:418
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 1068.00M
+"sized" in: sky130A_mr.drc:419
+    Polygons (raw): 17 (flat)  17 (hierarchical)
+    Elapsed: 0.000s  Memory: 1068.00M
+"sized" in: sky130A_mr.drc:419
+    Polygons (raw): 17 (flat)  17 (hierarchical)
+    Elapsed: 0.010s  Memory: 1068.00M
+"snap" in: sky130A_mr.drc:419
+    Polygons (raw): 17 (flat)  17 (hierarchical)
+    Elapsed: 0.000s  Memory: 1068.00M
+"&" in: sky130A_mr.drc:419
+    Polygons (raw): 17 (flat)  17 (hierarchical)
+    Elapsed: 0.010s  Memory: 1068.00M
+"edges" in: sky130A_mr.drc:420
+    Edges: 1235 (flat)  1093 (hierarchical)
+    Elapsed: 0.010s  Memory: 1068.00M
+"-" in: sky130A_mr.drc:420
+    Edges: 1100 (flat)  964 (hierarchical)
+    Elapsed: 0.020s  Memory: 1068.00M
+"edges" in: sky130A_mr.drc:421
+    Edges: 122 (flat)  122 (hierarchical)
+    Elapsed: 0.010s  Memory: 1068.00M
+"merged" in: sky130A_mr.drc:421
+    Polygons (raw): 123 (flat)  113 (hierarchical)
+    Elapsed: 0.000s  Memory: 1068.00M
+"outside_part" in: sky130A_mr.drc:421
+    Edges: 138 (flat)  138 (hierarchical)
+    Elapsed: 0.010s  Memory: 1068.00M
+"space" in: sky130A_mr.drc:423
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 1068.00M
+"output" in: sky130A_mr.drc:423
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 1068.00M
+"separation" in: sky130A_mr.drc:425
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 1068.00M
+"space" in: sky130A_mr.drc:425
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 1068.00M
+"+" in: sky130A_mr.drc:425
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 1068.00M
+"output" in: sky130A_mr.drc:425
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 1068.00M
+"input" in: sky130A_mr.drc:429
+    Polygons (raw): 349 (flat)  305 (hierarchical)
+    Elapsed: 0.010s  Memory: 1068.00M
+"enclosing" in: sky130A_mr.drc:431
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 1068.00M
+"output" in: sky130A_mr.drc:431
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 1068.00M
+"not" in: sky130A_mr.drc:432
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 1068.00M
+"output" in: sky130A_mr.drc:432
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 1068.00M
+"input" in: sky130A_mr.drc:434
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 1068.00M
+"enclosing" in: sky130A_mr.drc:435
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 1068.00M
+"output" in: sky130A_mr.drc:435
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 1068.00M
+"not" in: sky130A_mr.drc:437
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 1068.00M
+"output" in: sky130A_mr.drc:437
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 1068.00M
+"with_area" in: sky130A_mr.drc:439
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 1068.00M
+"output" in: sky130A_mr.drc:439
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 1068.00M
+"holes" in: sky130A_mr.drc:441
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 1068.00M
+"with_area" in: sky130A_mr.drc:441
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 1068.00M
+"output" in: sky130A_mr.drc:441
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 1068.00M
+"enclosing" in: sky130A_mr.drc:448
+    Edge pairs: 245 (flat)  205 (hierarchical)
+    Elapsed: 0.020s  Memory: 1068.00M
+"second_edges" in: sky130A_mr.drc:448
+    Edges: 245 (flat)  205 (hierarchical)
+    Elapsed: 0.010s  Memory: 1068.00M
+"width" in: sky130A_mr.drc:449
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 1068.00M
+"polygons" in: sky130A_mr.drc:450
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 1068.00M
+"interacting" in: sky130A_mr.drc:450
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.020s  Memory: 1068.00M
+"output" in: sky130A_mr.drc:451
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 1068.00M
+END: 68/20 (m1)
+START: 68/44 (via)
+"not" in: sky130A_mr.drc:465
+    Polygons (raw): 2570 (flat)  2570 (hierarchical)
+    Elapsed: 0.010s  Memory: 1068.00M
+"non_rectangles" in: sky130A_mr.drc:467
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.020s  Memory: 1068.00M
+"output" in: sky130A_mr.drc:467
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 1068.00M
+"width" in: sky130A_mr.drc:468
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 1068.00M
+"output" in: sky130A_mr.drc:468
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 1068.00M
+"drc" in: sky130A_mr.drc:470
+    Edges: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 1068.00M
+"output" in: sky130A_mr.drc:470
+    Edges: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 1068.00M
+"space" in: sky130A_mr.drc:472
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.030s  Memory: 1068.00M
+"output" in: sky130A_mr.drc:472
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 1068.00M
+"edges" in: sky130A_mr.drc:480
+    Edges: 1235 (flat)  1093 (hierarchical)
+    Elapsed: 0.010s  Memory: 1068.00M
+"drc" in: sky130A_mr.drc:480
+    Edges: 10280 (flat)  10280 (hierarchical)
+    Elapsed: 0.040s  Memory: 1068.00M
+"enclosing" in: sky130A_mr.drc:480
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 1068.00M
+"output" in: sky130A_mr.drc:480
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 1068.00M
+"squares" in: sky130A_mr.drc:481
+    Polygons (raw): 2570 (flat)  2570 (hierarchical)
+    Elapsed: 0.000s  Memory: 1068.00M
+"drc" in: sky130A_mr.drc:481
+    Edges: 10280 (flat)  10280 (hierarchical)
+    Elapsed: 0.040s  Memory: 1068.00M
+"not" in: sky130A_mr.drc:481
+    Edges: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.030s  Memory: 1068.00M
+"output" in: sky130A_mr.drc:481
+    Edges: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 1068.00M
+"edges" in: sky130A_mr.drc:483
+    Edges: 1235 (flat)  1093 (hierarchical)
+    Elapsed: 0.020s  Memory: 1068.00M
+"drc" in: sky130A_mr.drc:483
+    Edges: 10280 (flat)  10280 (hierarchical)
+    Elapsed: 0.030s  Memory: 1068.00M
+"enclosing" in: sky130A_mr.drc:483
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.020s  Memory: 1068.00M
+"second_edges" in: sky130A_mr.drc:483
+    Edges: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 1068.00M
+"width" in: sky130A_mr.drc:484
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 1068.00M
+"polygons" in: sky130A_mr.drc:485
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 1068.00M
+"interacting" in: sky130A_mr.drc:485
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 1068.00M
+"output" in: sky130A_mr.drc:486
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 1068.00M
+END: 68/44 (via)
+START: 69/20 (m2)
+"width" in: sky130A_mr.drc:493
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 1069.00M
+"output" in: sky130A_mr.drc:493
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 1069.00M
+"sized" in: sky130A_mr.drc:495
+    Polygons (raw): 13 (flat)  13 (hierarchical)
+    Elapsed: 0.010s  Memory: 1069.00M
+"sized" in: sky130A_mr.drc:495
+    Polygons (raw): 13 (flat)  13 (hierarchical)
+    Elapsed: 0.000s  Memory: 1069.00M
+"snap" in: sky130A_mr.drc:495
+    Polygons (raw): 13 (flat)  13 (hierarchical)
+    Elapsed: 0.010s  Memory: 1069.00M
+"&" in: sky130A_mr.drc:495
+    Polygons (raw): 13 (flat)  13 (hierarchical)
+    Elapsed: 0.010s  Memory: 1069.00M
+"edges" in: sky130A_mr.drc:496
+    Edges: 2367 (flat)  2367 (hierarchical)
+    Elapsed: 0.010s  Memory: 1069.00M
+"-" in: sky130A_mr.drc:496
+    Edges: 2296 (flat)  2296 (hierarchical)
+    Elapsed: 0.000s  Memory: 1069.00M
+"edges" in: sky130A_mr.drc:497
+    Edges: 64 (flat)  64 (hierarchical)
+    Elapsed: 0.010s  Memory: 1069.00M
+"merged" in: sky130A_mr.drc:497
+    Polygons (raw): 550 (flat)  550 (hierarchical)
+    Elapsed: 0.010s  Memory: 1069.00M
+"outside_part" in: sky130A_mr.drc:497
+    Edges: 65 (flat)  65 (hierarchical)
+    Elapsed: 0.010s  Memory: 1069.00M
+"not" in: sky130A_mr.drc:498
+    Polygons (raw): 2570 (flat)  2570 (hierarchical)
+    Elapsed: 0.000s  Memory: 1069.00M
+"space" in: sky130A_mr.drc:500
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.020s  Memory: 1069.00M
+"output" in: sky130A_mr.drc:500
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 1069.00M
+"separation" in: sky130A_mr.drc:502
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 1069.00M
+"space" in: sky130A_mr.drc:502
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 1069.00M
+"+" in: sky130A_mr.drc:502
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 1069.00M
+"output" in: sky130A_mr.drc:502
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 1069.00M
+"with_area" in: sky130A_mr.drc:504
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 1069.00M
+"output" in: sky130A_mr.drc:504
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 1069.00M
+"holes" in: sky130A_mr.drc:505
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 1069.00M
+"with_area" in: sky130A_mr.drc:505
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 1069.00M
+"output" in: sky130A_mr.drc:505
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 1069.00M
+"enclosing" in: sky130A_mr.drc:510
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.020s  Memory: 1069.00M
+"output" in: sky130A_mr.drc:510
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 1069.00M
+"not" in: sky130A_mr.drc:511
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 1069.00M
+"output" in: sky130A_mr.drc:511
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 1069.00M
+"enclosing" in: sky130A_mr.drc:512
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.020s  Memory: 1069.00M
+"second_edges" in: sky130A_mr.drc:512
+    Edges: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 1069.00M
+"width" in: sky130A_mr.drc:513
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 1069.00M
+"polygons" in: sky130A_mr.drc:514
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 1069.00M
+"interacting" in: sky130A_mr.drc:514
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 1069.00M
+"output" in: sky130A_mr.drc:515
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 1069.00M
+END: 69/20 (m2)
+START: 69/44 (via2)
+"not" in: sky130A_mr.drc:530
+    Polygons (raw): 1761 (flat)  1761 (hierarchical)
+    Elapsed: 0.010s  Memory: 1069.00M
+"non_rectangles" in: sky130A_mr.drc:531
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 1069.00M
+"output" in: sky130A_mr.drc:531
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 1069.00M
+"width" in: sky130A_mr.drc:532
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 1069.00M
+"output" in: sky130A_mr.drc:532
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 1069.00M
+"edges" in: sky130A_mr.drc:533
+    Edges: 7044 (flat)  7044 (hierarchical)
+    Elapsed: 0.010s  Memory: 1069.00M
+"without_length" in: sky130A_mr.drc:533
+    Edges: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.020s  Memory: 1071.00M
+"output" in: sky130A_mr.drc:533
+    Edges: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 1071.00M
+"space" in: sky130A_mr.drc:534
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.030s  Memory: 1071.00M
+"output" in: sky130A_mr.drc:534
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 1071.00M
+"enclosing" in: sky130A_mr.drc:542
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.020s  Memory: 1071.00M
+"output" in: sky130A_mr.drc:542
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 1071.00M
+"not" in: sky130A_mr.drc:543
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.020s  Memory: 1071.00M
+"output" in: sky130A_mr.drc:543
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 1071.00M
+"enclosing" in: sky130A_mr.drc:545
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.020s  Memory: 1071.00M
+"second_edges" in: sky130A_mr.drc:545
+    Edges: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 1071.00M
+"width" in: sky130A_mr.drc:546
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 1071.00M
+"polygons" in: sky130A_mr.drc:547
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 1071.00M
+"interacting" in: sky130A_mr.drc:547
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 1071.00M
+"output" in: sky130A_mr.drc:548
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 1071.00M
+END: 69/44 (via2)
+START: 70/20 (m3)
+"width" in: sky130A_mr.drc:554
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 1071.00M
+"output" in: sky130A_mr.drc:554
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 1071.00M
+"sized" in: sky130A_mr.drc:556
+    Polygons (raw): 53 (flat)  53 (hierarchical)
+    Elapsed: 0.010s  Memory: 1071.00M
+"sized" in: sky130A_mr.drc:556
+    Polygons (raw): 53 (flat)  53 (hierarchical)
+    Elapsed: 0.000s  Memory: 1071.00M
+"snap" in: sky130A_mr.drc:556
+    Polygons (raw): 53 (flat)  53 (hierarchical)
+    Elapsed: 0.010s  Memory: 1071.00M
+"&" in: sky130A_mr.drc:556
+    Polygons (raw): 98 (flat)  98 (hierarchical)
+    Elapsed: 0.000s  Memory: 1071.00M
+"edges" in: sky130A_mr.drc:557
+    Edges: 1661 (flat)  1661 (hierarchical)
+    Elapsed: 0.020s  Memory: 1071.00M
+"-" in: sky130A_mr.drc:557
+    Edges: 883 (flat)  883 (hierarchical)
+    Elapsed: 0.010s  Memory: 1071.00M
+"edges" in: sky130A_mr.drc:558
+    Edges: 673 (flat)  673 (hierarchical)
+    Elapsed: 0.010s  Memory: 1071.00M
+"merged" in: sky130A_mr.drc:558
+    Polygons (raw): 228 (flat)  228 (hierarchical)
+    Elapsed: 0.010s  Memory: 1071.00M
+"outside_part" in: sky130A_mr.drc:558
+    Edges: 678 (flat)  678 (hierarchical)
+    Elapsed: 0.010s  Memory: 1071.00M
+"space" in: sky130A_mr.drc:560
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 1071.00M
+"output" in: sky130A_mr.drc:560
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 1071.00M
+"separation" in: sky130A_mr.drc:562
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 1071.00M
+"space" in: sky130A_mr.drc:562
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 1071.00M
+"+" in: sky130A_mr.drc:562
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 1071.00M
+"output" in: sky130A_mr.drc:562
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 1071.00M
+"enclosing" in: sky130A_mr.drc:567
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.020s  Memory: 1071.00M
+"output" in: sky130A_mr.drc:567
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 1071.00M
+"not" in: sky130A_mr.drc:568
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 1071.00M
+"output" in: sky130A_mr.drc:568
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 1071.00M
+END: 70/20 (m3)
+START: 70/44 (via3)
+"not" in: sky130A_mr.drc:582
+    Polygons (raw): 25800 (flat)  25800 (hierarchical)
+    Elapsed: 0.000s  Memory: 1071.00M
+"non_rectangles" in: sky130A_mr.drc:583
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.140s  Memory: 1078.00M
+"output" in: sky130A_mr.drc:583
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 1078.00M
+"width" in: sky130A_mr.drc:584
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.020s  Memory: 1078.00M
+"output" in: sky130A_mr.drc:584
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 1078.00M
+"edges" in: sky130A_mr.drc:585
+    Edges: 103200 (flat)  103200 (hierarchical)
+    Elapsed: 0.090s  Memory: 1078.00M
+"without_length" in: sky130A_mr.drc:585
+    Edges: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.260s  Memory: 1105.00M
+"output" in: sky130A_mr.drc:585
+    Edges: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 1105.00M
+"space" in: sky130A_mr.drc:587
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.180s  Memory: 1105.00M
+"output" in: sky130A_mr.drc:587
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 1105.00M
+"enclosing" in: sky130A_mr.drc:588
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.230s  Memory: 1105.00M
+"output" in: sky130A_mr.drc:588
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 1105.00M
+"not" in: sky130A_mr.drc:589
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.060s  Memory: 1105.00M
+"output" in: sky130A_mr.drc:589
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 1105.00M
+"enclosing" in: sky130A_mr.drc:591
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.220s  Memory: 1105.00M
+"second_edges" in: sky130A_mr.drc:591
+    Edges: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 1105.00M
+"width" in: sky130A_mr.drc:592
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 1105.00M
+"polygons" in: sky130A_mr.drc:593
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 1105.00M
+"interacting" in: sky130A_mr.drc:593
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 1105.00M
+"output" in: sky130A_mr.drc:594
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 1105.00M
+END: 70/44 (via3)
+START: 71/20 (m4)
+"width" in: sky130A_mr.drc:600
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 1105.00M
+"output" in: sky130A_mr.drc:600
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 1105.00M
+"sized" in: sky130A_mr.drc:602
+    Polygons (raw): 20 (flat)  20 (hierarchical)
+    Elapsed: 0.010s  Memory: 1105.00M
+"sized" in: sky130A_mr.drc:602
+    Polygons (raw): 20 (flat)  20 (hierarchical)
+    Elapsed: 0.000s  Memory: 1105.00M
+"snap" in: sky130A_mr.drc:602
+    Polygons (raw): 20 (flat)  20 (hierarchical)
+    Elapsed: 0.010s  Memory: 1105.00M
+"&" in: sky130A_mr.drc:602
+    Polygons (raw): 46 (flat)  46 (hierarchical)
+    Elapsed: 0.000s  Memory: 1105.00M
+"edges" in: sky130A_mr.drc:603
+    Edges: 572 (flat)  572 (hierarchical)
+    Elapsed: 0.010s  Memory: 1105.00M
+"-" in: sky130A_mr.drc:603
+    Edges: 116 (flat)  116 (hierarchical)
+    Elapsed: 0.010s  Memory: 1105.00M
+"edges" in: sky130A_mr.drc:604
+    Edges: 366 (flat)  366 (hierarchical)
+    Elapsed: 0.010s  Memory: 1105.00M
+"merged" in: sky130A_mr.drc:604
+    Polygons (raw): 31 (flat)  31 (hierarchical)
+    Elapsed: 0.000s  Memory: 1105.00M
+"outside_part" in: sky130A_mr.drc:604
+    Edges: 371 (flat)  371 (hierarchical)
+    Elapsed: 0.010s  Memory: 1105.00M
+"space" in: sky130A_mr.drc:606
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 1105.00M
+"output" in: sky130A_mr.drc:606
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 1105.00M
+"with_area" in: sky130A_mr.drc:608
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 1105.00M
+"output" in: sky130A_mr.drc:608
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 1105.00M
+"separation" in: sky130A_mr.drc:610
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 1105.00M
+"space" in: sky130A_mr.drc:610
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 1105.00M
+"+" in: sky130A_mr.drc:610
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 1105.00M
+"output" in: sky130A_mr.drc:610
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 1105.00M
+"enclosing" in: sky130A_mr.drc:615
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.190s  Memory: 1105.00M
+"output" in: sky130A_mr.drc:615
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 1105.00M
+"not" in: sky130A_mr.drc:616
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.050s  Memory: 1105.00M
+"output" in: sky130A_mr.drc:616
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 1105.00M
+END: 71/20 (m4)
+START: 71/44 (via4)
+"not" in: sky130A_mr.drc:629
+    Polygons (raw): 552 (flat)  552 (hierarchical)
+    Elapsed: 0.000s  Memory: 1105.00M
+"non_rectangles" in: sky130A_mr.drc:630
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 1105.00M
+"output" in: sky130A_mr.drc:630
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 1105.00M
+"width" in: sky130A_mr.drc:631
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 1105.00M
+"output" in: sky130A_mr.drc:631
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 1105.00M
+"drc" in: sky130A_mr.drc:632
+    Edges: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 1105.00M
+"output" in: sky130A_mr.drc:632
+    Edges: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 1105.00M
+"space" in: sky130A_mr.drc:634
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 1105.00M
+"polygons" in: sky130A_mr.drc:634
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 1105.00M
+"output" in: sky130A_mr.drc:634
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 1105.00M
+"enclosing" in: sky130A_mr.drc:642
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.020s  Memory: 1105.00M
+"output" in: sky130A_mr.drc:642
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 1105.00M
+"not" in: sky130A_mr.drc:643
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 1105.00M
+"output" in: sky130A_mr.drc:643
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 1105.00M
+END: 71/44 (via4)
+START: 72/20 (m5)
+"width" in: sky130A_mr.drc:648
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 1105.00M
+"output" in: sky130A_mr.drc:648
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 1105.00M
+"space" in: sky130A_mr.drc:650
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 1105.00M
+"output" in: sky130A_mr.drc:650
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 1105.00M
+"enclosing" in: sky130A_mr.drc:652
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 1105.00M
+"output" in: sky130A_mr.drc:652
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 1105.00M
+"not" in: sky130A_mr.drc:653
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 1105.00M
+"output" in: sky130A_mr.drc:653
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 1105.00M
+"with_area" in: sky130A_mr.drc:657
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 1105.00M
+"output" in: sky130A_mr.drc:657
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 1105.00M
+END: 72/20 (m5)
+START: 76/20 (pad)
+"space" in: sky130A_mr.drc:662
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 1105.00M
+"output" in: sky130A_mr.drc:662
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 1105.00M
+END: 76/20 (pad)
+Writing report database: /home/krishna/Comparator_MPW6/precheck_results/02_JUN_2022___13_44_02/outputs/reports/klayout_beol_check.xml ..
+Total elapsed: 3.800s  Memory: 1105.00M
diff --git a/precheck_results/02_JUN_2022___13_44_02/logs/klayout_beol_check.total b/precheck_results/02_JUN_2022___13_44_02/logs/klayout_beol_check.total
new file mode 100644
index 0000000..c227083
--- /dev/null
+++ b/precheck_results/02_JUN_2022___13_44_02/logs/klayout_beol_check.total
@@ -0,0 +1 @@
+0
\ No newline at end of file
diff --git a/precheck_results/02_JUN_2022___13_44_02/logs/klayout_feol_check.log b/precheck_results/02_JUN_2022___13_44_02/logs/klayout_feol_check.log
new file mode 100644
index 0000000..db5d66a
--- /dev/null
+++ b/precheck_results/02_JUN_2022___13_44_02/logs/klayout_feol_check.log
@@ -0,0 +1,789 @@
+/home/krishna/mpw_precheckmpw6/checks/tech-files/sky130A_mr.drc:36: warning: already initialized constant DRC::DRCEngine::FEOL
+/home/krishna/mpw_precheckmpw6/checks/tech-files/sky130A_mr.drc:28: warning: previous definition of FEOL was here
+/home/krishna/mpw_precheckmpw6/checks/tech-files/sky130A_mr.drc:44: warning: already initialized constant DRC::DRCEngine::BEOL
+/home/krishna/mpw_precheckmpw6/checks/tech-files/sky130A_mr.drc:29: warning: previous definition of BEOL was here
+/home/krishna/mpw_precheckmpw6/checks/tech-files/sky130A_mr.drc:50: warning: already initialized constant DRC::DRCEngine::OFFGRID
+/home/krishna/mpw_precheckmpw6/checks/tech-files/sky130A_mr.drc:30: warning: previous definition of OFFGRID was here
+/home/krishna/mpw_precheckmpw6/checks/tech-files/sky130A_mr.drc:56: warning: already initialized constant DRC::DRCEngine::SEAL
+/home/krishna/mpw_precheckmpw6/checks/tech-files/sky130A_mr.drc:31: warning: previous definition of SEAL was here
+/home/krishna/mpw_precheckmpw6/checks/tech-files/sky130A_mr.drc:62: warning: already initialized constant DRC::DRCEngine::FLOATING_MET
+/home/krishna/mpw_precheckmpw6/checks/tech-files/sky130A_mr.drc:32: warning: previous definition of FLOATING_MET was here
+"input" in: sky130A_mr.drc:112
+    Polygons (raw): 62 (flat)  25 (hierarchical)
+    Elapsed: 0.010s  Memory: 522.00M
+"polygons" in: sky130A_mr.drc:113
+    Polygons (raw): 38 (flat)  30 (hierarchical)
+    Elapsed: 0.000s  Memory: 522.00M
+"polygons" in: sky130A_mr.drc:114
+    Polygons (raw): 89 (flat)  69 (hierarchical)
+    Elapsed: 0.010s  Memory: 522.00M
+"polygons" in: sky130A_mr.drc:115
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 522.00M
+"polygons" in: sky130A_mr.drc:116
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 522.00M
+"polygons" in: sky130A_mr.drc:117
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 522.00M
+"polygons" in: sky130A_mr.drc:118
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 522.00M
+"polygons" in: sky130A_mr.drc:119
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 522.00M
+"polygons" in: sky130A_mr.drc:120
+    Polygons (raw): 10 (flat)  2 (hierarchical)
+    Elapsed: 0.010s  Memory: 522.00M
+"polygons" in: sky130A_mr.drc:121
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 522.00M
+"polygons" in: sky130A_mr.drc:122
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 522.00M
+"polygons" in: sky130A_mr.drc:123
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 522.00M
+"polygons" in: sky130A_mr.drc:124
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 522.00M
+"polygons" in: sky130A_mr.drc:125
+    Polygons (raw): 202 (flat)  116 (hierarchical)
+    Elapsed: 0.010s  Memory: 522.00M
+"polygons" in: sky130A_mr.drc:126
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 522.00M
+"polygons" in: sky130A_mr.drc:127
+    Polygons (raw): 62 (flat)  43 (hierarchical)
+    Elapsed: 0.010s  Memory: 522.00M
+"polygons" in: sky130A_mr.drc:128
+    Polygons (raw): 52 (flat)  26 (hierarchical)
+    Elapsed: 0.000s  Memory: 522.00M
+"polygons" in: sky130A_mr.drc:129
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 522.00M
+"polygons" in: sky130A_mr.drc:130
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 522.00M
+"polygons" in: sky130A_mr.drc:131
+    Polygons (raw): 33 (flat)  24 (hierarchical)
+    Elapsed: 0.010s  Memory: 522.00M
+"polygons" in: sky130A_mr.drc:132
+    Polygons (raw): 907 (flat)  291 (hierarchical)
+    Elapsed: 0.000s  Memory: 522.00M
+"polygons" in: sky130A_mr.drc:134
+    Polygons (raw): 566 (flat)  394 (hierarchical)
+    Elapsed: 0.010s  Memory: 522.00M
+"polygons" in: sky130A_mr.drc:135
+    Polygons (raw): 1756 (flat)  1480 (hierarchical)
+    Elapsed: 0.000s  Memory: 522.00M
+"polygons" in: sky130A_mr.drc:137
+    Polygons (raw): 349 (flat)  299 (hierarchical)
+    Elapsed: 0.000s  Memory: 522.00M
+"polygons" in: sky130A_mr.drc:138
+    Polygons (raw): 2570 (flat)  2570 (hierarchical)
+    Elapsed: 0.010s  Memory: 522.00M
+"polygons" in: sky130A_mr.drc:140
+    Polygons (raw): 603 (flat)  603 (hierarchical)
+    Elapsed: 0.000s  Memory: 522.00M
+"polygons" in: sky130A_mr.drc:141
+    Polygons (raw): 1761 (flat)  1761 (hierarchical)
+    Elapsed: 0.010s  Memory: 522.00M
+"polygons" in: sky130A_mr.drc:143
+    Polygons (raw): 459 (flat)  459 (hierarchical)
+    Elapsed: 0.000s  Memory: 522.00M
+"polygons" in: sky130A_mr.drc:144
+    Polygons (raw): 25800 (flat)  25800 (hierarchical)
+    Elapsed: 0.020s  Memory: 523.00M
+"polygons" in: sky130A_mr.drc:146
+    Polygons (raw): 177 (flat)  177 (hierarchical)
+    Elapsed: 0.000s  Memory: 523.00M
+"polygons" in: sky130A_mr.drc:147
+    Polygons (raw): 552 (flat)  552 (hierarchical)
+    Elapsed: 0.010s  Memory: 523.00M
+"polygons" in: sky130A_mr.drc:149
+    Polygons (raw): 151 (flat)  151 (hierarchical)
+    Elapsed: 0.000s  Memory: 523.00M
+"polygons" in: sky130A_mr.drc:151
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 523.00M
+"polygons" in: sky130A_mr.drc:152
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 523.00M
+"polygons" in: sky130A_mr.drc:153
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 523.00M
+"polygons" in: sky130A_mr.drc:154
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 523.00M
+"polygons" in: sky130A_mr.drc:155
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 523.00M
+"polygons" in: sky130A_mr.drc:156
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 523.00M
+"polygons" in: sky130A_mr.drc:157
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 523.00M
+"polygons" in: sky130A_mr.drc:158
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 523.00M
+"polygons" in: sky130A_mr.drc:159
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 523.00M
+"polygons" in: sky130A_mr.drc:160
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 523.00M
+"polygons" in: sky130A_mr.drc:161
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 523.00M
+"polygons" in: sky130A_mr.drc:162
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 523.00M
+"polygons" in: sky130A_mr.drc:163
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 523.00M
+"polygons" in: sky130A_mr.drc:164
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 523.00M
+"polygons" in: sky130A_mr.drc:165
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 523.00M
+"polygons" in: sky130A_mr.drc:166
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 523.00M
+"polygons" in: sky130A_mr.drc:167
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 523.00M
+"polygons" in: sky130A_mr.drc:168
+    Polygons (raw): 10 (flat)  2 (hierarchical)
+    Elapsed: 0.000s  Memory: 523.00M
+"polygons" in: sky130A_mr.drc:169
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 523.00M
+"polygons" in: sky130A_mr.drc:170
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 523.00M
+"polygons" in: sky130A_mr.drc:171
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 523.00M
+"polygons" in: sky130A_mr.drc:172
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 523.00M
+"polygons" in: sky130A_mr.drc:173
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 523.00M
+"polygons" in: sky130A_mr.drc:174
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 523.00M
+"polygons" in: sky130A_mr.drc:175
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 523.00M
+"polygons" in: sky130A_mr.drc:176
+    Polygons (raw): 1 (flat)  1 (hierarchical)
+    Elapsed: 0.010s  Memory: 523.00M
+"polygons" in: sky130A_mr.drc:177
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 523.00M
+"polygons" in: sky130A_mr.drc:178
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 523.00M
+"polygons" in: sky130A_mr.drc:179
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 523.00M
+"polygons" in: sky130A_mr.drc:180
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 523.00M
+"polygons" in: sky130A_mr.drc:181
+    Polygons (raw): 4 (flat)  3 (hierarchical)
+    Elapsed: 0.000s  Memory: 523.00M
+"polygons" in: sky130A_mr.drc:182
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 523.00M
+"polygons" in: sky130A_mr.drc:183
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 523.00M
+"polygons" in: sky130A_mr.drc:184
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 523.00M
+"polygons" in: sky130A_mr.drc:185
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 523.00M
+"polygons" in: sky130A_mr.drc:186
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 523.00M
+"polygons" in: sky130A_mr.drc:187
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 523.00M
+"polygons" in: sky130A_mr.drc:188
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 523.00M
+"polygons" in: sky130A_mr.drc:189
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 523.00M
+"polygons" in: sky130A_mr.drc:190
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 523.00M
+"polygons" in: sky130A_mr.drc:191
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 523.00M
+"polygons" in: sky130A_mr.drc:192
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 523.00M
+"polygons" in: sky130A_mr.drc:193
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 523.00M
+"polygons" in: sky130A_mr.drc:194
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 523.00M
+"polygons" in: sky130A_mr.drc:195
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 523.00M
+"polygons" in: sky130A_mr.drc:196
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 523.00M
+"polygons" in: sky130A_mr.drc:197
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 523.00M
+"polygons" in: sky130A_mr.drc:198
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 523.00M
+"polygons" in: sky130A_mr.drc:199
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 523.00M
+"polygons" in: sky130A_mr.drc:200
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 523.00M
+"polygons" in: sky130A_mr.drc:201
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 523.00M
+"polygons" in: sky130A_mr.drc:202
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 523.00M
+"polygons" in: sky130A_mr.drc:203
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 523.00M
+DRC section
+FEOL section
+START: 64/18 (dnwell)
+"width" in: sky130A_mr.drc:229
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 523.00M
+"output" in: sky130A_mr.drc:229
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 523.00M
+END: 64/18 (dnwell)
+"input" in: sky130A_mr.drc:233
+    Polygons (raw): 62 (flat)  43 (hierarchical)
+    Elapsed: 0.010s  Memory: 523.00M
+"input" in: sky130A_mr.drc:234
+    Polygons (raw): 52 (flat)  26 (hierarchical)
+    Elapsed: 0.000s  Memory: 523.00M
+"input" in: sky130A_mr.drc:235
+    Polygons (raw): 89 (flat)  69 (hierarchical)
+    Elapsed: 0.010s  Memory: 523.00M
+START: 64/20 (nwell)
+"width" in: sky130A_mr.drc:239
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 523.00M
+"output" in: sky130A_mr.drc:239
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 523.00M
+"space" in: sky130A_mr.drc:240
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 1067.00M
+"output" in: sky130A_mr.drc:240
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 1067.00M
+"merge" in: sky130A_mr.drc:241
+    Polygons (raw): 15 (flat)  14 (hierarchical)
+    Elapsed: 0.010s  Memory: 1067.00M
+"holes" in: sky130A_mr.drc:242
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 1067.00M
+"enclosing" in: sky130A_mr.drc:242
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 1067.00M
+"output" in: sky130A_mr.drc:242
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 1067.00M
+END: 64/20 (nwell)
+START: 78/44 (hvtp)
+"width" in: sky130A_mr.drc:247
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 1067.00M
+"output" in: sky130A_mr.drc:247
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 1067.00M
+"space" in: sky130A_mr.drc:248
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 1067.00M
+"output" in: sky130A_mr.drc:248
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 1067.00M
+END: 78/44 (hvtp)
+START: 18/20 (htvr)
+"width" in: sky130A_mr.drc:253
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 1067.00M
+"output" in: sky130A_mr.drc:253
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 1067.00M
+"separation" in: sky130A_mr.drc:254
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 1067.00M
+"output" in: sky130A_mr.drc:254
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 1067.00M
+"and" in: sky130A_mr.drc:255
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 1067.00M
+"output" in: sky130A_mr.drc:255
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 1067.00M
+END: 18/20 (htvr)
+START: 25/44 (lvtn)
+"width" in: sky130A_mr.drc:260
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 1067.00M
+"output" in: sky130A_mr.drc:260
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 1067.00M
+"space" in: sky130A_mr.drc:261
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 1067.00M
+"output" in: sky130A_mr.drc:261
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 1067.00M
+END: 25/44 (lvtn)
+START: 92/44 (ncm)
+"width" in: sky130A_mr.drc:266
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 1067.00M
+"output" in: sky130A_mr.drc:266
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 1067.00M
+"space" in: sky130A_mr.drc:267
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 1067.00M
+"output" in: sky130A_mr.drc:267
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 1067.00M
+END: 92/44 (ncm)
+START: 65/20 (diff)
+"or" in: sky130A_mr.drc:272
+    Polygons (raw): 68 (flat)  35 (hierarchical)
+    Elapsed: 0.000s  Memory: 1067.00M
+"rectangles" in: sky130A_mr.drc:273
+    Polygons (raw): 31 (flat)  11 (hierarchical)
+    Elapsed: 0.010s  Memory: 1067.00M
+"width" in: sky130A_mr.drc:273
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 1067.00M
+"polygons" in: sky130A_mr.drc:273
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 1067.00M
+"edges" in: sky130A_mr.drc:274
+    Edges: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 1067.00M
+"outside_part" in: sky130A_mr.drc:274
+    Edges: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 1067.00M
+"outside" in: sky130A_mr.drc:274
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 1067.00M
+"edges" in: sky130A_mr.drc:274
+    Edges: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 1067.00M
+"not" in: sky130A_mr.drc:274
+    Edges: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 1067.00M
+"output" in: sky130A_mr.drc:275
+    Edges: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 1067.00M
+"outside" in: sky130A_mr.drc:276
+    Polygons (raw): 48 (flat)  17 (hierarchical)
+    Elapsed: 0.010s  Memory: 1067.00M
+"width" in: sky130A_mr.drc:276
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 1067.00M
+"output" in: sky130A_mr.drc:276
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 1067.00M
+END: 65/20 (diff)
+START: 65/44 (tap)
+"rectangles" in: sky130A_mr.drc:280
+    Polygons (raw): 14 (flat)  14 (hierarchical)
+    Elapsed: 0.000s  Memory: 1067.00M
+"width" in: sky130A_mr.drc:280
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 1067.00M
+"polygons" in: sky130A_mr.drc:280
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 1067.00M
+"edges" in: sky130A_mr.drc:281
+    Edges: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 1067.00M
+"outside_part" in: sky130A_mr.drc:281
+    Edges: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 1067.00M
+"outside" in: sky130A_mr.drc:281
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 1067.00M
+"edges" in: sky130A_mr.drc:281
+    Edges: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 1067.00M
+"not" in: sky130A_mr.drc:281
+    Edges: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 1067.00M
+"output" in: sky130A_mr.drc:282
+    Edges: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 1067.00M
+"not" in: sky130A_mr.drc:283
+    Polygons (raw): 38 (flat)  30 (hierarchical)
+    Elapsed: 0.000s  Memory: 1067.00M
+"width" in: sky130A_mr.drc:283
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 1067.00M
+"output" in: sky130A_mr.drc:283
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 1067.00M
+END: 65/44 (tap)
+"space" in: sky130A_mr.drc:286
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 1067.00M
+"output" in: sky130A_mr.drc:286
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 1067.00M
+START: 80/20 (tunm)
+"width" in: sky130A_mr.drc:290
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 1067.00M
+"output" in: sky130A_mr.drc:290
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 1067.00M
+"space" in: sky130A_mr.drc:291
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 1067.00M
+"output" in: sky130A_mr.drc:291
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 1067.00M
+END: 80/20 (tunm)
+START: 66/20 (poly)
+"width" in: sky130A_mr.drc:296
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 1067.00M
+"output" in: sky130A_mr.drc:296
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 1067.00M
+"not" in: sky130A_mr.drc:297
+    Polygons (raw): 202 (flat)  116 (hierarchical)
+    Elapsed: 0.000s  Memory: 1067.00M
+"space" in: sky130A_mr.drc:297
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 1067.00M
+"output" in: sky130A_mr.drc:297
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 1067.00M
+START: 86/20 (rpm)
+"width" in: sky130A_mr.drc:302
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 1067.00M
+"output" in: sky130A_mr.drc:302
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 1067.00M
+"space" in: sky130A_mr.drc:303
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 1067.00M
+"output" in: sky130A_mr.drc:303
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 1067.00M
+END: 86/20 (rpm)
+START: 79/20 (urpm)
+"width" in: sky130A_mr.drc:308
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 1067.00M
+"output" in: sky130A_mr.drc:308
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 1067.00M
+"space" in: sky130A_mr.drc:309
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 1067.00M
+"output" in: sky130A_mr.drc:309
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 1067.00M
+END: 79/20 (urpm)
+START: 95/20 (npc)
+"width" in: sky130A_mr.drc:314
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 1067.00M
+"output" in: sky130A_mr.drc:314
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 1067.00M
+"space" in: sky130A_mr.drc:315
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 1067.00M
+"output" in: sky130A_mr.drc:315
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 1067.00M
+END: 95/20 (npc)
+START: 93/44 (nsdm)
+"outside" in: sky130A_mr.drc:320
+    Polygons (raw): 34 (flat)  22 (hierarchical)
+    Elapsed: 0.010s  Memory: 1067.00M
+"width" in: sky130A_mr.drc:320
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 1067.00M
+"output" in: sky130A_mr.drc:320
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 1067.00M
+"not" in: sky130A_mr.drc:321
+    Polygons (raw): 62 (flat)  43 (hierarchical)
+    Elapsed: 0.000s  Memory: 1067.00M
+"space" in: sky130A_mr.drc:321
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 1067.00M
+"output" in: sky130A_mr.drc:321
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 1067.00M
+END: 93/44 (nsdm)
+START: 94/20 (psdm)
+"outside" in: sky130A_mr.drc:326
+    Polygons (raw): 28 (flat)  16 (hierarchical)
+    Elapsed: 0.000s  Memory: 1067.00M
+"width" in: sky130A_mr.drc:326
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 1067.00M
+"output" in: sky130A_mr.drc:326
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 1067.00M
+"not" in: sky130A_mr.drc:327
+    Polygons (raw): 52 (flat)  26 (hierarchical)
+    Elapsed: 0.000s  Memory: 1067.00M
+"space" in: sky130A_mr.drc:327
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 1067.00M
+"output" in: sky130A_mr.drc:327
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 1067.00M
+END: 94/20 (psdm)
+START: 66/44 (licon)
+"not" in: sky130A_mr.drc:338
+    Polygons (raw): 359 (flat)  106 (hierarchical)
+    Elapsed: 0.010s  Memory: 1067.00M
+"and" in: sky130A_mr.drc:339
+    Polygons (raw): 743 (flat)  236 (hierarchical)
+    Elapsed: 0.010s  Memory: 1067.00M
+"interacting" in: sky130A_mr.drc:339
+    Polygons (raw): 743 (flat)  236 (hierarchical)
+    Elapsed: 0.010s  Memory: 1067.00M
+"not" in: sky130A_mr.drc:340
+    Polygons (raw): 743 (flat)  236 (hierarchical)
+    Elapsed: 0.010s  Memory: 1067.00M
+"non_rectangles" in: sky130A_mr.drc:341
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 1067.00M
+"output" in: sky130A_mr.drc:341
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 1067.00M
+"or" in: sky130A_mr.drc:342
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 1067.00M
+"not" in: sky130A_mr.drc:342
+    Polygons (raw): 907 (flat)  291 (hierarchical)
+    Elapsed: 0.000s  Memory: 1067.00M
+"edges" in: sky130A_mr.drc:342
+    Edges: 3628 (flat)  1164 (hierarchical)
+    Elapsed: 0.020s  Memory: 1067.00M
+"without_length" in: sky130A_mr.drc:342
+    Edges: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 1067.00M
+"output" in: sky130A_mr.drc:342
+    Edges: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 1067.00M
+"separation" in: sky130A_mr.drc:343
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 1067.00M
+"output" in: sky130A_mr.drc:343
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 1067.00M
+"and" in: sky130A_mr.drc:344
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 1067.00M
+"output" in: sky130A_mr.drc:344
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 1067.00M
+"interacting" in: sky130A_mr.drc:345
+    Polygons (raw): 164 (flat)  55 (hierarchical)
+    Elapsed: 0.010s  Memory: 1067.00M
+"interacting" in: sky130A_mr.drc:345
+    Polygons (raw): 743 (flat)  236 (hierarchical)
+    Elapsed: 0.010s  Memory: 1067.00M
+"and" in: sky130A_mr.drc:345
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 1067.00M
+"output" in: sky130A_mr.drc:345
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 1067.00M
+END: 66/44 (licon)
+START: 89/44 (capm)
+"and" in: sky130A_mr.drc:350
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 1067.00M
+"sized" in: sky130A_mr.drc:350
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 1067.00M
+"width" in: sky130A_mr.drc:351
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 1067.00M
+"output" in: sky130A_mr.drc:351
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 1067.00M
+"space" in: sky130A_mr.drc:352
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 1067.00M
+"output" in: sky130A_mr.drc:352
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 1067.00M
+"interacting" in: sky130A_mr.drc:353
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 1067.00M
+"isolated" in: sky130A_mr.drc:353
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 1067.00M
+"output" in: sky130A_mr.drc:353
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 1067.00M
+"isolated" in: sky130A_mr.drc:354
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 1067.00M
+"output" in: sky130A_mr.drc:354
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 1067.00M
+"and" in: sky130A_mr.drc:355
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 1067.00M
+"enclosing" in: sky130A_mr.drc:355
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 1067.00M
+"output" in: sky130A_mr.drc:355
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 1067.00M
+"enclosing" in: sky130A_mr.drc:356
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 1067.00M
+"output" in: sky130A_mr.drc:356
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 1067.00M
+"enclosing" in: sky130A_mr.drc:357
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 1067.00M
+"output" in: sky130A_mr.drc:357
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 1067.00M
+"separation" in: sky130A_mr.drc:358
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 1067.00M
+"output" in: sky130A_mr.drc:358
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 1067.00M
+END: 89/44 (capm)
+START: 97/44 (cap2m)
+"and" in: sky130A_mr.drc:363
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 1067.00M
+"sized" in: sky130A_mr.drc:363
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 1067.00M
+"width" in: sky130A_mr.drc:364
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 1067.00M
+"output" in: sky130A_mr.drc:364
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 1067.00M
+"space" in: sky130A_mr.drc:365
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 1067.00M
+"output" in: sky130A_mr.drc:365
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 1067.00M
+"interacting" in: sky130A_mr.drc:366
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 1067.00M
+"isolated" in: sky130A_mr.drc:366
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 1067.00M
+"output" in: sky130A_mr.drc:366
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 1067.00M
+"isolated" in: sky130A_mr.drc:368
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 1067.00M
+"output" in: sky130A_mr.drc:368
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 1067.00M
+"and" in: sky130A_mr.drc:369
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 1067.00M
+"enclosing" in: sky130A_mr.drc:369
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 1067.00M
+"output" in: sky130A_mr.drc:369
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 1067.00M
+"enclosing" in: sky130A_mr.drc:370
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 1067.00M
+"output" in: sky130A_mr.drc:370
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 1067.00M
+"enclosing" in: sky130A_mr.drc:371
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 1067.00M
+"output" in: sky130A_mr.drc:371
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 1067.00M
+"separation" in: sky130A_mr.drc:372
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 1067.00M
+"output" in: sky130A_mr.drc:372
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 1067.00M
+END: 97/44 (cap2m)
+FEOL section
+START: 75/20 (hvi)
+"not" in: sky130A_mr.drc:672
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 1067.00M
+"width" in: sky130A_mr.drc:673
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 1067.00M
+"output" in: sky130A_mr.drc:673
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 1067.00M
+"space" in: sky130A_mr.drc:674
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 1067.00M
+"output" in: sky130A_mr.drc:674
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 1067.00M
+END: 75/20 (hvi)
+START: 125/20 (hvntm)
+"not" in: sky130A_mr.drc:679
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 1067.00M
+"width" in: sky130A_mr.drc:680
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 1067.00M
+"output" in: sky130A_mr.drc:680
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 1067.00M
+"space" in: sky130A_mr.drc:681
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 1067.00M
+"output" in: sky130A_mr.drc:681
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 1067.00M
+END: 125/20 (hvntm)
+Writing report database: /home/krishna/Comparator_MPW6/precheck_results/02_JUN_2022___13_44_02/outputs/reports/klayout_feol_check.xml ..
+Total elapsed: 1.400s  Memory: 1067.00M
diff --git a/precheck_results/02_JUN_2022___13_44_02/logs/klayout_feol_check.total b/precheck_results/02_JUN_2022___13_44_02/logs/klayout_feol_check.total
new file mode 100644
index 0000000..c227083
--- /dev/null
+++ b/precheck_results/02_JUN_2022___13_44_02/logs/klayout_feol_check.total
@@ -0,0 +1 @@
+0
\ No newline at end of file
diff --git a/precheck_results/02_JUN_2022___13_44_02/logs/klayout_met_min_ca_density_check.log b/precheck_results/02_JUN_2022___13_44_02/logs/klayout_met_min_ca_density_check.log
new file mode 100644
index 0000000..7e68847
--- /dev/null
+++ b/precheck_results/02_JUN_2022___13_44_02/logs/klayout_met_min_ca_density_check.log
@@ -0,0 +1,79 @@
+"polygons" in: met_min_ca_density.lydrc:35
+    Polygons (raw): 616 (flat)  404 (hierarchical)
+    Elapsed: 0.000s  Memory: 522.00M
+"polygons" in: met_min_ca_density.lydrc:36
+    Polygons (raw): 1756 (flat)  1480 (hierarchical)
+    Elapsed: 0.000s  Memory: 522.00M
+"polygons" in: met_min_ca_density.lydrc:38
+    Polygons (raw): 369 (flat)  303 (hierarchical)
+    Elapsed: 0.010s  Memory: 522.00M
+"polygons" in: met_min_ca_density.lydrc:39
+    Polygons (raw): 2570 (flat)  2570 (hierarchical)
+    Elapsed: 0.000s  Memory: 522.00M
+"polygons" in: met_min_ca_density.lydrc:41
+    Polygons (raw): 1097 (flat)  1097 (hierarchical)
+    Elapsed: 0.010s  Memory: 522.00M
+"polygons" in: met_min_ca_density.lydrc:42
+    Polygons (raw): 1761 (flat)  1761 (hierarchical)
+    Elapsed: 0.000s  Memory: 522.00M
+"polygons" in: met_min_ca_density.lydrc:44
+    Polygons (raw): 643 (flat)  643 (hierarchical)
+    Elapsed: 0.010s  Memory: 522.00M
+"polygons" in: met_min_ca_density.lydrc:45
+    Polygons (raw): 25800 (flat)  25800 (hierarchical)
+    Elapsed: 0.010s  Memory: 523.00M
+"polygons" in: met_min_ca_density.lydrc:47
+    Polygons (raw): 181 (flat)  181 (hierarchical)
+    Elapsed: 0.000s  Memory: 523.00M
+"polygons" in: met_min_ca_density.lydrc:48
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 523.00M
+"polygons" in: met_min_ca_density.lydrc:49
+    Polygons (raw): 552 (flat)  552 (hierarchical)
+    Elapsed: 0.000s  Memory: 523.00M
+"polygons" in: met_min_ca_density.lydrc:51
+    Polygons (raw): 157 (flat)  157 (hierarchical)
+    Elapsed: 0.010s  Memory: 523.00M
+"input" in: met_min_ca_density.lydrc:53
+    Polygons (raw): 5 (flat)  4 (hierarchical)
+    Elapsed: 0.000s  Memory: 523.00M
+"area" in: met_min_ca_density.lydrc:55
+    Elapsed: 0.010s  Memory: 523.00M
+"polygons" in: met_min_ca_density.lydrc:59
+    Polygons (raw): 616 (flat)  404 (hierarchical)
+    Elapsed: 0.000s  Memory: 523.00M
+"area" in: met_min_ca_density.lydrc:59
+    Elapsed: 0.010s  Memory: 523.00M
+li1_ca_density is 0.9998144907840715
+"polygons" in: met_min_ca_density.lydrc:69
+    Polygons (raw): 369 (flat)  303 (hierarchical)
+    Elapsed: 0.000s  Memory: 523.00M
+"area" in: met_min_ca_density.lydrc:69
+    Elapsed: 0.010s  Memory: 523.00M
+m1_ca_density is 0.9997226470316392
+"polygons" in: met_min_ca_density.lydrc:79
+    Polygons (raw): 1097 (flat)  1097 (hierarchical)
+    Elapsed: 0.010s  Memory: 523.00M
+"area" in: met_min_ca_density.lydrc:79
+    Elapsed: 0.010s  Memory: 523.00M
+m2_ca_density is 0.999675358061566
+"polygons" in: met_min_ca_density.lydrc:89
+    Polygons (raw): 643 (flat)  643 (hierarchical)
+    Elapsed: 0.000s  Memory: 523.00M
+"area" in: met_min_ca_density.lydrc:89
+    Elapsed: 0.010s  Memory: 523.00M
+m3_ca_density is 0.9841049439747431
+"polygons" in: met_min_ca_density.lydrc:99
+    Polygons (raw): 181 (flat)  181 (hierarchical)
+    Elapsed: 0.000s  Memory: 523.00M
+"area" in: met_min_ca_density.lydrc:99
+    Elapsed: 0.010s  Memory: 523.00M
+m4_ca_density is 0.9791263766734122
+"polygons" in: met_min_ca_density.lydrc:109
+    Polygons (raw): 157 (flat)  157 (hierarchical)
+    Elapsed: 0.000s  Memory: 523.00M
+"area" in: met_min_ca_density.lydrc:109
+    Elapsed: 0.010s  Memory: 523.00M
+m5_ca_density is 0.9695932415745642
+Writing report database: /home/krishna/Comparator_MPW6/precheck_results/02_JUN_2022___13_44_02/outputs/reports/klayout_met_min_ca_density_check.xml ..
+Total elapsed: 0.170s  Memory: 522.00M
diff --git a/precheck_results/02_JUN_2022___13_44_02/logs/klayout_met_min_ca_density_check.total b/precheck_results/02_JUN_2022___13_44_02/logs/klayout_met_min_ca_density_check.total
new file mode 100644
index 0000000..c227083
--- /dev/null
+++ b/precheck_results/02_JUN_2022___13_44_02/logs/klayout_met_min_ca_density_check.total
@@ -0,0 +1 @@
+0
\ No newline at end of file
diff --git a/precheck_results/02_JUN_2022___13_44_02/logs/klayout_offgrid_check.log b/precheck_results/02_JUN_2022___13_44_02/logs/klayout_offgrid_check.log
new file mode 100644
index 0000000..56cff15
--- /dev/null
+++ b/precheck_results/02_JUN_2022___13_44_02/logs/klayout_offgrid_check.log
@@ -0,0 +1,755 @@
+/home/krishna/mpw_precheckmpw6/checks/tech-files/sky130A_mr.drc:38: warning: already initialized constant DRC::DRCEngine::FEOL
+/home/krishna/mpw_precheckmpw6/checks/tech-files/sky130A_mr.drc:28: warning: previous definition of FEOL was here
+/home/krishna/mpw_precheckmpw6/checks/tech-files/sky130A_mr.drc:44: warning: already initialized constant DRC::DRCEngine::BEOL
+/home/krishna/mpw_precheckmpw6/checks/tech-files/sky130A_mr.drc:29: warning: previous definition of BEOL was here
+/home/krishna/mpw_precheckmpw6/checks/tech-files/sky130A_mr.drc:48: warning: already initialized constant DRC::DRCEngine::OFFGRID
+/home/krishna/mpw_precheckmpw6/checks/tech-files/sky130A_mr.drc:30: warning: previous definition of OFFGRID was here
+/home/krishna/mpw_precheckmpw6/checks/tech-files/sky130A_mr.drc:56: warning: already initialized constant DRC::DRCEngine::SEAL
+/home/krishna/mpw_precheckmpw6/checks/tech-files/sky130A_mr.drc:31: warning: previous definition of SEAL was here
+/home/krishna/mpw_precheckmpw6/checks/tech-files/sky130A_mr.drc:62: warning: already initialized constant DRC::DRCEngine::FLOATING_MET
+/home/krishna/mpw_precheckmpw6/checks/tech-files/sky130A_mr.drc:32: warning: previous definition of FLOATING_MET was here
+"input" in: sky130A_mr.drc:112
+    Polygons (raw): 62 (flat)  25 (hierarchical)
+    Elapsed: 0.000s  Memory: 522.00M
+"polygons" in: sky130A_mr.drc:113
+    Polygons (raw): 38 (flat)  30 (hierarchical)
+    Elapsed: 0.010s  Memory: 522.00M
+"polygons" in: sky130A_mr.drc:114
+    Polygons (raw): 89 (flat)  69 (hierarchical)
+    Elapsed: 0.000s  Memory: 522.00M
+"polygons" in: sky130A_mr.drc:115
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 522.00M
+"polygons" in: sky130A_mr.drc:116
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 522.00M
+"polygons" in: sky130A_mr.drc:117
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 522.00M
+"polygons" in: sky130A_mr.drc:118
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 522.00M
+"polygons" in: sky130A_mr.drc:119
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 522.00M
+"polygons" in: sky130A_mr.drc:120
+    Polygons (raw): 10 (flat)  2 (hierarchical)
+    Elapsed: 0.000s  Memory: 522.00M
+"polygons" in: sky130A_mr.drc:121
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 522.00M
+"polygons" in: sky130A_mr.drc:122
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 522.00M
+"polygons" in: sky130A_mr.drc:123
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 522.00M
+"polygons" in: sky130A_mr.drc:124
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 522.00M
+"polygons" in: sky130A_mr.drc:125
+    Polygons (raw): 202 (flat)  116 (hierarchical)
+    Elapsed: 0.010s  Memory: 522.00M
+"polygons" in: sky130A_mr.drc:126
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 522.00M
+"polygons" in: sky130A_mr.drc:127
+    Polygons (raw): 62 (flat)  43 (hierarchical)
+    Elapsed: 0.010s  Memory: 522.00M
+"polygons" in: sky130A_mr.drc:128
+    Polygons (raw): 52 (flat)  26 (hierarchical)
+    Elapsed: 0.000s  Memory: 522.00M
+"polygons" in: sky130A_mr.drc:129
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 522.00M
+"polygons" in: sky130A_mr.drc:130
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 522.00M
+"polygons" in: sky130A_mr.drc:131
+    Polygons (raw): 33 (flat)  24 (hierarchical)
+    Elapsed: 0.010s  Memory: 522.00M
+"polygons" in: sky130A_mr.drc:132
+    Polygons (raw): 907 (flat)  291 (hierarchical)
+    Elapsed: 0.000s  Memory: 522.00M
+"polygons" in: sky130A_mr.drc:134
+    Polygons (raw): 566 (flat)  394 (hierarchical)
+    Elapsed: 0.010s  Memory: 522.00M
+"polygons" in: sky130A_mr.drc:135
+    Polygons (raw): 1756 (flat)  1480 (hierarchical)
+    Elapsed: 0.000s  Memory: 522.00M
+"polygons" in: sky130A_mr.drc:137
+    Polygons (raw): 349 (flat)  299 (hierarchical)
+    Elapsed: 0.010s  Memory: 522.00M
+"polygons" in: sky130A_mr.drc:138
+    Polygons (raw): 2570 (flat)  2570 (hierarchical)
+    Elapsed: 0.010s  Memory: 522.00M
+"polygons" in: sky130A_mr.drc:140
+    Polygons (raw): 603 (flat)  603 (hierarchical)
+    Elapsed: 0.000s  Memory: 522.00M
+"polygons" in: sky130A_mr.drc:141
+    Polygons (raw): 1761 (flat)  1761 (hierarchical)
+    Elapsed: 0.010s  Memory: 522.00M
+"polygons" in: sky130A_mr.drc:143
+    Polygons (raw): 459 (flat)  459 (hierarchical)
+    Elapsed: 0.000s  Memory: 522.00M
+"polygons" in: sky130A_mr.drc:144
+    Polygons (raw): 25800 (flat)  25800 (hierarchical)
+    Elapsed: 0.020s  Memory: 523.00M
+"polygons" in: sky130A_mr.drc:146
+    Polygons (raw): 177 (flat)  177 (hierarchical)
+    Elapsed: 0.000s  Memory: 523.00M
+"polygons" in: sky130A_mr.drc:147
+    Polygons (raw): 552 (flat)  552 (hierarchical)
+    Elapsed: 0.010s  Memory: 523.00M
+"polygons" in: sky130A_mr.drc:149
+    Polygons (raw): 151 (flat)  151 (hierarchical)
+    Elapsed: 0.000s  Memory: 523.00M
+"polygons" in: sky130A_mr.drc:151
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 523.00M
+"polygons" in: sky130A_mr.drc:152
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 523.00M
+"polygons" in: sky130A_mr.drc:153
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 523.00M
+"polygons" in: sky130A_mr.drc:154
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 523.00M
+"polygons" in: sky130A_mr.drc:155
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 523.00M
+"polygons" in: sky130A_mr.drc:156
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 523.00M
+"polygons" in: sky130A_mr.drc:157
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 523.00M
+"polygons" in: sky130A_mr.drc:158
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 523.00M
+"polygons" in: sky130A_mr.drc:159
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 523.00M
+"polygons" in: sky130A_mr.drc:160
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 523.00M
+"polygons" in: sky130A_mr.drc:161
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 523.00M
+"polygons" in: sky130A_mr.drc:162
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 523.00M
+"polygons" in: sky130A_mr.drc:163
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 523.00M
+"polygons" in: sky130A_mr.drc:164
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 523.00M
+"polygons" in: sky130A_mr.drc:165
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 523.00M
+"polygons" in: sky130A_mr.drc:166
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 523.00M
+"polygons" in: sky130A_mr.drc:167
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 523.00M
+"polygons" in: sky130A_mr.drc:168
+    Polygons (raw): 10 (flat)  2 (hierarchical)
+    Elapsed: 0.000s  Memory: 523.00M
+"polygons" in: sky130A_mr.drc:169
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 523.00M
+"polygons" in: sky130A_mr.drc:170
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 523.00M
+"polygons" in: sky130A_mr.drc:171
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 523.00M
+"polygons" in: sky130A_mr.drc:172
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 523.00M
+"polygons" in: sky130A_mr.drc:173
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 523.00M
+"polygons" in: sky130A_mr.drc:174
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 523.00M
+"polygons" in: sky130A_mr.drc:175
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 523.00M
+"polygons" in: sky130A_mr.drc:176
+    Polygons (raw): 1 (flat)  1 (hierarchical)
+    Elapsed: 0.000s  Memory: 523.00M
+"polygons" in: sky130A_mr.drc:177
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 523.00M
+"polygons" in: sky130A_mr.drc:178
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 523.00M
+"polygons" in: sky130A_mr.drc:179
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 523.00M
+"polygons" in: sky130A_mr.drc:180
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 523.00M
+"polygons" in: sky130A_mr.drc:181
+    Polygons (raw): 4 (flat)  3 (hierarchical)
+    Elapsed: 0.010s  Memory: 523.00M
+"polygons" in: sky130A_mr.drc:182
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 523.00M
+"polygons" in: sky130A_mr.drc:183
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 523.00M
+"polygons" in: sky130A_mr.drc:184
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 523.00M
+"polygons" in: sky130A_mr.drc:185
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 523.00M
+"polygons" in: sky130A_mr.drc:186
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 523.00M
+"polygons" in: sky130A_mr.drc:187
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 523.00M
+"polygons" in: sky130A_mr.drc:188
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 523.00M
+"polygons" in: sky130A_mr.drc:189
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 523.00M
+"polygons" in: sky130A_mr.drc:190
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 523.00M
+"polygons" in: sky130A_mr.drc:191
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 523.00M
+"polygons" in: sky130A_mr.drc:192
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 523.00M
+"polygons" in: sky130A_mr.drc:193
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 523.00M
+"polygons" in: sky130A_mr.drc:194
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 523.00M
+"polygons" in: sky130A_mr.drc:195
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 523.00M
+"polygons" in: sky130A_mr.drc:196
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 523.00M
+"polygons" in: sky130A_mr.drc:197
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 523.00M
+"polygons" in: sky130A_mr.drc:198
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 523.00M
+"polygons" in: sky130A_mr.drc:199
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 523.00M
+"polygons" in: sky130A_mr.drc:200
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 523.00M
+"polygons" in: sky130A_mr.drc:201
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 523.00M
+"polygons" in: sky130A_mr.drc:202
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 523.00M
+"polygons" in: sky130A_mr.drc:203
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 523.00M
+DRC section
+OFFGRID-ANGLES section
+"ongrid" in: sky130A_mr.drc:690
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 523.00M
+"output" in: sky130A_mr.drc:690
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 523.00M
+"with_angle" in: sky130A_mr.drc:691
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 523.00M
+"output" in: sky130A_mr.drc:691
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 523.00M
+"ongrid" in: sky130A_mr.drc:692
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 523.00M
+"output" in: sky130A_mr.drc:692
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 523.00M
+"with_angle" in: sky130A_mr.drc:693
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 523.00M
+"output" in: sky130A_mr.drc:693
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 523.00M
+"ongrid" in: sky130A_mr.drc:694
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 523.00M
+"output" in: sky130A_mr.drc:694
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 523.00M
+"with_angle" in: sky130A_mr.drc:695
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 523.00M
+"output" in: sky130A_mr.drc:695
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 523.00M
+"ongrid" in: sky130A_mr.drc:696
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 523.00M
+"output" in: sky130A_mr.drc:696
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 523.00M
+"with_angle" in: sky130A_mr.drc:697
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 523.00M
+"output" in: sky130A_mr.drc:697
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 523.00M
+"ongrid" in: sky130A_mr.drc:698
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 523.00M
+"output" in: sky130A_mr.drc:698
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 523.00M
+"with_angle" in: sky130A_mr.drc:699
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 523.00M
+"output" in: sky130A_mr.drc:699
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 523.00M
+"ongrid" in: sky130A_mr.drc:700
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 523.00M
+"output" in: sky130A_mr.drc:700
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 523.00M
+"with_angle" in: sky130A_mr.drc:701
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 523.00M
+"output" in: sky130A_mr.drc:701
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 523.00M
+"ongrid" in: sky130A_mr.drc:702
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 523.00M
+"output" in: sky130A_mr.drc:702
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 523.00M
+"with_angle" in: sky130A_mr.drc:703
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 523.00M
+"output" in: sky130A_mr.drc:703
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 523.00M
+"ongrid" in: sky130A_mr.drc:704
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 523.00M
+"output" in: sky130A_mr.drc:704
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 523.00M
+"with_angle" in: sky130A_mr.drc:705
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 523.00M
+"output" in: sky130A_mr.drc:705
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 523.00M
+"ongrid" in: sky130A_mr.drc:706
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 523.00M
+"output" in: sky130A_mr.drc:706
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 523.00M
+"ongrid" in: sky130A_mr.drc:707
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 523.00M
+"output" in: sky130A_mr.drc:707
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 523.00M
+"and" in: sky130A_mr.drc:708
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 523.00M
+"not" in: sky130A_mr.drc:708
+    Polygons (raw): 62 (flat)  25 (hierarchical)
+    Elapsed: 0.000s  Memory: 523.00M
+"with_angle" in: sky130A_mr.drc:708
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 523.00M
+"output" in: sky130A_mr.drc:708
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 523.00M
+"and" in: sky130A_mr.drc:709
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 523.00M
+"and" in: sky130A_mr.drc:709
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 523.00M
+"with_angle" in: sky130A_mr.drc:709
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 523.00M
+"output" in: sky130A_mr.drc:709
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 523.00M
+"and" in: sky130A_mr.drc:710
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 523.00M
+"not" in: sky130A_mr.drc:710
+    Polygons (raw): 38 (flat)  30 (hierarchical)
+    Elapsed: 0.010s  Memory: 523.00M
+"with_angle" in: sky130A_mr.drc:710
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 523.00M
+"output" in: sky130A_mr.drc:710
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 523.00M
+"and" in: sky130A_mr.drc:711
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 523.00M
+"and" in: sky130A_mr.drc:711
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 523.00M
+"with_angle" in: sky130A_mr.drc:711
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 523.00M
+"output" in: sky130A_mr.drc:711
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 523.00M
+"ongrid" in: sky130A_mr.drc:712
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 523.00M
+"output" in: sky130A_mr.drc:712
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 523.00M
+"with_angle" in: sky130A_mr.drc:713
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 523.00M
+"output" in: sky130A_mr.drc:713
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 523.00M
+"ongrid" in: sky130A_mr.drc:714
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 523.00M
+"output" in: sky130A_mr.drc:714
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 523.00M
+"with_angle" in: sky130A_mr.drc:715
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 523.00M
+"output" in: sky130A_mr.drc:715
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 523.00M
+"ongrid" in: sky130A_mr.drc:716
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 523.00M
+"output" in: sky130A_mr.drc:716
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 523.00M
+"with_angle" in: sky130A_mr.drc:717
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 523.00M
+"output" in: sky130A_mr.drc:717
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 523.00M
+"ongrid" in: sky130A_mr.drc:718
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 523.00M
+"output" in: sky130A_mr.drc:718
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 523.00M
+"with_angle" in: sky130A_mr.drc:719
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 523.00M
+"output" in: sky130A_mr.drc:719
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 523.00M
+"ongrid" in: sky130A_mr.drc:720
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 523.00M
+"output" in: sky130A_mr.drc:720
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 523.00M
+"with_angle" in: sky130A_mr.drc:721
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 523.00M
+"output" in: sky130A_mr.drc:721
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 523.00M
+"ongrid" in: sky130A_mr.drc:722
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 523.00M
+"output" in: sky130A_mr.drc:722
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 523.00M
+"with_angle" in: sky130A_mr.drc:723
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 523.00M
+"output" in: sky130A_mr.drc:723
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 523.00M
+"ongrid" in: sky130A_mr.drc:724
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 523.00M
+"output" in: sky130A_mr.drc:724
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 523.00M
+"with_angle" in: sky130A_mr.drc:725
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 523.00M
+"output" in: sky130A_mr.drc:725
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 523.00M
+"ongrid" in: sky130A_mr.drc:726
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 523.00M
+"output" in: sky130A_mr.drc:726
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 523.00M
+"with_angle" in: sky130A_mr.drc:727
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 523.00M
+"output" in: sky130A_mr.drc:727
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 523.00M
+"ongrid" in: sky130A_mr.drc:728
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 523.00M
+"output" in: sky130A_mr.drc:728
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 523.00M
+"with_angle" in: sky130A_mr.drc:729
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 523.00M
+"output" in: sky130A_mr.drc:729
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 523.00M
+"ongrid" in: sky130A_mr.drc:730
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 523.00M
+"output" in: sky130A_mr.drc:730
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 523.00M
+"with_angle" in: sky130A_mr.drc:731
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 523.00M
+"output" in: sky130A_mr.drc:731
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 523.00M
+"ongrid" in: sky130A_mr.drc:732
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 523.00M
+"output" in: sky130A_mr.drc:732
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 523.00M
+"with_angle" in: sky130A_mr.drc:733
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 523.00M
+"output" in: sky130A_mr.drc:733
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 523.00M
+"ongrid" in: sky130A_mr.drc:734
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.020s  Memory: 524.00M
+"output" in: sky130A_mr.drc:734
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 524.00M
+"with_angle" in: sky130A_mr.drc:735
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 524.00M
+"output" in: sky130A_mr.drc:735
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 524.00M
+"ongrid" in: sky130A_mr.drc:736
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 524.00M
+"output" in: sky130A_mr.drc:736
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 524.00M
+"with_angle" in: sky130A_mr.drc:737
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 524.00M
+"output" in: sky130A_mr.drc:737
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 524.00M
+"ongrid" in: sky130A_mr.drc:738
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 524.00M
+"output" in: sky130A_mr.drc:738
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 524.00M
+"with_angle" in: sky130A_mr.drc:739
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 524.00M
+"output" in: sky130A_mr.drc:739
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 524.00M
+"ongrid" in: sky130A_mr.drc:740
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 524.00M
+"output" in: sky130A_mr.drc:740
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 524.00M
+"with_angle" in: sky130A_mr.drc:741
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 524.00M
+"output" in: sky130A_mr.drc:741
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 524.00M
+"ongrid" in: sky130A_mr.drc:742
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.130s  Memory: 530.00M
+"output" in: sky130A_mr.drc:742
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 530.00M
+"with_angle" in: sky130A_mr.drc:743
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.020s  Memory: 530.00M
+"output" in: sky130A_mr.drc:743
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 530.00M
+"ongrid" in: sky130A_mr.drc:744
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 530.00M
+"output" in: sky130A_mr.drc:744
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 530.00M
+"with_angle" in: sky130A_mr.drc:745
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 530.00M
+"output" in: sky130A_mr.drc:745
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 530.00M
+"ongrid" in: sky130A_mr.drc:746
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 530.00M
+"output" in: sky130A_mr.drc:746
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 530.00M
+"with_angle" in: sky130A_mr.drc:747
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 530.00M
+"output" in: sky130A_mr.drc:747
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 530.00M
+"ongrid" in: sky130A_mr.drc:748
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 530.00M
+"output" in: sky130A_mr.drc:748
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 530.00M
+"with_angle" in: sky130A_mr.drc:749
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 530.00M
+"output" in: sky130A_mr.drc:749
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 530.00M
+"ongrid" in: sky130A_mr.drc:750
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 530.00M
+"output" in: sky130A_mr.drc:750
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 530.00M
+"with_angle" in: sky130A_mr.drc:751
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 530.00M
+"output" in: sky130A_mr.drc:751
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 530.00M
+"ongrid" in: sky130A_mr.drc:752
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 530.00M
+"output" in: sky130A_mr.drc:752
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 530.00M
+"with_angle" in: sky130A_mr.drc:753
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 530.00M
+"output" in: sky130A_mr.drc:753
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 530.00M
+"ongrid" in: sky130A_mr.drc:754
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 530.00M
+"output" in: sky130A_mr.drc:754
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 530.00M
+"with_angle" in: sky130A_mr.drc:755
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 530.00M
+"output" in: sky130A_mr.drc:755
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 530.00M
+"ongrid" in: sky130A_mr.drc:756
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 530.00M
+"output" in: sky130A_mr.drc:756
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 530.00M
+"with_angle" in: sky130A_mr.drc:757
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 530.00M
+"output" in: sky130A_mr.drc:757
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 530.00M
+"ongrid" in: sky130A_mr.drc:758
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 530.00M
+"output" in: sky130A_mr.drc:758
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 530.00M
+"with_angle" in: sky130A_mr.drc:759
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 530.00M
+"output" in: sky130A_mr.drc:759
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 530.00M
+"ongrid" in: sky130A_mr.drc:760
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 530.00M
+"output" in: sky130A_mr.drc:760
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 530.00M
+"with_angle" in: sky130A_mr.drc:761
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 530.00M
+"output" in: sky130A_mr.drc:761
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 530.00M
+"ongrid" in: sky130A_mr.drc:762
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 530.00M
+"output" in: sky130A_mr.drc:762
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 530.00M
+"with_angle" in: sky130A_mr.drc:763
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 530.00M
+"output" in: sky130A_mr.drc:763
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 530.00M
+"ongrid" in: sky130A_mr.drc:764
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 530.00M
+"output" in: sky130A_mr.drc:764
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 530.00M
+"with_angle" in: sky130A_mr.drc:765
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 530.00M
+"output" in: sky130A_mr.drc:765
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 530.00M
+"ongrid" in: sky130A_mr.drc:766
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 530.00M
+"output" in: sky130A_mr.drc:766
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 530.00M
+Writing report database: /home/krishna/Comparator_MPW6/precheck_results/02_JUN_2022___13_44_02/outputs/reports/klayout_offgrid_check.xml ..
+Total elapsed: 1.450s  Memory: 530.00M
diff --git a/precheck_results/02_JUN_2022___13_44_02/logs/klayout_offgrid_check.total b/precheck_results/02_JUN_2022___13_44_02/logs/klayout_offgrid_check.total
new file mode 100644
index 0000000..c227083
--- /dev/null
+++ b/precheck_results/02_JUN_2022___13_44_02/logs/klayout_offgrid_check.total
@@ -0,0 +1 @@
+0
\ No newline at end of file
diff --git a/precheck_results/02_JUN_2022___13_44_02/logs/klayout_pin_label_purposes_overlapping_drawing_check.log b/precheck_results/02_JUN_2022___13_44_02/logs/klayout_pin_label_purposes_overlapping_drawing_check.log
new file mode 100644
index 0000000..ddbb742
--- /dev/null
+++ b/precheck_results/02_JUN_2022___13_44_02/logs/klayout_pin_label_purposes_overlapping_drawing_check.log
@@ -0,0 +1,31 @@
+Running pin_label_purposes_overlapping_drawing.rb.drc on file=/home/krishna/Comparator_MPW6/gds/user_analog_project_wrapper.gds, topcell=user_analog_project_wrapper, output to /home/krishna/Comparator_MPW6/precheck_results/02_JUN_2022___13_44_02/outputs/reports/klayout_pin_label_purposes_overlapping_drawing_check.xml
+  deep:true tiled:false threads:12
+--- #err|description, table for cell: user_analog_project_wrapper
+NO-Check ----        pwell:64/44/EMP   122/16/dat    64/59/EMP    44/16/EMP     44/5/EMP
+         ----        nwell:64/20/dat    64/16/dat     64/5/EMP
+         ----         diff:65/20/dat    65/16/EMP     65/6/EMP
+         ----          tap:65/44/dat    65/48/EMP     65/5/EMP
+         ----         poly:66/20/dat    66/16/EMP     66/5/EMP
+         ----       licon1:66/44/dat    66/58/EMP
+         ----          li1:67/20/dat    67/16/dat     67/5/EMP
+         ----         mcon:67/44/dat    67/48/EMP
+         ----         met1:68/20/dat    68/16/dat     68/5/EMP
+         ----          via:68/44/dat    68/58/EMP
+         ----         met2:69/20/dat    69/16/dat     69/5/EMP
+         ----         via2:69/44/dat    69/58/EMP
+       1 70/16: met3, pin/label not-over drawing:70/20
+         ----         met3:70/20/dat    70/16/dat     70/5/EMP
+         ----         via3:70/44/dat    70/48/EMP
+         ----         met4:71/20/dat    71/16/dat     71/5/EMP
+         ----         via4:71/44/dat    71/48/EMP
+       1 72/16: met5, pin/label not-over drawing:72/20
+         ----         met5:72/20/dat    72/16/dat     72/5/EMP
+         ----          pad:76/20/EMP     76/5/EMP    76/16/EMP
+         ----          pnp:82/44/EMP    82/59/EMP
+         ----          npn:82/20/EMP     82/5/EMP
+         ----          rdl:74/20/EMP    74/16/EMP     74/5/EMP
+         ----     inductor:82/24/EMP    82/25/EMP
+       2 total error(s) among 2 error type(s), 33 checks, cell: user_analog_project_wrapper
+Writing report...
+VmPeak:	 2305224 kB
+VmHWM:	  257824 kB
diff --git a/precheck_results/02_JUN_2022___13_44_02/logs/klayout_pin_label_purposes_overlapping_drawing_check.total b/precheck_results/02_JUN_2022___13_44_02/logs/klayout_pin_label_purposes_overlapping_drawing_check.total
new file mode 100644
index 0000000..d8263ee
--- /dev/null
+++ b/precheck_results/02_JUN_2022___13_44_02/logs/klayout_pin_label_purposes_overlapping_drawing_check.total
@@ -0,0 +1 @@
+2
\ No newline at end of file
diff --git a/precheck_results/02_JUN_2022___13_44_02/logs/klayout_zeroarea_check.log b/precheck_results/02_JUN_2022___13_44_02/logs/klayout_zeroarea_check.log
new file mode 100644
index 0000000..1d20f5c
--- /dev/null
+++ b/precheck_results/02_JUN_2022___13_44_02/logs/klayout_zeroarea_check.log
@@ -0,0 +1,4 @@
+0 zero-area shapes
+writing to /home/krishna/Comparator_MPW6/precheck_results/02_JUN_2022___13_44_02/outputs/user_analog_project_wrapper_no_zero_areas.gds
+VmPeak:	  542072 kB
+VmHWM:	  261028 kB
diff --git a/precheck_results/02_JUN_2022___13_44_02/logs/klayout_zeroarea_check.total b/precheck_results/02_JUN_2022___13_44_02/logs/klayout_zeroarea_check.total
new file mode 100644
index 0000000..c227083
--- /dev/null
+++ b/precheck_results/02_JUN_2022___13_44_02/logs/klayout_zeroarea_check.total
@@ -0,0 +1 @@
+0
\ No newline at end of file
diff --git a/precheck_results/02_JUN_2022___13_44_02/logs/magic_drc_check.log b/precheck_results/02_JUN_2022___13_44_02/logs/magic_drc_check.log
new file mode 100644
index 0000000..357a5a4
--- /dev/null
+++ b/precheck_results/02_JUN_2022___13_44_02/logs/magic_drc_check.log
@@ -0,0 +1,30 @@
+
+Magic 8.3 revision 274 - Compiled on Fri Mar  4 22:54:15 UTC 2022.
+Starting magic under Tcl interpreter
+Using the terminal as the console.
+Using NULL graphics device.
+Processing system .magicrc file
+Sourcing design .magicrc for technology sky130A ...
+2 Magic internal units = 1 Lambda
+Could not find file '/usr/local/share/pdk/sky130A/sky130A/libs.tech/magic/sky130A.tech' in any of these directories:
+         . $CAD_ROOT/magic/sys $CAD_ROOT/magic/sys/current
+Error parsing "/home/krishna/mpw_precheckmpw6/checks/tech-files/sky130A.magicrc": couldn't read file "/usr/local/share/pdk/sky130A/sky130A/libs.tech/magic/sky130A.tcl": no such file or directory
+Bad local startup file "/home/krishna/mpw_precheckmpw6/checks/tech-files/sky130A.magicrc", continuing without.
+Scaled tech values by 2 / 1 to match internal grid scaling
+Loading "/home/krishna/mpw_precheckmpw6/checks/drc_checks/magic/magic_drc_check.tcl" from command line.
+Don't know how to read GDS-II:
+Nothing in "cifinput" section of tech file.
+[INFO]: Loading user_analog_project_wrapper
+
+File user_analog_project_wrapper.mag couldn't be read
+No such file or directory
+Creating new cell
+"drc(full)" is not one of the DRC styles Magic knows.
+The current style is "default".
+The DRC styles are: default.
+No errors found.
+[INFO]: COUNT: 0
+[INFO]: Should be divided by 3 or 4
+[INFO]: DRC Checking DONE (/home/krishna/Comparator_MPW6/precheck_results/02_JUN_2022___13_44_02/outputs/reports/magic_drc_check.drc.report)
+[INFO]: Saving mag view with DRC errors(/home/krishna/Comparator_MPW6/precheck_results/02_JUN_2022___13_44_02/outputs/user_analog_project_wrapper.magic.drc.mag)
+[INFO]: Saved
diff --git a/precheck_results/02_JUN_2022___13_44_02/logs/magic_drc_check.total b/precheck_results/02_JUN_2022___13_44_02/logs/magic_drc_check.total
new file mode 100644
index 0000000..c227083
--- /dev/null
+++ b/precheck_results/02_JUN_2022___13_44_02/logs/magic_drc_check.total
@@ -0,0 +1 @@
+0
\ No newline at end of file
diff --git a/precheck_results/02_JUN_2022___13_44_02/logs/pdks.info b/precheck_results/02_JUN_2022___13_44_02/logs/pdks.info
new file mode 100644
index 0000000..3072015
--- /dev/null
+++ b/precheck_results/02_JUN_2022___13_44_02/logs/pdks.info
@@ -0,0 +1,2 @@
+Open PDKs a3d6ffea8022332b859daa454cef7ee7131c5181
+Skywater PDK f70d8ca46961ff92719d8870a18a076370b85f6c
\ No newline at end of file
diff --git a/precheck_results/02_JUN_2022___13_44_02/logs/precheck.log b/precheck_results/02_JUN_2022___13_44_02/logs/precheck.log
new file mode 100644
index 0000000..cc7b76d
--- /dev/null
+++ b/precheck_results/02_JUN_2022___13_44_02/logs/precheck.log
@@ -0,0 +1,60 @@
+2022-06-02 13:44:02 - [INFO] - {{EXTRACTING FILES}} Extracting compressed files in: /home/krishna/Comparator_MPW6
+2022-06-02 13:44:02 - [INFO] - {{Project Type Info}} analog
+2022-06-02 13:44:02 - [INFO] - {{Project GDS Info}} user_analog_project_wrapper: da65c73f0037044e43be350669b9c1a98297af69
+2022-06-02 13:44:03 - [INFO] - {{Tools Info}} KLayout: v0.27.8 | Magic: v8.3.274
+2022-06-02 13:44:03 - [INFO] - {{PDKs Info}} Open PDKs: a3d6ffea8022332b859daa454cef7ee7131c5181 | Skywater PDK: f70d8ca46961ff92719d8870a18a076370b85f6c
+2022-06-02 13:44:03 - [INFO] - {{START}} Precheck Started, the full log 'precheck.log' will be located in '/home/krishna/Comparator_MPW6/precheck_results/02_JUN_2022___13_44_02/logs'
+2022-06-02 13:44:03 - [INFO] - {{PRECHECK SEQUENCE}} Precheck will run the following checks: [License, Makefile, Default, Documentation, Consistency, XOR, Magic DRC, Klayout FEOL, Klayout BEOL, Klayout Offgrid, Klayout Metal Minimum Clear Area Density, Klayout Pin Label Purposes Overlapping Drawing, Klayout ZeroArea]
+2022-06-02 13:44:03 - [INFO] - {{STEP UPDATE}} Executing Check 1 of 13: License
+2022-06-02 13:44:04 - [INFO] - An approved LICENSE (Apache-2.0) was found in /home/krishna/Comparator_MPW6.
+2022-06-02 13:44:04 - [INFO] - {{MAIN LICENSE CHECK PASSED}} An approved LICENSE was found in project root.
+2022-06-02 13:44:04 - [INFO] - An approved LICENSE (Apache-2.0) was found in /home/krishna/Comparator_MPW6.
+2022-06-02 13:44:04 - [INFO] - {{SUBMODULES LICENSE CHECK PASSED}} No prohibited LICENSE file(s) was found in project submodules
+2022-06-02 13:44:05 - [WARNING] - {{SPDX COMPLIANCE CHECK FAILED}} Found 109 non-compliant file(s) with the SPDX Standard.
+2022-06-02 13:44:05 - [INFO] - SPDX COMPLIANCE: NON-COMPLIANT FILE(S) PREVIEW: ['/home/krishna/Comparator_MPW6/Makefile', '/home/krishna/Comparator_MPW6/.spiceinit', '/home/krishna/Comparator_MPW6/verilog/dv/Makefile', '/home/krishna/Comparator_MPW6/verilog/dv/mprj_por/mprj_por.c', '/home/krishna/Comparator_MPW6/verilog/dv/mprj_por/mprj_por_tb.v', '/home/krishna/Comparator_MPW6/verilog/dv/mprj_por/Makefile', '/home/krishna/Comparator_MPW6/verilog/rtl/uprj_analog_netlists.v', '/home/krishna/Comparator_MPW6/verilog/rtl/example_por.v', '/home/krishna/Comparator_MPW6/verilog/rtl/user_analog_proj_example.v', '/home/krishna/Comparator_MPW6/verilog/rtl/user_analog_project_wrapper.v', '/home/krishna/Comparator_MPW6/verilog/rtl/comparator.v', '/home/krishna/Comparator_MPW6/precheck_results/01_JUN_2022___10_26_55/outputs/reports/magic_drc_check.drc.report', '/home/krishna/Comparator_MPW6/precheck_results/01_JUN_2022___10_26_55/logs/klayout_zeroarea_check.total', '/home/krishna/Comparator_MPW6/precheck_results/01_JUN_2022___10_26_55/logs/tools.info', '/home/krishna/Comparator_MPW6/precheck_results/01_JUN_2022___10_26_55/logs/klayout_beol_check.total']
+2022-06-02 13:44:05 - [INFO] - For the full SPDX compliance report check: /home/krishna/Comparator_MPW6/precheck_results/02_JUN_2022___13_44_02/logs/spdx_compliance_report.log
+2022-06-02 13:44:05 - [INFO] - {{STEP UPDATE}} Executing Check 2 of 13: Makefile
+2022-06-02 13:44:05 - [INFO] - {{MAKEFILE CHECK PASSED}} Makefile valid.
+2022-06-02 13:44:05 - [INFO] - {{STEP UPDATE}} Executing Check 3 of 13: Default
+2022-06-02 13:44:05 - [INFO] - {{README DEFAULT CHECK PASSED}} Project 'README.md' was modified and is not identical to the default 'README.md'
+2022-06-02 13:44:05 - [INFO] - {{CONTENT DEFAULT CHECK PASSED}} Project 'gds' was modified and is not identical to the default 'gds'
+2022-06-02 13:44:05 - [INFO] - {{STEP UPDATE}} Executing Check 4 of 13: Documentation
+2022-06-02 13:44:05 - [INFO] - {{DOCUMENTATION CHECK PASSED}} Project documentation is appropriate.
+2022-06-02 13:44:05 - [INFO] - {{STEP UPDATE}} Executing Check 5 of 13: Consistency
+2022-06-02 13:44:06 - [INFO] - HIERARCHY CHECK PASSED: Module user_analog_project_wrapper is instantiated in caravan. 
+2022-06-02 13:44:06 - [INFO] - COMPLEXITY CHECK PASSED: Netlist caravan contains at least 8 instances (68 instances). 
+2022-06-02 13:44:06 - [INFO] - MODELING CHECK PASSED: Netlist caravan is structural.
+2022-06-02 13:44:06 - [INFO] - SUBMODULE HOOKS CHECK PASSED: All module ports for user_analog_project_wrapper are correctly connected in the top level netlist caravan.
+2022-06-02 13:44:06 - [INFO] - {{NETLIST CONSISTENCY CHECK PASSED}} caravan netlist passed all consistency checks.
+2022-06-02 13:44:06 - [INFO] - PORTS CHECK PASSED: Netlist user_analog_project_wrapper ports match the golden wrapper ports
+2022-06-02 13:44:06 - [INFO] - COMPLEXITY CHECK PASSED: Netlist user_analog_project_wrapper contains at least 1 instances (19 instances). 
+2022-06-02 13:44:06 - [INFO] - MODELING CHECK PASSED: Netlist user_analog_project_wrapper is structural.
+2022-06-02 13:44:06 - [INFO] - LAYOUT CHECK PASSED: The GDS layout for user_analog_project_wrapper matches the provided structural netlist.
+2022-06-02 13:44:06 - [INFO] - {{NETLIST CONSISTENCY CHECK PASSED}} user_analog_project_wrapper netlist passed all consistency checks.
+2022-06-02 13:44:06 - [INFO] - {{CONSISTENCY CHECK PASSED}} The user netlist and the top netlist are valid.
+2022-06-02 13:44:06 - [INFO] - {{STEP UPDATE}} Executing Check 6 of 13: XOR
+2022-06-02 13:44:08 - [ERROR] - XOR CHECK FILE NOT FOUND in /home/krishna/Comparator_MPW6/precheck_results/02_JUN_2022___13_44_02/logs/xor_check.total
+2022-06-02 13:44:08 - [WARNING] - {{XOR CHECK FAILED}} The GDS file has non-conforming geometries.
+2022-06-02 13:44:08 - [INFO] - {{STEP UPDATE}} Executing Check 7 of 13: Magic DRC
+2022-06-02 13:44:08 - [INFO] - 0 DRC violations
+2022-06-02 13:44:08 - [INFO] - {{MAGIC DRC CHECK PASSED}} The GDS file, user_analog_project_wrapper.gds, has no DRC violations.
+2022-06-02 13:44:08 - [INFO] - {{STEP UPDATE}} Executing Check 8 of 13: Klayout FEOL
+2022-06-02 13:44:10 - [INFO] - No DRC Violations found
+2022-06-02 13:44:10 - [INFO] - {{Klayout FEOL CHECK PASSED}} The GDS file, user_analog_project_wrapper.gds, has no DRC violations.
+2022-06-02 13:44:10 - [INFO] - {{STEP UPDATE}} Executing Check 9 of 13: Klayout BEOL
+2022-06-02 13:44:14 - [INFO] - No DRC Violations found
+2022-06-02 13:44:14 - [INFO] - {{Klayout BEOL CHECK PASSED}} The GDS file, user_analog_project_wrapper.gds, has no DRC violations.
+2022-06-02 13:44:14 - [INFO] - {{STEP UPDATE}} Executing Check 10 of 13: Klayout Offgrid
+2022-06-02 13:44:17 - [INFO] - No DRC Violations found
+2022-06-02 13:44:17 - [INFO] - {{Klayout Offgrid CHECK PASSED}} The GDS file, user_analog_project_wrapper.gds, has no DRC violations.
+2022-06-02 13:44:17 - [INFO] - {{STEP UPDATE}} Executing Check 11 of 13: Klayout Metal Minimum Clear Area Density
+2022-06-02 13:44:18 - [INFO] - No DRC Violations found
+2022-06-02 13:44:18 - [INFO] - {{Klayout Metal Minimum Clear Area Density CHECK PASSED}} The GDS file, user_analog_project_wrapper.gds, has no DRC violations.
+2022-06-02 13:44:18 - [INFO] - {{STEP UPDATE}} Executing Check 12 of 13: Klayout Pin Label Purposes Overlapping Drawing
+2022-06-02 13:44:19 - [ERROR] - Total # of DRC violations is 2 Please check /home/krishna/Comparator_MPW6/precheck_results/02_JUN_2022___13_44_02/outputs/reports/klayout_pin_label_purposes_overlapping_drawing_check.xml For more details
+2022-06-02 13:44:19 - [WARNING] - {{Klayout Pin Label Purposes Overlapping Drawing CHECK FAILED}} The GDS file, user_analog_project_wrapper.gds, has DRC violations.
+2022-06-02 13:44:19 - [INFO] - {{STEP UPDATE}} Executing Check 13 of 13: Klayout ZeroArea
+2022-06-02 13:44:20 - [INFO] - No DRC Violations found
+2022-06-02 13:44:20 - [INFO] - {{Klayout ZeroArea CHECK PASSED}} The GDS file, user_analog_project_wrapper.gds, has no DRC violations.
+2022-06-02 13:44:20 - [INFO] - {{FINISH}} Executing Finished, the full log 'precheck.log' can be found in '/home/krishna/Comparator_MPW6/precheck_results/02_JUN_2022___13_44_02/logs'
+2022-06-02 13:44:20 - [CRITICAL] - {{FAILURE}} 2 Check(s) Failed: ['XOR', 'Klayout Pin Label Purposes Overlapping Drawing'] !!!
diff --git a/precheck_results/02_JUN_2022___13_44_02/logs/spdx_compliance_report.log b/precheck_results/02_JUN_2022___13_44_02/logs/spdx_compliance_report.log
new file mode 100644
index 0000000..2bf3f52
--- /dev/null
+++ b/precheck_results/02_JUN_2022___13_44_02/logs/spdx_compliance_report.log
@@ -0,0 +1,109 @@
+/home/krishna/Comparator_MPW6/Makefile
+/home/krishna/Comparator_MPW6/.spiceinit
+/home/krishna/Comparator_MPW6/verilog/dv/Makefile
+/home/krishna/Comparator_MPW6/verilog/dv/mprj_por/mprj_por.c
+/home/krishna/Comparator_MPW6/verilog/dv/mprj_por/mprj_por_tb.v
+/home/krishna/Comparator_MPW6/verilog/dv/mprj_por/Makefile
+/home/krishna/Comparator_MPW6/verilog/rtl/uprj_analog_netlists.v
+/home/krishna/Comparator_MPW6/verilog/rtl/example_por.v
+/home/krishna/Comparator_MPW6/verilog/rtl/user_analog_proj_example.v
+/home/krishna/Comparator_MPW6/verilog/rtl/user_analog_project_wrapper.v
+/home/krishna/Comparator_MPW6/verilog/rtl/comparator.v
+/home/krishna/Comparator_MPW6/precheck_results/01_JUN_2022___10_26_55/outputs/reports/magic_drc_check.drc.report
+/home/krishna/Comparator_MPW6/precheck_results/01_JUN_2022___10_26_55/logs/klayout_zeroarea_check.total
+/home/krishna/Comparator_MPW6/precheck_results/01_JUN_2022___10_26_55/logs/tools.info
+/home/krishna/Comparator_MPW6/precheck_results/01_JUN_2022___10_26_55/logs/klayout_beol_check.total
+/home/krishna/Comparator_MPW6/precheck_results/01_JUN_2022___10_26_55/logs/klayout_pin_label_purposes_overlapping_drawing_check.total
+/home/krishna/Comparator_MPW6/precheck_results/01_JUN_2022___10_26_55/logs/klayout_feol_check.total
+/home/krishna/Comparator_MPW6/precheck_results/01_JUN_2022___10_26_55/logs/gds.info
+/home/krishna/Comparator_MPW6/precheck_results/01_JUN_2022___10_26_55/logs/pdks.info
+/home/krishna/Comparator_MPW6/precheck_results/01_JUN_2022___10_26_55/logs/magic_drc_check.total
+/home/krishna/Comparator_MPW6/precheck_results/01_JUN_2022___10_26_55/logs/klayout_offgrid_check.total
+/home/krishna/Comparator_MPW6/precheck_results/01_JUN_2022___10_26_55/logs/klayout_met_min_ca_density_check.total
+/home/krishna/Comparator_MPW6/precheck_results/01_JUN_2022___10_22_16/outputs/reports/magic_drc_check.drc.report
+/home/krishna/Comparator_MPW6/precheck_results/01_JUN_2022___10_22_16/logs/klayout_zeroarea_check.total
+/home/krishna/Comparator_MPW6/precheck_results/01_JUN_2022___10_22_16/logs/tools.info
+/home/krishna/Comparator_MPW6/precheck_results/01_JUN_2022___10_22_16/logs/klayout_beol_check.total
+/home/krishna/Comparator_MPW6/precheck_results/01_JUN_2022___10_22_16/logs/klayout_pin_label_purposes_overlapping_drawing_check.total
+/home/krishna/Comparator_MPW6/precheck_results/01_JUN_2022___10_22_16/logs/klayout_feol_check.total
+/home/krishna/Comparator_MPW6/precheck_results/01_JUN_2022___10_22_16/logs/gds.info
+/home/krishna/Comparator_MPW6/precheck_results/01_JUN_2022___10_22_16/logs/pdks.info
+/home/krishna/Comparator_MPW6/precheck_results/01_JUN_2022___10_22_16/logs/magic_drc_check.total
+/home/krishna/Comparator_MPW6/precheck_results/01_JUN_2022___10_22_16/logs/klayout_offgrid_check.total
+/home/krishna/Comparator_MPW6/precheck_results/01_JUN_2022___10_22_16/logs/klayout_met_min_ca_density_check.total
+/home/krishna/Comparator_MPW6/precheck_results/02_JUN_2022___13_34_14/outputs/reports/magic_drc_check.drc.report
+/home/krishna/Comparator_MPW6/precheck_results/02_JUN_2022___13_34_14/logs/klayout_zeroarea_check.total
+/home/krishna/Comparator_MPW6/precheck_results/02_JUN_2022___13_34_14/logs/tools.info
+/home/krishna/Comparator_MPW6/precheck_results/02_JUN_2022___13_34_14/logs/klayout_beol_check.total
+/home/krishna/Comparator_MPW6/precheck_results/02_JUN_2022___13_34_14/logs/klayout_pin_label_purposes_overlapping_drawing_check.total
+/home/krishna/Comparator_MPW6/precheck_results/02_JUN_2022___13_34_14/logs/klayout_feol_check.total
+/home/krishna/Comparator_MPW6/precheck_results/02_JUN_2022___13_34_14/logs/gds.info
+/home/krishna/Comparator_MPW6/precheck_results/02_JUN_2022___13_34_14/logs/pdks.info
+/home/krishna/Comparator_MPW6/precheck_results/02_JUN_2022___13_34_14/logs/magic_drc_check.total
+/home/krishna/Comparator_MPW6/precheck_results/02_JUN_2022___13_34_14/logs/klayout_offgrid_check.total
+/home/krishna/Comparator_MPW6/precheck_results/02_JUN_2022___13_34_14/logs/klayout_met_min_ca_density_check.total
+/home/krishna/Comparator_MPW6/precheck_results/02_JUN_2022___13_44_02/logs/tools.info
+/home/krishna/Comparator_MPW6/precheck_results/02_JUN_2022___13_44_02/logs/gds.info
+/home/krishna/Comparator_MPW6/precheck_results/02_JUN_2022___13_44_02/logs/pdks.info
+/home/krishna/Comparator_MPW6/mag/sky130_fd_pr__pfet_01v8_AC5Z8B#0.ext
+/home/krishna/Comparator_MPW6/mag/latch_3.ext
+/home/krishna/Comparator_MPW6/mag/inv_W2.ext
+/home/krishna/Comparator_MPW6/mag/sky130_fd_pr__diode_pw2nd_05v5_KLAK3C.ext
+/home/krishna/Comparator_MPW6/mag/sky130_fd_pr__diode_pw2nd_05v5_FT7GK8.ext
+/home/krishna/Comparator_MPW6/mag/sky130_fd_pr__diode_pw2nd_05v5_3P6M5Y.ext
+/home/krishna/Comparator_MPW6/mag/sky130_fd_pr__pfet_01v8_5SM9EE.ext
+/home/krishna/Comparator_MPW6/mag/latch_22.ext
+/home/krishna/Comparator_MPW6/mag/sky130_fd_pr__diode_pw2nd_05v5_GT7G3L.ext
+/home/krishna/Comparator_MPW6/mag/inv_W2#0.ext
+/home/krishna/Comparator_MPW6/mag/.magicrc
+/home/krishna/Comparator_MPW6/mag/sky130_fd_pr__diode_pd2nw_05v5_G4XDRY.ext
+/home/krishna/Comparator_MPW6/mag/sky130_fd_pr__nfet_01v8_XJTKXQ#1.ext
+/home/krishna/Comparator_MPW6/mag/sky130_fd_pr__nfet_01v8_XJTKXQ.ext
+/home/krishna/Comparator_MPW6/mag/sky130_fd_pr__pfet_01v8_AC5Z8B.ext
+/home/krishna/Comparator_MPW6/mag/buffer_2#1.ext
+/home/krishna/Comparator_MPW6/mag/comparator_v6.ext
+/home/krishna/Comparator_MPW6/mag/buffer_1#0.ext
+/home/krishna/Comparator_MPW6/mag/latch_2.ext
+/home/krishna/Comparator_MPW6/mag/user_analog_project_wrapper.ext
+/home/krishna/Comparator_MPW6/mag/buffer_2#0.ext
+/home/krishna/Comparator_MPW6/mag/sky130_fd_pr__diode_pd2nw_05v5_RT56W3.ext
+/home/krishna/Comparator_MPW6/mag/latch/SR_latch.ext
+/home/krishna/Comparator_MPW6/mag/latch/sky130_fd_pr__pfet_01v8_AC5Z8B#0.ext
+/home/krishna/Comparator_MPW6/mag/latch/sky130A.magicrc
+/home/krishna/Comparator_MPW6/mag/latch/latch_22.ext
+/home/krishna/Comparator_MPW6/mag/latch/sky130_fd_pr__pfet_01v8_AC5E9B.ext
+/home/krishna/Comparator_MPW6/mag/latch/.magicrc
+/home/krishna/Comparator_MPW6/mag/latch/sky130_fd_pr__nfet_01v8_XJTKXQ#1.ext
+/home/krishna/Comparator_MPW6/mag/latch/sky130_fd_pr__nfet_01v8_F5U58G.ext
+/home/krishna/Comparator_MPW6/mag/latch/sky130_fd_pr__pfet_01v8_GJYUB2.ext
+/home/krishna/Comparator_MPW6/mag/latch/latch_2.ext
+/home/krishna/Comparator_MPW6/mag/latch/sky130_fd_pr__pfet_01v8_5SVZDE.ext
+/home/krishna/Comparator_MPW6/mag/latch/latch.ext
+/home/krishna/Comparator_MPW6/mag/preamp/sky130_fd_pr__nfet_01v8_G6PLX8.ext
+/home/krishna/Comparator_MPW6/mag/preamp/preamp_part1.ext
+/home/krishna/Comparator_MPW6/mag/preamp/preamp_part2.ext
+/home/krishna/Comparator_MPW6/mag/preamp/sky130_fd_pr__nfet_01v8_XJTKXQ#0.ext
+/home/krishna/Comparator_MPW6/mag/preamp/sky130_fd_pr__nfet_01v8_F5U58G#1.ext
+/home/krishna/Comparator_MPW6/mag/preamp/.magicrc
+/home/krishna/Comparator_MPW6/mag/preamp/sky130_fd_pr__pfet_01v8_RFM3CD.ext
+/home/krishna/Comparator_MPW6/mag/preamp/sky130_fd_pr__nfet_01v8_8FHE5N.ext
+/home/krishna/Comparator_MPW6/mag/preamp/sky130_fd_pr__nfet_01v8_F5U58G#0.ext
+/home/krishna/Comparator_MPW6/xschem/xschemrc
+/home/krishna/Comparator_MPW6/xschem/buffer.sch
+/home/krishna/Comparator_MPW6/xschem/user_analog_project_wrapper.sym
+/home/krishna/Comparator_MPW6/xschem/SR_latch.sym
+/home/krishna/Comparator_MPW6/xschem/comparator.sch
+/home/krishna/Comparator_MPW6/xschem/comparator.sym
+/home/krishna/Comparator_MPW6/xschem/analog_wrapper_tb.sch
+/home/krishna/Comparator_MPW6/xschem/user_analog_project_wrapper.sch
+/home/krishna/Comparator_MPW6/xschem/.spiceinit
+/home/krishna/Comparator_MPW6/xschem/comparator_tb.sch
+/home/krishna/Comparator_MPW6/xschem/SR_latch.sch
+/home/krishna/Comparator_MPW6/xschem/buffer.sym
+/home/krishna/Comparator_MPW6/docs/Makefile
+/home/krishna/Comparator_MPW6/docs/environment.yml
+/home/krishna/Comparator_MPW6/docs/source/conf.py
+/home/krishna/Comparator_MPW6/docs/source/index.rst
+/home/krishna/Comparator_MPW6/netgen/run_lvs_wrapper_verilog.sh
+/home/krishna/Comparator_MPW6/netgen/run_lvs_por.sh
+/home/krishna/Comparator_MPW6/netgen/run_lvs_wrapper_xschem.sh
diff --git a/precheck_results/02_JUN_2022___13_44_02/logs/tools.info b/precheck_results/02_JUN_2022___13_44_02/logs/tools.info
new file mode 100644
index 0000000..9b2230a
--- /dev/null
+++ b/precheck_results/02_JUN_2022___13_44_02/logs/tools.info
@@ -0,0 +1,2 @@
+KLayout: 0.27.8
+Magic: 8.3.274
\ No newline at end of file
diff --git a/precheck_results/02_JUN_2022___13_44_02/logs/xor_check.log b/precheck_results/02_JUN_2022___13_44_02/logs/xor_check.log
new file mode 100644
index 0000000..45c35c2
--- /dev/null
+++ b/precheck_results/02_JUN_2022___13_44_02/logs/xor_check.log
@@ -0,0 +1,179 @@
+Reading file /home/krishna/Comparator_MPW6/gds/user_analog_project_wrapper.gds for cell user_analog_project_wrapper
+dbu=0.001
+cell user_analog_project_wrapper dbu-bbox(ll;ur)=(-4000,-4000;2924000,3524050)
+cell user_analog_project_wrapper dbu-bbox(left,bottom,right,top)=(-4000,-4000,2924000,3524050)
+cell user_analog_project_wrapper dbu-size(width,height)=(2928000,3528050)
+cell user_analog_project_wrapper micron-bbox(left,bottom,right,top)=(-4.0,-4.0,2924.0,3524.05)
+cell user_analog_project_wrapper micron-size(width,height)=(2928.0,3528.05)
+Done.
+
+Magic 8.3 revision 274 - Compiled on Fri Mar  4 22:54:15 UTC 2022.
+Starting magic under Tcl interpreter
+Using the terminal as the console.
+Using NULL graphics device.
+Processing system .magicrc file
+Loading "/home/krishna/mpw_precheckmpw6/checks/xor_check/erase_box.tcl" from command line.
+"sky130(vendor)" is not one of the CIF input styles Magic knows.
+Error: No style is set
+The CIF input styles are: .
+Don't know how to read GDS-II:
+Nothing in "cifinput" section of tech file.
+File user_analog_project_wrapper.mag couldn't be read
+No such file or directory
+Creating new cell
+Root cell box:
+           width x height  (   llx,  lly  ), (   urx,  ury  )  area (units^2)
+
+microns:  43.000 x 3520.000  (-43.000,  0.000), ( 0.000,  3520.000)  151360.000
+lambda:       43 x 3520    (   -43,  0    ), (     0,  3520 )  151360    
+Root cell box:
+           width x height  (   llx,  lly  ), (   urx,  ury  )  area (units^2)
+
+microns:  43.000 x 3520.000  ( 2920.000,  0.000), ( 2963.000,  3520.000)  151360.000
+lambda:       43 x 3520    (  2920,  0    ), (  2963,  3520 )  151360    
+Root cell box:
+           width x height  (   llx,  lly  ), (   urx,  ury  )  area (units^2)
+
+microns:  3006.000 x 38.000  (-43.000, -38.000), ( 2963.000,  0.000)  114228.000
+lambda:     3006 x 38      (   -43, -38   ), (  2963,  0    )  114228    
+Root cell box:
+           width x height  (   llx,  lly  ), (   urx,  ury  )  area (units^2)
+
+microns:  3006.000 x 37.000  (-43.000,  3520.000), ( 2963.000,  3557.000)  111222.000
+lambda:     3006 x 37      (   -43,  3520 ), (  2963,  3557 )  111222    
+Unrecognized layer: metal5
+Layer names are:
+    mag or magnet
+    f or fence
+    r or rotate
+    $
+    *
+    errors
+    labels
+    subcell
+    connect
+Unrecognized layer: metal4
+Layer names are:
+    mag or magnet
+    f or fence
+    r or rotate
+    $
+    *
+    errors
+    labels
+    subcell
+    connect
+Unrecognized layer: metal5
+Layer names are:
+    mag or magnet
+    f or fence
+    r or rotate
+    $
+    *
+    errors
+    labels
+    subcell
+    connect
+Unrecognized layer: metal4
+Layer names are:
+    mag or magnet
+    f or fence
+    r or rotate
+    $
+    *
+    errors
+    labels
+    subcell
+    connect
+No CIF/GDS output style set!
+I/O error in writing file /home/krishna/Comparator_MPW6/precheck_results/02_JUN_2022___13_44_02/outputs/user_analog_project_wrapper_erased.gds.
+File may be incompletely written.
+
+Magic 8.3 revision 274 - Compiled on Fri Mar  4 22:54:15 UTC 2022.
+Starting magic under Tcl interpreter
+Using the terminal as the console.
+Using NULL graphics device.
+Processing system .magicrc file
+Loading "/home/krishna/mpw_precheckmpw6/checks/xor_check/erase_box.tcl" from command line.
+"sky130(vendor)" is not one of the CIF input styles Magic knows.
+Error: No style is set
+The CIF input styles are: .
+Don't know how to read GDS-II:
+Nothing in "cifinput" section of tech file.
+File user_analog_project_wrapper.mag couldn't be read
+No such file or directory
+Creating new cell
+Root cell box:
+           width x height  (   llx,  lly  ), (   urx,  ury  )  area (units^2)
+
+microns:  43.000 x 3520.000  (-43.000,  0.000), ( 0.000,  3520.000)  151360.000
+lambda:       43 x 3520    (   -43,  0    ), (     0,  3520 )  151360    
+Root cell box:
+           width x height  (   llx,  lly  ), (   urx,  ury  )  area (units^2)
+
+microns:  43.000 x 3520.000  ( 2920.000,  0.000), ( 2963.000,  3520.000)  151360.000
+lambda:       43 x 3520    (  2920,  0    ), (  2963,  3520 )  151360    
+Root cell box:
+           width x height  (   llx,  lly  ), (   urx,  ury  )  area (units^2)
+
+microns:  3006.000 x 38.000  (-43.000, -38.000), ( 2963.000,  0.000)  114228.000
+lambda:     3006 x 38      (   -43, -38   ), (  2963,  0    )  114228    
+Root cell box:
+           width x height  (   llx,  lly  ), (   urx,  ury  )  area (units^2)
+
+microns:  3006.000 x 37.000  (-43.000,  3520.000), ( 2963.000,  3557.000)  111222.000
+lambda:     3006 x 37      (   -43,  3520 ), (  2963,  3557 )  111222    
+Unrecognized layer: metal5
+Layer names are:
+    mag or magnet
+    f or fence
+    r or rotate
+    $
+    *
+    errors
+    labels
+    subcell
+    connect
+Unrecognized layer: metal4
+Layer names are:
+    mag or magnet
+    f or fence
+    r or rotate
+    $
+    *
+    errors
+    labels
+    subcell
+    connect
+Unrecognized layer: metal5
+Layer names are:
+    mag or magnet
+    f or fence
+    r or rotate
+    $
+    *
+    errors
+    labels
+    subcell
+    connect
+Unrecognized layer: metal4
+Layer names are:
+    mag or magnet
+    f or fence
+    r or rotate
+    $
+    *
+    errors
+    labels
+    subcell
+    connect
+No CIF/GDS output style set!
+I/O error in writing file /home/krishna/Comparator_MPW6/precheck_results/02_JUN_2022___13_44_02/outputs/user_analog_project_wrapper_empty_erased.gds.
+File may be incompletely written.
+Reading /home/krishna/Comparator_MPW6/precheck_results/02_JUN_2022___13_44_02/outputs/user_analog_project_wrapper_erased.gds ..
+ERROR: In /home/krishna/mpw_precheckmpw6/checks/xor_check/xor.rb.drc: 'source': Stream has unknown format: /home/krishna/Comparator_MPW6/precheck_results/02_JUN_2022___13_44_02/outputs/user_analog_project_wrapper_erased.gds in Layout::read
+Total elapsed: 0.000s  Memory: 521.00M
+ERROR: 'source': Stream has unknown format: /home/krishna/Comparator_MPW6/precheck_results/02_JUN_2022___13_44_02/outputs/user_analog_project_wrapper_erased.gds in Layout::read in Executable::execute
+  /home/krishna/mpw_precheckmpw6/checks/xor_check/xor.rb.drc:15:in `execute'
+  :/built-in-macros/drc_interpreters.lym:27:in `instance_eval'
+  :/built-in-macros/drc_interpreters.lym:27:in `execute'
diff --git a/precheck_results/02_JUN_2022___13_44_02/outputs/reports/klayout_beol_check.xml b/precheck_results/02_JUN_2022___13_44_02/outputs/reports/klayout_beol_check.xml
new file mode 100644
index 0000000..9ad4ffd
--- /dev/null
+++ b/precheck_results/02_JUN_2022___13_44_02/outputs/reports/klayout_beol_check.xml
@@ -0,0 +1,447 @@
+<?xml version="1.0" encoding="utf-8"?>
+<report-database>
+ <description>SKY130 DRC runset</description>
+ <original-file/>
+ <generator>drc: script='/home/krishna/mpw_precheckmpw6/checks/tech-files/sky130A_mr.drc'</generator>
+ <top-cell>user_analog_project_wrapper</top-cell>
+ <tags>
+ </tags>
+ <categories>
+  <category>
+   <name>li.1</name>
+   <description>li.1 : min. li width : 0.17um</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>li.3</name>
+   <description>li.3 : min. li spacing : 0.17um</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>li.5</name>
+   <description>li.5 : min. li enclosure of licon of 2 adjacent edges : 0.08um</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>li.6</name>
+   <description>li.6 : min. li area : 0.0561um²</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>ct.1</name>
+   <description>ct.1: non-ring mcon should be rectangular</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>ct.1_a</name>
+   <description>ct.1_a : minimum width of mcon : 0.17um</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>ct.1_b</name>
+   <description>ct.1_b : maximum length of mcon : 0.17um</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>ct.2</name>
+   <description>ct.2 : min. mcon spacing : 0.19um</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>ct.4</name>
+   <description>ct.4 : mcon should covered by li</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>m1.1</name>
+   <description>m1.1 : min. m1 width : 0.14um</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>m1.2</name>
+   <description>m1.2 : min. m1 spacing : 0.14um</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>m1.3ab</name>
+   <description>m1.3ab : min. 3um.m1 spacing m1 : 0.28um</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>791_m1.4</name>
+   <description>791_m1.4 : min. m1 enclosure of mcon : 0.03um</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>m1.4</name>
+   <description>m1.4 : mcon periphery must be enclosed by m1</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>m1.4a</name>
+   <description>m1.4a : min. m1 enclosure of mcon for specific cells : 0.005um</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>m1.4a_a</name>
+   <description>m1.4a_a : mcon periph must be enclosed by met1 for specific cells</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>m1.6</name>
+   <description>m1.6 : min. m1 area : 0.083um²</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>m1.7</name>
+   <description>m1.7 : min. m1 with holes area : 0.14um²</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>m1.5</name>
+   <description>m1.5 : min. m1 enclosure of mcon of 2 adjacent edges : 0.06um</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>via.1a</name>
+   <description>via.1a : via outside of moduleCut should be rectangular</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>via.1a_a</name>
+   <description>via.1a_a : min. width of via outside of moduleCut : 0.15um</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>via.1a_b</name>
+   <description>via.1a_b : maximum length of via : 0.15um</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>via.2</name>
+   <description>via.2 : min. via spacing : 0.17um</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>via.4a</name>
+   <description>via.4a : min. m1 enclosure of 0.15um via : 0.055um</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>via.4a_a</name>
+   <description>via.4a_a : 0.15um via must be enclosed by met1</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>via.5a</name>
+   <description>via.5a : min. m1 enclosure of 0.15um via of 2 adjacent edges : 0.085um</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>m2.1</name>
+   <description>m2.1 : min. m2 width : 0.14um</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>m2.2</name>
+   <description>m2.2 : min. m2 spacing : 0.14um</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>m2.3ab</name>
+   <description>m2.3ab : min. 3um.m2 spacing m2 : 0.28um</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>m2.6</name>
+   <description>m2.6 : min. m2 area : 0.0676um²</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>m2.7</name>
+   <description>m2.7 : min. m2 holes area : 0.14um²</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>m2.4</name>
+   <description>m2.4 : min. m2 enclosure of via : 0.055um</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>m2.4_a</name>
+   <description>m2.4_a : via in periphery must be enclosed by met2</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>m2.5</name>
+   <description>m2.5 : min. m2 enclosure of via of 2 adjacent edges : 0.085um</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>via2.1a</name>
+   <description>via2.1a : via2 outside of moduleCut should be rectangular</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>via2.1a_a</name>
+   <description>via2.1a_a : min. width of via2 outside of moduleCut : 0.2um</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>via2.1a_b</name>
+   <description>via2.1a_b : maximum length of via2 : 0.2um</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>via2.2</name>
+   <description>via2.2 : min. via2 spacing : 0.2um</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>via2.4</name>
+   <description>via2.4 : min. m2 enclosure of via2 : 0.04um</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>via2.4_a</name>
+   <description>via2.4_a : via must be enclosed by met2</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>via2.5</name>
+   <description>via2.5 : min. m3 enclosure of via2 of 2 adjacent edges : 0.085um</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>m3.1</name>
+   <description>m3.1 : min. m3 width : 0.3um</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>m3.2</name>
+   <description>m3.2 : min. m3 spacing : 0.3um</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>m3.3cd</name>
+   <description>m3.3cd : min. 3um.m3 spacing m3 : 0.4um</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>m3.4</name>
+   <description>m3.4 : min. m3 enclosure of via2 : 0.065um</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>m3.4_a</name>
+   <description>m3.4_a : via2 must be enclosed by met3</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>via3.1</name>
+   <description>via3.1 : via3 outside of moduleCut should be rectangular</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>via3.1_a</name>
+   <description>via3.1_a : min. width of via3 outside of moduleCut : 0.2um</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>via3.1_b</name>
+   <description>via3.1_b : maximum length of via3 : 0.2um</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>via3.2</name>
+   <description>via3.2 : min. via3 spacing : 0.2um</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>via3.4</name>
+   <description>via3.4 : min. m3 enclosure of via3 : 0.06um</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>via3.4_a</name>
+   <description>via3.4_a : non-ring via3 must be enclosed by met3</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>via3.5</name>
+   <description>via3.5 : min. m3 enclosure of via3 of 2 adjacent edges : 0.09um</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>m4.1</name>
+   <description>m4.1 : min. m4 width : 0.3um</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>m4.2</name>
+   <description>m4.2 : min. m4 spacing : 0.3um</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>m4.4a</name>
+   <description>m4.4a : min. m4 area : 0.240um²</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>m4.5ab</name>
+   <description>m4.5ab : min. 3um.m4 spacing m4 : 0.4um</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>m4.3</name>
+   <description>m4.3 : min. m4 enclosure of via3 : 0.065um</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>m4.3_a</name>
+   <description>m4.3_a : via3 must be enclosed by met4</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>via4.1</name>
+   <description>via4.1 : via4 outside of moduleCut should be rectangular</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>via4.1_a</name>
+   <description>via4.1_a : min. width of via4 outside of moduleCut : 0.8um</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>via4.1_b</name>
+   <description>via4.1_b : maximum length of via4 : 0.8um</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>via4.2</name>
+   <description>via4.2 : min. via4 spacing : 0.8um</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>via4.4</name>
+   <description>via4.4 : min. m4 enclosure of via4 : 0.19um</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>via4.4_a</name>
+   <description>via4.4_a : m4 must enclose all via4</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>m5.1</name>
+   <description>m5.1 : min. m5 width : 1.6um</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>m5.2</name>
+   <description>m5.2 : min. m5 spacing : 1.6um</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>m5.3</name>
+   <description>m5.3 : min. m5 enclosure of via4 : 0.31um</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>m5.3_a</name>
+   <description>m5.3_a : via must be enclosed by m5</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>m5.4</name>
+   <description>m5.4 : min. m5 area : 4.0um²</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>pad.2</name>
+   <description>pad.2 : min. pad spacing : 1.27um</description>
+   <categories>
+   </categories>
+  </category>
+ </categories>
+ <cells>
+  <cell>
+   <name>user_analog_project_wrapper</name>
+   <variant/>
+   <references>
+   </references>
+  </cell>
+ </cells>
+ <items>
+ </items>
+</report-database>
diff --git a/precheck_results/02_JUN_2022___13_44_02/outputs/reports/klayout_feol_check.xml b/precheck_results/02_JUN_2022___13_44_02/outputs/reports/klayout_feol_check.xml
new file mode 100644
index 0000000..5c0c69c
--- /dev/null
+++ b/precheck_results/02_JUN_2022___13_44_02/outputs/reports/klayout_feol_check.xml
@@ -0,0 +1,363 @@
+<?xml version="1.0" encoding="utf-8"?>
+<report-database>
+ <description>SKY130 DRC runset</description>
+ <original-file/>
+ <generator>drc: script='/home/krishna/mpw_precheckmpw6/checks/tech-files/sky130A_mr.drc'</generator>
+ <top-cell>user_analog_project_wrapper</top-cell>
+ <tags>
+ </tags>
+ <categories>
+  <category>
+   <name>dnwell.2</name>
+   <description>dnwell.2 : min. dnwell width : 3.0um</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>nwell.1</name>
+   <description>nwell.1 : min. nwell width : 0.84um</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>nwell.2a</name>
+   <description>nwell.2a : min. nwell spacing (merged if less) : 1.27um</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>nwell.6</name>
+   <description>nwell.6 : min enclosure of nwellHole by dnwell : 1.03um</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>hvtp.1</name>
+   <description>hvtp.1 : min. hvtp width : 0.38um</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>hvtp.2</name>
+   <description>hvtp.2 : min. hvtp spacing : 0.38um</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>hvtr.1</name>
+   <description>hvtr.1 : min. hvtr width : 0.38um</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>hvtr.2</name>
+   <description>hvtr.2 : min. hvtr spacing : 0.38um</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>hvtr.2_a</name>
+   <description>hvtr.2_a : hvtr must not overlap hvtp</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>lvtn.1a</name>
+   <description>lvtn.1a : min. lvtn width : 0.38um</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>lvtn.2</name>
+   <description>lvtn.2 : min. lvtn spacing : 0.38um</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>ncm.1</name>
+   <description>ncm.1 : min. ncm width : 0.38um</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>ncm.2a</name>
+   <description>ncm.2a : min. ncm spacing : 0.38um</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>difftap.1</name>
+   <description>difftap.1 : min. diff width across areaid:ce : 0.15um</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>difftap.1_a</name>
+   <description>difftap.1_a : min. diff width in periphery : 0.15um</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>difftap.1_b</name>
+   <description>difftap.1_b : min. tap width across areaid:ce : 0.15um</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>difftap.1_c</name>
+   <description>difftap.1_c : min. tap width in periphery : 0.15um</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>difftap.3</name>
+   <description>difftap.3 : min. difftap spacing : 0.27um</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>tunm.1</name>
+   <description>tunm.1 : min. tunm width : 0.41um</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>tunm.2</name>
+   <description>tunm.2 : min. tunm spacing : 0.5um</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>poly.1a</name>
+   <description>poly.1a : min. poly width : 0.15um</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>poly.2</name>
+   <description>poly.2 : min. poly spacing : 0.21um</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>rpm.1a</name>
+   <description>rpm.1a : min. rpm width : 1.27um</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>rpm.2</name>
+   <description>rpm.2 : min. rpm spacing : 0.84um</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>urpm.1a</name>
+   <description>urpm.1a : min. rpm width : 1.27um</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>urpm.2</name>
+   <description>urpm.2 : min. rpm spacing : 0.84um</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>npc.1</name>
+   <description>npc.1 : min. npc width : 0.27um</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>npc.2</name>
+   <description>npc.2 : min. npc spacing, should be manually merged if less than : 0.27um</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>nsd.1</name>
+   <description>nsd.1 : min. nsdm width : 0.38um</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>nsd.2</name>
+   <description>nsd.2 : min. nsdm spacing, should be manually merged if less than : 0.38um</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>psd.1</name>
+   <description>psd.1 : min. psdm width : 0.38um</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>psd.2</name>
+   <description>psd.2 : min. psdm spacing, should be manually merged if less than : 0.38um</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>licon.1</name>
+   <description>licon.1 : licon should be rectangle</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>licon.1_a/b</name>
+   <description>licon.1_a/b : minimum/maximum width of licon : 0.17um</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>licon.13</name>
+   <description>licon.13 : min. difftap licon spacing to npc : 0.09um</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>licon.13_a</name>
+   <description>licon.13_a : licon of diffTap in periphery must not overlap npc</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>licon.17</name>
+   <description>licon.17 : Licons may not overlap both poly and (diff or tap)</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>capm.1</name>
+   <description>capm.1 : min. capm width : 1.0um</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>capm.2a</name>
+   <description>capm.2a : min. capm spacing : 0.84um</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>capm.2b</name>
+   <description>capm.2b : min. capm spacing : 1.2um</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>capm.2b_a</name>
+   <description>capm.2b_a : min. spacing of m3_bot_plate : 1.2um</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>capm.3</name>
+   <description>capm.3 : min. capm and m3 enclosure of m3 : 0.14um</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>capm.3_a</name>
+   <description>capm.3_a : min. m3 enclosure of capm : 0.14um</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>capm.4</name>
+   <description>capm.4 : min. capm enclosure of via3 : 0.14um</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>capm.5</name>
+   <description>capm.5 : min. capm spacing to via3 : 0.14um</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>cap2m.1</name>
+   <description>cap2m.1 : min. cap2m width : 1.0um</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>cap2m.2a</name>
+   <description>cap2m.2a : min. cap2m spacing : 0.84um</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>cap2m.2b</name>
+   <description>cap2m.2b : min. cap2m spacing : 1.2um</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>cap2m.2b_a</name>
+   <description>cap2m.2b_a : min. spacing of m4_bot_plate : 1.2um</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>cap2m.3</name>
+   <description>cap2m.3 : min. m4 enclosure of cap2m : 0.14um</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>cap2m.3_a</name>
+   <description>cap2m.3_a : min. m4 enclosure of cap2m : 0.14um</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>cap2m.4</name>
+   <description>cap2m.4 : min. cap2m enclosure of via4 : 0.14um</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>cap2m.5</name>
+   <description>cap2m.5 : min. cap2m spacing to via4 : 0.14um</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>hvi.1</name>
+   <description>hvi.1 : min. hvi width : 0.6um</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>hvi.2a</name>
+   <description>hvi.2a : min. hvi spacing : 0.7um</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>hvntm.1</name>
+   <description>hvntm.1 : min. hvntm width : 0.7um</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>hvntm.2</name>
+   <description>hvntm.2 : min. hvntm spacing : 0.7um</description>
+   <categories>
+   </categories>
+  </category>
+ </categories>
+ <cells>
+  <cell>
+   <name>user_analog_project_wrapper</name>
+   <variant/>
+   <references>
+   </references>
+  </cell>
+ </cells>
+ <items>
+ </items>
+</report-database>
diff --git a/precheck_results/02_JUN_2022___13_44_02/outputs/reports/klayout_met_min_ca_density_check.xml b/precheck_results/02_JUN_2022___13_44_02/outputs/reports/klayout_met_min_ca_density_check.xml
new file mode 100644
index 0000000..dc650ad
--- /dev/null
+++ b/precheck_results/02_JUN_2022___13_44_02/outputs/reports/klayout_met_min_ca_density_check.xml
@@ -0,0 +1,21 @@
+<?xml version="1.0" encoding="utf-8"?>
+<report-database>
+ <description>Density Checks</description>
+ <original-file/>
+ <generator>drc: script='/home/krishna/mpw_precheckmpw6/checks/drc_checks/klayout/met_min_ca_density.lydrc'</generator>
+ <top-cell>user_analog_project_wrapper</top-cell>
+ <tags>
+ </tags>
+ <categories>
+ </categories>
+ <cells>
+  <cell>
+   <name>user_analog_project_wrapper</name>
+   <variant/>
+   <references>
+   </references>
+  </cell>
+ </cells>
+ <items>
+ </items>
+</report-database>
diff --git a/precheck_results/02_JUN_2022___13_44_02/outputs/reports/klayout_offgrid_check.xml b/precheck_results/02_JUN_2022___13_44_02/outputs/reports/klayout_offgrid_check.xml
new file mode 100644
index 0000000..80a7de2
--- /dev/null
+++ b/precheck_results/02_JUN_2022___13_44_02/outputs/reports/klayout_offgrid_check.xml
@@ -0,0 +1,483 @@
+<?xml version="1.0" encoding="utf-8"?>
+<report-database>
+ <description>SKY130 DRC runset</description>
+ <original-file/>
+ <generator>drc: script='/home/krishna/mpw_precheckmpw6/checks/tech-files/sky130A_mr.drc'</generator>
+ <top-cell>user_analog_project_wrapper</top-cell>
+ <tags>
+ </tags>
+ <categories>
+  <category>
+   <name>dnwell_OFFGRID</name>
+   <description>x.1b : OFFGRID vertex on dnwell</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>dnwell_angle</name>
+   <description>x.3a : non 45 degree angle dnwell</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>nwell_OFFGRID</name>
+   <description>x.1b : OFFGRID vertex on nwell</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>nwell_angle</name>
+   <description>x.3a : non 45 degree angle nwell</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>pwbm_OFFGRID</name>
+   <description>x.1b : OFFGRID vertex on pwbm</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>pwbm_angle</name>
+   <description>x.3a : non 45 degree angle pwbm</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>pwde_OFFGRID</name>
+   <description>x.1b : OFFGRID vertex on pwde</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>pwde_angle</name>
+   <description>x.3a : non 45 degree angle pwde</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>hvtp_OFFGRID</name>
+   <description>x.1b : OFFGRID vertex on hvtp</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>hvtp_angle</name>
+   <description>x.3a : non 45 degree angle hvtp</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>hvtr_OFFGRID</name>
+   <description>x.1b : OFFGRID vertex on hvtr</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>hvtr_angle</name>
+   <description>x.3a : non 45 degree angle hvtr</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>lvtn_OFFGRID</name>
+   <description>x.1b : OFFGRID vertex on lvtn</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>lvtn_angle</name>
+   <description>x.3a : non 45 degree angle lvtn</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>ncm_OFFGRID</name>
+   <description>x.1b : OFFGRID vertex on ncm</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>ncm_angle</name>
+   <description>x.3a : non 45 degree angle ncm</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>diff_OFFGRID</name>
+   <description>x.1b : OFFGRID vertex on diff</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>tap_OFFGRID</name>
+   <description>x.1b : OFFGRID vertex on tap</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>diff_angle</name>
+   <description>x.2 : non 90 degree angle diff</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>diff_angle</name>
+   <description>x.2c : non 45 degree angle diff</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>tap_angle</name>
+   <description>x.2 : non 90 degree angle tap</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>tap_angle</name>
+   <description>x.2c : non 45 degree angle tap</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>tunm_OFFGRID</name>
+   <description>x.1b : OFFGRID vertex on tunm</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>tunm_angle</name>
+   <description>x.3a : non 45 degree angle tunm</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>poly_OFFGRID</name>
+   <description>x.1b : OFFGRID vertex on poly</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>poly_angle</name>
+   <description>x.2 : non 90 degree angle poly</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>rpm_OFFGRID</name>
+   <description>x.1b : OFFGRID vertex on rpm</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>rpm_angle</name>
+   <description>x.3a : non 45 degree angle rpm</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>npc_OFFGRID</name>
+   <description>x.1b : OFFGRID vertex on npc</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>npc_angle</name>
+   <description>x.3a : non 45 degree angle npc</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>nsdm_OFFGRID</name>
+   <description>x.1b : OFFGRID vertex on nsdm</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>nsdm_angle</name>
+   <description>x.3a : non 45 degree angle nsdm</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>psdm_OFFGRID</name>
+   <description>x.1b : OFFGRID vertex on psdm</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>psdm_angle</name>
+   <description>x.3a : non 45 degree angle psdm</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>licon_OFFGRID</name>
+   <description>x.1b : OFFGRID vertex on licon</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>licon_angle</name>
+   <description>x.2 : non 90 degree angle licon</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>li_OFFGRID</name>
+   <description>x.1b : OFFGRID vertex on li</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>li_angle</name>
+   <description>x.3a : non 45 degree angle li</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>ct_OFFGRID</name>
+   <description>x.1b : OFFGRID vertex on mcon</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>ct_angle</name>
+   <description>x.2 : non 90 degree angle mcon</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>vpp_OFFGRID</name>
+   <description>x.1b : OFFGRID vertex on vpp</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>vpp_angle</name>
+   <description>x.3a : non 45 degree angle vpp</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>m1_OFFGRID</name>
+   <description>x.1b : OFFGRID vertex on m1</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>m1_angle</name>
+   <description>x.3a : non 45 degree angle m1</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>via_OFFGRID</name>
+   <description>x.1b : OFFGRID vertex on via</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>via_angle</name>
+   <description>x.2 : non 90 degree angle via</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>m2_OFFGRID</name>
+   <description>x.1b : OFFGRID vertex on m2</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>m2_angle</name>
+   <description>x.3a : non 45 degree angle m2</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>via2_OFFGRID</name>
+   <description>x.1b : OFFGRID vertex on via2</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>via2_angle</name>
+   <description>x.2 : non 90 degree angle via2</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>m3_OFFGRID</name>
+   <description>x.1b : OFFGRID vertex on m3</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>m3_angle</name>
+   <description>x.3a : non 45 degree angle m3</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>via3_OFFGRID</name>
+   <description>x.1b : OFFGRID vertex on via3</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>via3_angle</name>
+   <description>x.2 : non 90 degree angle via3</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>nsm_OFFGRID</name>
+   <description>x.1b : OFFGRID vertex on nsm</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>nsm_angle</name>
+   <description>x.3a : non 45 degree angle nsm</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>m4_OFFGRID</name>
+   <description>x.1b : OFFGRID vertex on m4</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>m4_angle</name>
+   <description>x.3a : non 45 degree angle m4</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>via4_OFFGRID</name>
+   <description>x.1b : OFFGRID vertex on via4</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>via4_angle</name>
+   <description>x.2 : non 90 degree angle via4</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>m5_OFFGRID</name>
+   <description>x.1b : OFFGRID vertex on m5</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>m5_angle</name>
+   <description>x.3a : non 45 degree angle m5</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>pad_OFFGRID</name>
+   <description>x.1b : OFFGRID vertex on pad</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>pad_angle</name>
+   <description>x.3a : non 45 degree angle pad</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>mf_OFFGRID</name>
+   <description>x.1b : OFFGRID vertex on mf</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>mf_angle</name>
+   <description>x.2 : non 90 degree angle mf</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>hvi_OFFGRID</name>
+   <description>x.1b : OFFGRID vertex on hvi</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>hvi_angle</name>
+   <description>x.3a : non 45 degree angle hvi</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>hvntm_OFFGRID</name>
+   <description>x.1b : OFFGRID vertex on hvntm</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>hvntm_angle</name>
+   <description>x.3a : non 45 degree angle hvntm</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>vhvi_OFFGRID</name>
+   <description>x.1b : OFFGRID vertex on vhvi</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>vhvi_angle</name>
+   <description>x.3a : non 45 degree angle vhvi</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>uhvi_OFFGRID</name>
+   <description>x.1b : OFFGRID vertex on uhvi</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>uhvi_angle</name>
+   <description>x.3a : non 45 degree angle uhvi</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>pwell_rs_OFFGRID</name>
+   <description>x.1b : OFFGRID vertex on pwell_rs</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>pwell_rs_angle</name>
+   <description>x.3a : non 45 degree angle pwell_rs</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>areaid_re_OFFGRID</name>
+   <description>x.1b : OFFGRID vertex on areaid.re</description>
+   <categories>
+   </categories>
+  </category>
+ </categories>
+ <cells>
+  <cell>
+   <name>user_analog_project_wrapper</name>
+   <variant/>
+   <references>
+   </references>
+  </cell>
+ </cells>
+ <items>
+ </items>
+</report-database>
diff --git a/precheck_results/02_JUN_2022___13_44_02/outputs/reports/klayout_pin_label_purposes_overlapping_drawing_check.xml b/precheck_results/02_JUN_2022___13_44_02/outputs/reports/klayout_pin_label_purposes_overlapping_drawing_check.xml
new file mode 100644
index 0000000..bc0da74
--- /dev/null
+++ b/precheck_results/02_JUN_2022___13_44_02/outputs/reports/klayout_pin_label_purposes_overlapping_drawing_check.xml
@@ -0,0 +1,55 @@
+<?xml version="1.0" encoding="utf-8"?>
+<report-database>
+ <description>pin_label_purposes_overlapping_drawing.rb.drc, input=/home/krishna/Comparator_MPW6/gds/user_analog_project_wrapper.gds, topcell=user_analog_project_wrapper</description>
+ <original-file/>
+ <generator>drc: script='/home/krishna/mpw_precheckmpw6/checks/drc_checks/klayout/pin_label_purposes_overlapping_drawing.rb.drc'</generator>
+ <top-cell>user_analog_project_wrapper</top-cell>
+ <tags>
+ </tags>
+ <categories>
+  <category>
+   <name>70/16: met3, pin/label not-over drawing:70/20</name>
+   <description/>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>72/16: met5, pin/label not-over drawing:72/20</name>
+   <description/>
+   <categories>
+   </categories>
+  </category>
+ </categories>
+ <cells>
+  <cell>
+   <name>user_analog_project_wrapper</name>
+   <variant/>
+   <references>
+   </references>
+  </cell>
+ </cells>
+ <items>
+  <item>
+   <tags/>
+   <category>'70/16: met3, pin/label not-over drawing:70/20'</category>
+   <cell>user_analog_project_wrapper</cell>
+   <visited>false</visited>
+   <multiplicity>1</multiplicity>
+   <image/>
+   <values>
+    <value>polygon: (852.9,3511.5;852.9,3513.28;852.98,3513.28;852.98,3511.5)</value>
+   </values>
+  </item>
+  <item>
+   <tags/>
+   <category>'72/16: met5, pin/label not-over drawing:72/20'</category>
+   <cell>user_analog_project_wrapper</cell>
+   <visited>false</visited>
+   <multiplicity>1</multiplicity>
+   <image/>
+   <values>
+    <value>polygon: (827.97,3511.5;827.97,3524;827.98,3524;827.98,3511.5)</value>
+   </values>
+  </item>
+ </items>
+</report-database>
diff --git a/precheck_results/02_JUN_2022___13_44_02/outputs/reports/klayout_zeroarea_check.xml b/precheck_results/02_JUN_2022___13_44_02/outputs/reports/klayout_zeroarea_check.xml
new file mode 100644
index 0000000..c90408a
--- /dev/null
+++ b/precheck_results/02_JUN_2022___13_44_02/outputs/reports/klayout_zeroarea_check.xml
@@ -0,0 +1,21 @@
+<?xml version="1.0" encoding="utf-8"?>
+<report-database>
+ <description>zero area check</description>
+ <original-file/>
+ <generator>drc: script='/home/krishna/mpw_precheckmpw6/checks/drc_checks/klayout/zeroarea.rb.drc'</generator>
+ <top-cell>user_analog_project_wrapper</top-cell>
+ <tags>
+ </tags>
+ <categories>
+ </categories>
+ <cells>
+  <cell>
+   <name>user_analog_project_wrapper</name>
+   <variant/>
+   <references>
+   </references>
+  </cell>
+ </cells>
+ <items>
+ </items>
+</report-database>
diff --git a/precheck_results/02_JUN_2022___13_44_02/outputs/reports/magic_drc_check.drc.report b/precheck_results/02_JUN_2022___13_44_02/outputs/reports/magic_drc_check.drc.report
new file mode 100644
index 0000000..829b9d5
--- /dev/null
+++ b/precheck_results/02_JUN_2022___13_44_02/outputs/reports/magic_drc_check.drc.report
@@ -0,0 +1,5 @@
+user_analog_project_wrapper
+----------------------------------------
+[INFO]: COUNT: 0
+[INFO]: Should be divided by 3 or 4
+
diff --git a/precheck_results/02_JUN_2022___13_44_02/outputs/reports/magic_drc_check.rdb b/precheck_results/02_JUN_2022___13_44_02/outputs/reports/magic_drc_check.rdb
new file mode 100644
index 0000000..8a882f3
--- /dev/null
+++ b/precheck_results/02_JUN_2022___13_44_02/outputs/reports/magic_drc_check.rdb
@@ -0,0 +1,2 @@
+$user_analog_project_wrapper
+ 100
diff --git a/precheck_results/02_JUN_2022___13_44_02/outputs/reports/magic_drc_check.tcl b/precheck_results/02_JUN_2022___13_44_02/outputs/reports/magic_drc_check.tcl
new file mode 100644
index 0000000..e69de29
--- /dev/null
+++ b/precheck_results/02_JUN_2022___13_44_02/outputs/reports/magic_drc_check.tcl
diff --git a/precheck_results/02_JUN_2022___13_44_02/outputs/reports/magic_drc_check.tr b/precheck_results/02_JUN_2022___13_44_02/outputs/reports/magic_drc_check.tr
new file mode 100644
index 0000000..e69de29
--- /dev/null
+++ b/precheck_results/02_JUN_2022___13_44_02/outputs/reports/magic_drc_check.tr
diff --git a/precheck_results/02_JUN_2022___13_44_02/outputs/reports/magic_drc_check.xml b/precheck_results/02_JUN_2022___13_44_02/outputs/reports/magic_drc_check.xml
new file mode 100644
index 0000000..7161d7c
--- /dev/null
+++ b/precheck_results/02_JUN_2022___13_44_02/outputs/reports/magic_drc_check.xml
@@ -0,0 +1,10 @@
+<?xml version="1.0" ?>
+<report-database>
+    <categories/>
+    <cells>
+        <cell>
+            <name>user_analog_project_wrapper</name>
+        </cell>
+    </cells>
+    <items/>
+</report-database>
diff --git a/precheck_results/02_JUN_2022___13_44_02/outputs/user_analog_project_wrapper.magic.drc.mag b/precheck_results/02_JUN_2022___13_44_02/outputs/user_analog_project_wrapper.magic.drc.mag
new file mode 100644
index 0000000..bc7865b
--- /dev/null
+++ b/precheck_results/02_JUN_2022___13_44_02/outputs/user_analog_project_wrapper.magic.drc.mag
@@ -0,0 +1,7 @@
+magic
+tech minimum
+magscale 1 2
+timestamp 0
+<< checkpaint >>
+rect 0 0 1 1
+<< end >>
diff --git a/precheck_results/02_JUN_2022___13_44_02/outputs/user_analog_project_wrapper_empty_erased.gds b/precheck_results/02_JUN_2022___13_44_02/outputs/user_analog_project_wrapper_empty_erased.gds
new file mode 100644
index 0000000..e69de29
--- /dev/null
+++ b/precheck_results/02_JUN_2022___13_44_02/outputs/user_analog_project_wrapper_empty_erased.gds
diff --git a/precheck_results/02_JUN_2022___13_44_02/outputs/user_analog_project_wrapper_erased.gds b/precheck_results/02_JUN_2022___13_44_02/outputs/user_analog_project_wrapper_erased.gds
new file mode 100644
index 0000000..e69de29
--- /dev/null
+++ b/precheck_results/02_JUN_2022___13_44_02/outputs/user_analog_project_wrapper_erased.gds
diff --git a/precheck_results/02_JUN_2022___13_44_02/outputs/user_analog_project_wrapper_no_zero_areas.gds b/precheck_results/02_JUN_2022___13_44_02/outputs/user_analog_project_wrapper_no_zero_areas.gds
new file mode 100644
index 0000000..ab0b98e
--- /dev/null
+++ b/precheck_results/02_JUN_2022___13_44_02/outputs/user_analog_project_wrapper_no_zero_areas.gds
Binary files differ
diff --git a/precheck_results/04_JUN_2022___07_38_11/logs/gds.info b/precheck_results/04_JUN_2022___07_38_11/logs/gds.info
new file mode 100644
index 0000000..39ad664
--- /dev/null
+++ b/precheck_results/04_JUN_2022___07_38_11/logs/gds.info
@@ -0,0 +1 @@
+user_analog_project_wrapper.gds: da65c73f0037044e43be350669b9c1a98297af69
\ No newline at end of file
diff --git a/precheck_results/04_JUN_2022___07_38_11/logs/klayout_beol_check.log b/precheck_results/04_JUN_2022___07_38_11/logs/klayout_beol_check.log
new file mode 100644
index 0000000..593de43
--- /dev/null
+++ b/precheck_results/04_JUN_2022___07_38_11/logs/klayout_beol_check.log
@@ -0,0 +1,992 @@
+/home/krishna/mpw_precheckmpw6/checks/tech-files/sky130A_mr.drc:38: warning: already initialized constant DRC::DRCEngine::FEOL
+/home/krishna/mpw_precheckmpw6/checks/tech-files/sky130A_mr.drc:28: warning: previous definition of FEOL was here
+/home/krishna/mpw_precheckmpw6/checks/tech-files/sky130A_mr.drc:42: warning: already initialized constant DRC::DRCEngine::BEOL
+/home/krishna/mpw_precheckmpw6/checks/tech-files/sky130A_mr.drc:29: warning: previous definition of BEOL was here
+/home/krishna/mpw_precheckmpw6/checks/tech-files/sky130A_mr.drc:50: warning: already initialized constant DRC::DRCEngine::OFFGRID
+/home/krishna/mpw_precheckmpw6/checks/tech-files/sky130A_mr.drc:30: warning: previous definition of OFFGRID was here
+/home/krishna/mpw_precheckmpw6/checks/tech-files/sky130A_mr.drc:56: warning: already initialized constant DRC::DRCEngine::SEAL
+/home/krishna/mpw_precheckmpw6/checks/tech-files/sky130A_mr.drc:31: warning: previous definition of SEAL was here
+/home/krishna/mpw_precheckmpw6/checks/tech-files/sky130A_mr.drc:62: warning: already initialized constant DRC::DRCEngine::FLOATING_MET
+/home/krishna/mpw_precheckmpw6/checks/tech-files/sky130A_mr.drc:32: warning: previous definition of FLOATING_MET was here
+"input" in: sky130A_mr.drc:112
+    Polygons (raw): 62 (flat)  25 (hierarchical)
+    Elapsed: 0.000s  Memory: 522.00M
+"polygons" in: sky130A_mr.drc:113
+    Polygons (raw): 38 (flat)  30 (hierarchical)
+    Elapsed: 0.010s  Memory: 522.00M
+"polygons" in: sky130A_mr.drc:114
+    Polygons (raw): 89 (flat)  69 (hierarchical)
+    Elapsed: 0.000s  Memory: 522.00M
+"polygons" in: sky130A_mr.drc:115
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 522.00M
+"polygons" in: sky130A_mr.drc:116
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 522.00M
+"polygons" in: sky130A_mr.drc:117
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 522.00M
+"polygons" in: sky130A_mr.drc:118
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 522.00M
+"polygons" in: sky130A_mr.drc:119
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 522.00M
+"polygons" in: sky130A_mr.drc:120
+    Polygons (raw): 10 (flat)  2 (hierarchical)
+    Elapsed: 0.000s  Memory: 522.00M
+"polygons" in: sky130A_mr.drc:121
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 522.00M
+"polygons" in: sky130A_mr.drc:122
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 522.00M
+"polygons" in: sky130A_mr.drc:123
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 522.00M
+"polygons" in: sky130A_mr.drc:124
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 522.00M
+"polygons" in: sky130A_mr.drc:125
+    Polygons (raw): 202 (flat)  116 (hierarchical)
+    Elapsed: 0.010s  Memory: 522.00M
+"polygons" in: sky130A_mr.drc:126
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 522.00M
+"polygons" in: sky130A_mr.drc:127
+    Polygons (raw): 62 (flat)  43 (hierarchical)
+    Elapsed: 0.010s  Memory: 522.00M
+"polygons" in: sky130A_mr.drc:128
+    Polygons (raw): 52 (flat)  26 (hierarchical)
+    Elapsed: 0.000s  Memory: 522.00M
+"polygons" in: sky130A_mr.drc:129
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 522.00M
+"polygons" in: sky130A_mr.drc:130
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 522.00M
+"polygons" in: sky130A_mr.drc:131
+    Polygons (raw): 33 (flat)  24 (hierarchical)
+    Elapsed: 0.010s  Memory: 522.00M
+"polygons" in: sky130A_mr.drc:132
+    Polygons (raw): 907 (flat)  291 (hierarchical)
+    Elapsed: 0.000s  Memory: 522.00M
+"polygons" in: sky130A_mr.drc:134
+    Polygons (raw): 566 (flat)  394 (hierarchical)
+    Elapsed: 0.000s  Memory: 522.00M
+"polygons" in: sky130A_mr.drc:135
+    Polygons (raw): 1756 (flat)  1480 (hierarchical)
+    Elapsed: 0.010s  Memory: 522.00M
+"polygons" in: sky130A_mr.drc:137
+    Polygons (raw): 349 (flat)  299 (hierarchical)
+    Elapsed: 0.000s  Memory: 522.00M
+"polygons" in: sky130A_mr.drc:138
+    Polygons (raw): 2570 (flat)  2570 (hierarchical)
+    Elapsed: 0.010s  Memory: 522.00M
+"polygons" in: sky130A_mr.drc:140
+    Polygons (raw): 603 (flat)  603 (hierarchical)
+    Elapsed: 0.000s  Memory: 522.00M
+"polygons" in: sky130A_mr.drc:141
+    Polygons (raw): 1761 (flat)  1761 (hierarchical)
+    Elapsed: 0.010s  Memory: 522.00M
+"polygons" in: sky130A_mr.drc:143
+    Polygons (raw): 459 (flat)  459 (hierarchical)
+    Elapsed: 0.000s  Memory: 522.00M
+"polygons" in: sky130A_mr.drc:144
+    Polygons (raw): 25800 (flat)  25800 (hierarchical)
+    Elapsed: 0.020s  Memory: 523.00M
+"polygons" in: sky130A_mr.drc:146
+    Polygons (raw): 177 (flat)  177 (hierarchical)
+    Elapsed: 0.010s  Memory: 523.00M
+"polygons" in: sky130A_mr.drc:147
+    Polygons (raw): 552 (flat)  552 (hierarchical)
+    Elapsed: 0.000s  Memory: 523.00M
+"polygons" in: sky130A_mr.drc:149
+    Polygons (raw): 151 (flat)  151 (hierarchical)
+    Elapsed: 0.010s  Memory: 523.00M
+"polygons" in: sky130A_mr.drc:151
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 523.00M
+"polygons" in: sky130A_mr.drc:152
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 523.00M
+"polygons" in: sky130A_mr.drc:153
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 523.00M
+"polygons" in: sky130A_mr.drc:154
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 523.00M
+"polygons" in: sky130A_mr.drc:155
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 523.00M
+"polygons" in: sky130A_mr.drc:156
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 523.00M
+"polygons" in: sky130A_mr.drc:157
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 523.00M
+"polygons" in: sky130A_mr.drc:158
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 523.00M
+"polygons" in: sky130A_mr.drc:159
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 523.00M
+"polygons" in: sky130A_mr.drc:160
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 523.00M
+"polygons" in: sky130A_mr.drc:161
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 523.00M
+"polygons" in: sky130A_mr.drc:162
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 523.00M
+"polygons" in: sky130A_mr.drc:163
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 523.00M
+"polygons" in: sky130A_mr.drc:164
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 523.00M
+"polygons" in: sky130A_mr.drc:165
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 523.00M
+"polygons" in: sky130A_mr.drc:166
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 523.00M
+"polygons" in: sky130A_mr.drc:167
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 523.00M
+"polygons" in: sky130A_mr.drc:168
+    Polygons (raw): 10 (flat)  2 (hierarchical)
+    Elapsed: 0.010s  Memory: 523.00M
+"polygons" in: sky130A_mr.drc:169
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 523.00M
+"polygons" in: sky130A_mr.drc:170
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 523.00M
+"polygons" in: sky130A_mr.drc:171
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 523.00M
+"polygons" in: sky130A_mr.drc:172
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 523.00M
+"polygons" in: sky130A_mr.drc:173
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 523.00M
+"polygons" in: sky130A_mr.drc:174
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 523.00M
+"polygons" in: sky130A_mr.drc:175
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 523.00M
+"polygons" in: sky130A_mr.drc:176
+    Polygons (raw): 1 (flat)  1 (hierarchical)
+    Elapsed: 0.010s  Memory: 523.00M
+"polygons" in: sky130A_mr.drc:177
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 523.00M
+"polygons" in: sky130A_mr.drc:178
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 523.00M
+"polygons" in: sky130A_mr.drc:179
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 523.00M
+"polygons" in: sky130A_mr.drc:180
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 523.00M
+"polygons" in: sky130A_mr.drc:181
+    Polygons (raw): 4 (flat)  3 (hierarchical)
+    Elapsed: 0.000s  Memory: 523.00M
+"polygons" in: sky130A_mr.drc:182
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 523.00M
+"polygons" in: sky130A_mr.drc:183
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 523.00M
+"polygons" in: sky130A_mr.drc:184
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 523.00M
+"polygons" in: sky130A_mr.drc:185
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 523.00M
+"polygons" in: sky130A_mr.drc:186
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 523.00M
+"polygons" in: sky130A_mr.drc:187
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 523.00M
+"polygons" in: sky130A_mr.drc:188
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 523.00M
+"polygons" in: sky130A_mr.drc:189
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 523.00M
+"polygons" in: sky130A_mr.drc:190
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 523.00M
+"polygons" in: sky130A_mr.drc:191
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 523.00M
+"polygons" in: sky130A_mr.drc:192
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 523.00M
+"polygons" in: sky130A_mr.drc:193
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 523.00M
+"polygons" in: sky130A_mr.drc:194
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 523.00M
+"polygons" in: sky130A_mr.drc:195
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 523.00M
+"polygons" in: sky130A_mr.drc:196
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 523.00M
+"polygons" in: sky130A_mr.drc:197
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 523.00M
+"polygons" in: sky130A_mr.drc:198
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 523.00M
+"polygons" in: sky130A_mr.drc:199
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 523.00M
+"polygons" in: sky130A_mr.drc:200
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 523.00M
+"polygons" in: sky130A_mr.drc:201
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 523.00M
+"polygons" in: sky130A_mr.drc:202
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 523.00M
+"polygons" in: sky130A_mr.drc:203
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 523.00M
+DRC section
+BEOL section
+START: 67/20 (li)
+"not" in: sky130A_mr.drc:381
+    Polygons (raw): 566 (flat)  394 (hierarchical)
+    Elapsed: 0.010s  Memory: 523.00M
+"width" in: sky130A_mr.drc:382
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 523.00M
+"output" in: sky130A_mr.drc:382
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 523.00M
+"edges" in: sky130A_mr.drc:384
+    Edges: 2849 (flat)  1598 (hierarchical)
+    Elapsed: 0.030s  Memory: 1067.00M
+"space" in: sky130A_mr.drc:384
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.020s  Memory: 1067.00M
+"output" in: sky130A_mr.drc:384
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 1067.00M
+"not" in: sky130A_mr.drc:385
+    Polygons (raw): 907 (flat)  291 (hierarchical)
+    Elapsed: 0.010s  Memory: 1067.00M
+"enclosing" in: sky130A_mr.drc:386
+    Edge pairs: 1195 (flat)  859 (hierarchical)
+    Elapsed: 0.030s  Memory: 1067.00M
+"second_edges" in: sky130A_mr.drc:386
+    Edges: 1195 (flat)  859 (hierarchical)
+    Elapsed: 0.000s  Memory: 1067.00M
+"width" in: sky130A_mr.drc:387
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.020s  Memory: 1067.00M
+"polygons" in: sky130A_mr.drc:388
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 1067.00M
+"interacting" in: sky130A_mr.drc:388
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 1067.00M
+"output" in: sky130A_mr.drc:389
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 1067.00M
+"with_area" in: sky130A_mr.drc:390
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 1067.00M
+"output" in: sky130A_mr.drc:390
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 1067.00M
+END: 67/20 (li)
+START: 67/44 (mcon)
+"not" in: sky130A_mr.drc:395
+    Polygons (raw): 1756 (flat)  1480 (hierarchical)
+    Elapsed: 0.000s  Memory: 1067.00M
+"not" in: sky130A_mr.drc:402
+    Polygons (raw): 1756 (flat)  1480 (hierarchical)
+    Elapsed: 0.010s  Memory: 1067.00M
+"non_rectangles" in: sky130A_mr.drc:403
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 1068.00M
+"output" in: sky130A_mr.drc:403
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 1068.00M
+"drc" in: sky130A_mr.drc:405
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.020s  Memory: 1068.00M
+"output" in: sky130A_mr.drc:405
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 1068.00M
+"drc" in: sky130A_mr.drc:406
+    Edges: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 1068.00M
+"output" in: sky130A_mr.drc:406
+    Edges: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 1068.00M
+"space" in: sky130A_mr.drc:407
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 1068.00M
+"output" in: sky130A_mr.drc:407
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 1068.00M
+"not" in: sky130A_mr.drc:413
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 1068.00M
+"output" in: sky130A_mr.drc:413
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 1068.00M
+END: 67/44 (mcon)
+START: 68/20 (m1)
+"width" in: sky130A_mr.drc:418
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 1068.00M
+"output" in: sky130A_mr.drc:418
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 1068.00M
+"sized" in: sky130A_mr.drc:419
+    Polygons (raw): 17 (flat)  17 (hierarchical)
+    Elapsed: 0.010s  Memory: 1068.00M
+"sized" in: sky130A_mr.drc:419
+    Polygons (raw): 17 (flat)  17 (hierarchical)
+    Elapsed: 0.000s  Memory: 1068.00M
+"snap" in: sky130A_mr.drc:419
+    Polygons (raw): 17 (flat)  17 (hierarchical)
+    Elapsed: 0.010s  Memory: 1068.00M
+"&" in: sky130A_mr.drc:419
+    Polygons (raw): 17 (flat)  17 (hierarchical)
+    Elapsed: 0.010s  Memory: 1068.00M
+"edges" in: sky130A_mr.drc:420
+    Edges: 1235 (flat)  1093 (hierarchical)
+    Elapsed: 0.010s  Memory: 1068.00M
+"-" in: sky130A_mr.drc:420
+    Edges: 1100 (flat)  964 (hierarchical)
+    Elapsed: 0.010s  Memory: 1068.00M
+"edges" in: sky130A_mr.drc:421
+    Edges: 122 (flat)  122 (hierarchical)
+    Elapsed: 0.010s  Memory: 1068.00M
+"merged" in: sky130A_mr.drc:421
+    Polygons (raw): 123 (flat)  113 (hierarchical)
+    Elapsed: 0.000s  Memory: 1068.00M
+"outside_part" in: sky130A_mr.drc:421
+    Edges: 138 (flat)  138 (hierarchical)
+    Elapsed: 0.010s  Memory: 1068.00M
+"space" in: sky130A_mr.drc:423
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 1068.00M
+"output" in: sky130A_mr.drc:423
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 1068.00M
+"separation" in: sky130A_mr.drc:425
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 1068.00M
+"space" in: sky130A_mr.drc:425
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 1068.00M
+"+" in: sky130A_mr.drc:425
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 1068.00M
+"output" in: sky130A_mr.drc:425
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 1068.00M
+"input" in: sky130A_mr.drc:429
+    Polygons (raw): 349 (flat)  305 (hierarchical)
+    Elapsed: 0.010s  Memory: 1068.00M
+"enclosing" in: sky130A_mr.drc:431
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.020s  Memory: 1068.00M
+"output" in: sky130A_mr.drc:431
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 1068.00M
+"not" in: sky130A_mr.drc:432
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 1068.00M
+"output" in: sky130A_mr.drc:432
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 1068.00M
+"input" in: sky130A_mr.drc:434
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 1068.00M
+"enclosing" in: sky130A_mr.drc:435
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 1068.00M
+"output" in: sky130A_mr.drc:435
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 1068.00M
+"not" in: sky130A_mr.drc:437
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 1068.00M
+"output" in: sky130A_mr.drc:437
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 1068.00M
+"with_area" in: sky130A_mr.drc:439
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 1068.00M
+"output" in: sky130A_mr.drc:439
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 1068.00M
+"holes" in: sky130A_mr.drc:441
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 1068.00M
+"with_area" in: sky130A_mr.drc:441
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 1068.00M
+"output" in: sky130A_mr.drc:441
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 1068.00M
+"enclosing" in: sky130A_mr.drc:448
+    Edge pairs: 245 (flat)  205 (hierarchical)
+    Elapsed: 0.020s  Memory: 1068.00M
+"second_edges" in: sky130A_mr.drc:448
+    Edges: 245 (flat)  205 (hierarchical)
+    Elapsed: 0.010s  Memory: 1068.00M
+"width" in: sky130A_mr.drc:449
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 1068.00M
+"polygons" in: sky130A_mr.drc:450
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 1068.00M
+"interacting" in: sky130A_mr.drc:450
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 1068.00M
+"output" in: sky130A_mr.drc:451
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 1068.00M
+END: 68/20 (m1)
+START: 68/44 (via)
+"not" in: sky130A_mr.drc:465
+    Polygons (raw): 2570 (flat)  2570 (hierarchical)
+    Elapsed: 0.000s  Memory: 1068.00M
+"non_rectangles" in: sky130A_mr.drc:467
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.030s  Memory: 1068.00M
+"output" in: sky130A_mr.drc:467
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 1068.00M
+"width" in: sky130A_mr.drc:468
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 1068.00M
+"output" in: sky130A_mr.drc:468
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 1068.00M
+"drc" in: sky130A_mr.drc:470
+    Edges: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 1068.00M
+"output" in: sky130A_mr.drc:470
+    Edges: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 1068.00M
+"space" in: sky130A_mr.drc:472
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.020s  Memory: 1068.00M
+"output" in: sky130A_mr.drc:472
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 1068.00M
+"edges" in: sky130A_mr.drc:480
+    Edges: 1235 (flat)  1093 (hierarchical)
+    Elapsed: 0.010s  Memory: 1068.00M
+"drc" in: sky130A_mr.drc:480
+    Edges: 10280 (flat)  10280 (hierarchical)
+    Elapsed: 0.040s  Memory: 1068.00M
+"enclosing" in: sky130A_mr.drc:480
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 1068.00M
+"output" in: sky130A_mr.drc:480
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 1068.00M
+"squares" in: sky130A_mr.drc:481
+    Polygons (raw): 2570 (flat)  2570 (hierarchical)
+    Elapsed: 0.010s  Memory: 1068.00M
+"drc" in: sky130A_mr.drc:481
+    Edges: 10280 (flat)  10280 (hierarchical)
+    Elapsed: 0.030s  Memory: 1068.00M
+"not" in: sky130A_mr.drc:481
+    Edges: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.020s  Memory: 1068.00M
+"output" in: sky130A_mr.drc:481
+    Edges: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 1068.00M
+"edges" in: sky130A_mr.drc:483
+    Edges: 1235 (flat)  1093 (hierarchical)
+    Elapsed: 0.010s  Memory: 1068.00M
+"drc" in: sky130A_mr.drc:483
+    Edges: 10280 (flat)  10280 (hierarchical)
+    Elapsed: 0.030s  Memory: 1068.00M
+"enclosing" in: sky130A_mr.drc:483
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.020s  Memory: 1068.00M
+"second_edges" in: sky130A_mr.drc:483
+    Edges: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 1068.00M
+"width" in: sky130A_mr.drc:484
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 1068.00M
+"polygons" in: sky130A_mr.drc:485
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 1068.00M
+"interacting" in: sky130A_mr.drc:485
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 1068.00M
+"output" in: sky130A_mr.drc:486
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 1068.00M
+END: 68/44 (via)
+START: 69/20 (m2)
+"width" in: sky130A_mr.drc:493
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 1069.00M
+"output" in: sky130A_mr.drc:493
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 1069.00M
+"sized" in: sky130A_mr.drc:495
+    Polygons (raw): 13 (flat)  13 (hierarchical)
+    Elapsed: 0.000s  Memory: 1069.00M
+"sized" in: sky130A_mr.drc:495
+    Polygons (raw): 13 (flat)  13 (hierarchical)
+    Elapsed: 0.010s  Memory: 1069.00M
+"snap" in: sky130A_mr.drc:495
+    Polygons (raw): 13 (flat)  13 (hierarchical)
+    Elapsed: 0.000s  Memory: 1069.00M
+"&" in: sky130A_mr.drc:495
+    Polygons (raw): 13 (flat)  13 (hierarchical)
+    Elapsed: 0.010s  Memory: 1069.00M
+"edges" in: sky130A_mr.drc:496
+    Edges: 2367 (flat)  2367 (hierarchical)
+    Elapsed: 0.010s  Memory: 1069.00M
+"-" in: sky130A_mr.drc:496
+    Edges: 2296 (flat)  2296 (hierarchical)
+    Elapsed: 0.010s  Memory: 1069.00M
+"edges" in: sky130A_mr.drc:497
+    Edges: 64 (flat)  64 (hierarchical)
+    Elapsed: 0.010s  Memory: 1069.00M
+"merged" in: sky130A_mr.drc:497
+    Polygons (raw): 550 (flat)  550 (hierarchical)
+    Elapsed: 0.010s  Memory: 1069.00M
+"outside_part" in: sky130A_mr.drc:497
+    Edges: 65 (flat)  65 (hierarchical)
+    Elapsed: 0.000s  Memory: 1069.00M
+"not" in: sky130A_mr.drc:498
+    Polygons (raw): 2570 (flat)  2570 (hierarchical)
+    Elapsed: 0.010s  Memory: 1069.00M
+"space" in: sky130A_mr.drc:500
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.020s  Memory: 1069.00M
+"output" in: sky130A_mr.drc:500
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 1069.00M
+"separation" in: sky130A_mr.drc:502
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 1069.00M
+"space" in: sky130A_mr.drc:502
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 1069.00M
+"+" in: sky130A_mr.drc:502
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 1069.00M
+"output" in: sky130A_mr.drc:502
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 1069.00M
+"with_area" in: sky130A_mr.drc:504
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 1069.00M
+"output" in: sky130A_mr.drc:504
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 1069.00M
+"holes" in: sky130A_mr.drc:505
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 1069.00M
+"with_area" in: sky130A_mr.drc:505
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 1069.00M
+"output" in: sky130A_mr.drc:505
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 1069.00M
+"enclosing" in: sky130A_mr.drc:510
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.020s  Memory: 1069.00M
+"output" in: sky130A_mr.drc:510
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 1069.00M
+"not" in: sky130A_mr.drc:511
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 1069.00M
+"output" in: sky130A_mr.drc:511
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 1069.00M
+"enclosing" in: sky130A_mr.drc:512
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 1069.00M
+"second_edges" in: sky130A_mr.drc:512
+    Edges: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 1069.00M
+"width" in: sky130A_mr.drc:513
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 1069.00M
+"polygons" in: sky130A_mr.drc:514
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 1069.00M
+"interacting" in: sky130A_mr.drc:514
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 1069.00M
+"output" in: sky130A_mr.drc:515
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 1069.00M
+END: 69/20 (m2)
+START: 69/44 (via2)
+"not" in: sky130A_mr.drc:530
+    Polygons (raw): 1761 (flat)  1761 (hierarchical)
+    Elapsed: 0.000s  Memory: 1069.00M
+"non_rectangles" in: sky130A_mr.drc:531
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.020s  Memory: 1069.00M
+"output" in: sky130A_mr.drc:531
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 1069.00M
+"width" in: sky130A_mr.drc:532
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 1069.00M
+"output" in: sky130A_mr.drc:532
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 1069.00M
+"edges" in: sky130A_mr.drc:533
+    Edges: 7044 (flat)  7044 (hierarchical)
+    Elapsed: 0.020s  Memory: 1069.00M
+"without_length" in: sky130A_mr.drc:533
+    Edges: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.020s  Memory: 1071.00M
+"output" in: sky130A_mr.drc:533
+    Edges: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 1071.00M
+"space" in: sky130A_mr.drc:534
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.020s  Memory: 1071.00M
+"output" in: sky130A_mr.drc:534
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 1071.00M
+"enclosing" in: sky130A_mr.drc:542
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.020s  Memory: 1071.00M
+"output" in: sky130A_mr.drc:542
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 1071.00M
+"not" in: sky130A_mr.drc:543
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 1071.00M
+"output" in: sky130A_mr.drc:543
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 1071.00M
+"enclosing" in: sky130A_mr.drc:545
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.020s  Memory: 1071.00M
+"second_edges" in: sky130A_mr.drc:545
+    Edges: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 1071.00M
+"width" in: sky130A_mr.drc:546
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 1071.00M
+"polygons" in: sky130A_mr.drc:547
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 1071.00M
+"interacting" in: sky130A_mr.drc:547
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 1071.00M
+"output" in: sky130A_mr.drc:548
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 1071.00M
+END: 69/44 (via2)
+START: 70/20 (m3)
+"width" in: sky130A_mr.drc:554
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 1071.00M
+"output" in: sky130A_mr.drc:554
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 1071.00M
+"sized" in: sky130A_mr.drc:556
+    Polygons (raw): 53 (flat)  53 (hierarchical)
+    Elapsed: 0.010s  Memory: 1071.00M
+"sized" in: sky130A_mr.drc:556
+    Polygons (raw): 53 (flat)  53 (hierarchical)
+    Elapsed: 0.010s  Memory: 1071.00M
+"snap" in: sky130A_mr.drc:556
+    Polygons (raw): 53 (flat)  53 (hierarchical)
+    Elapsed: 0.000s  Memory: 1071.00M
+"&" in: sky130A_mr.drc:556
+    Polygons (raw): 98 (flat)  98 (hierarchical)
+    Elapsed: 0.010s  Memory: 1071.00M
+"edges" in: sky130A_mr.drc:557
+    Edges: 1661 (flat)  1661 (hierarchical)
+    Elapsed: 0.010s  Memory: 1071.00M
+"-" in: sky130A_mr.drc:557
+    Edges: 883 (flat)  883 (hierarchical)
+    Elapsed: 0.010s  Memory: 1071.00M
+"edges" in: sky130A_mr.drc:558
+    Edges: 673 (flat)  673 (hierarchical)
+    Elapsed: 0.010s  Memory: 1071.00M
+"merged" in: sky130A_mr.drc:558
+    Polygons (raw): 228 (flat)  228 (hierarchical)
+    Elapsed: 0.010s  Memory: 1071.00M
+"outside_part" in: sky130A_mr.drc:558
+    Edges: 678 (flat)  678 (hierarchical)
+    Elapsed: 0.000s  Memory: 1071.00M
+"space" in: sky130A_mr.drc:560
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 1071.00M
+"output" in: sky130A_mr.drc:560
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 1071.00M
+"separation" in: sky130A_mr.drc:562
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 1071.00M
+"space" in: sky130A_mr.drc:562
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 1071.00M
+"+" in: sky130A_mr.drc:562
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 1071.00M
+"output" in: sky130A_mr.drc:562
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 1071.00M
+"enclosing" in: sky130A_mr.drc:567
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.020s  Memory: 1071.00M
+"output" in: sky130A_mr.drc:567
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 1071.00M
+"not" in: sky130A_mr.drc:568
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 1071.00M
+"output" in: sky130A_mr.drc:568
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 1071.00M
+END: 70/20 (m3)
+START: 70/44 (via3)
+"not" in: sky130A_mr.drc:582
+    Polygons (raw): 25800 (flat)  25800 (hierarchical)
+    Elapsed: 0.000s  Memory: 1071.00M
+"non_rectangles" in: sky130A_mr.drc:583
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.140s  Memory: 1078.00M
+"output" in: sky130A_mr.drc:583
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 1078.00M
+"width" in: sky130A_mr.drc:584
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.020s  Memory: 1078.00M
+"output" in: sky130A_mr.drc:584
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 1078.00M
+"edges" in: sky130A_mr.drc:585
+    Edges: 103200 (flat)  103200 (hierarchical)
+    Elapsed: 0.100s  Memory: 1078.00M
+"without_length" in: sky130A_mr.drc:585
+    Edges: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.250s  Memory: 1105.00M
+"output" in: sky130A_mr.drc:585
+    Edges: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 1105.00M
+"space" in: sky130A_mr.drc:587
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.180s  Memory: 1105.00M
+"output" in: sky130A_mr.drc:587
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 1105.00M
+"enclosing" in: sky130A_mr.drc:588
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.220s  Memory: 1105.00M
+"output" in: sky130A_mr.drc:588
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 1105.00M
+"not" in: sky130A_mr.drc:589
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.050s  Memory: 1105.00M
+"output" in: sky130A_mr.drc:589
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 1105.00M
+"enclosing" in: sky130A_mr.drc:591
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.220s  Memory: 1105.00M
+"second_edges" in: sky130A_mr.drc:591
+    Edges: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 1105.00M
+"width" in: sky130A_mr.drc:592
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 1113.00M
+"polygons" in: sky130A_mr.drc:593
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 1105.00M
+"interacting" in: sky130A_mr.drc:593
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 1105.00M
+"output" in: sky130A_mr.drc:594
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 1105.00M
+END: 70/44 (via3)
+START: 71/20 (m4)
+"width" in: sky130A_mr.drc:600
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 1105.00M
+"output" in: sky130A_mr.drc:600
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 1105.00M
+"sized" in: sky130A_mr.drc:602
+    Polygons (raw): 20 (flat)  20 (hierarchical)
+    Elapsed: 0.000s  Memory: 1105.00M
+"sized" in: sky130A_mr.drc:602
+    Polygons (raw): 20 (flat)  20 (hierarchical)
+    Elapsed: 0.000s  Memory: 1105.00M
+"snap" in: sky130A_mr.drc:602
+    Polygons (raw): 20 (flat)  20 (hierarchical)
+    Elapsed: 0.010s  Memory: 1105.00M
+"&" in: sky130A_mr.drc:602
+    Polygons (raw): 46 (flat)  46 (hierarchical)
+    Elapsed: 0.010s  Memory: 1105.00M
+"edges" in: sky130A_mr.drc:603
+    Edges: 572 (flat)  572 (hierarchical)
+    Elapsed: 0.000s  Memory: 1105.00M
+"-" in: sky130A_mr.drc:603
+    Edges: 116 (flat)  116 (hierarchical)
+    Elapsed: 0.010s  Memory: 1105.00M
+"edges" in: sky130A_mr.drc:604
+    Edges: 366 (flat)  366 (hierarchical)
+    Elapsed: 0.010s  Memory: 1105.00M
+"merged" in: sky130A_mr.drc:604
+    Polygons (raw): 31 (flat)  31 (hierarchical)
+    Elapsed: 0.000s  Memory: 1105.00M
+"outside_part" in: sky130A_mr.drc:604
+    Edges: 371 (flat)  371 (hierarchical)
+    Elapsed: 0.010s  Memory: 1105.00M
+"space" in: sky130A_mr.drc:606
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 1105.00M
+"output" in: sky130A_mr.drc:606
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 1105.00M
+"with_area" in: sky130A_mr.drc:608
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 1105.00M
+"output" in: sky130A_mr.drc:608
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 1105.00M
+"separation" in: sky130A_mr.drc:610
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 1105.00M
+"space" in: sky130A_mr.drc:610
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 1105.00M
+"+" in: sky130A_mr.drc:610
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 1105.00M
+"output" in: sky130A_mr.drc:610
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 1105.00M
+"enclosing" in: sky130A_mr.drc:615
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.190s  Memory: 1105.00M
+"output" in: sky130A_mr.drc:615
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 1105.00M
+"not" in: sky130A_mr.drc:616
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.060s  Memory: 1105.00M
+"output" in: sky130A_mr.drc:616
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 1105.00M
+END: 71/20 (m4)
+START: 71/44 (via4)
+"not" in: sky130A_mr.drc:629
+    Polygons (raw): 552 (flat)  552 (hierarchical)
+    Elapsed: 0.010s  Memory: 1105.00M
+"non_rectangles" in: sky130A_mr.drc:630
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 1105.00M
+"output" in: sky130A_mr.drc:630
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 1105.00M
+"width" in: sky130A_mr.drc:631
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 1105.00M
+"output" in: sky130A_mr.drc:631
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 1105.00M
+"drc" in: sky130A_mr.drc:632
+    Edges: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 1105.00M
+"output" in: sky130A_mr.drc:632
+    Edges: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 1105.00M
+"space" in: sky130A_mr.drc:634
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 1105.00M
+"polygons" in: sky130A_mr.drc:634
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 1105.00M
+"output" in: sky130A_mr.drc:634
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 1105.00M
+"enclosing" in: sky130A_mr.drc:642
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 1105.00M
+"output" in: sky130A_mr.drc:642
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 1105.00M
+"not" in: sky130A_mr.drc:643
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 1105.00M
+"output" in: sky130A_mr.drc:643
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 1105.00M
+END: 71/44 (via4)
+START: 72/20 (m5)
+"width" in: sky130A_mr.drc:648
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 1105.00M
+"output" in: sky130A_mr.drc:648
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 1105.00M
+"space" in: sky130A_mr.drc:650
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 1105.00M
+"output" in: sky130A_mr.drc:650
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 1105.00M
+"enclosing" in: sky130A_mr.drc:652
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 1105.00M
+"output" in: sky130A_mr.drc:652
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 1105.00M
+"not" in: sky130A_mr.drc:653
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 1105.00M
+"output" in: sky130A_mr.drc:653
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 1105.00M
+"with_area" in: sky130A_mr.drc:657
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 1105.00M
+"output" in: sky130A_mr.drc:657
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 1105.00M
+END: 72/20 (m5)
+START: 76/20 (pad)
+"space" in: sky130A_mr.drc:662
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 1105.00M
+"output" in: sky130A_mr.drc:662
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 1105.00M
+END: 76/20 (pad)
+Writing report database: /home/krishna/Comparator_MPW6/precheck_results/04_JUN_2022___07_38_11/outputs/reports/klayout_beol_check.xml ..
+Total elapsed: 3.790s  Memory: 1105.00M
diff --git a/precheck_results/04_JUN_2022___07_38_11/logs/klayout_beol_check.total b/precheck_results/04_JUN_2022___07_38_11/logs/klayout_beol_check.total
new file mode 100644
index 0000000..c227083
--- /dev/null
+++ b/precheck_results/04_JUN_2022___07_38_11/logs/klayout_beol_check.total
@@ -0,0 +1 @@
+0
\ No newline at end of file
diff --git a/precheck_results/04_JUN_2022___07_38_11/logs/klayout_feol_check.log b/precheck_results/04_JUN_2022___07_38_11/logs/klayout_feol_check.log
new file mode 100644
index 0000000..c4c1670
--- /dev/null
+++ b/precheck_results/04_JUN_2022___07_38_11/logs/klayout_feol_check.log
@@ -0,0 +1,789 @@
+/home/krishna/mpw_precheckmpw6/checks/tech-files/sky130A_mr.drc:36: warning: already initialized constant DRC::DRCEngine::FEOL
+/home/krishna/mpw_precheckmpw6/checks/tech-files/sky130A_mr.drc:28: warning: previous definition of FEOL was here
+/home/krishna/mpw_precheckmpw6/checks/tech-files/sky130A_mr.drc:44: warning: already initialized constant DRC::DRCEngine::BEOL
+/home/krishna/mpw_precheckmpw6/checks/tech-files/sky130A_mr.drc:29: warning: previous definition of BEOL was here
+/home/krishna/mpw_precheckmpw6/checks/tech-files/sky130A_mr.drc:50: warning: already initialized constant DRC::DRCEngine::OFFGRID
+/home/krishna/mpw_precheckmpw6/checks/tech-files/sky130A_mr.drc:30: warning: previous definition of OFFGRID was here
+/home/krishna/mpw_precheckmpw6/checks/tech-files/sky130A_mr.drc:56: warning: already initialized constant DRC::DRCEngine::SEAL
+/home/krishna/mpw_precheckmpw6/checks/tech-files/sky130A_mr.drc:31: warning: previous definition of SEAL was here
+/home/krishna/mpw_precheckmpw6/checks/tech-files/sky130A_mr.drc:62: warning: already initialized constant DRC::DRCEngine::FLOATING_MET
+/home/krishna/mpw_precheckmpw6/checks/tech-files/sky130A_mr.drc:32: warning: previous definition of FLOATING_MET was here
+"input" in: sky130A_mr.drc:112
+    Polygons (raw): 62 (flat)  25 (hierarchical)
+    Elapsed: 0.000s  Memory: 522.00M
+"polygons" in: sky130A_mr.drc:113
+    Polygons (raw): 38 (flat)  30 (hierarchical)
+    Elapsed: 0.010s  Memory: 522.00M
+"polygons" in: sky130A_mr.drc:114
+    Polygons (raw): 89 (flat)  69 (hierarchical)
+    Elapsed: 0.000s  Memory: 522.00M
+"polygons" in: sky130A_mr.drc:115
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 522.00M
+"polygons" in: sky130A_mr.drc:116
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 522.00M
+"polygons" in: sky130A_mr.drc:117
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 522.00M
+"polygons" in: sky130A_mr.drc:118
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 522.00M
+"polygons" in: sky130A_mr.drc:119
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 522.00M
+"polygons" in: sky130A_mr.drc:120
+    Polygons (raw): 10 (flat)  2 (hierarchical)
+    Elapsed: 0.000s  Memory: 522.00M
+"polygons" in: sky130A_mr.drc:121
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 522.00M
+"polygons" in: sky130A_mr.drc:122
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 522.00M
+"polygons" in: sky130A_mr.drc:123
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 522.00M
+"polygons" in: sky130A_mr.drc:124
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 522.00M
+"polygons" in: sky130A_mr.drc:125
+    Polygons (raw): 202 (flat)  116 (hierarchical)
+    Elapsed: 0.010s  Memory: 522.00M
+"polygons" in: sky130A_mr.drc:126
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 522.00M
+"polygons" in: sky130A_mr.drc:127
+    Polygons (raw): 62 (flat)  43 (hierarchical)
+    Elapsed: 0.010s  Memory: 522.00M
+"polygons" in: sky130A_mr.drc:128
+    Polygons (raw): 52 (flat)  26 (hierarchical)
+    Elapsed: 0.000s  Memory: 522.00M
+"polygons" in: sky130A_mr.drc:129
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 522.00M
+"polygons" in: sky130A_mr.drc:130
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 522.00M
+"polygons" in: sky130A_mr.drc:131
+    Polygons (raw): 33 (flat)  24 (hierarchical)
+    Elapsed: 0.010s  Memory: 522.00M
+"polygons" in: sky130A_mr.drc:132
+    Polygons (raw): 907 (flat)  291 (hierarchical)
+    Elapsed: 0.000s  Memory: 522.00M
+"polygons" in: sky130A_mr.drc:134
+    Polygons (raw): 566 (flat)  394 (hierarchical)
+    Elapsed: 0.010s  Memory: 522.00M
+"polygons" in: sky130A_mr.drc:135
+    Polygons (raw): 1756 (flat)  1480 (hierarchical)
+    Elapsed: 0.000s  Memory: 522.00M
+"polygons" in: sky130A_mr.drc:137
+    Polygons (raw): 349 (flat)  299 (hierarchical)
+    Elapsed: 0.010s  Memory: 522.00M
+"polygons" in: sky130A_mr.drc:138
+    Polygons (raw): 2570 (flat)  2570 (hierarchical)
+    Elapsed: 0.010s  Memory: 522.00M
+"polygons" in: sky130A_mr.drc:140
+    Polygons (raw): 603 (flat)  603 (hierarchical)
+    Elapsed: 0.000s  Memory: 522.00M
+"polygons" in: sky130A_mr.drc:141
+    Polygons (raw): 1761 (flat)  1761 (hierarchical)
+    Elapsed: 0.010s  Memory: 522.00M
+"polygons" in: sky130A_mr.drc:143
+    Polygons (raw): 459 (flat)  459 (hierarchical)
+    Elapsed: 0.000s  Memory: 522.00M
+"polygons" in: sky130A_mr.drc:144
+    Polygons (raw): 25800 (flat)  25800 (hierarchical)
+    Elapsed: 0.020s  Memory: 523.00M
+"polygons" in: sky130A_mr.drc:146
+    Polygons (raw): 177 (flat)  177 (hierarchical)
+    Elapsed: 0.010s  Memory: 523.00M
+"polygons" in: sky130A_mr.drc:147
+    Polygons (raw): 552 (flat)  552 (hierarchical)
+    Elapsed: 0.000s  Memory: 523.00M
+"polygons" in: sky130A_mr.drc:149
+    Polygons (raw): 151 (flat)  151 (hierarchical)
+    Elapsed: 0.010s  Memory: 523.00M
+"polygons" in: sky130A_mr.drc:151
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 523.00M
+"polygons" in: sky130A_mr.drc:152
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 523.00M
+"polygons" in: sky130A_mr.drc:153
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 523.00M
+"polygons" in: sky130A_mr.drc:154
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 523.00M
+"polygons" in: sky130A_mr.drc:155
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 523.00M
+"polygons" in: sky130A_mr.drc:156
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 523.00M
+"polygons" in: sky130A_mr.drc:157
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 523.00M
+"polygons" in: sky130A_mr.drc:158
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 523.00M
+"polygons" in: sky130A_mr.drc:159
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 523.00M
+"polygons" in: sky130A_mr.drc:160
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 523.00M
+"polygons" in: sky130A_mr.drc:161
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 523.00M
+"polygons" in: sky130A_mr.drc:162
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 523.00M
+"polygons" in: sky130A_mr.drc:163
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 523.00M
+"polygons" in: sky130A_mr.drc:164
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 523.00M
+"polygons" in: sky130A_mr.drc:165
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 523.00M
+"polygons" in: sky130A_mr.drc:166
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 523.00M
+"polygons" in: sky130A_mr.drc:167
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 523.00M
+"polygons" in: sky130A_mr.drc:168
+    Polygons (raw): 10 (flat)  2 (hierarchical)
+    Elapsed: 0.000s  Memory: 523.00M
+"polygons" in: sky130A_mr.drc:169
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 523.00M
+"polygons" in: sky130A_mr.drc:170
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 523.00M
+"polygons" in: sky130A_mr.drc:171
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 523.00M
+"polygons" in: sky130A_mr.drc:172
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 523.00M
+"polygons" in: sky130A_mr.drc:173
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 523.00M
+"polygons" in: sky130A_mr.drc:174
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 523.00M
+"polygons" in: sky130A_mr.drc:175
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 523.00M
+"polygons" in: sky130A_mr.drc:176
+    Polygons (raw): 1 (flat)  1 (hierarchical)
+    Elapsed: 0.000s  Memory: 523.00M
+"polygons" in: sky130A_mr.drc:177
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 523.00M
+"polygons" in: sky130A_mr.drc:178
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 523.00M
+"polygons" in: sky130A_mr.drc:179
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 523.00M
+"polygons" in: sky130A_mr.drc:180
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 523.00M
+"polygons" in: sky130A_mr.drc:181
+    Polygons (raw): 4 (flat)  3 (hierarchical)
+    Elapsed: 0.010s  Memory: 523.00M
+"polygons" in: sky130A_mr.drc:182
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 523.00M
+"polygons" in: sky130A_mr.drc:183
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 523.00M
+"polygons" in: sky130A_mr.drc:184
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 523.00M
+"polygons" in: sky130A_mr.drc:185
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 523.00M
+"polygons" in: sky130A_mr.drc:186
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 523.00M
+"polygons" in: sky130A_mr.drc:187
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 523.00M
+"polygons" in: sky130A_mr.drc:188
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 523.00M
+"polygons" in: sky130A_mr.drc:189
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 523.00M
+"polygons" in: sky130A_mr.drc:190
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 523.00M
+"polygons" in: sky130A_mr.drc:191
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 523.00M
+"polygons" in: sky130A_mr.drc:192
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 523.00M
+"polygons" in: sky130A_mr.drc:193
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 523.00M
+"polygons" in: sky130A_mr.drc:194
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 523.00M
+"polygons" in: sky130A_mr.drc:195
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 523.00M
+"polygons" in: sky130A_mr.drc:196
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 523.00M
+"polygons" in: sky130A_mr.drc:197
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 523.00M
+"polygons" in: sky130A_mr.drc:198
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 523.00M
+"polygons" in: sky130A_mr.drc:199
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 523.00M
+"polygons" in: sky130A_mr.drc:200
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 523.00M
+"polygons" in: sky130A_mr.drc:201
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 523.00M
+"polygons" in: sky130A_mr.drc:202
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 523.00M
+"polygons" in: sky130A_mr.drc:203
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 523.00M
+DRC section
+FEOL section
+START: 64/18 (dnwell)
+"width" in: sky130A_mr.drc:229
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 523.00M
+"output" in: sky130A_mr.drc:229
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 523.00M
+END: 64/18 (dnwell)
+"input" in: sky130A_mr.drc:233
+    Polygons (raw): 62 (flat)  43 (hierarchical)
+    Elapsed: 0.010s  Memory: 523.00M
+"input" in: sky130A_mr.drc:234
+    Polygons (raw): 52 (flat)  26 (hierarchical)
+    Elapsed: 0.000s  Memory: 523.00M
+"input" in: sky130A_mr.drc:235
+    Polygons (raw): 89 (flat)  69 (hierarchical)
+    Elapsed: 0.010s  Memory: 523.00M
+START: 64/20 (nwell)
+"width" in: sky130A_mr.drc:239
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 523.00M
+"output" in: sky130A_mr.drc:239
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 523.00M
+"space" in: sky130A_mr.drc:240
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 1067.00M
+"output" in: sky130A_mr.drc:240
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 1067.00M
+"merge" in: sky130A_mr.drc:241
+    Polygons (raw): 15 (flat)  14 (hierarchical)
+    Elapsed: 0.000s  Memory: 1067.00M
+"holes" in: sky130A_mr.drc:242
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 1067.00M
+"enclosing" in: sky130A_mr.drc:242
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 1067.00M
+"output" in: sky130A_mr.drc:242
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 1067.00M
+END: 64/20 (nwell)
+START: 78/44 (hvtp)
+"width" in: sky130A_mr.drc:247
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 1067.00M
+"output" in: sky130A_mr.drc:247
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 1067.00M
+"space" in: sky130A_mr.drc:248
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 1067.00M
+"output" in: sky130A_mr.drc:248
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 1067.00M
+END: 78/44 (hvtp)
+START: 18/20 (htvr)
+"width" in: sky130A_mr.drc:253
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 1067.00M
+"output" in: sky130A_mr.drc:253
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 1067.00M
+"separation" in: sky130A_mr.drc:254
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 1067.00M
+"output" in: sky130A_mr.drc:254
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 1067.00M
+"and" in: sky130A_mr.drc:255
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 1067.00M
+"output" in: sky130A_mr.drc:255
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 1067.00M
+END: 18/20 (htvr)
+START: 25/44 (lvtn)
+"width" in: sky130A_mr.drc:260
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 1067.00M
+"output" in: sky130A_mr.drc:260
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 1067.00M
+"space" in: sky130A_mr.drc:261
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 1067.00M
+"output" in: sky130A_mr.drc:261
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 1067.00M
+END: 25/44 (lvtn)
+START: 92/44 (ncm)
+"width" in: sky130A_mr.drc:266
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 1067.00M
+"output" in: sky130A_mr.drc:266
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 1067.00M
+"space" in: sky130A_mr.drc:267
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 1067.00M
+"output" in: sky130A_mr.drc:267
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 1067.00M
+END: 92/44 (ncm)
+START: 65/20 (diff)
+"or" in: sky130A_mr.drc:272
+    Polygons (raw): 68 (flat)  35 (hierarchical)
+    Elapsed: 0.010s  Memory: 1067.00M
+"rectangles" in: sky130A_mr.drc:273
+    Polygons (raw): 31 (flat)  11 (hierarchical)
+    Elapsed: 0.000s  Memory: 1067.00M
+"width" in: sky130A_mr.drc:273
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 1067.00M
+"polygons" in: sky130A_mr.drc:273
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 1067.00M
+"edges" in: sky130A_mr.drc:274
+    Edges: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 1067.00M
+"outside_part" in: sky130A_mr.drc:274
+    Edges: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 1067.00M
+"outside" in: sky130A_mr.drc:274
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 1067.00M
+"edges" in: sky130A_mr.drc:274
+    Edges: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 1067.00M
+"not" in: sky130A_mr.drc:274
+    Edges: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 1067.00M
+"output" in: sky130A_mr.drc:275
+    Edges: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 1067.00M
+"outside" in: sky130A_mr.drc:276
+    Polygons (raw): 48 (flat)  17 (hierarchical)
+    Elapsed: 0.010s  Memory: 1067.00M
+"width" in: sky130A_mr.drc:276
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 1067.00M
+"output" in: sky130A_mr.drc:276
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 1067.00M
+END: 65/20 (diff)
+START: 65/44 (tap)
+"rectangles" in: sky130A_mr.drc:280
+    Polygons (raw): 14 (flat)  14 (hierarchical)
+    Elapsed: 0.010s  Memory: 1067.00M
+"width" in: sky130A_mr.drc:280
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 1067.00M
+"polygons" in: sky130A_mr.drc:280
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 1067.00M
+"edges" in: sky130A_mr.drc:281
+    Edges: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 1067.00M
+"outside_part" in: sky130A_mr.drc:281
+    Edges: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 1067.00M
+"outside" in: sky130A_mr.drc:281
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 1067.00M
+"edges" in: sky130A_mr.drc:281
+    Edges: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 1067.00M
+"not" in: sky130A_mr.drc:281
+    Edges: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 1067.00M
+"output" in: sky130A_mr.drc:282
+    Edges: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 1067.00M
+"not" in: sky130A_mr.drc:283
+    Polygons (raw): 38 (flat)  30 (hierarchical)
+    Elapsed: 0.000s  Memory: 1067.00M
+"width" in: sky130A_mr.drc:283
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 1067.00M
+"output" in: sky130A_mr.drc:283
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 1067.00M
+END: 65/44 (tap)
+"space" in: sky130A_mr.drc:286
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 1067.00M
+"output" in: sky130A_mr.drc:286
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 1067.00M
+START: 80/20 (tunm)
+"width" in: sky130A_mr.drc:290
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 1067.00M
+"output" in: sky130A_mr.drc:290
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 1067.00M
+"space" in: sky130A_mr.drc:291
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 1067.00M
+"output" in: sky130A_mr.drc:291
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 1067.00M
+END: 80/20 (tunm)
+START: 66/20 (poly)
+"width" in: sky130A_mr.drc:296
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 1067.00M
+"output" in: sky130A_mr.drc:296
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 1067.00M
+"not" in: sky130A_mr.drc:297
+    Polygons (raw): 202 (flat)  116 (hierarchical)
+    Elapsed: 0.000s  Memory: 1067.00M
+"space" in: sky130A_mr.drc:297
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 1067.00M
+"output" in: sky130A_mr.drc:297
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 1067.00M
+START: 86/20 (rpm)
+"width" in: sky130A_mr.drc:302
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 1067.00M
+"output" in: sky130A_mr.drc:302
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 1067.00M
+"space" in: sky130A_mr.drc:303
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 1067.00M
+"output" in: sky130A_mr.drc:303
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 1067.00M
+END: 86/20 (rpm)
+START: 79/20 (urpm)
+"width" in: sky130A_mr.drc:308
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 1067.00M
+"output" in: sky130A_mr.drc:308
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 1067.00M
+"space" in: sky130A_mr.drc:309
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 1067.00M
+"output" in: sky130A_mr.drc:309
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 1067.00M
+END: 79/20 (urpm)
+START: 95/20 (npc)
+"width" in: sky130A_mr.drc:314
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 1067.00M
+"output" in: sky130A_mr.drc:314
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 1067.00M
+"space" in: sky130A_mr.drc:315
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 1067.00M
+"output" in: sky130A_mr.drc:315
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 1067.00M
+END: 95/20 (npc)
+START: 93/44 (nsdm)
+"outside" in: sky130A_mr.drc:320
+    Polygons (raw): 34 (flat)  22 (hierarchical)
+    Elapsed: 0.010s  Memory: 1067.00M
+"width" in: sky130A_mr.drc:320
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 1067.00M
+"output" in: sky130A_mr.drc:320
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 1067.00M
+"not" in: sky130A_mr.drc:321
+    Polygons (raw): 62 (flat)  43 (hierarchical)
+    Elapsed: 0.010s  Memory: 1067.00M
+"space" in: sky130A_mr.drc:321
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 1067.00M
+"output" in: sky130A_mr.drc:321
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 1067.00M
+END: 93/44 (nsdm)
+START: 94/20 (psdm)
+"outside" in: sky130A_mr.drc:326
+    Polygons (raw): 28 (flat)  16 (hierarchical)
+    Elapsed: 0.010s  Memory: 1067.00M
+"width" in: sky130A_mr.drc:326
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 1067.00M
+"output" in: sky130A_mr.drc:326
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 1067.00M
+"not" in: sky130A_mr.drc:327
+    Polygons (raw): 52 (flat)  26 (hierarchical)
+    Elapsed: 0.000s  Memory: 1067.00M
+"space" in: sky130A_mr.drc:327
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 1067.00M
+"output" in: sky130A_mr.drc:327
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 1067.00M
+END: 94/20 (psdm)
+START: 66/44 (licon)
+"not" in: sky130A_mr.drc:338
+    Polygons (raw): 359 (flat)  106 (hierarchical)
+    Elapsed: 0.010s  Memory: 1067.00M
+"and" in: sky130A_mr.drc:339
+    Polygons (raw): 743 (flat)  236 (hierarchical)
+    Elapsed: 0.010s  Memory: 1067.00M
+"interacting" in: sky130A_mr.drc:339
+    Polygons (raw): 743 (flat)  236 (hierarchical)
+    Elapsed: 0.010s  Memory: 1067.00M
+"not" in: sky130A_mr.drc:340
+    Polygons (raw): 743 (flat)  236 (hierarchical)
+    Elapsed: 0.010s  Memory: 1067.00M
+"non_rectangles" in: sky130A_mr.drc:341
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 1067.00M
+"output" in: sky130A_mr.drc:341
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 1067.00M
+"or" in: sky130A_mr.drc:342
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 1067.00M
+"not" in: sky130A_mr.drc:342
+    Polygons (raw): 907 (flat)  291 (hierarchical)
+    Elapsed: 0.010s  Memory: 1067.00M
+"edges" in: sky130A_mr.drc:342
+    Edges: 3628 (flat)  1164 (hierarchical)
+    Elapsed: 0.010s  Memory: 1067.00M
+"without_length" in: sky130A_mr.drc:342
+    Edges: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 1067.00M
+"output" in: sky130A_mr.drc:342
+    Edges: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 1067.00M
+"separation" in: sky130A_mr.drc:343
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 1067.00M
+"output" in: sky130A_mr.drc:343
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 1067.00M
+"and" in: sky130A_mr.drc:344
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.020s  Memory: 1067.00M
+"output" in: sky130A_mr.drc:344
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 1067.00M
+"interacting" in: sky130A_mr.drc:345
+    Polygons (raw): 164 (flat)  55 (hierarchical)
+    Elapsed: 0.010s  Memory: 1067.00M
+"interacting" in: sky130A_mr.drc:345
+    Polygons (raw): 743 (flat)  236 (hierarchical)
+    Elapsed: 0.010s  Memory: 1067.00M
+"and" in: sky130A_mr.drc:345
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 1067.00M
+"output" in: sky130A_mr.drc:345
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 1067.00M
+END: 66/44 (licon)
+START: 89/44 (capm)
+"and" in: sky130A_mr.drc:350
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 1067.00M
+"sized" in: sky130A_mr.drc:350
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 1067.00M
+"width" in: sky130A_mr.drc:351
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 1067.00M
+"output" in: sky130A_mr.drc:351
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 1067.00M
+"space" in: sky130A_mr.drc:352
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 1067.00M
+"output" in: sky130A_mr.drc:352
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 1067.00M
+"interacting" in: sky130A_mr.drc:353
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 1067.00M
+"isolated" in: sky130A_mr.drc:353
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 1067.00M
+"output" in: sky130A_mr.drc:353
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 1067.00M
+"isolated" in: sky130A_mr.drc:354
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 1067.00M
+"output" in: sky130A_mr.drc:354
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 1067.00M
+"and" in: sky130A_mr.drc:355
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 1067.00M
+"enclosing" in: sky130A_mr.drc:355
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 1067.00M
+"output" in: sky130A_mr.drc:355
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 1067.00M
+"enclosing" in: sky130A_mr.drc:356
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 1067.00M
+"output" in: sky130A_mr.drc:356
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 1067.00M
+"enclosing" in: sky130A_mr.drc:357
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 1067.00M
+"output" in: sky130A_mr.drc:357
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 1067.00M
+"separation" in: sky130A_mr.drc:358
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 1067.00M
+"output" in: sky130A_mr.drc:358
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 1067.00M
+END: 89/44 (capm)
+START: 97/44 (cap2m)
+"and" in: sky130A_mr.drc:363
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 1067.00M
+"sized" in: sky130A_mr.drc:363
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 1067.00M
+"width" in: sky130A_mr.drc:364
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 1067.00M
+"output" in: sky130A_mr.drc:364
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 1067.00M
+"space" in: sky130A_mr.drc:365
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 1067.00M
+"output" in: sky130A_mr.drc:365
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 1067.00M
+"interacting" in: sky130A_mr.drc:366
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 1067.00M
+"isolated" in: sky130A_mr.drc:366
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 1067.00M
+"output" in: sky130A_mr.drc:366
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 1067.00M
+"isolated" in: sky130A_mr.drc:368
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 1067.00M
+"output" in: sky130A_mr.drc:368
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 1067.00M
+"and" in: sky130A_mr.drc:369
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 1067.00M
+"enclosing" in: sky130A_mr.drc:369
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 1067.00M
+"output" in: sky130A_mr.drc:369
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 1067.00M
+"enclosing" in: sky130A_mr.drc:370
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 1067.00M
+"output" in: sky130A_mr.drc:370
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 1067.00M
+"enclosing" in: sky130A_mr.drc:371
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 1067.00M
+"output" in: sky130A_mr.drc:371
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 1067.00M
+"separation" in: sky130A_mr.drc:372
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 1067.00M
+"output" in: sky130A_mr.drc:372
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 1067.00M
+END: 97/44 (cap2m)
+FEOL section
+START: 75/20 (hvi)
+"not" in: sky130A_mr.drc:672
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 1067.00M
+"width" in: sky130A_mr.drc:673
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 1067.00M
+"output" in: sky130A_mr.drc:673
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 1067.00M
+"space" in: sky130A_mr.drc:674
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 1067.00M
+"output" in: sky130A_mr.drc:674
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 1067.00M
+END: 75/20 (hvi)
+START: 125/20 (hvntm)
+"not" in: sky130A_mr.drc:679
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 1067.00M
+"width" in: sky130A_mr.drc:680
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 1067.00M
+"output" in: sky130A_mr.drc:680
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 1067.00M
+"space" in: sky130A_mr.drc:681
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 1067.00M
+"output" in: sky130A_mr.drc:681
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 1067.00M
+END: 125/20 (hvntm)
+Writing report database: /home/krishna/Comparator_MPW6/precheck_results/04_JUN_2022___07_38_11/outputs/reports/klayout_feol_check.xml ..
+Total elapsed: 1.370s  Memory: 1067.00M
diff --git a/precheck_results/04_JUN_2022___07_38_11/logs/klayout_feol_check.total b/precheck_results/04_JUN_2022___07_38_11/logs/klayout_feol_check.total
new file mode 100644
index 0000000..c227083
--- /dev/null
+++ b/precheck_results/04_JUN_2022___07_38_11/logs/klayout_feol_check.total
@@ -0,0 +1 @@
+0
\ No newline at end of file
diff --git a/precheck_results/04_JUN_2022___07_38_11/logs/klayout_met_min_ca_density_check.log b/precheck_results/04_JUN_2022___07_38_11/logs/klayout_met_min_ca_density_check.log
new file mode 100644
index 0000000..ef68a3e
--- /dev/null
+++ b/precheck_results/04_JUN_2022___07_38_11/logs/klayout_met_min_ca_density_check.log
@@ -0,0 +1,79 @@
+"polygons" in: met_min_ca_density.lydrc:35
+    Polygons (raw): 616 (flat)  404 (hierarchical)
+    Elapsed: 0.010s  Memory: 522.00M
+"polygons" in: met_min_ca_density.lydrc:36
+    Polygons (raw): 1756 (flat)  1480 (hierarchical)
+    Elapsed: 0.010s  Memory: 522.00M
+"polygons" in: met_min_ca_density.lydrc:38
+    Polygons (raw): 369 (flat)  303 (hierarchical)
+    Elapsed: 0.000s  Memory: 522.00M
+"polygons" in: met_min_ca_density.lydrc:39
+    Polygons (raw): 2570 (flat)  2570 (hierarchical)
+    Elapsed: 0.010s  Memory: 522.00M
+"polygons" in: met_min_ca_density.lydrc:41
+    Polygons (raw): 1097 (flat)  1097 (hierarchical)
+    Elapsed: 0.000s  Memory: 522.00M
+"polygons" in: met_min_ca_density.lydrc:42
+    Polygons (raw): 1761 (flat)  1761 (hierarchical)
+    Elapsed: 0.010s  Memory: 522.00M
+"polygons" in: met_min_ca_density.lydrc:44
+    Polygons (raw): 643 (flat)  643 (hierarchical)
+    Elapsed: 0.000s  Memory: 522.00M
+"polygons" in: met_min_ca_density.lydrc:45
+    Polygons (raw): 25800 (flat)  25800 (hierarchical)
+    Elapsed: 0.020s  Memory: 523.00M
+"polygons" in: met_min_ca_density.lydrc:47
+    Polygons (raw): 181 (flat)  181 (hierarchical)
+    Elapsed: 0.000s  Memory: 523.00M
+"polygons" in: met_min_ca_density.lydrc:48
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 523.00M
+"polygons" in: met_min_ca_density.lydrc:49
+    Polygons (raw): 552 (flat)  552 (hierarchical)
+    Elapsed: 0.000s  Memory: 523.00M
+"polygons" in: met_min_ca_density.lydrc:51
+    Polygons (raw): 157 (flat)  157 (hierarchical)
+    Elapsed: 0.010s  Memory: 523.00M
+"input" in: met_min_ca_density.lydrc:53
+    Polygons (raw): 5 (flat)  4 (hierarchical)
+    Elapsed: 0.000s  Memory: 523.00M
+"area" in: met_min_ca_density.lydrc:55
+    Elapsed: 0.010s  Memory: 523.00M
+"polygons" in: met_min_ca_density.lydrc:59
+    Polygons (raw): 616 (flat)  404 (hierarchical)
+    Elapsed: 0.000s  Memory: 523.00M
+"area" in: met_min_ca_density.lydrc:59
+    Elapsed: 0.010s  Memory: 523.00M
+li1_ca_density is 0.9998144907840715
+"polygons" in: met_min_ca_density.lydrc:69
+    Polygons (raw): 369 (flat)  303 (hierarchical)
+    Elapsed: 0.010s  Memory: 523.00M
+"area" in: met_min_ca_density.lydrc:69
+    Elapsed: 0.010s  Memory: 523.00M
+m1_ca_density is 0.9997226470316392
+"polygons" in: met_min_ca_density.lydrc:79
+    Polygons (raw): 1097 (flat)  1097 (hierarchical)
+    Elapsed: 0.000s  Memory: 523.00M
+"area" in: met_min_ca_density.lydrc:79
+    Elapsed: 0.010s  Memory: 523.00M
+m2_ca_density is 0.999675358061566
+"polygons" in: met_min_ca_density.lydrc:89
+    Polygons (raw): 643 (flat)  643 (hierarchical)
+    Elapsed: 0.000s  Memory: 523.00M
+"area" in: met_min_ca_density.lydrc:89
+    Elapsed: 0.010s  Memory: 523.00M
+m3_ca_density is 0.9841049439747431
+"polygons" in: met_min_ca_density.lydrc:99
+    Polygons (raw): 181 (flat)  181 (hierarchical)
+    Elapsed: 0.010s  Memory: 523.00M
+"area" in: met_min_ca_density.lydrc:99
+    Elapsed: 0.000s  Memory: 523.00M
+m4_ca_density is 0.9791263766734122
+"polygons" in: met_min_ca_density.lydrc:109
+    Polygons (raw): 157 (flat)  157 (hierarchical)
+    Elapsed: 0.010s  Memory: 523.00M
+"area" in: met_min_ca_density.lydrc:109
+    Elapsed: 0.000s  Memory: 523.00M
+m5_ca_density is 0.9695932415745642
+Writing report database: /home/krishna/Comparator_MPW6/precheck_results/04_JUN_2022___07_38_11/outputs/reports/klayout_met_min_ca_density_check.xml ..
+Total elapsed: 0.160s  Memory: 522.00M
diff --git a/precheck_results/04_JUN_2022___07_38_11/logs/klayout_met_min_ca_density_check.total b/precheck_results/04_JUN_2022___07_38_11/logs/klayout_met_min_ca_density_check.total
new file mode 100644
index 0000000..c227083
--- /dev/null
+++ b/precheck_results/04_JUN_2022___07_38_11/logs/klayout_met_min_ca_density_check.total
@@ -0,0 +1 @@
+0
\ No newline at end of file
diff --git a/precheck_results/04_JUN_2022___07_38_11/logs/klayout_offgrid_check.log b/precheck_results/04_JUN_2022___07_38_11/logs/klayout_offgrid_check.log
new file mode 100644
index 0000000..b55ee47
--- /dev/null
+++ b/precheck_results/04_JUN_2022___07_38_11/logs/klayout_offgrid_check.log
@@ -0,0 +1,755 @@
+/home/krishna/mpw_precheckmpw6/checks/tech-files/sky130A_mr.drc:38: warning: already initialized constant DRC::DRCEngine::FEOL
+/home/krishna/mpw_precheckmpw6/checks/tech-files/sky130A_mr.drc:28: warning: previous definition of FEOL was here
+/home/krishna/mpw_precheckmpw6/checks/tech-files/sky130A_mr.drc:44: warning: already initialized constant DRC::DRCEngine::BEOL
+/home/krishna/mpw_precheckmpw6/checks/tech-files/sky130A_mr.drc:29: warning: previous definition of BEOL was here
+/home/krishna/mpw_precheckmpw6/checks/tech-files/sky130A_mr.drc:48: warning: already initialized constant DRC::DRCEngine::OFFGRID
+/home/krishna/mpw_precheckmpw6/checks/tech-files/sky130A_mr.drc:30: warning: previous definition of OFFGRID was here
+/home/krishna/mpw_precheckmpw6/checks/tech-files/sky130A_mr.drc:56: warning: already initialized constant DRC::DRCEngine::SEAL
+/home/krishna/mpw_precheckmpw6/checks/tech-files/sky130A_mr.drc:31: warning: previous definition of SEAL was here
+/home/krishna/mpw_precheckmpw6/checks/tech-files/sky130A_mr.drc:62: warning: already initialized constant DRC::DRCEngine::FLOATING_MET
+/home/krishna/mpw_precheckmpw6/checks/tech-files/sky130A_mr.drc:32: warning: previous definition of FLOATING_MET was here
+"input" in: sky130A_mr.drc:112
+    Polygons (raw): 62 (flat)  25 (hierarchical)
+    Elapsed: 0.010s  Memory: 522.00M
+"polygons" in: sky130A_mr.drc:113
+    Polygons (raw): 38 (flat)  30 (hierarchical)
+    Elapsed: 0.000s  Memory: 522.00M
+"polygons" in: sky130A_mr.drc:114
+    Polygons (raw): 89 (flat)  69 (hierarchical)
+    Elapsed: 0.010s  Memory: 522.00M
+"polygons" in: sky130A_mr.drc:115
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 522.00M
+"polygons" in: sky130A_mr.drc:116
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 522.00M
+"polygons" in: sky130A_mr.drc:117
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 522.00M
+"polygons" in: sky130A_mr.drc:118
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 522.00M
+"polygons" in: sky130A_mr.drc:119
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 522.00M
+"polygons" in: sky130A_mr.drc:120
+    Polygons (raw): 10 (flat)  2 (hierarchical)
+    Elapsed: 0.020s  Memory: 522.00M
+"polygons" in: sky130A_mr.drc:121
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 522.00M
+"polygons" in: sky130A_mr.drc:122
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 522.00M
+"polygons" in: sky130A_mr.drc:123
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 522.00M
+"polygons" in: sky130A_mr.drc:124
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 522.00M
+"polygons" in: sky130A_mr.drc:125
+    Polygons (raw): 202 (flat)  116 (hierarchical)
+    Elapsed: 0.010s  Memory: 522.00M
+"polygons" in: sky130A_mr.drc:126
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 522.00M
+"polygons" in: sky130A_mr.drc:127
+    Polygons (raw): 62 (flat)  43 (hierarchical)
+    Elapsed: 0.000s  Memory: 522.00M
+"polygons" in: sky130A_mr.drc:128
+    Polygons (raw): 52 (flat)  26 (hierarchical)
+    Elapsed: 0.010s  Memory: 522.00M
+"polygons" in: sky130A_mr.drc:129
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 522.00M
+"polygons" in: sky130A_mr.drc:130
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 522.00M
+"polygons" in: sky130A_mr.drc:131
+    Polygons (raw): 33 (flat)  24 (hierarchical)
+    Elapsed: 0.000s  Memory: 522.00M
+"polygons" in: sky130A_mr.drc:132
+    Polygons (raw): 907 (flat)  291 (hierarchical)
+    Elapsed: 0.010s  Memory: 522.00M
+"polygons" in: sky130A_mr.drc:134
+    Polygons (raw): 566 (flat)  394 (hierarchical)
+    Elapsed: 0.000s  Memory: 522.00M
+"polygons" in: sky130A_mr.drc:135
+    Polygons (raw): 1756 (flat)  1480 (hierarchical)
+    Elapsed: 0.010s  Memory: 522.00M
+"polygons" in: sky130A_mr.drc:137
+    Polygons (raw): 349 (flat)  299 (hierarchical)
+    Elapsed: 0.000s  Memory: 522.00M
+"polygons" in: sky130A_mr.drc:138
+    Polygons (raw): 2570 (flat)  2570 (hierarchical)
+    Elapsed: 0.010s  Memory: 522.00M
+"polygons" in: sky130A_mr.drc:140
+    Polygons (raw): 603 (flat)  603 (hierarchical)
+    Elapsed: 0.000s  Memory: 522.00M
+"polygons" in: sky130A_mr.drc:141
+    Polygons (raw): 1761 (flat)  1761 (hierarchical)
+    Elapsed: 0.010s  Memory: 522.00M
+"polygons" in: sky130A_mr.drc:143
+    Polygons (raw): 459 (flat)  459 (hierarchical)
+    Elapsed: 0.010s  Memory: 522.00M
+"polygons" in: sky130A_mr.drc:144
+    Polygons (raw): 25800 (flat)  25800 (hierarchical)
+    Elapsed: 0.010s  Memory: 523.00M
+"polygons" in: sky130A_mr.drc:146
+    Polygons (raw): 177 (flat)  177 (hierarchical)
+    Elapsed: 0.010s  Memory: 523.00M
+"polygons" in: sky130A_mr.drc:147
+    Polygons (raw): 552 (flat)  552 (hierarchical)
+    Elapsed: 0.000s  Memory: 523.00M
+"polygons" in: sky130A_mr.drc:149
+    Polygons (raw): 151 (flat)  151 (hierarchical)
+    Elapsed: 0.010s  Memory: 523.00M
+"polygons" in: sky130A_mr.drc:151
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 523.00M
+"polygons" in: sky130A_mr.drc:152
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 523.00M
+"polygons" in: sky130A_mr.drc:153
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 523.00M
+"polygons" in: sky130A_mr.drc:154
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 523.00M
+"polygons" in: sky130A_mr.drc:155
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 523.00M
+"polygons" in: sky130A_mr.drc:156
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 523.00M
+"polygons" in: sky130A_mr.drc:157
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 523.00M
+"polygons" in: sky130A_mr.drc:158
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 523.00M
+"polygons" in: sky130A_mr.drc:159
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 523.00M
+"polygons" in: sky130A_mr.drc:160
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 523.00M
+"polygons" in: sky130A_mr.drc:161
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 523.00M
+"polygons" in: sky130A_mr.drc:162
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 523.00M
+"polygons" in: sky130A_mr.drc:163
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 523.00M
+"polygons" in: sky130A_mr.drc:164
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 523.00M
+"polygons" in: sky130A_mr.drc:165
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 523.00M
+"polygons" in: sky130A_mr.drc:166
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 523.00M
+"polygons" in: sky130A_mr.drc:167
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 523.00M
+"polygons" in: sky130A_mr.drc:168
+    Polygons (raw): 10 (flat)  2 (hierarchical)
+    Elapsed: 0.010s  Memory: 523.00M
+"polygons" in: sky130A_mr.drc:169
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 523.00M
+"polygons" in: sky130A_mr.drc:170
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 523.00M
+"polygons" in: sky130A_mr.drc:171
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 523.00M
+"polygons" in: sky130A_mr.drc:172
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 523.00M
+"polygons" in: sky130A_mr.drc:173
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 523.00M
+"polygons" in: sky130A_mr.drc:174
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 523.00M
+"polygons" in: sky130A_mr.drc:175
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 523.00M
+"polygons" in: sky130A_mr.drc:176
+    Polygons (raw): 1 (flat)  1 (hierarchical)
+    Elapsed: 0.010s  Memory: 523.00M
+"polygons" in: sky130A_mr.drc:177
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 523.00M
+"polygons" in: sky130A_mr.drc:178
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 523.00M
+"polygons" in: sky130A_mr.drc:179
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 523.00M
+"polygons" in: sky130A_mr.drc:180
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 523.00M
+"polygons" in: sky130A_mr.drc:181
+    Polygons (raw): 4 (flat)  3 (hierarchical)
+    Elapsed: 0.000s  Memory: 523.00M
+"polygons" in: sky130A_mr.drc:182
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 523.00M
+"polygons" in: sky130A_mr.drc:183
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 523.00M
+"polygons" in: sky130A_mr.drc:184
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 523.00M
+"polygons" in: sky130A_mr.drc:185
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 523.00M
+"polygons" in: sky130A_mr.drc:186
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 523.00M
+"polygons" in: sky130A_mr.drc:187
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 523.00M
+"polygons" in: sky130A_mr.drc:188
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 523.00M
+"polygons" in: sky130A_mr.drc:189
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 523.00M
+"polygons" in: sky130A_mr.drc:190
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 523.00M
+"polygons" in: sky130A_mr.drc:191
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 523.00M
+"polygons" in: sky130A_mr.drc:192
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 523.00M
+"polygons" in: sky130A_mr.drc:193
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 523.00M
+"polygons" in: sky130A_mr.drc:194
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 523.00M
+"polygons" in: sky130A_mr.drc:195
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 523.00M
+"polygons" in: sky130A_mr.drc:196
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 523.00M
+"polygons" in: sky130A_mr.drc:197
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 523.00M
+"polygons" in: sky130A_mr.drc:198
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 523.00M
+"polygons" in: sky130A_mr.drc:199
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 523.00M
+"polygons" in: sky130A_mr.drc:200
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 523.00M
+"polygons" in: sky130A_mr.drc:201
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 523.00M
+"polygons" in: sky130A_mr.drc:202
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 523.00M
+"polygons" in: sky130A_mr.drc:203
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 523.00M
+DRC section
+OFFGRID-ANGLES section
+"ongrid" in: sky130A_mr.drc:690
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 523.00M
+"output" in: sky130A_mr.drc:690
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 523.00M
+"with_angle" in: sky130A_mr.drc:691
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 523.00M
+"output" in: sky130A_mr.drc:691
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 523.00M
+"ongrid" in: sky130A_mr.drc:692
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 523.00M
+"output" in: sky130A_mr.drc:692
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 523.00M
+"with_angle" in: sky130A_mr.drc:693
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 523.00M
+"output" in: sky130A_mr.drc:693
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 523.00M
+"ongrid" in: sky130A_mr.drc:694
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 523.00M
+"output" in: sky130A_mr.drc:694
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 523.00M
+"with_angle" in: sky130A_mr.drc:695
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 523.00M
+"output" in: sky130A_mr.drc:695
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 523.00M
+"ongrid" in: sky130A_mr.drc:696
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 523.00M
+"output" in: sky130A_mr.drc:696
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 523.00M
+"with_angle" in: sky130A_mr.drc:697
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 523.00M
+"output" in: sky130A_mr.drc:697
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 523.00M
+"ongrid" in: sky130A_mr.drc:698
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 523.00M
+"output" in: sky130A_mr.drc:698
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 523.00M
+"with_angle" in: sky130A_mr.drc:699
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 523.00M
+"output" in: sky130A_mr.drc:699
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 523.00M
+"ongrid" in: sky130A_mr.drc:700
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 523.00M
+"output" in: sky130A_mr.drc:700
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 523.00M
+"with_angle" in: sky130A_mr.drc:701
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 523.00M
+"output" in: sky130A_mr.drc:701
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 523.00M
+"ongrid" in: sky130A_mr.drc:702
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 523.00M
+"output" in: sky130A_mr.drc:702
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 523.00M
+"with_angle" in: sky130A_mr.drc:703
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 523.00M
+"output" in: sky130A_mr.drc:703
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 523.00M
+"ongrid" in: sky130A_mr.drc:704
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 523.00M
+"output" in: sky130A_mr.drc:704
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 523.00M
+"with_angle" in: sky130A_mr.drc:705
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 523.00M
+"output" in: sky130A_mr.drc:705
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 523.00M
+"ongrid" in: sky130A_mr.drc:706
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 523.00M
+"output" in: sky130A_mr.drc:706
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 523.00M
+"ongrid" in: sky130A_mr.drc:707
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 523.00M
+"output" in: sky130A_mr.drc:707
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 523.00M
+"and" in: sky130A_mr.drc:708
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 523.00M
+"not" in: sky130A_mr.drc:708
+    Polygons (raw): 62 (flat)  25 (hierarchical)
+    Elapsed: 0.010s  Memory: 523.00M
+"with_angle" in: sky130A_mr.drc:708
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 523.00M
+"output" in: sky130A_mr.drc:708
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 523.00M
+"and" in: sky130A_mr.drc:709
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 523.00M
+"and" in: sky130A_mr.drc:709
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 523.00M
+"with_angle" in: sky130A_mr.drc:709
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 523.00M
+"output" in: sky130A_mr.drc:709
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 523.00M
+"and" in: sky130A_mr.drc:710
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 523.00M
+"not" in: sky130A_mr.drc:710
+    Polygons (raw): 38 (flat)  30 (hierarchical)
+    Elapsed: 0.000s  Memory: 523.00M
+"with_angle" in: sky130A_mr.drc:710
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 523.00M
+"output" in: sky130A_mr.drc:710
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 523.00M
+"and" in: sky130A_mr.drc:711
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 523.00M
+"and" in: sky130A_mr.drc:711
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.020s  Memory: 523.00M
+"with_angle" in: sky130A_mr.drc:711
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 523.00M
+"output" in: sky130A_mr.drc:711
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 523.00M
+"ongrid" in: sky130A_mr.drc:712
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 523.00M
+"output" in: sky130A_mr.drc:712
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 523.00M
+"with_angle" in: sky130A_mr.drc:713
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 523.00M
+"output" in: sky130A_mr.drc:713
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 523.00M
+"ongrid" in: sky130A_mr.drc:714
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 523.00M
+"output" in: sky130A_mr.drc:714
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 523.00M
+"with_angle" in: sky130A_mr.drc:715
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 523.00M
+"output" in: sky130A_mr.drc:715
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 523.00M
+"ongrid" in: sky130A_mr.drc:716
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 523.00M
+"output" in: sky130A_mr.drc:716
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 523.00M
+"with_angle" in: sky130A_mr.drc:717
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 523.00M
+"output" in: sky130A_mr.drc:717
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 523.00M
+"ongrid" in: sky130A_mr.drc:718
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 523.00M
+"output" in: sky130A_mr.drc:718
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 523.00M
+"with_angle" in: sky130A_mr.drc:719
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 523.00M
+"output" in: sky130A_mr.drc:719
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 523.00M
+"ongrid" in: sky130A_mr.drc:720
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 523.00M
+"output" in: sky130A_mr.drc:720
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 523.00M
+"with_angle" in: sky130A_mr.drc:721
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 523.00M
+"output" in: sky130A_mr.drc:721
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 523.00M
+"ongrid" in: sky130A_mr.drc:722
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 523.00M
+"output" in: sky130A_mr.drc:722
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 523.00M
+"with_angle" in: sky130A_mr.drc:723
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 523.00M
+"output" in: sky130A_mr.drc:723
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 523.00M
+"ongrid" in: sky130A_mr.drc:724
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 523.00M
+"output" in: sky130A_mr.drc:724
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 523.00M
+"with_angle" in: sky130A_mr.drc:725
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 523.00M
+"output" in: sky130A_mr.drc:725
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 523.00M
+"ongrid" in: sky130A_mr.drc:726
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 523.00M
+"output" in: sky130A_mr.drc:726
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 523.00M
+"with_angle" in: sky130A_mr.drc:727
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 523.00M
+"output" in: sky130A_mr.drc:727
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 523.00M
+"ongrid" in: sky130A_mr.drc:728
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 523.00M
+"output" in: sky130A_mr.drc:728
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 523.00M
+"with_angle" in: sky130A_mr.drc:729
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 523.00M
+"output" in: sky130A_mr.drc:729
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 523.00M
+"ongrid" in: sky130A_mr.drc:730
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 523.00M
+"output" in: sky130A_mr.drc:730
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 523.00M
+"with_angle" in: sky130A_mr.drc:731
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 523.00M
+"output" in: sky130A_mr.drc:731
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 523.00M
+"ongrid" in: sky130A_mr.drc:732
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 523.00M
+"output" in: sky130A_mr.drc:732
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 523.00M
+"with_angle" in: sky130A_mr.drc:733
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 523.00M
+"output" in: sky130A_mr.drc:733
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 523.00M
+"ongrid" in: sky130A_mr.drc:734
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 524.00M
+"output" in: sky130A_mr.drc:734
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 524.00M
+"with_angle" in: sky130A_mr.drc:735
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 524.00M
+"output" in: sky130A_mr.drc:735
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 524.00M
+"ongrid" in: sky130A_mr.drc:736
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 524.00M
+"output" in: sky130A_mr.drc:736
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 524.00M
+"with_angle" in: sky130A_mr.drc:737
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 524.00M
+"output" in: sky130A_mr.drc:737
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 524.00M
+"ongrid" in: sky130A_mr.drc:738
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.020s  Memory: 524.00M
+"output" in: sky130A_mr.drc:738
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 524.00M
+"with_angle" in: sky130A_mr.drc:739
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 524.00M
+"output" in: sky130A_mr.drc:739
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 524.00M
+"ongrid" in: sky130A_mr.drc:740
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 524.00M
+"output" in: sky130A_mr.drc:740
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 524.00M
+"with_angle" in: sky130A_mr.drc:741
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 524.00M
+"output" in: sky130A_mr.drc:741
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 524.00M
+"ongrid" in: sky130A_mr.drc:742
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.130s  Memory: 530.00M
+"output" in: sky130A_mr.drc:742
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 530.00M
+"with_angle" in: sky130A_mr.drc:743
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.020s  Memory: 530.00M
+"output" in: sky130A_mr.drc:743
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 530.00M
+"ongrid" in: sky130A_mr.drc:744
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 530.00M
+"output" in: sky130A_mr.drc:744
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 530.00M
+"with_angle" in: sky130A_mr.drc:745
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 530.00M
+"output" in: sky130A_mr.drc:745
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 530.00M
+"ongrid" in: sky130A_mr.drc:746
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 530.00M
+"output" in: sky130A_mr.drc:746
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 530.00M
+"with_angle" in: sky130A_mr.drc:747
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 530.00M
+"output" in: sky130A_mr.drc:747
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 530.00M
+"ongrid" in: sky130A_mr.drc:748
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 530.00M
+"output" in: sky130A_mr.drc:748
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 530.00M
+"with_angle" in: sky130A_mr.drc:749
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 530.00M
+"output" in: sky130A_mr.drc:749
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 530.00M
+"ongrid" in: sky130A_mr.drc:750
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 530.00M
+"output" in: sky130A_mr.drc:750
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 530.00M
+"with_angle" in: sky130A_mr.drc:751
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 530.00M
+"output" in: sky130A_mr.drc:751
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 530.00M
+"ongrid" in: sky130A_mr.drc:752
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 530.00M
+"output" in: sky130A_mr.drc:752
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 530.00M
+"with_angle" in: sky130A_mr.drc:753
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 530.00M
+"output" in: sky130A_mr.drc:753
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 530.00M
+"ongrid" in: sky130A_mr.drc:754
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 530.00M
+"output" in: sky130A_mr.drc:754
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 530.00M
+"with_angle" in: sky130A_mr.drc:755
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 530.00M
+"output" in: sky130A_mr.drc:755
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 530.00M
+"ongrid" in: sky130A_mr.drc:756
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 530.00M
+"output" in: sky130A_mr.drc:756
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 530.00M
+"with_angle" in: sky130A_mr.drc:757
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 530.00M
+"output" in: sky130A_mr.drc:757
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 530.00M
+"ongrid" in: sky130A_mr.drc:758
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 530.00M
+"output" in: sky130A_mr.drc:758
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 530.00M
+"with_angle" in: sky130A_mr.drc:759
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 530.00M
+"output" in: sky130A_mr.drc:759
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 530.00M
+"ongrid" in: sky130A_mr.drc:760
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 530.00M
+"output" in: sky130A_mr.drc:760
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 530.00M
+"with_angle" in: sky130A_mr.drc:761
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 530.00M
+"output" in: sky130A_mr.drc:761
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 530.00M
+"ongrid" in: sky130A_mr.drc:762
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 530.00M
+"output" in: sky130A_mr.drc:762
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 530.00M
+"with_angle" in: sky130A_mr.drc:763
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 530.00M
+"output" in: sky130A_mr.drc:763
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 530.00M
+"ongrid" in: sky130A_mr.drc:764
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 530.00M
+"output" in: sky130A_mr.drc:764
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 530.00M
+"with_angle" in: sky130A_mr.drc:765
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 530.00M
+"output" in: sky130A_mr.drc:765
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 530.00M
+"ongrid" in: sky130A_mr.drc:766
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 530.00M
+"output" in: sky130A_mr.drc:766
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 530.00M
+Writing report database: /home/krishna/Comparator_MPW6/precheck_results/04_JUN_2022___07_38_11/outputs/reports/klayout_offgrid_check.xml ..
+Total elapsed: 1.480s  Memory: 530.00M
diff --git a/precheck_results/04_JUN_2022___07_38_11/logs/klayout_offgrid_check.total b/precheck_results/04_JUN_2022___07_38_11/logs/klayout_offgrid_check.total
new file mode 100644
index 0000000..c227083
--- /dev/null
+++ b/precheck_results/04_JUN_2022___07_38_11/logs/klayout_offgrid_check.total
@@ -0,0 +1 @@
+0
\ No newline at end of file
diff --git a/precheck_results/04_JUN_2022___07_38_11/logs/klayout_pin_label_purposes_overlapping_drawing_check.log b/precheck_results/04_JUN_2022___07_38_11/logs/klayout_pin_label_purposes_overlapping_drawing_check.log
new file mode 100644
index 0000000..b56dd25
--- /dev/null
+++ b/precheck_results/04_JUN_2022___07_38_11/logs/klayout_pin_label_purposes_overlapping_drawing_check.log
@@ -0,0 +1,31 @@
+Running pin_label_purposes_overlapping_drawing.rb.drc on file=/home/krishna/Comparator_MPW6/gds/user_analog_project_wrapper.gds, topcell=user_analog_project_wrapper, output to /home/krishna/Comparator_MPW6/precheck_results/04_JUN_2022___07_38_11/outputs/reports/klayout_pin_label_purposes_overlapping_drawing_check.xml
+  deep:true tiled:false threads:12
+--- #err|description, table for cell: user_analog_project_wrapper
+NO-Check ----        pwell:64/44/EMP   122/16/dat    64/59/EMP    44/16/EMP     44/5/EMP
+         ----        nwell:64/20/dat    64/16/dat     64/5/EMP
+         ----         diff:65/20/dat    65/16/EMP     65/6/EMP
+         ----          tap:65/44/dat    65/48/EMP     65/5/EMP
+         ----         poly:66/20/dat    66/16/EMP     66/5/EMP
+         ----       licon1:66/44/dat    66/58/EMP
+         ----          li1:67/20/dat    67/16/dat     67/5/EMP
+         ----         mcon:67/44/dat    67/48/EMP
+         ----         met1:68/20/dat    68/16/dat     68/5/EMP
+         ----          via:68/44/dat    68/58/EMP
+         ----         met2:69/20/dat    69/16/dat     69/5/EMP
+         ----         via2:69/44/dat    69/58/EMP
+       1 70/16: met3, pin/label not-over drawing:70/20
+         ----         met3:70/20/dat    70/16/dat     70/5/EMP
+         ----         via3:70/44/dat    70/48/EMP
+         ----         met4:71/20/dat    71/16/dat     71/5/EMP
+         ----         via4:71/44/dat    71/48/EMP
+       1 72/16: met5, pin/label not-over drawing:72/20
+         ----         met5:72/20/dat    72/16/dat     72/5/EMP
+         ----          pad:76/20/EMP     76/5/EMP    76/16/EMP
+         ----          pnp:82/44/EMP    82/59/EMP
+         ----          npn:82/20/EMP     82/5/EMP
+         ----          rdl:74/20/EMP    74/16/EMP     74/5/EMP
+         ----     inductor:82/24/EMP    82/25/EMP
+       2 total error(s) among 2 error type(s), 33 checks, cell: user_analog_project_wrapper
+Writing report...
+VmPeak:	 2369884 kB
+VmHWM:	  257812 kB
diff --git a/precheck_results/04_JUN_2022___07_38_11/logs/klayout_pin_label_purposes_overlapping_drawing_check.total b/precheck_results/04_JUN_2022___07_38_11/logs/klayout_pin_label_purposes_overlapping_drawing_check.total
new file mode 100644
index 0000000..d8263ee
--- /dev/null
+++ b/precheck_results/04_JUN_2022___07_38_11/logs/klayout_pin_label_purposes_overlapping_drawing_check.total
@@ -0,0 +1 @@
+2
\ No newline at end of file
diff --git a/precheck_results/04_JUN_2022___07_38_11/logs/klayout_zeroarea_check.log b/precheck_results/04_JUN_2022___07_38_11/logs/klayout_zeroarea_check.log
new file mode 100644
index 0000000..3ee1c0f
--- /dev/null
+++ b/precheck_results/04_JUN_2022___07_38_11/logs/klayout_zeroarea_check.log
@@ -0,0 +1,4 @@
+0 zero-area shapes
+writing to /home/krishna/Comparator_MPW6/precheck_results/04_JUN_2022___07_38_11/outputs/user_analog_project_wrapper_no_zero_areas.gds
+VmPeak:	  542076 kB
+VmHWM:	  260676 kB
diff --git a/precheck_results/04_JUN_2022___07_38_11/logs/klayout_zeroarea_check.total b/precheck_results/04_JUN_2022___07_38_11/logs/klayout_zeroarea_check.total
new file mode 100644
index 0000000..c227083
--- /dev/null
+++ b/precheck_results/04_JUN_2022___07_38_11/logs/klayout_zeroarea_check.total
@@ -0,0 +1 @@
+0
\ No newline at end of file
diff --git a/precheck_results/04_JUN_2022___07_38_11/logs/magic_drc_check.log b/precheck_results/04_JUN_2022___07_38_11/logs/magic_drc_check.log
new file mode 100644
index 0000000..fb0efc9
--- /dev/null
+++ b/precheck_results/04_JUN_2022___07_38_11/logs/magic_drc_check.log
@@ -0,0 +1,30 @@
+
+Magic 8.3 revision 274 - Compiled on Fri Mar  4 22:54:15 UTC 2022.
+Starting magic under Tcl interpreter
+Using the terminal as the console.
+Using NULL graphics device.
+Processing system .magicrc file
+Sourcing design .magicrc for technology sky130A ...
+2 Magic internal units = 1 Lambda
+Could not find file '/usr/local/share/pdk/sky130A/sky130A/libs.tech/magic/sky130A.tech' in any of these directories:
+         . $CAD_ROOT/magic/sys $CAD_ROOT/magic/sys/current
+Error parsing "/home/krishna/mpw_precheckmpw6/checks/tech-files/sky130A.magicrc": couldn't read file "/usr/local/share/pdk/sky130A/sky130A/libs.tech/magic/sky130A.tcl": no such file or directory
+Bad local startup file "/home/krishna/mpw_precheckmpw6/checks/tech-files/sky130A.magicrc", continuing without.
+Scaled tech values by 2 / 1 to match internal grid scaling
+Loading "/home/krishna/mpw_precheckmpw6/checks/drc_checks/magic/magic_drc_check.tcl" from command line.
+Don't know how to read GDS-II:
+Nothing in "cifinput" section of tech file.
+[INFO]: Loading user_analog_project_wrapper
+
+File user_analog_project_wrapper.mag couldn't be read
+No such file or directory
+Creating new cell
+"drc(full)" is not one of the DRC styles Magic knows.
+The current style is "default".
+The DRC styles are: default.
+No errors found.
+[INFO]: COUNT: 0
+[INFO]: Should be divided by 3 or 4
+[INFO]: DRC Checking DONE (/home/krishna/Comparator_MPW6/precheck_results/04_JUN_2022___07_38_11/outputs/reports/magic_drc_check.drc.report)
+[INFO]: Saving mag view with DRC errors(/home/krishna/Comparator_MPW6/precheck_results/04_JUN_2022___07_38_11/outputs/user_analog_project_wrapper.magic.drc.mag)
+[INFO]: Saved
diff --git a/precheck_results/04_JUN_2022___07_38_11/logs/magic_drc_check.total b/precheck_results/04_JUN_2022___07_38_11/logs/magic_drc_check.total
new file mode 100644
index 0000000..c227083
--- /dev/null
+++ b/precheck_results/04_JUN_2022___07_38_11/logs/magic_drc_check.total
@@ -0,0 +1 @@
+0
\ No newline at end of file
diff --git a/precheck_results/04_JUN_2022___07_38_11/logs/pdks.info b/precheck_results/04_JUN_2022___07_38_11/logs/pdks.info
new file mode 100644
index 0000000..3072015
--- /dev/null
+++ b/precheck_results/04_JUN_2022___07_38_11/logs/pdks.info
@@ -0,0 +1,2 @@
+Open PDKs a3d6ffea8022332b859daa454cef7ee7131c5181
+Skywater PDK f70d8ca46961ff92719d8870a18a076370b85f6c
\ No newline at end of file
diff --git a/precheck_results/04_JUN_2022___07_38_11/logs/precheck.log b/precheck_results/04_JUN_2022___07_38_11/logs/precheck.log
new file mode 100644
index 0000000..7dadbc3
--- /dev/null
+++ b/precheck_results/04_JUN_2022___07_38_11/logs/precheck.log
@@ -0,0 +1,60 @@
+2022-06-04 07:38:11 - [INFO] - {{EXTRACTING FILES}} Extracting compressed files in: /home/krishna/Comparator_MPW6
+2022-06-04 07:38:11 - [INFO] - {{Project Type Info}} analog
+2022-06-04 07:38:11 - [INFO] - {{Project GDS Info}} user_analog_project_wrapper: da65c73f0037044e43be350669b9c1a98297af69
+2022-06-04 07:38:11 - [INFO] - {{Tools Info}} KLayout: v0.27.8 | Magic: v8.3.274
+2022-06-04 07:38:11 - [INFO] - {{PDKs Info}} Open PDKs: a3d6ffea8022332b859daa454cef7ee7131c5181 | Skywater PDK: f70d8ca46961ff92719d8870a18a076370b85f6c
+2022-06-04 07:38:11 - [INFO] - {{START}} Precheck Started, the full log 'precheck.log' will be located in '/home/krishna/Comparator_MPW6/precheck_results/04_JUN_2022___07_38_11/logs'
+2022-06-04 07:38:11 - [INFO] - {{PRECHECK SEQUENCE}} Precheck will run the following checks: [License, Makefile, Default, Documentation, Consistency, XOR, Magic DRC, Klayout FEOL, Klayout BEOL, Klayout Offgrid, Klayout Metal Minimum Clear Area Density, Klayout Pin Label Purposes Overlapping Drawing, Klayout ZeroArea]
+2022-06-04 07:38:11 - [INFO] - {{STEP UPDATE}} Executing Check 1 of 13: License
+2022-06-04 07:38:12 - [INFO] - An approved LICENSE (Apache-2.0) was found in /home/krishna/Comparator_MPW6.
+2022-06-04 07:38:12 - [INFO] - {{MAIN LICENSE CHECK PASSED}} An approved LICENSE was found in project root.
+2022-06-04 07:38:13 - [INFO] - An approved LICENSE (Apache-2.0) was found in /home/krishna/Comparator_MPW6.
+2022-06-04 07:38:13 - [INFO] - {{SUBMODULES LICENSE CHECK PASSED}} No prohibited LICENSE file(s) was found in project submodules
+2022-06-04 07:38:13 - [WARNING] - {{SPDX COMPLIANCE CHECK FAILED}} Found 120 non-compliant file(s) with the SPDX Standard.
+2022-06-04 07:38:13 - [INFO] - SPDX COMPLIANCE: NON-COMPLIANT FILE(S) PREVIEW: ['/home/krishna/Comparator_MPW6/Makefile', '/home/krishna/Comparator_MPW6/.spiceinit', '/home/krishna/Comparator_MPW6/verilog/dv/Makefile', '/home/krishna/Comparator_MPW6/verilog/dv/mprj_por/mprj_por.c', '/home/krishna/Comparator_MPW6/verilog/dv/mprj_por/mprj_por_tb.v', '/home/krishna/Comparator_MPW6/verilog/dv/mprj_por/Makefile', '/home/krishna/Comparator_MPW6/verilog/rtl/uprj_analog_netlists.v', '/home/krishna/Comparator_MPW6/verilog/rtl/example_por.v', '/home/krishna/Comparator_MPW6/verilog/rtl/user_analog_proj_example.v', '/home/krishna/Comparator_MPW6/verilog/rtl/user_analog_project_wrapper.v', '/home/krishna/Comparator_MPW6/verilog/rtl/comparator.v', '/home/krishna/Comparator_MPW6/precheck_results/01_JUN_2022___10_26_55/outputs/reports/magic_drc_check.drc.report', '/home/krishna/Comparator_MPW6/precheck_results/01_JUN_2022___10_26_55/logs/klayout_zeroarea_check.total', '/home/krishna/Comparator_MPW6/precheck_results/01_JUN_2022___10_26_55/logs/tools.info', '/home/krishna/Comparator_MPW6/precheck_results/01_JUN_2022___10_26_55/logs/klayout_beol_check.total']
+2022-06-04 07:38:13 - [INFO] - For the full SPDX compliance report check: /home/krishna/Comparator_MPW6/precheck_results/04_JUN_2022___07_38_11/logs/spdx_compliance_report.log
+2022-06-04 07:38:13 - [INFO] - {{STEP UPDATE}} Executing Check 2 of 13: Makefile
+2022-06-04 07:38:13 - [INFO] - {{MAKEFILE CHECK PASSED}} Makefile valid.
+2022-06-04 07:38:13 - [INFO] - {{STEP UPDATE}} Executing Check 3 of 13: Default
+2022-06-04 07:38:13 - [INFO] - {{README DEFAULT CHECK PASSED}} Project 'README.md' was modified and is not identical to the default 'README.md'
+2022-06-04 07:38:13 - [INFO] - {{CONTENT DEFAULT CHECK PASSED}} Project 'gds' was modified and is not identical to the default 'gds'
+2022-06-04 07:38:13 - [INFO] - {{STEP UPDATE}} Executing Check 4 of 13: Documentation
+2022-06-04 07:38:13 - [INFO] - {{DOCUMENTATION CHECK PASSED}} Project documentation is appropriate.
+2022-06-04 07:38:13 - [INFO] - {{STEP UPDATE}} Executing Check 5 of 13: Consistency
+2022-06-04 07:38:15 - [INFO] - HIERARCHY CHECK PASSED: Module user_analog_project_wrapper is instantiated in caravan. 
+2022-06-04 07:38:15 - [INFO] - COMPLEXITY CHECK PASSED: Netlist caravan contains at least 8 instances (68 instances). 
+2022-06-04 07:38:15 - [INFO] - MODELING CHECK PASSED: Netlist caravan is structural.
+2022-06-04 07:38:15 - [INFO] - SUBMODULE HOOKS CHECK PASSED: All module ports for user_analog_project_wrapper are correctly connected in the top level netlist caravan.
+2022-06-04 07:38:15 - [INFO] - {{NETLIST CONSISTENCY CHECK PASSED}} caravan netlist passed all consistency checks.
+2022-06-04 07:38:15 - [INFO] - PORTS CHECK PASSED: Netlist user_analog_project_wrapper ports match the golden wrapper ports
+2022-06-04 07:38:15 - [INFO] - COMPLEXITY CHECK PASSED: Netlist user_analog_project_wrapper contains at least 1 instances (19 instances). 
+2022-06-04 07:38:15 - [INFO] - MODELING CHECK PASSED: Netlist user_analog_project_wrapper is structural.
+2022-06-04 07:38:15 - [INFO] - LAYOUT CHECK PASSED: The GDS layout for user_analog_project_wrapper matches the provided structural netlist.
+2022-06-04 07:38:15 - [INFO] - {{NETLIST CONSISTENCY CHECK PASSED}} user_analog_project_wrapper netlist passed all consistency checks.
+2022-06-04 07:38:15 - [INFO] - {{CONSISTENCY CHECK PASSED}} The user netlist and the top netlist are valid.
+2022-06-04 07:38:15 - [INFO] - {{STEP UPDATE}} Executing Check 6 of 13: XOR
+2022-06-04 07:38:17 - [ERROR] - XOR CHECK FILE NOT FOUND in /home/krishna/Comparator_MPW6/precheck_results/04_JUN_2022___07_38_11/logs/xor_check.total
+2022-06-04 07:38:17 - [WARNING] - {{XOR CHECK FAILED}} The GDS file has non-conforming geometries.
+2022-06-04 07:38:17 - [INFO] - {{STEP UPDATE}} Executing Check 7 of 13: Magic DRC
+2022-06-04 07:38:17 - [INFO] - 0 DRC violations
+2022-06-04 07:38:17 - [INFO] - {{MAGIC DRC CHECK PASSED}} The GDS file, user_analog_project_wrapper.gds, has no DRC violations.
+2022-06-04 07:38:17 - [INFO] - {{STEP UPDATE}} Executing Check 8 of 13: Klayout FEOL
+2022-06-04 07:38:19 - [INFO] - No DRC Violations found
+2022-06-04 07:38:19 - [INFO] - {{Klayout FEOL CHECK PASSED}} The GDS file, user_analog_project_wrapper.gds, has no DRC violations.
+2022-06-04 07:38:19 - [INFO] - {{STEP UPDATE}} Executing Check 9 of 13: Klayout BEOL
+2022-06-04 07:38:23 - [INFO] - No DRC Violations found
+2022-06-04 07:38:23 - [INFO] - {{Klayout BEOL CHECK PASSED}} The GDS file, user_analog_project_wrapper.gds, has no DRC violations.
+2022-06-04 07:38:23 - [INFO] - {{STEP UPDATE}} Executing Check 10 of 13: Klayout Offgrid
+2022-06-04 07:38:26 - [INFO] - No DRC Violations found
+2022-06-04 07:38:26 - [INFO] - {{Klayout Offgrid CHECK PASSED}} The GDS file, user_analog_project_wrapper.gds, has no DRC violations.
+2022-06-04 07:38:26 - [INFO] - {{STEP UPDATE}} Executing Check 11 of 13: Klayout Metal Minimum Clear Area Density
+2022-06-04 07:38:26 - [INFO] - No DRC Violations found
+2022-06-04 07:38:26 - [INFO] - {{Klayout Metal Minimum Clear Area Density CHECK PASSED}} The GDS file, user_analog_project_wrapper.gds, has no DRC violations.
+2022-06-04 07:38:26 - [INFO] - {{STEP UPDATE}} Executing Check 12 of 13: Klayout Pin Label Purposes Overlapping Drawing
+2022-06-04 07:38:28 - [ERROR] - Total # of DRC violations is 2 Please check /home/krishna/Comparator_MPW6/precheck_results/04_JUN_2022___07_38_11/outputs/reports/klayout_pin_label_purposes_overlapping_drawing_check.xml For more details
+2022-06-04 07:38:28 - [WARNING] - {{Klayout Pin Label Purposes Overlapping Drawing CHECK FAILED}} The GDS file, user_analog_project_wrapper.gds, has DRC violations.
+2022-06-04 07:38:28 - [INFO] - {{STEP UPDATE}} Executing Check 13 of 13: Klayout ZeroArea
+2022-06-04 07:38:28 - [INFO] - No DRC Violations found
+2022-06-04 07:38:28 - [INFO] - {{Klayout ZeroArea CHECK PASSED}} The GDS file, user_analog_project_wrapper.gds, has no DRC violations.
+2022-06-04 07:38:28 - [INFO] - {{FINISH}} Executing Finished, the full log 'precheck.log' can be found in '/home/krishna/Comparator_MPW6/precheck_results/04_JUN_2022___07_38_11/logs'
+2022-06-04 07:38:28 - [CRITICAL] - {{FAILURE}} 2 Check(s) Failed: ['XOR', 'Klayout Pin Label Purposes Overlapping Drawing'] !!!
diff --git a/precheck_results/04_JUN_2022___07_38_11/logs/spdx_compliance_report.log b/precheck_results/04_JUN_2022___07_38_11/logs/spdx_compliance_report.log
new file mode 100644
index 0000000..61fa938
--- /dev/null
+++ b/precheck_results/04_JUN_2022___07_38_11/logs/spdx_compliance_report.log
@@ -0,0 +1,120 @@
+/home/krishna/Comparator_MPW6/Makefile
+/home/krishna/Comparator_MPW6/.spiceinit
+/home/krishna/Comparator_MPW6/verilog/dv/Makefile
+/home/krishna/Comparator_MPW6/verilog/dv/mprj_por/mprj_por.c
+/home/krishna/Comparator_MPW6/verilog/dv/mprj_por/mprj_por_tb.v
+/home/krishna/Comparator_MPW6/verilog/dv/mprj_por/Makefile
+/home/krishna/Comparator_MPW6/verilog/rtl/uprj_analog_netlists.v
+/home/krishna/Comparator_MPW6/verilog/rtl/example_por.v
+/home/krishna/Comparator_MPW6/verilog/rtl/user_analog_proj_example.v
+/home/krishna/Comparator_MPW6/verilog/rtl/user_analog_project_wrapper.v
+/home/krishna/Comparator_MPW6/verilog/rtl/comparator.v
+/home/krishna/Comparator_MPW6/precheck_results/01_JUN_2022___10_26_55/outputs/reports/magic_drc_check.drc.report
+/home/krishna/Comparator_MPW6/precheck_results/01_JUN_2022___10_26_55/logs/klayout_zeroarea_check.total
+/home/krishna/Comparator_MPW6/precheck_results/01_JUN_2022___10_26_55/logs/tools.info
+/home/krishna/Comparator_MPW6/precheck_results/01_JUN_2022___10_26_55/logs/klayout_beol_check.total
+/home/krishna/Comparator_MPW6/precheck_results/01_JUN_2022___10_26_55/logs/klayout_pin_label_purposes_overlapping_drawing_check.total
+/home/krishna/Comparator_MPW6/precheck_results/01_JUN_2022___10_26_55/logs/klayout_feol_check.total
+/home/krishna/Comparator_MPW6/precheck_results/01_JUN_2022___10_26_55/logs/gds.info
+/home/krishna/Comparator_MPW6/precheck_results/01_JUN_2022___10_26_55/logs/pdks.info
+/home/krishna/Comparator_MPW6/precheck_results/01_JUN_2022___10_26_55/logs/magic_drc_check.total
+/home/krishna/Comparator_MPW6/precheck_results/01_JUN_2022___10_26_55/logs/klayout_offgrid_check.total
+/home/krishna/Comparator_MPW6/precheck_results/01_JUN_2022___10_26_55/logs/klayout_met_min_ca_density_check.total
+/home/krishna/Comparator_MPW6/precheck_results/01_JUN_2022___10_22_16/outputs/reports/magic_drc_check.drc.report
+/home/krishna/Comparator_MPW6/precheck_results/01_JUN_2022___10_22_16/logs/klayout_zeroarea_check.total
+/home/krishna/Comparator_MPW6/precheck_results/01_JUN_2022___10_22_16/logs/tools.info
+/home/krishna/Comparator_MPW6/precheck_results/01_JUN_2022___10_22_16/logs/klayout_beol_check.total
+/home/krishna/Comparator_MPW6/precheck_results/01_JUN_2022___10_22_16/logs/klayout_pin_label_purposes_overlapping_drawing_check.total
+/home/krishna/Comparator_MPW6/precheck_results/01_JUN_2022___10_22_16/logs/klayout_feol_check.total
+/home/krishna/Comparator_MPW6/precheck_results/01_JUN_2022___10_22_16/logs/gds.info
+/home/krishna/Comparator_MPW6/precheck_results/01_JUN_2022___10_22_16/logs/pdks.info
+/home/krishna/Comparator_MPW6/precheck_results/01_JUN_2022___10_22_16/logs/magic_drc_check.total
+/home/krishna/Comparator_MPW6/precheck_results/01_JUN_2022___10_22_16/logs/klayout_offgrid_check.total
+/home/krishna/Comparator_MPW6/precheck_results/01_JUN_2022___10_22_16/logs/klayout_met_min_ca_density_check.total
+/home/krishna/Comparator_MPW6/precheck_results/02_JUN_2022___13_34_14/outputs/reports/magic_drc_check.drc.report
+/home/krishna/Comparator_MPW6/precheck_results/02_JUN_2022___13_34_14/logs/klayout_zeroarea_check.total
+/home/krishna/Comparator_MPW6/precheck_results/02_JUN_2022___13_34_14/logs/tools.info
+/home/krishna/Comparator_MPW6/precheck_results/02_JUN_2022___13_34_14/logs/klayout_beol_check.total
+/home/krishna/Comparator_MPW6/precheck_results/02_JUN_2022___13_34_14/logs/klayout_pin_label_purposes_overlapping_drawing_check.total
+/home/krishna/Comparator_MPW6/precheck_results/02_JUN_2022___13_34_14/logs/klayout_feol_check.total
+/home/krishna/Comparator_MPW6/precheck_results/02_JUN_2022___13_34_14/logs/gds.info
+/home/krishna/Comparator_MPW6/precheck_results/02_JUN_2022___13_34_14/logs/pdks.info
+/home/krishna/Comparator_MPW6/precheck_results/02_JUN_2022___13_34_14/logs/magic_drc_check.total
+/home/krishna/Comparator_MPW6/precheck_results/02_JUN_2022___13_34_14/logs/klayout_offgrid_check.total
+/home/krishna/Comparator_MPW6/precheck_results/02_JUN_2022___13_34_14/logs/klayout_met_min_ca_density_check.total
+/home/krishna/Comparator_MPW6/precheck_results/04_JUN_2022___07_38_11/logs/tools.info
+/home/krishna/Comparator_MPW6/precheck_results/04_JUN_2022___07_38_11/logs/gds.info
+/home/krishna/Comparator_MPW6/precheck_results/04_JUN_2022___07_38_11/logs/pdks.info
+/home/krishna/Comparator_MPW6/precheck_results/02_JUN_2022___13_44_02/outputs/reports/magic_drc_check.drc.report
+/home/krishna/Comparator_MPW6/precheck_results/02_JUN_2022___13_44_02/logs/klayout_zeroarea_check.total
+/home/krishna/Comparator_MPW6/precheck_results/02_JUN_2022___13_44_02/logs/tools.info
+/home/krishna/Comparator_MPW6/precheck_results/02_JUN_2022___13_44_02/logs/klayout_beol_check.total
+/home/krishna/Comparator_MPW6/precheck_results/02_JUN_2022___13_44_02/logs/klayout_pin_label_purposes_overlapping_drawing_check.total
+/home/krishna/Comparator_MPW6/precheck_results/02_JUN_2022___13_44_02/logs/klayout_feol_check.total
+/home/krishna/Comparator_MPW6/precheck_results/02_JUN_2022___13_44_02/logs/gds.info
+/home/krishna/Comparator_MPW6/precheck_results/02_JUN_2022___13_44_02/logs/pdks.info
+/home/krishna/Comparator_MPW6/precheck_results/02_JUN_2022___13_44_02/logs/magic_drc_check.total
+/home/krishna/Comparator_MPW6/precheck_results/02_JUN_2022___13_44_02/logs/klayout_offgrid_check.total
+/home/krishna/Comparator_MPW6/precheck_results/02_JUN_2022___13_44_02/logs/klayout_met_min_ca_density_check.total
+/home/krishna/Comparator_MPW6/mag/sky130_fd_pr__pfet_01v8_AC5Z8B#0.ext
+/home/krishna/Comparator_MPW6/mag/latch_3.ext
+/home/krishna/Comparator_MPW6/mag/inv_W2.ext
+/home/krishna/Comparator_MPW6/mag/sky130_fd_pr__diode_pw2nd_05v5_KLAK3C.ext
+/home/krishna/Comparator_MPW6/mag/sky130_fd_pr__diode_pw2nd_05v5_FT7GK8.ext
+/home/krishna/Comparator_MPW6/mag/sky130_fd_pr__diode_pw2nd_05v5_3P6M5Y.ext
+/home/krishna/Comparator_MPW6/mag/sky130_fd_pr__pfet_01v8_5SM9EE.ext
+/home/krishna/Comparator_MPW6/mag/latch_22.ext
+/home/krishna/Comparator_MPW6/mag/sky130_fd_pr__diode_pw2nd_05v5_GT7G3L.ext
+/home/krishna/Comparator_MPW6/mag/inv_W2#0.ext
+/home/krishna/Comparator_MPW6/mag/.magicrc
+/home/krishna/Comparator_MPW6/mag/sky130_fd_pr__diode_pd2nw_05v5_G4XDRY.ext
+/home/krishna/Comparator_MPW6/mag/sky130_fd_pr__nfet_01v8_XJTKXQ#1.ext
+/home/krishna/Comparator_MPW6/mag/sky130_fd_pr__nfet_01v8_XJTKXQ.ext
+/home/krishna/Comparator_MPW6/mag/sky130_fd_pr__pfet_01v8_AC5Z8B.ext
+/home/krishna/Comparator_MPW6/mag/buffer_2#1.ext
+/home/krishna/Comparator_MPW6/mag/comparator_v6.ext
+/home/krishna/Comparator_MPW6/mag/buffer_1#0.ext
+/home/krishna/Comparator_MPW6/mag/latch_2.ext
+/home/krishna/Comparator_MPW6/mag/user_analog_project_wrapper.ext
+/home/krishna/Comparator_MPW6/mag/buffer_2#0.ext
+/home/krishna/Comparator_MPW6/mag/sky130_fd_pr__diode_pd2nw_05v5_RT56W3.ext
+/home/krishna/Comparator_MPW6/mag/latch/SR_latch.ext
+/home/krishna/Comparator_MPW6/mag/latch/sky130_fd_pr__pfet_01v8_AC5Z8B#0.ext
+/home/krishna/Comparator_MPW6/mag/latch/sky130A.magicrc
+/home/krishna/Comparator_MPW6/mag/latch/latch_22.ext
+/home/krishna/Comparator_MPW6/mag/latch/sky130_fd_pr__pfet_01v8_AC5E9B.ext
+/home/krishna/Comparator_MPW6/mag/latch/.magicrc
+/home/krishna/Comparator_MPW6/mag/latch/sky130_fd_pr__nfet_01v8_XJTKXQ#1.ext
+/home/krishna/Comparator_MPW6/mag/latch/sky130_fd_pr__nfet_01v8_F5U58G.ext
+/home/krishna/Comparator_MPW6/mag/latch/sky130_fd_pr__pfet_01v8_GJYUB2.ext
+/home/krishna/Comparator_MPW6/mag/latch/latch_2.ext
+/home/krishna/Comparator_MPW6/mag/latch/sky130_fd_pr__pfet_01v8_5SVZDE.ext
+/home/krishna/Comparator_MPW6/mag/latch/latch.ext
+/home/krishna/Comparator_MPW6/mag/preamp/sky130_fd_pr__nfet_01v8_G6PLX8.ext
+/home/krishna/Comparator_MPW6/mag/preamp/preamp_part1.ext
+/home/krishna/Comparator_MPW6/mag/preamp/preamp_part2.ext
+/home/krishna/Comparator_MPW6/mag/preamp/sky130_fd_pr__nfet_01v8_XJTKXQ#0.ext
+/home/krishna/Comparator_MPW6/mag/preamp/sky130_fd_pr__nfet_01v8_F5U58G#1.ext
+/home/krishna/Comparator_MPW6/mag/preamp/.magicrc
+/home/krishna/Comparator_MPW6/mag/preamp/sky130_fd_pr__pfet_01v8_RFM3CD.ext
+/home/krishna/Comparator_MPW6/mag/preamp/sky130_fd_pr__nfet_01v8_8FHE5N.ext
+/home/krishna/Comparator_MPW6/mag/preamp/sky130_fd_pr__nfet_01v8_F5U58G#0.ext
+/home/krishna/Comparator_MPW6/xschem/xschemrc
+/home/krishna/Comparator_MPW6/xschem/buffer.sch
+/home/krishna/Comparator_MPW6/xschem/user_analog_project_wrapper.sym
+/home/krishna/Comparator_MPW6/xschem/SR_latch.sym
+/home/krishna/Comparator_MPW6/xschem/comparator.sch
+/home/krishna/Comparator_MPW6/xschem/comparator.sym
+/home/krishna/Comparator_MPW6/xschem/analog_wrapper_tb.sch
+/home/krishna/Comparator_MPW6/xschem/user_analog_project_wrapper.sch
+/home/krishna/Comparator_MPW6/xschem/.spiceinit
+/home/krishna/Comparator_MPW6/xschem/comparator_tb.sch
+/home/krishna/Comparator_MPW6/xschem/SR_latch.sch
+/home/krishna/Comparator_MPW6/xschem/buffer.sym
+/home/krishna/Comparator_MPW6/docs/Makefile
+/home/krishna/Comparator_MPW6/docs/environment.yml
+/home/krishna/Comparator_MPW6/docs/source/conf.py
+/home/krishna/Comparator_MPW6/docs/source/index.rst
+/home/krishna/Comparator_MPW6/netgen/run_lvs_wrapper_verilog.sh
+/home/krishna/Comparator_MPW6/netgen/run_lvs_por.sh
+/home/krishna/Comparator_MPW6/netgen/run_lvs_wrapper_xschem.sh
diff --git a/precheck_results/04_JUN_2022___07_38_11/logs/tools.info b/precheck_results/04_JUN_2022___07_38_11/logs/tools.info
new file mode 100644
index 0000000..9b2230a
--- /dev/null
+++ b/precheck_results/04_JUN_2022___07_38_11/logs/tools.info
@@ -0,0 +1,2 @@
+KLayout: 0.27.8
+Magic: 8.3.274
\ No newline at end of file
diff --git a/precheck_results/04_JUN_2022___07_38_11/logs/xor_check.log b/precheck_results/04_JUN_2022___07_38_11/logs/xor_check.log
new file mode 100644
index 0000000..1af2b4c
--- /dev/null
+++ b/precheck_results/04_JUN_2022___07_38_11/logs/xor_check.log
@@ -0,0 +1,179 @@
+Reading file /home/krishna/Comparator_MPW6/gds/user_analog_project_wrapper.gds for cell user_analog_project_wrapper
+dbu=0.001
+cell user_analog_project_wrapper dbu-bbox(ll;ur)=(-4000,-4000;2924000,3524050)
+cell user_analog_project_wrapper dbu-bbox(left,bottom,right,top)=(-4000,-4000,2924000,3524050)
+cell user_analog_project_wrapper dbu-size(width,height)=(2928000,3528050)
+cell user_analog_project_wrapper micron-bbox(left,bottom,right,top)=(-4.0,-4.0,2924.0,3524.05)
+cell user_analog_project_wrapper micron-size(width,height)=(2928.0,3528.05)
+Done.
+
+Magic 8.3 revision 274 - Compiled on Fri Mar  4 22:54:15 UTC 2022.
+Starting magic under Tcl interpreter
+Using the terminal as the console.
+Using NULL graphics device.
+Processing system .magicrc file
+Loading "/home/krishna/mpw_precheckmpw6/checks/xor_check/erase_box.tcl" from command line.
+"sky130(vendor)" is not one of the CIF input styles Magic knows.
+Error: No style is set
+The CIF input styles are: .
+Don't know how to read GDS-II:
+Nothing in "cifinput" section of tech file.
+File user_analog_project_wrapper.mag couldn't be read
+No such file or directory
+Creating new cell
+Root cell box:
+           width x height  (   llx,  lly  ), (   urx,  ury  )  area (units^2)
+
+microns:  43.000 x 3520.000  (-43.000,  0.000), ( 0.000,  3520.000)  151360.000
+lambda:       43 x 3520    (   -43,  0    ), (     0,  3520 )  151360    
+Root cell box:
+           width x height  (   llx,  lly  ), (   urx,  ury  )  area (units^2)
+
+microns:  43.000 x 3520.000  ( 2920.000,  0.000), ( 2963.000,  3520.000)  151360.000
+lambda:       43 x 3520    (  2920,  0    ), (  2963,  3520 )  151360    
+Root cell box:
+           width x height  (   llx,  lly  ), (   urx,  ury  )  area (units^2)
+
+microns:  3006.000 x 38.000  (-43.000, -38.000), ( 2963.000,  0.000)  114228.000
+lambda:     3006 x 38      (   -43, -38   ), (  2963,  0    )  114228    
+Root cell box:
+           width x height  (   llx,  lly  ), (   urx,  ury  )  area (units^2)
+
+microns:  3006.000 x 37.000  (-43.000,  3520.000), ( 2963.000,  3557.000)  111222.000
+lambda:     3006 x 37      (   -43,  3520 ), (  2963,  3557 )  111222    
+Unrecognized layer: metal5
+Layer names are:
+    mag or magnet
+    f or fence
+    r or rotate
+    $
+    *
+    errors
+    labels
+    subcell
+    connect
+Unrecognized layer: metal4
+Layer names are:
+    mag or magnet
+    f or fence
+    r or rotate
+    $
+    *
+    errors
+    labels
+    subcell
+    connect
+Unrecognized layer: metal5
+Layer names are:
+    mag or magnet
+    f or fence
+    r or rotate
+    $
+    *
+    errors
+    labels
+    subcell
+    connect
+Unrecognized layer: metal4
+Layer names are:
+    mag or magnet
+    f or fence
+    r or rotate
+    $
+    *
+    errors
+    labels
+    subcell
+    connect
+No CIF/GDS output style set!
+I/O error in writing file /home/krishna/Comparator_MPW6/precheck_results/04_JUN_2022___07_38_11/outputs/user_analog_project_wrapper_erased.gds.
+File may be incompletely written.
+
+Magic 8.3 revision 274 - Compiled on Fri Mar  4 22:54:15 UTC 2022.
+Starting magic under Tcl interpreter
+Using the terminal as the console.
+Using NULL graphics device.
+Processing system .magicrc file
+Loading "/home/krishna/mpw_precheckmpw6/checks/xor_check/erase_box.tcl" from command line.
+"sky130(vendor)" is not one of the CIF input styles Magic knows.
+Error: No style is set
+The CIF input styles are: .
+Don't know how to read GDS-II:
+Nothing in "cifinput" section of tech file.
+File user_analog_project_wrapper.mag couldn't be read
+No such file or directory
+Creating new cell
+Root cell box:
+           width x height  (   llx,  lly  ), (   urx,  ury  )  area (units^2)
+
+microns:  43.000 x 3520.000  (-43.000,  0.000), ( 0.000,  3520.000)  151360.000
+lambda:       43 x 3520    (   -43,  0    ), (     0,  3520 )  151360    
+Root cell box:
+           width x height  (   llx,  lly  ), (   urx,  ury  )  area (units^2)
+
+microns:  43.000 x 3520.000  ( 2920.000,  0.000), ( 2963.000,  3520.000)  151360.000
+lambda:       43 x 3520    (  2920,  0    ), (  2963,  3520 )  151360    
+Root cell box:
+           width x height  (   llx,  lly  ), (   urx,  ury  )  area (units^2)
+
+microns:  3006.000 x 38.000  (-43.000, -38.000), ( 2963.000,  0.000)  114228.000
+lambda:     3006 x 38      (   -43, -38   ), (  2963,  0    )  114228    
+Root cell box:
+           width x height  (   llx,  lly  ), (   urx,  ury  )  area (units^2)
+
+microns:  3006.000 x 37.000  (-43.000,  3520.000), ( 2963.000,  3557.000)  111222.000
+lambda:     3006 x 37      (   -43,  3520 ), (  2963,  3557 )  111222    
+Unrecognized layer: metal5
+Layer names are:
+    mag or magnet
+    f or fence
+    r or rotate
+    $
+    *
+    errors
+    labels
+    subcell
+    connect
+Unrecognized layer: metal4
+Layer names are:
+    mag or magnet
+    f or fence
+    r or rotate
+    $
+    *
+    errors
+    labels
+    subcell
+    connect
+Unrecognized layer: metal5
+Layer names are:
+    mag or magnet
+    f or fence
+    r or rotate
+    $
+    *
+    errors
+    labels
+    subcell
+    connect
+Unrecognized layer: metal4
+Layer names are:
+    mag or magnet
+    f or fence
+    r or rotate
+    $
+    *
+    errors
+    labels
+    subcell
+    connect
+No CIF/GDS output style set!
+I/O error in writing file /home/krishna/Comparator_MPW6/precheck_results/04_JUN_2022___07_38_11/outputs/user_analog_project_wrapper_empty_erased.gds.
+File may be incompletely written.
+Reading /home/krishna/Comparator_MPW6/precheck_results/04_JUN_2022___07_38_11/outputs/user_analog_project_wrapper_erased.gds ..
+ERROR: In /home/krishna/mpw_precheckmpw6/checks/xor_check/xor.rb.drc: 'source': Stream has unknown format: /home/krishna/Comparator_MPW6/precheck_results/04_JUN_2022___07_38_11/outputs/user_analog_project_wrapper_erased.gds in Layout::read
+Total elapsed: 0.000s  Memory: 521.00M
+ERROR: 'source': Stream has unknown format: /home/krishna/Comparator_MPW6/precheck_results/04_JUN_2022___07_38_11/outputs/user_analog_project_wrapper_erased.gds in Layout::read in Executable::execute
+  /home/krishna/mpw_precheckmpw6/checks/xor_check/xor.rb.drc:15:in `execute'
+  :/built-in-macros/drc_interpreters.lym:27:in `instance_eval'
+  :/built-in-macros/drc_interpreters.lym:27:in `execute'
diff --git a/precheck_results/04_JUN_2022___07_38_11/outputs/reports/klayout_beol_check.xml b/precheck_results/04_JUN_2022___07_38_11/outputs/reports/klayout_beol_check.xml
new file mode 100644
index 0000000..9ad4ffd
--- /dev/null
+++ b/precheck_results/04_JUN_2022___07_38_11/outputs/reports/klayout_beol_check.xml
@@ -0,0 +1,447 @@
+<?xml version="1.0" encoding="utf-8"?>
+<report-database>
+ <description>SKY130 DRC runset</description>
+ <original-file/>
+ <generator>drc: script='/home/krishna/mpw_precheckmpw6/checks/tech-files/sky130A_mr.drc'</generator>
+ <top-cell>user_analog_project_wrapper</top-cell>
+ <tags>
+ </tags>
+ <categories>
+  <category>
+   <name>li.1</name>
+   <description>li.1 : min. li width : 0.17um</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>li.3</name>
+   <description>li.3 : min. li spacing : 0.17um</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>li.5</name>
+   <description>li.5 : min. li enclosure of licon of 2 adjacent edges : 0.08um</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>li.6</name>
+   <description>li.6 : min. li area : 0.0561um²</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>ct.1</name>
+   <description>ct.1: non-ring mcon should be rectangular</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>ct.1_a</name>
+   <description>ct.1_a : minimum width of mcon : 0.17um</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>ct.1_b</name>
+   <description>ct.1_b : maximum length of mcon : 0.17um</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>ct.2</name>
+   <description>ct.2 : min. mcon spacing : 0.19um</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>ct.4</name>
+   <description>ct.4 : mcon should covered by li</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>m1.1</name>
+   <description>m1.1 : min. m1 width : 0.14um</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>m1.2</name>
+   <description>m1.2 : min. m1 spacing : 0.14um</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>m1.3ab</name>
+   <description>m1.3ab : min. 3um.m1 spacing m1 : 0.28um</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>791_m1.4</name>
+   <description>791_m1.4 : min. m1 enclosure of mcon : 0.03um</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>m1.4</name>
+   <description>m1.4 : mcon periphery must be enclosed by m1</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>m1.4a</name>
+   <description>m1.4a : min. m1 enclosure of mcon for specific cells : 0.005um</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>m1.4a_a</name>
+   <description>m1.4a_a : mcon periph must be enclosed by met1 for specific cells</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>m1.6</name>
+   <description>m1.6 : min. m1 area : 0.083um²</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>m1.7</name>
+   <description>m1.7 : min. m1 with holes area : 0.14um²</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>m1.5</name>
+   <description>m1.5 : min. m1 enclosure of mcon of 2 adjacent edges : 0.06um</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>via.1a</name>
+   <description>via.1a : via outside of moduleCut should be rectangular</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>via.1a_a</name>
+   <description>via.1a_a : min. width of via outside of moduleCut : 0.15um</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>via.1a_b</name>
+   <description>via.1a_b : maximum length of via : 0.15um</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>via.2</name>
+   <description>via.2 : min. via spacing : 0.17um</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>via.4a</name>
+   <description>via.4a : min. m1 enclosure of 0.15um via : 0.055um</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>via.4a_a</name>
+   <description>via.4a_a : 0.15um via must be enclosed by met1</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>via.5a</name>
+   <description>via.5a : min. m1 enclosure of 0.15um via of 2 adjacent edges : 0.085um</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>m2.1</name>
+   <description>m2.1 : min. m2 width : 0.14um</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>m2.2</name>
+   <description>m2.2 : min. m2 spacing : 0.14um</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>m2.3ab</name>
+   <description>m2.3ab : min. 3um.m2 spacing m2 : 0.28um</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>m2.6</name>
+   <description>m2.6 : min. m2 area : 0.0676um²</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>m2.7</name>
+   <description>m2.7 : min. m2 holes area : 0.14um²</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>m2.4</name>
+   <description>m2.4 : min. m2 enclosure of via : 0.055um</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>m2.4_a</name>
+   <description>m2.4_a : via in periphery must be enclosed by met2</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>m2.5</name>
+   <description>m2.5 : min. m2 enclosure of via of 2 adjacent edges : 0.085um</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>via2.1a</name>
+   <description>via2.1a : via2 outside of moduleCut should be rectangular</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>via2.1a_a</name>
+   <description>via2.1a_a : min. width of via2 outside of moduleCut : 0.2um</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>via2.1a_b</name>
+   <description>via2.1a_b : maximum length of via2 : 0.2um</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>via2.2</name>
+   <description>via2.2 : min. via2 spacing : 0.2um</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>via2.4</name>
+   <description>via2.4 : min. m2 enclosure of via2 : 0.04um</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>via2.4_a</name>
+   <description>via2.4_a : via must be enclosed by met2</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>via2.5</name>
+   <description>via2.5 : min. m3 enclosure of via2 of 2 adjacent edges : 0.085um</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>m3.1</name>
+   <description>m3.1 : min. m3 width : 0.3um</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>m3.2</name>
+   <description>m3.2 : min. m3 spacing : 0.3um</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>m3.3cd</name>
+   <description>m3.3cd : min. 3um.m3 spacing m3 : 0.4um</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>m3.4</name>
+   <description>m3.4 : min. m3 enclosure of via2 : 0.065um</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>m3.4_a</name>
+   <description>m3.4_a : via2 must be enclosed by met3</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>via3.1</name>
+   <description>via3.1 : via3 outside of moduleCut should be rectangular</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>via3.1_a</name>
+   <description>via3.1_a : min. width of via3 outside of moduleCut : 0.2um</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>via3.1_b</name>
+   <description>via3.1_b : maximum length of via3 : 0.2um</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>via3.2</name>
+   <description>via3.2 : min. via3 spacing : 0.2um</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>via3.4</name>
+   <description>via3.4 : min. m3 enclosure of via3 : 0.06um</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>via3.4_a</name>
+   <description>via3.4_a : non-ring via3 must be enclosed by met3</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>via3.5</name>
+   <description>via3.5 : min. m3 enclosure of via3 of 2 adjacent edges : 0.09um</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>m4.1</name>
+   <description>m4.1 : min. m4 width : 0.3um</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>m4.2</name>
+   <description>m4.2 : min. m4 spacing : 0.3um</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>m4.4a</name>
+   <description>m4.4a : min. m4 area : 0.240um²</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>m4.5ab</name>
+   <description>m4.5ab : min. 3um.m4 spacing m4 : 0.4um</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>m4.3</name>
+   <description>m4.3 : min. m4 enclosure of via3 : 0.065um</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>m4.3_a</name>
+   <description>m4.3_a : via3 must be enclosed by met4</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>via4.1</name>
+   <description>via4.1 : via4 outside of moduleCut should be rectangular</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>via4.1_a</name>
+   <description>via4.1_a : min. width of via4 outside of moduleCut : 0.8um</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>via4.1_b</name>
+   <description>via4.1_b : maximum length of via4 : 0.8um</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>via4.2</name>
+   <description>via4.2 : min. via4 spacing : 0.8um</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>via4.4</name>
+   <description>via4.4 : min. m4 enclosure of via4 : 0.19um</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>via4.4_a</name>
+   <description>via4.4_a : m4 must enclose all via4</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>m5.1</name>
+   <description>m5.1 : min. m5 width : 1.6um</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>m5.2</name>
+   <description>m5.2 : min. m5 spacing : 1.6um</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>m5.3</name>
+   <description>m5.3 : min. m5 enclosure of via4 : 0.31um</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>m5.3_a</name>
+   <description>m5.3_a : via must be enclosed by m5</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>m5.4</name>
+   <description>m5.4 : min. m5 area : 4.0um²</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>pad.2</name>
+   <description>pad.2 : min. pad spacing : 1.27um</description>
+   <categories>
+   </categories>
+  </category>
+ </categories>
+ <cells>
+  <cell>
+   <name>user_analog_project_wrapper</name>
+   <variant/>
+   <references>
+   </references>
+  </cell>
+ </cells>
+ <items>
+ </items>
+</report-database>
diff --git a/precheck_results/04_JUN_2022___07_38_11/outputs/reports/klayout_feol_check.xml b/precheck_results/04_JUN_2022___07_38_11/outputs/reports/klayout_feol_check.xml
new file mode 100644
index 0000000..5c0c69c
--- /dev/null
+++ b/precheck_results/04_JUN_2022___07_38_11/outputs/reports/klayout_feol_check.xml
@@ -0,0 +1,363 @@
+<?xml version="1.0" encoding="utf-8"?>
+<report-database>
+ <description>SKY130 DRC runset</description>
+ <original-file/>
+ <generator>drc: script='/home/krishna/mpw_precheckmpw6/checks/tech-files/sky130A_mr.drc'</generator>
+ <top-cell>user_analog_project_wrapper</top-cell>
+ <tags>
+ </tags>
+ <categories>
+  <category>
+   <name>dnwell.2</name>
+   <description>dnwell.2 : min. dnwell width : 3.0um</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>nwell.1</name>
+   <description>nwell.1 : min. nwell width : 0.84um</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>nwell.2a</name>
+   <description>nwell.2a : min. nwell spacing (merged if less) : 1.27um</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>nwell.6</name>
+   <description>nwell.6 : min enclosure of nwellHole by dnwell : 1.03um</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>hvtp.1</name>
+   <description>hvtp.1 : min. hvtp width : 0.38um</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>hvtp.2</name>
+   <description>hvtp.2 : min. hvtp spacing : 0.38um</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>hvtr.1</name>
+   <description>hvtr.1 : min. hvtr width : 0.38um</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>hvtr.2</name>
+   <description>hvtr.2 : min. hvtr spacing : 0.38um</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>hvtr.2_a</name>
+   <description>hvtr.2_a : hvtr must not overlap hvtp</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>lvtn.1a</name>
+   <description>lvtn.1a : min. lvtn width : 0.38um</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>lvtn.2</name>
+   <description>lvtn.2 : min. lvtn spacing : 0.38um</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>ncm.1</name>
+   <description>ncm.1 : min. ncm width : 0.38um</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>ncm.2a</name>
+   <description>ncm.2a : min. ncm spacing : 0.38um</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>difftap.1</name>
+   <description>difftap.1 : min. diff width across areaid:ce : 0.15um</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>difftap.1_a</name>
+   <description>difftap.1_a : min. diff width in periphery : 0.15um</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>difftap.1_b</name>
+   <description>difftap.1_b : min. tap width across areaid:ce : 0.15um</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>difftap.1_c</name>
+   <description>difftap.1_c : min. tap width in periphery : 0.15um</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>difftap.3</name>
+   <description>difftap.3 : min. difftap spacing : 0.27um</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>tunm.1</name>
+   <description>tunm.1 : min. tunm width : 0.41um</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>tunm.2</name>
+   <description>tunm.2 : min. tunm spacing : 0.5um</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>poly.1a</name>
+   <description>poly.1a : min. poly width : 0.15um</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>poly.2</name>
+   <description>poly.2 : min. poly spacing : 0.21um</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>rpm.1a</name>
+   <description>rpm.1a : min. rpm width : 1.27um</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>rpm.2</name>
+   <description>rpm.2 : min. rpm spacing : 0.84um</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>urpm.1a</name>
+   <description>urpm.1a : min. rpm width : 1.27um</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>urpm.2</name>
+   <description>urpm.2 : min. rpm spacing : 0.84um</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>npc.1</name>
+   <description>npc.1 : min. npc width : 0.27um</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>npc.2</name>
+   <description>npc.2 : min. npc spacing, should be manually merged if less than : 0.27um</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>nsd.1</name>
+   <description>nsd.1 : min. nsdm width : 0.38um</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>nsd.2</name>
+   <description>nsd.2 : min. nsdm spacing, should be manually merged if less than : 0.38um</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>psd.1</name>
+   <description>psd.1 : min. psdm width : 0.38um</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>psd.2</name>
+   <description>psd.2 : min. psdm spacing, should be manually merged if less than : 0.38um</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>licon.1</name>
+   <description>licon.1 : licon should be rectangle</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>licon.1_a/b</name>
+   <description>licon.1_a/b : minimum/maximum width of licon : 0.17um</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>licon.13</name>
+   <description>licon.13 : min. difftap licon spacing to npc : 0.09um</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>licon.13_a</name>
+   <description>licon.13_a : licon of diffTap in periphery must not overlap npc</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>licon.17</name>
+   <description>licon.17 : Licons may not overlap both poly and (diff or tap)</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>capm.1</name>
+   <description>capm.1 : min. capm width : 1.0um</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>capm.2a</name>
+   <description>capm.2a : min. capm spacing : 0.84um</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>capm.2b</name>
+   <description>capm.2b : min. capm spacing : 1.2um</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>capm.2b_a</name>
+   <description>capm.2b_a : min. spacing of m3_bot_plate : 1.2um</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>capm.3</name>
+   <description>capm.3 : min. capm and m3 enclosure of m3 : 0.14um</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>capm.3_a</name>
+   <description>capm.3_a : min. m3 enclosure of capm : 0.14um</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>capm.4</name>
+   <description>capm.4 : min. capm enclosure of via3 : 0.14um</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>capm.5</name>
+   <description>capm.5 : min. capm spacing to via3 : 0.14um</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>cap2m.1</name>
+   <description>cap2m.1 : min. cap2m width : 1.0um</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>cap2m.2a</name>
+   <description>cap2m.2a : min. cap2m spacing : 0.84um</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>cap2m.2b</name>
+   <description>cap2m.2b : min. cap2m spacing : 1.2um</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>cap2m.2b_a</name>
+   <description>cap2m.2b_a : min. spacing of m4_bot_plate : 1.2um</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>cap2m.3</name>
+   <description>cap2m.3 : min. m4 enclosure of cap2m : 0.14um</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>cap2m.3_a</name>
+   <description>cap2m.3_a : min. m4 enclosure of cap2m : 0.14um</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>cap2m.4</name>
+   <description>cap2m.4 : min. cap2m enclosure of via4 : 0.14um</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>cap2m.5</name>
+   <description>cap2m.5 : min. cap2m spacing to via4 : 0.14um</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>hvi.1</name>
+   <description>hvi.1 : min. hvi width : 0.6um</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>hvi.2a</name>
+   <description>hvi.2a : min. hvi spacing : 0.7um</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>hvntm.1</name>
+   <description>hvntm.1 : min. hvntm width : 0.7um</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>hvntm.2</name>
+   <description>hvntm.2 : min. hvntm spacing : 0.7um</description>
+   <categories>
+   </categories>
+  </category>
+ </categories>
+ <cells>
+  <cell>
+   <name>user_analog_project_wrapper</name>
+   <variant/>
+   <references>
+   </references>
+  </cell>
+ </cells>
+ <items>
+ </items>
+</report-database>
diff --git a/precheck_results/04_JUN_2022___07_38_11/outputs/reports/klayout_met_min_ca_density_check.xml b/precheck_results/04_JUN_2022___07_38_11/outputs/reports/klayout_met_min_ca_density_check.xml
new file mode 100644
index 0000000..dc650ad
--- /dev/null
+++ b/precheck_results/04_JUN_2022___07_38_11/outputs/reports/klayout_met_min_ca_density_check.xml
@@ -0,0 +1,21 @@
+<?xml version="1.0" encoding="utf-8"?>
+<report-database>
+ <description>Density Checks</description>
+ <original-file/>
+ <generator>drc: script='/home/krishna/mpw_precheckmpw6/checks/drc_checks/klayout/met_min_ca_density.lydrc'</generator>
+ <top-cell>user_analog_project_wrapper</top-cell>
+ <tags>
+ </tags>
+ <categories>
+ </categories>
+ <cells>
+  <cell>
+   <name>user_analog_project_wrapper</name>
+   <variant/>
+   <references>
+   </references>
+  </cell>
+ </cells>
+ <items>
+ </items>
+</report-database>
diff --git a/precheck_results/04_JUN_2022___07_38_11/outputs/reports/klayout_offgrid_check.xml b/precheck_results/04_JUN_2022___07_38_11/outputs/reports/klayout_offgrid_check.xml
new file mode 100644
index 0000000..80a7de2
--- /dev/null
+++ b/precheck_results/04_JUN_2022___07_38_11/outputs/reports/klayout_offgrid_check.xml
@@ -0,0 +1,483 @@
+<?xml version="1.0" encoding="utf-8"?>
+<report-database>
+ <description>SKY130 DRC runset</description>
+ <original-file/>
+ <generator>drc: script='/home/krishna/mpw_precheckmpw6/checks/tech-files/sky130A_mr.drc'</generator>
+ <top-cell>user_analog_project_wrapper</top-cell>
+ <tags>
+ </tags>
+ <categories>
+  <category>
+   <name>dnwell_OFFGRID</name>
+   <description>x.1b : OFFGRID vertex on dnwell</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>dnwell_angle</name>
+   <description>x.3a : non 45 degree angle dnwell</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>nwell_OFFGRID</name>
+   <description>x.1b : OFFGRID vertex on nwell</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>nwell_angle</name>
+   <description>x.3a : non 45 degree angle nwell</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>pwbm_OFFGRID</name>
+   <description>x.1b : OFFGRID vertex on pwbm</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>pwbm_angle</name>
+   <description>x.3a : non 45 degree angle pwbm</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>pwde_OFFGRID</name>
+   <description>x.1b : OFFGRID vertex on pwde</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>pwde_angle</name>
+   <description>x.3a : non 45 degree angle pwde</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>hvtp_OFFGRID</name>
+   <description>x.1b : OFFGRID vertex on hvtp</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>hvtp_angle</name>
+   <description>x.3a : non 45 degree angle hvtp</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>hvtr_OFFGRID</name>
+   <description>x.1b : OFFGRID vertex on hvtr</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>hvtr_angle</name>
+   <description>x.3a : non 45 degree angle hvtr</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>lvtn_OFFGRID</name>
+   <description>x.1b : OFFGRID vertex on lvtn</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>lvtn_angle</name>
+   <description>x.3a : non 45 degree angle lvtn</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>ncm_OFFGRID</name>
+   <description>x.1b : OFFGRID vertex on ncm</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>ncm_angle</name>
+   <description>x.3a : non 45 degree angle ncm</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>diff_OFFGRID</name>
+   <description>x.1b : OFFGRID vertex on diff</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>tap_OFFGRID</name>
+   <description>x.1b : OFFGRID vertex on tap</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>diff_angle</name>
+   <description>x.2 : non 90 degree angle diff</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>diff_angle</name>
+   <description>x.2c : non 45 degree angle diff</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>tap_angle</name>
+   <description>x.2 : non 90 degree angle tap</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>tap_angle</name>
+   <description>x.2c : non 45 degree angle tap</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>tunm_OFFGRID</name>
+   <description>x.1b : OFFGRID vertex on tunm</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>tunm_angle</name>
+   <description>x.3a : non 45 degree angle tunm</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>poly_OFFGRID</name>
+   <description>x.1b : OFFGRID vertex on poly</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>poly_angle</name>
+   <description>x.2 : non 90 degree angle poly</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>rpm_OFFGRID</name>
+   <description>x.1b : OFFGRID vertex on rpm</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>rpm_angle</name>
+   <description>x.3a : non 45 degree angle rpm</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>npc_OFFGRID</name>
+   <description>x.1b : OFFGRID vertex on npc</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>npc_angle</name>
+   <description>x.3a : non 45 degree angle npc</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>nsdm_OFFGRID</name>
+   <description>x.1b : OFFGRID vertex on nsdm</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>nsdm_angle</name>
+   <description>x.3a : non 45 degree angle nsdm</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>psdm_OFFGRID</name>
+   <description>x.1b : OFFGRID vertex on psdm</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>psdm_angle</name>
+   <description>x.3a : non 45 degree angle psdm</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>licon_OFFGRID</name>
+   <description>x.1b : OFFGRID vertex on licon</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>licon_angle</name>
+   <description>x.2 : non 90 degree angle licon</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>li_OFFGRID</name>
+   <description>x.1b : OFFGRID vertex on li</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>li_angle</name>
+   <description>x.3a : non 45 degree angle li</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>ct_OFFGRID</name>
+   <description>x.1b : OFFGRID vertex on mcon</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>ct_angle</name>
+   <description>x.2 : non 90 degree angle mcon</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>vpp_OFFGRID</name>
+   <description>x.1b : OFFGRID vertex on vpp</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>vpp_angle</name>
+   <description>x.3a : non 45 degree angle vpp</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>m1_OFFGRID</name>
+   <description>x.1b : OFFGRID vertex on m1</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>m1_angle</name>
+   <description>x.3a : non 45 degree angle m1</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>via_OFFGRID</name>
+   <description>x.1b : OFFGRID vertex on via</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>via_angle</name>
+   <description>x.2 : non 90 degree angle via</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>m2_OFFGRID</name>
+   <description>x.1b : OFFGRID vertex on m2</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>m2_angle</name>
+   <description>x.3a : non 45 degree angle m2</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>via2_OFFGRID</name>
+   <description>x.1b : OFFGRID vertex on via2</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>via2_angle</name>
+   <description>x.2 : non 90 degree angle via2</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>m3_OFFGRID</name>
+   <description>x.1b : OFFGRID vertex on m3</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>m3_angle</name>
+   <description>x.3a : non 45 degree angle m3</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>via3_OFFGRID</name>
+   <description>x.1b : OFFGRID vertex on via3</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>via3_angle</name>
+   <description>x.2 : non 90 degree angle via3</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>nsm_OFFGRID</name>
+   <description>x.1b : OFFGRID vertex on nsm</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>nsm_angle</name>
+   <description>x.3a : non 45 degree angle nsm</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>m4_OFFGRID</name>
+   <description>x.1b : OFFGRID vertex on m4</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>m4_angle</name>
+   <description>x.3a : non 45 degree angle m4</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>via4_OFFGRID</name>
+   <description>x.1b : OFFGRID vertex on via4</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>via4_angle</name>
+   <description>x.2 : non 90 degree angle via4</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>m5_OFFGRID</name>
+   <description>x.1b : OFFGRID vertex on m5</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>m5_angle</name>
+   <description>x.3a : non 45 degree angle m5</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>pad_OFFGRID</name>
+   <description>x.1b : OFFGRID vertex on pad</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>pad_angle</name>
+   <description>x.3a : non 45 degree angle pad</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>mf_OFFGRID</name>
+   <description>x.1b : OFFGRID vertex on mf</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>mf_angle</name>
+   <description>x.2 : non 90 degree angle mf</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>hvi_OFFGRID</name>
+   <description>x.1b : OFFGRID vertex on hvi</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>hvi_angle</name>
+   <description>x.3a : non 45 degree angle hvi</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>hvntm_OFFGRID</name>
+   <description>x.1b : OFFGRID vertex on hvntm</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>hvntm_angle</name>
+   <description>x.3a : non 45 degree angle hvntm</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>vhvi_OFFGRID</name>
+   <description>x.1b : OFFGRID vertex on vhvi</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>vhvi_angle</name>
+   <description>x.3a : non 45 degree angle vhvi</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>uhvi_OFFGRID</name>
+   <description>x.1b : OFFGRID vertex on uhvi</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>uhvi_angle</name>
+   <description>x.3a : non 45 degree angle uhvi</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>pwell_rs_OFFGRID</name>
+   <description>x.1b : OFFGRID vertex on pwell_rs</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>pwell_rs_angle</name>
+   <description>x.3a : non 45 degree angle pwell_rs</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>areaid_re_OFFGRID</name>
+   <description>x.1b : OFFGRID vertex on areaid.re</description>
+   <categories>
+   </categories>
+  </category>
+ </categories>
+ <cells>
+  <cell>
+   <name>user_analog_project_wrapper</name>
+   <variant/>
+   <references>
+   </references>
+  </cell>
+ </cells>
+ <items>
+ </items>
+</report-database>
diff --git a/precheck_results/04_JUN_2022___07_38_11/outputs/reports/klayout_pin_label_purposes_overlapping_drawing_check.xml b/precheck_results/04_JUN_2022___07_38_11/outputs/reports/klayout_pin_label_purposes_overlapping_drawing_check.xml
new file mode 100644
index 0000000..bc0da74
--- /dev/null
+++ b/precheck_results/04_JUN_2022___07_38_11/outputs/reports/klayout_pin_label_purposes_overlapping_drawing_check.xml
@@ -0,0 +1,55 @@
+<?xml version="1.0" encoding="utf-8"?>
+<report-database>
+ <description>pin_label_purposes_overlapping_drawing.rb.drc, input=/home/krishna/Comparator_MPW6/gds/user_analog_project_wrapper.gds, topcell=user_analog_project_wrapper</description>
+ <original-file/>
+ <generator>drc: script='/home/krishna/mpw_precheckmpw6/checks/drc_checks/klayout/pin_label_purposes_overlapping_drawing.rb.drc'</generator>
+ <top-cell>user_analog_project_wrapper</top-cell>
+ <tags>
+ </tags>
+ <categories>
+  <category>
+   <name>70/16: met3, pin/label not-over drawing:70/20</name>
+   <description/>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>72/16: met5, pin/label not-over drawing:72/20</name>
+   <description/>
+   <categories>
+   </categories>
+  </category>
+ </categories>
+ <cells>
+  <cell>
+   <name>user_analog_project_wrapper</name>
+   <variant/>
+   <references>
+   </references>
+  </cell>
+ </cells>
+ <items>
+  <item>
+   <tags/>
+   <category>'70/16: met3, pin/label not-over drawing:70/20'</category>
+   <cell>user_analog_project_wrapper</cell>
+   <visited>false</visited>
+   <multiplicity>1</multiplicity>
+   <image/>
+   <values>
+    <value>polygon: (852.9,3511.5;852.9,3513.28;852.98,3513.28;852.98,3511.5)</value>
+   </values>
+  </item>
+  <item>
+   <tags/>
+   <category>'72/16: met5, pin/label not-over drawing:72/20'</category>
+   <cell>user_analog_project_wrapper</cell>
+   <visited>false</visited>
+   <multiplicity>1</multiplicity>
+   <image/>
+   <values>
+    <value>polygon: (827.97,3511.5;827.97,3524;827.98,3524;827.98,3511.5)</value>
+   </values>
+  </item>
+ </items>
+</report-database>
diff --git a/precheck_results/04_JUN_2022___07_38_11/outputs/reports/klayout_zeroarea_check.xml b/precheck_results/04_JUN_2022___07_38_11/outputs/reports/klayout_zeroarea_check.xml
new file mode 100644
index 0000000..c90408a
--- /dev/null
+++ b/precheck_results/04_JUN_2022___07_38_11/outputs/reports/klayout_zeroarea_check.xml
@@ -0,0 +1,21 @@
+<?xml version="1.0" encoding="utf-8"?>
+<report-database>
+ <description>zero area check</description>
+ <original-file/>
+ <generator>drc: script='/home/krishna/mpw_precheckmpw6/checks/drc_checks/klayout/zeroarea.rb.drc'</generator>
+ <top-cell>user_analog_project_wrapper</top-cell>
+ <tags>
+ </tags>
+ <categories>
+ </categories>
+ <cells>
+  <cell>
+   <name>user_analog_project_wrapper</name>
+   <variant/>
+   <references>
+   </references>
+  </cell>
+ </cells>
+ <items>
+ </items>
+</report-database>
diff --git a/precheck_results/04_JUN_2022___07_38_11/outputs/reports/magic_drc_check.drc.report b/precheck_results/04_JUN_2022___07_38_11/outputs/reports/magic_drc_check.drc.report
new file mode 100644
index 0000000..829b9d5
--- /dev/null
+++ b/precheck_results/04_JUN_2022___07_38_11/outputs/reports/magic_drc_check.drc.report
@@ -0,0 +1,5 @@
+user_analog_project_wrapper
+----------------------------------------
+[INFO]: COUNT: 0
+[INFO]: Should be divided by 3 or 4
+
diff --git a/precheck_results/04_JUN_2022___07_38_11/outputs/reports/magic_drc_check.rdb b/precheck_results/04_JUN_2022___07_38_11/outputs/reports/magic_drc_check.rdb
new file mode 100644
index 0000000..8a882f3
--- /dev/null
+++ b/precheck_results/04_JUN_2022___07_38_11/outputs/reports/magic_drc_check.rdb
@@ -0,0 +1,2 @@
+$user_analog_project_wrapper
+ 100
diff --git a/precheck_results/04_JUN_2022___07_38_11/outputs/reports/magic_drc_check.tcl b/precheck_results/04_JUN_2022___07_38_11/outputs/reports/magic_drc_check.tcl
new file mode 100644
index 0000000..e69de29
--- /dev/null
+++ b/precheck_results/04_JUN_2022___07_38_11/outputs/reports/magic_drc_check.tcl
diff --git a/precheck_results/04_JUN_2022___07_38_11/outputs/reports/magic_drc_check.tr b/precheck_results/04_JUN_2022___07_38_11/outputs/reports/magic_drc_check.tr
new file mode 100644
index 0000000..e69de29
--- /dev/null
+++ b/precheck_results/04_JUN_2022___07_38_11/outputs/reports/magic_drc_check.tr
diff --git a/precheck_results/04_JUN_2022___07_38_11/outputs/reports/magic_drc_check.xml b/precheck_results/04_JUN_2022___07_38_11/outputs/reports/magic_drc_check.xml
new file mode 100644
index 0000000..7161d7c
--- /dev/null
+++ b/precheck_results/04_JUN_2022___07_38_11/outputs/reports/magic_drc_check.xml
@@ -0,0 +1,10 @@
+<?xml version="1.0" ?>
+<report-database>
+    <categories/>
+    <cells>
+        <cell>
+            <name>user_analog_project_wrapper</name>
+        </cell>
+    </cells>
+    <items/>
+</report-database>
diff --git a/precheck_results/04_JUN_2022___07_38_11/outputs/user_analog_project_wrapper.magic.drc.mag b/precheck_results/04_JUN_2022___07_38_11/outputs/user_analog_project_wrapper.magic.drc.mag
new file mode 100644
index 0000000..bc7865b
--- /dev/null
+++ b/precheck_results/04_JUN_2022___07_38_11/outputs/user_analog_project_wrapper.magic.drc.mag
@@ -0,0 +1,7 @@
+magic
+tech minimum
+magscale 1 2
+timestamp 0
+<< checkpaint >>
+rect 0 0 1 1
+<< end >>
diff --git a/precheck_results/04_JUN_2022___07_38_11/outputs/user_analog_project_wrapper_empty_erased.gds b/precheck_results/04_JUN_2022___07_38_11/outputs/user_analog_project_wrapper_empty_erased.gds
new file mode 100644
index 0000000..e69de29
--- /dev/null
+++ b/precheck_results/04_JUN_2022___07_38_11/outputs/user_analog_project_wrapper_empty_erased.gds
diff --git a/precheck_results/04_JUN_2022___07_38_11/outputs/user_analog_project_wrapper_erased.gds b/precheck_results/04_JUN_2022___07_38_11/outputs/user_analog_project_wrapper_erased.gds
new file mode 100644
index 0000000..e69de29
--- /dev/null
+++ b/precheck_results/04_JUN_2022___07_38_11/outputs/user_analog_project_wrapper_erased.gds
diff --git a/precheck_results/04_JUN_2022___07_38_11/outputs/user_analog_project_wrapper_no_zero_areas.gds b/precheck_results/04_JUN_2022___07_38_11/outputs/user_analog_project_wrapper_no_zero_areas.gds
new file mode 100644
index 0000000..3a1e770
--- /dev/null
+++ b/precheck_results/04_JUN_2022___07_38_11/outputs/user_analog_project_wrapper_no_zero_areas.gds
Binary files differ
diff --git a/precheck_results/04_JUN_2022___07_42_57/logs/gds.info b/precheck_results/04_JUN_2022___07_42_57/logs/gds.info
new file mode 100644
index 0000000..8f8003e
--- /dev/null
+++ b/precheck_results/04_JUN_2022___07_42_57/logs/gds.info
@@ -0,0 +1 @@
+user_analog_project_wrapper.gds: 9ad4bdc5c0828b135490ecda58b2a75175ea8c70
\ No newline at end of file
diff --git a/precheck_results/04_JUN_2022___07_42_57/logs/klayout_beol_check.log b/precheck_results/04_JUN_2022___07_42_57/logs/klayout_beol_check.log
new file mode 100644
index 0000000..82901c2
--- /dev/null
+++ b/precheck_results/04_JUN_2022___07_42_57/logs/klayout_beol_check.log
@@ -0,0 +1,992 @@
+/home/krishna/mpw_precheckmpw6/checks/tech-files/sky130A_mr.drc:38: warning: already initialized constant DRC::DRCEngine::FEOL
+/home/krishna/mpw_precheckmpw6/checks/tech-files/sky130A_mr.drc:28: warning: previous definition of FEOL was here
+/home/krishna/mpw_precheckmpw6/checks/tech-files/sky130A_mr.drc:42: warning: already initialized constant DRC::DRCEngine::BEOL
+/home/krishna/mpw_precheckmpw6/checks/tech-files/sky130A_mr.drc:29: warning: previous definition of BEOL was here
+/home/krishna/mpw_precheckmpw6/checks/tech-files/sky130A_mr.drc:50: warning: already initialized constant DRC::DRCEngine::OFFGRID
+/home/krishna/mpw_precheckmpw6/checks/tech-files/sky130A_mr.drc:30: warning: previous definition of OFFGRID was here
+/home/krishna/mpw_precheckmpw6/checks/tech-files/sky130A_mr.drc:56: warning: already initialized constant DRC::DRCEngine::SEAL
+/home/krishna/mpw_precheckmpw6/checks/tech-files/sky130A_mr.drc:31: warning: previous definition of SEAL was here
+/home/krishna/mpw_precheckmpw6/checks/tech-files/sky130A_mr.drc:62: warning: already initialized constant DRC::DRCEngine::FLOATING_MET
+/home/krishna/mpw_precheckmpw6/checks/tech-files/sky130A_mr.drc:32: warning: previous definition of FLOATING_MET was here
+"input" in: sky130A_mr.drc:112
+    Polygons (raw): 62 (flat)  25 (hierarchical)
+    Elapsed: 0.010s  Memory: 522.00M
+"polygons" in: sky130A_mr.drc:113
+    Polygons (raw): 38 (flat)  30 (hierarchical)
+    Elapsed: 0.000s  Memory: 522.00M
+"polygons" in: sky130A_mr.drc:114
+    Polygons (raw): 89 (flat)  69 (hierarchical)
+    Elapsed: 0.010s  Memory: 522.00M
+"polygons" in: sky130A_mr.drc:115
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 522.00M
+"polygons" in: sky130A_mr.drc:116
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 522.00M
+"polygons" in: sky130A_mr.drc:117
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 522.00M
+"polygons" in: sky130A_mr.drc:118
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 522.00M
+"polygons" in: sky130A_mr.drc:119
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 522.00M
+"polygons" in: sky130A_mr.drc:120
+    Polygons (raw): 10 (flat)  2 (hierarchical)
+    Elapsed: 0.000s  Memory: 522.00M
+"polygons" in: sky130A_mr.drc:121
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 522.00M
+"polygons" in: sky130A_mr.drc:122
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 522.00M
+"polygons" in: sky130A_mr.drc:123
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 522.00M
+"polygons" in: sky130A_mr.drc:124
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 522.00M
+"polygons" in: sky130A_mr.drc:125
+    Polygons (raw): 202 (flat)  116 (hierarchical)
+    Elapsed: 0.010s  Memory: 522.00M
+"polygons" in: sky130A_mr.drc:126
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 522.00M
+"polygons" in: sky130A_mr.drc:127
+    Polygons (raw): 62 (flat)  43 (hierarchical)
+    Elapsed: 0.010s  Memory: 522.00M
+"polygons" in: sky130A_mr.drc:128
+    Polygons (raw): 52 (flat)  26 (hierarchical)
+    Elapsed: 0.010s  Memory: 522.00M
+"polygons" in: sky130A_mr.drc:129
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 522.00M
+"polygons" in: sky130A_mr.drc:130
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 522.00M
+"polygons" in: sky130A_mr.drc:131
+    Polygons (raw): 33 (flat)  24 (hierarchical)
+    Elapsed: 0.010s  Memory: 522.00M
+"polygons" in: sky130A_mr.drc:132
+    Polygons (raw): 907 (flat)  291 (hierarchical)
+    Elapsed: 0.000s  Memory: 522.00M
+"polygons" in: sky130A_mr.drc:134
+    Polygons (raw): 566 (flat)  394 (hierarchical)
+    Elapsed: 0.010s  Memory: 522.00M
+"polygons" in: sky130A_mr.drc:135
+    Polygons (raw): 1756 (flat)  1480 (hierarchical)
+    Elapsed: 0.010s  Memory: 522.00M
+"polygons" in: sky130A_mr.drc:137
+    Polygons (raw): 349 (flat)  299 (hierarchical)
+    Elapsed: 0.010s  Memory: 522.00M
+"polygons" in: sky130A_mr.drc:138
+    Polygons (raw): 2570 (flat)  2570 (hierarchical)
+    Elapsed: 0.000s  Memory: 522.00M
+"polygons" in: sky130A_mr.drc:140
+    Polygons (raw): 603 (flat)  603 (hierarchical)
+    Elapsed: 0.000s  Memory: 522.00M
+"polygons" in: sky130A_mr.drc:141
+    Polygons (raw): 1761 (flat)  1761 (hierarchical)
+    Elapsed: 0.010s  Memory: 522.00M
+"polygons" in: sky130A_mr.drc:143
+    Polygons (raw): 461 (flat)  461 (hierarchical)
+    Elapsed: 0.010s  Memory: 522.00M
+"polygons" in: sky130A_mr.drc:144
+    Polygons (raw): 25800 (flat)  25800 (hierarchical)
+    Elapsed: 0.010s  Memory: 523.00M
+"polygons" in: sky130A_mr.drc:146
+    Polygons (raw): 178 (flat)  178 (hierarchical)
+    Elapsed: 0.010s  Memory: 523.00M
+"polygons" in: sky130A_mr.drc:147
+    Polygons (raw): 552 (flat)  552 (hierarchical)
+    Elapsed: 0.010s  Memory: 523.00M
+"polygons" in: sky130A_mr.drc:149
+    Polygons (raw): 153 (flat)  153 (hierarchical)
+    Elapsed: 0.000s  Memory: 523.00M
+"polygons" in: sky130A_mr.drc:151
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 523.00M
+"polygons" in: sky130A_mr.drc:152
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 523.00M
+"polygons" in: sky130A_mr.drc:153
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 523.00M
+"polygons" in: sky130A_mr.drc:154
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 523.00M
+"polygons" in: sky130A_mr.drc:155
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 523.00M
+"polygons" in: sky130A_mr.drc:156
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 523.00M
+"polygons" in: sky130A_mr.drc:157
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 523.00M
+"polygons" in: sky130A_mr.drc:158
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 523.00M
+"polygons" in: sky130A_mr.drc:159
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 523.00M
+"polygons" in: sky130A_mr.drc:160
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 523.00M
+"polygons" in: sky130A_mr.drc:161
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 523.00M
+"polygons" in: sky130A_mr.drc:162
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 523.00M
+"polygons" in: sky130A_mr.drc:163
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 523.00M
+"polygons" in: sky130A_mr.drc:164
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 523.00M
+"polygons" in: sky130A_mr.drc:165
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 523.00M
+"polygons" in: sky130A_mr.drc:166
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 523.00M
+"polygons" in: sky130A_mr.drc:167
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 523.00M
+"polygons" in: sky130A_mr.drc:168
+    Polygons (raw): 10 (flat)  2 (hierarchical)
+    Elapsed: 0.010s  Memory: 523.00M
+"polygons" in: sky130A_mr.drc:169
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 523.00M
+"polygons" in: sky130A_mr.drc:170
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 523.00M
+"polygons" in: sky130A_mr.drc:171
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 523.00M
+"polygons" in: sky130A_mr.drc:172
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 523.00M
+"polygons" in: sky130A_mr.drc:173
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 523.00M
+"polygons" in: sky130A_mr.drc:174
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 523.00M
+"polygons" in: sky130A_mr.drc:175
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 523.00M
+"polygons" in: sky130A_mr.drc:176
+    Polygons (raw): 1 (flat)  1 (hierarchical)
+    Elapsed: 0.010s  Memory: 523.00M
+"polygons" in: sky130A_mr.drc:177
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 523.00M
+"polygons" in: sky130A_mr.drc:178
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 523.00M
+"polygons" in: sky130A_mr.drc:179
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 523.00M
+"polygons" in: sky130A_mr.drc:180
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 523.00M
+"polygons" in: sky130A_mr.drc:181
+    Polygons (raw): 4 (flat)  3 (hierarchical)
+    Elapsed: 0.000s  Memory: 523.00M
+"polygons" in: sky130A_mr.drc:182
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 523.00M
+"polygons" in: sky130A_mr.drc:183
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 523.00M
+"polygons" in: sky130A_mr.drc:184
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 523.00M
+"polygons" in: sky130A_mr.drc:185
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 523.00M
+"polygons" in: sky130A_mr.drc:186
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 523.00M
+"polygons" in: sky130A_mr.drc:187
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 523.00M
+"polygons" in: sky130A_mr.drc:188
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 523.00M
+"polygons" in: sky130A_mr.drc:189
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 523.00M
+"polygons" in: sky130A_mr.drc:190
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 523.00M
+"polygons" in: sky130A_mr.drc:191
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 523.00M
+"polygons" in: sky130A_mr.drc:192
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 523.00M
+"polygons" in: sky130A_mr.drc:193
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 523.00M
+"polygons" in: sky130A_mr.drc:194
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 523.00M
+"polygons" in: sky130A_mr.drc:195
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 523.00M
+"polygons" in: sky130A_mr.drc:196
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 523.00M
+"polygons" in: sky130A_mr.drc:197
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 523.00M
+"polygons" in: sky130A_mr.drc:198
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 523.00M
+"polygons" in: sky130A_mr.drc:199
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 523.00M
+"polygons" in: sky130A_mr.drc:200
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 523.00M
+"polygons" in: sky130A_mr.drc:201
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 523.00M
+"polygons" in: sky130A_mr.drc:202
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 523.00M
+"polygons" in: sky130A_mr.drc:203
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 523.00M
+DRC section
+BEOL section
+START: 67/20 (li)
+"not" in: sky130A_mr.drc:381
+    Polygons (raw): 566 (flat)  394 (hierarchical)
+    Elapsed: 0.010s  Memory: 523.00M
+"width" in: sky130A_mr.drc:382
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 523.00M
+"output" in: sky130A_mr.drc:382
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 523.00M
+"edges" in: sky130A_mr.drc:384
+    Edges: 2849 (flat)  1598 (hierarchical)
+    Elapsed: 0.030s  Memory: 1067.00M
+"space" in: sky130A_mr.drc:384
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.020s  Memory: 1067.00M
+"output" in: sky130A_mr.drc:384
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 1067.00M
+"not" in: sky130A_mr.drc:385
+    Polygons (raw): 907 (flat)  291 (hierarchical)
+    Elapsed: 0.010s  Memory: 1067.00M
+"enclosing" in: sky130A_mr.drc:386
+    Edge pairs: 1195 (flat)  859 (hierarchical)
+    Elapsed: 0.030s  Memory: 1067.00M
+"second_edges" in: sky130A_mr.drc:386
+    Edges: 1195 (flat)  859 (hierarchical)
+    Elapsed: 0.000s  Memory: 1067.00M
+"width" in: sky130A_mr.drc:387
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 1067.00M
+"polygons" in: sky130A_mr.drc:388
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 1067.00M
+"interacting" in: sky130A_mr.drc:388
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 1067.00M
+"output" in: sky130A_mr.drc:389
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 1067.00M
+"with_area" in: sky130A_mr.drc:390
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 1067.00M
+"output" in: sky130A_mr.drc:390
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 1067.00M
+END: 67/20 (li)
+START: 67/44 (mcon)
+"not" in: sky130A_mr.drc:395
+    Polygons (raw): 1756 (flat)  1480 (hierarchical)
+    Elapsed: 0.010s  Memory: 1067.00M
+"not" in: sky130A_mr.drc:402
+    Polygons (raw): 1756 (flat)  1480 (hierarchical)
+    Elapsed: 0.000s  Memory: 1067.00M
+"non_rectangles" in: sky130A_mr.drc:403
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 1068.00M
+"output" in: sky130A_mr.drc:403
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 1068.00M
+"drc" in: sky130A_mr.drc:405
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.020s  Memory: 1068.00M
+"output" in: sky130A_mr.drc:405
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 1068.00M
+"drc" in: sky130A_mr.drc:406
+    Edges: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.020s  Memory: 1068.00M
+"output" in: sky130A_mr.drc:406
+    Edges: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 1068.00M
+"space" in: sky130A_mr.drc:407
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 1068.00M
+"output" in: sky130A_mr.drc:407
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 1068.00M
+"not" in: sky130A_mr.drc:413
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.020s  Memory: 1068.00M
+"output" in: sky130A_mr.drc:413
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 1068.00M
+END: 67/44 (mcon)
+START: 68/20 (m1)
+"width" in: sky130A_mr.drc:418
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 1068.00M
+"output" in: sky130A_mr.drc:418
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 1068.00M
+"sized" in: sky130A_mr.drc:419
+    Polygons (raw): 17 (flat)  17 (hierarchical)
+    Elapsed: 0.010s  Memory: 1068.00M
+"sized" in: sky130A_mr.drc:419
+    Polygons (raw): 17 (flat)  17 (hierarchical)
+    Elapsed: 0.010s  Memory: 1068.00M
+"snap" in: sky130A_mr.drc:419
+    Polygons (raw): 17 (flat)  17 (hierarchical)
+    Elapsed: 0.000s  Memory: 1068.00M
+"&" in: sky130A_mr.drc:419
+    Polygons (raw): 17 (flat)  17 (hierarchical)
+    Elapsed: 0.010s  Memory: 1068.00M
+"edges" in: sky130A_mr.drc:420
+    Edges: 1235 (flat)  1093 (hierarchical)
+    Elapsed: 0.010s  Memory: 1068.00M
+"-" in: sky130A_mr.drc:420
+    Edges: 1100 (flat)  964 (hierarchical)
+    Elapsed: 0.010s  Memory: 1068.00M
+"edges" in: sky130A_mr.drc:421
+    Edges: 122 (flat)  122 (hierarchical)
+    Elapsed: 0.010s  Memory: 1068.00M
+"merged" in: sky130A_mr.drc:421
+    Polygons (raw): 123 (flat)  113 (hierarchical)
+    Elapsed: 0.000s  Memory: 1068.00M
+"outside_part" in: sky130A_mr.drc:421
+    Edges: 138 (flat)  138 (hierarchical)
+    Elapsed: 0.010s  Memory: 1068.00M
+"space" in: sky130A_mr.drc:423
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 1068.00M
+"output" in: sky130A_mr.drc:423
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 1068.00M
+"separation" in: sky130A_mr.drc:425
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 1068.00M
+"space" in: sky130A_mr.drc:425
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.020s  Memory: 1068.00M
+"+" in: sky130A_mr.drc:425
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 1068.00M
+"output" in: sky130A_mr.drc:425
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 1068.00M
+"input" in: sky130A_mr.drc:429
+    Polygons (raw): 349 (flat)  305 (hierarchical)
+    Elapsed: 0.000s  Memory: 1068.00M
+"enclosing" in: sky130A_mr.drc:431
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.020s  Memory: 1068.00M
+"output" in: sky130A_mr.drc:431
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 1068.00M
+"not" in: sky130A_mr.drc:432
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 1068.00M
+"output" in: sky130A_mr.drc:432
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 1068.00M
+"input" in: sky130A_mr.drc:434
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 1068.00M
+"enclosing" in: sky130A_mr.drc:435
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 1068.00M
+"output" in: sky130A_mr.drc:435
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 1068.00M
+"not" in: sky130A_mr.drc:437
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 1068.00M
+"output" in: sky130A_mr.drc:437
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 1068.00M
+"with_area" in: sky130A_mr.drc:439
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 1068.00M
+"output" in: sky130A_mr.drc:439
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 1068.00M
+"holes" in: sky130A_mr.drc:441
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 1068.00M
+"with_area" in: sky130A_mr.drc:441
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 1068.00M
+"output" in: sky130A_mr.drc:441
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 1068.00M
+"enclosing" in: sky130A_mr.drc:448
+    Edge pairs: 245 (flat)  205 (hierarchical)
+    Elapsed: 0.020s  Memory: 1068.00M
+"second_edges" in: sky130A_mr.drc:448
+    Edges: 245 (flat)  205 (hierarchical)
+    Elapsed: 0.010s  Memory: 1068.00M
+"width" in: sky130A_mr.drc:449
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 1068.00M
+"polygons" in: sky130A_mr.drc:450
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 1068.00M
+"interacting" in: sky130A_mr.drc:450
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.020s  Memory: 1068.00M
+"output" in: sky130A_mr.drc:451
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 1068.00M
+END: 68/20 (m1)
+START: 68/44 (via)
+"not" in: sky130A_mr.drc:465
+    Polygons (raw): 2570 (flat)  2570 (hierarchical)
+    Elapsed: 0.010s  Memory: 1068.00M
+"non_rectangles" in: sky130A_mr.drc:467
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.020s  Memory: 1068.00M
+"output" in: sky130A_mr.drc:467
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 1068.00M
+"width" in: sky130A_mr.drc:468
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 1068.00M
+"output" in: sky130A_mr.drc:468
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 1068.00M
+"drc" in: sky130A_mr.drc:470
+    Edges: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 1068.00M
+"output" in: sky130A_mr.drc:470
+    Edges: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 1068.00M
+"space" in: sky130A_mr.drc:472
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.020s  Memory: 1068.00M
+"output" in: sky130A_mr.drc:472
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 1068.00M
+"edges" in: sky130A_mr.drc:480
+    Edges: 1235 (flat)  1093 (hierarchical)
+    Elapsed: 0.010s  Memory: 1068.00M
+"drc" in: sky130A_mr.drc:480
+    Edges: 10280 (flat)  10280 (hierarchical)
+    Elapsed: 0.040s  Memory: 1068.00M
+"enclosing" in: sky130A_mr.drc:480
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 1068.00M
+"output" in: sky130A_mr.drc:480
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 1068.00M
+"squares" in: sky130A_mr.drc:481
+    Polygons (raw): 2570 (flat)  2570 (hierarchical)
+    Elapsed: 0.000s  Memory: 1068.00M
+"drc" in: sky130A_mr.drc:481
+    Edges: 10280 (flat)  10280 (hierarchical)
+    Elapsed: 0.040s  Memory: 1068.00M
+"not" in: sky130A_mr.drc:481
+    Edges: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.020s  Memory: 1068.00M
+"output" in: sky130A_mr.drc:481
+    Edges: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 1068.00M
+"edges" in: sky130A_mr.drc:483
+    Edges: 1235 (flat)  1093 (hierarchical)
+    Elapsed: 0.010s  Memory: 1068.00M
+"drc" in: sky130A_mr.drc:483
+    Edges: 10280 (flat)  10280 (hierarchical)
+    Elapsed: 0.040s  Memory: 1068.00M
+"enclosing" in: sky130A_mr.drc:483
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 1068.00M
+"second_edges" in: sky130A_mr.drc:483
+    Edges: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 1068.00M
+"width" in: sky130A_mr.drc:484
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 1068.00M
+"polygons" in: sky130A_mr.drc:485
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 1068.00M
+"interacting" in: sky130A_mr.drc:485
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 1068.00M
+"output" in: sky130A_mr.drc:486
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 1068.00M
+END: 68/44 (via)
+START: 69/20 (m2)
+"width" in: sky130A_mr.drc:493
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 1069.00M
+"output" in: sky130A_mr.drc:493
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 1069.00M
+"sized" in: sky130A_mr.drc:495
+    Polygons (raw): 13 (flat)  13 (hierarchical)
+    Elapsed: 0.010s  Memory: 1069.00M
+"sized" in: sky130A_mr.drc:495
+    Polygons (raw): 13 (flat)  13 (hierarchical)
+    Elapsed: 0.000s  Memory: 1069.00M
+"snap" in: sky130A_mr.drc:495
+    Polygons (raw): 13 (flat)  13 (hierarchical)
+    Elapsed: 0.010s  Memory: 1069.00M
+"&" in: sky130A_mr.drc:495
+    Polygons (raw): 13 (flat)  13 (hierarchical)
+    Elapsed: 0.010s  Memory: 1069.00M
+"edges" in: sky130A_mr.drc:496
+    Edges: 2367 (flat)  2367 (hierarchical)
+    Elapsed: 0.010s  Memory: 1069.00M
+"-" in: sky130A_mr.drc:496
+    Edges: 2296 (flat)  2296 (hierarchical)
+    Elapsed: 0.010s  Memory: 1069.00M
+"edges" in: sky130A_mr.drc:497
+    Edges: 64 (flat)  64 (hierarchical)
+    Elapsed: 0.000s  Memory: 1069.00M
+"merged" in: sky130A_mr.drc:497
+    Polygons (raw): 550 (flat)  550 (hierarchical)
+    Elapsed: 0.010s  Memory: 1069.00M
+"outside_part" in: sky130A_mr.drc:497
+    Edges: 65 (flat)  65 (hierarchical)
+    Elapsed: 0.000s  Memory: 1069.00M
+"not" in: sky130A_mr.drc:498
+    Polygons (raw): 2570 (flat)  2570 (hierarchical)
+    Elapsed: 0.010s  Memory: 1069.00M
+"space" in: sky130A_mr.drc:500
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 1069.00M
+"output" in: sky130A_mr.drc:500
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 1069.00M
+"separation" in: sky130A_mr.drc:502
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 1069.00M
+"space" in: sky130A_mr.drc:502
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 1069.00M
+"+" in: sky130A_mr.drc:502
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 1069.00M
+"output" in: sky130A_mr.drc:502
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 1069.00M
+"with_area" in: sky130A_mr.drc:504
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 1069.00M
+"output" in: sky130A_mr.drc:504
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 1069.00M
+"holes" in: sky130A_mr.drc:505
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 1069.00M
+"with_area" in: sky130A_mr.drc:505
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 1069.00M
+"output" in: sky130A_mr.drc:505
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 1069.00M
+"enclosing" in: sky130A_mr.drc:510
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.020s  Memory: 1069.00M
+"output" in: sky130A_mr.drc:510
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 1069.00M
+"not" in: sky130A_mr.drc:511
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 1069.00M
+"output" in: sky130A_mr.drc:511
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 1069.00M
+"enclosing" in: sky130A_mr.drc:512
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.020s  Memory: 1069.00M
+"second_edges" in: sky130A_mr.drc:512
+    Edges: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 1069.00M
+"width" in: sky130A_mr.drc:513
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 1069.00M
+"polygons" in: sky130A_mr.drc:514
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 1069.00M
+"interacting" in: sky130A_mr.drc:514
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 1069.00M
+"output" in: sky130A_mr.drc:515
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 1069.00M
+END: 69/20 (m2)
+START: 69/44 (via2)
+"not" in: sky130A_mr.drc:530
+    Polygons (raw): 1761 (flat)  1761 (hierarchical)
+    Elapsed: 0.000s  Memory: 1069.00M
+"non_rectangles" in: sky130A_mr.drc:531
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.020s  Memory: 1069.00M
+"output" in: sky130A_mr.drc:531
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 1069.00M
+"width" in: sky130A_mr.drc:532
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 1069.00M
+"output" in: sky130A_mr.drc:532
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 1069.00M
+"edges" in: sky130A_mr.drc:533
+    Edges: 7044 (flat)  7044 (hierarchical)
+    Elapsed: 0.020s  Memory: 1069.00M
+"without_length" in: sky130A_mr.drc:533
+    Edges: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.020s  Memory: 1071.00M
+"output" in: sky130A_mr.drc:533
+    Edges: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 1071.00M
+"space" in: sky130A_mr.drc:534
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.020s  Memory: 1071.00M
+"output" in: sky130A_mr.drc:534
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 1071.00M
+"enclosing" in: sky130A_mr.drc:542
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.020s  Memory: 1071.00M
+"output" in: sky130A_mr.drc:542
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 1071.00M
+"not" in: sky130A_mr.drc:543
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 1071.00M
+"output" in: sky130A_mr.drc:543
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 1071.00M
+"enclosing" in: sky130A_mr.drc:545
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.020s  Memory: 1071.00M
+"second_edges" in: sky130A_mr.drc:545
+    Edges: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 1071.00M
+"width" in: sky130A_mr.drc:546
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 1071.00M
+"polygons" in: sky130A_mr.drc:547
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 1071.00M
+"interacting" in: sky130A_mr.drc:547
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 1071.00M
+"output" in: sky130A_mr.drc:548
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 1071.00M
+END: 69/44 (via2)
+START: 70/20 (m3)
+"width" in: sky130A_mr.drc:554
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 1071.00M
+"output" in: sky130A_mr.drc:554
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 1071.00M
+"sized" in: sky130A_mr.drc:556
+    Polygons (raw): 53 (flat)  53 (hierarchical)
+    Elapsed: 0.010s  Memory: 1071.00M
+"sized" in: sky130A_mr.drc:556
+    Polygons (raw): 53 (flat)  53 (hierarchical)
+    Elapsed: 0.010s  Memory: 1071.00M
+"snap" in: sky130A_mr.drc:556
+    Polygons (raw): 53 (flat)  53 (hierarchical)
+    Elapsed: 0.000s  Memory: 1071.00M
+"&" in: sky130A_mr.drc:556
+    Polygons (raw): 98 (flat)  98 (hierarchical)
+    Elapsed: 0.010s  Memory: 1071.00M
+"edges" in: sky130A_mr.drc:557
+    Edges: 1667 (flat)  1667 (hierarchical)
+    Elapsed: 0.010s  Memory: 1071.00M
+"-" in: sky130A_mr.drc:557
+    Edges: 883 (flat)  883 (hierarchical)
+    Elapsed: 0.010s  Memory: 1071.00M
+"edges" in: sky130A_mr.drc:558
+    Edges: 677 (flat)  677 (hierarchical)
+    Elapsed: 0.010s  Memory: 1071.00M
+"merged" in: sky130A_mr.drc:558
+    Polygons (raw): 228 (flat)  228 (hierarchical)
+    Elapsed: 0.010s  Memory: 1071.00M
+"outside_part" in: sky130A_mr.drc:558
+    Edges: 682 (flat)  682 (hierarchical)
+    Elapsed: 0.010s  Memory: 1071.00M
+"space" in: sky130A_mr.drc:560
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 1071.00M
+"output" in: sky130A_mr.drc:560
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 1071.00M
+"separation" in: sky130A_mr.drc:562
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 1071.00M
+"space" in: sky130A_mr.drc:562
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 1071.00M
+"+" in: sky130A_mr.drc:562
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 1071.00M
+"output" in: sky130A_mr.drc:562
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 1071.00M
+"enclosing" in: sky130A_mr.drc:567
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.020s  Memory: 1071.00M
+"output" in: sky130A_mr.drc:567
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 1071.00M
+"not" in: sky130A_mr.drc:568
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 1071.00M
+"output" in: sky130A_mr.drc:568
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 1071.00M
+END: 70/20 (m3)
+START: 70/44 (via3)
+"not" in: sky130A_mr.drc:582
+    Polygons (raw): 25800 (flat)  25800 (hierarchical)
+    Elapsed: 0.010s  Memory: 1071.00M
+"non_rectangles" in: sky130A_mr.drc:583
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.140s  Memory: 1078.00M
+"output" in: sky130A_mr.drc:583
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 1078.00M
+"width" in: sky130A_mr.drc:584
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.020s  Memory: 1078.00M
+"output" in: sky130A_mr.drc:584
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 1078.00M
+"edges" in: sky130A_mr.drc:585
+    Edges: 103200 (flat)  103200 (hierarchical)
+    Elapsed: 0.100s  Memory: 1078.00M
+"without_length" in: sky130A_mr.drc:585
+    Edges: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.250s  Memory: 1105.00M
+"output" in: sky130A_mr.drc:585
+    Edges: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 1105.00M
+"space" in: sky130A_mr.drc:587
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.190s  Memory: 1105.00M
+"output" in: sky130A_mr.drc:587
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 1105.00M
+"enclosing" in: sky130A_mr.drc:588
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.220s  Memory: 1105.00M
+"output" in: sky130A_mr.drc:588
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 1105.00M
+"not" in: sky130A_mr.drc:589
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.050s  Memory: 1105.00M
+"output" in: sky130A_mr.drc:589
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.020s  Memory: 1105.00M
+"enclosing" in: sky130A_mr.drc:591
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.220s  Memory: 1105.00M
+"second_edges" in: sky130A_mr.drc:591
+    Edges: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 1105.00M
+"width" in: sky130A_mr.drc:592
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 1105.00M
+"polygons" in: sky130A_mr.drc:593
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 1105.00M
+"interacting" in: sky130A_mr.drc:593
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 1105.00M
+"output" in: sky130A_mr.drc:594
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 1105.00M
+END: 70/44 (via3)
+START: 71/20 (m4)
+"width" in: sky130A_mr.drc:600
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 1105.00M
+"output" in: sky130A_mr.drc:600
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 1105.00M
+"sized" in: sky130A_mr.drc:602
+    Polygons (raw): 20 (flat)  20 (hierarchical)
+    Elapsed: 0.000s  Memory: 1105.00M
+"sized" in: sky130A_mr.drc:602
+    Polygons (raw): 20 (flat)  20 (hierarchical)
+    Elapsed: 0.010s  Memory: 1105.00M
+"snap" in: sky130A_mr.drc:602
+    Polygons (raw): 20 (flat)  20 (hierarchical)
+    Elapsed: 0.000s  Memory: 1105.00M
+"&" in: sky130A_mr.drc:602
+    Polygons (raw): 46 (flat)  46 (hierarchical)
+    Elapsed: 0.000s  Memory: 1105.00M
+"edges" in: sky130A_mr.drc:603
+    Edges: 575 (flat)  575 (hierarchical)
+    Elapsed: 0.010s  Memory: 1105.00M
+"-" in: sky130A_mr.drc:603
+    Edges: 116 (flat)  116 (hierarchical)
+    Elapsed: 0.010s  Memory: 1105.00M
+"edges" in: sky130A_mr.drc:604
+    Edges: 368 (flat)  368 (hierarchical)
+    Elapsed: 0.010s  Memory: 1105.00M
+"merged" in: sky130A_mr.drc:604
+    Polygons (raw): 31 (flat)  31 (hierarchical)
+    Elapsed: 0.010s  Memory: 1105.00M
+"outside_part" in: sky130A_mr.drc:604
+    Edges: 373 (flat)  373 (hierarchical)
+    Elapsed: 0.010s  Memory: 1105.00M
+"space" in: sky130A_mr.drc:606
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 1105.00M
+"output" in: sky130A_mr.drc:606
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 1105.00M
+"with_area" in: sky130A_mr.drc:608
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 1105.00M
+"output" in: sky130A_mr.drc:608
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 1105.00M
+"separation" in: sky130A_mr.drc:610
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 1105.00M
+"space" in: sky130A_mr.drc:610
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 1105.00M
+"+" in: sky130A_mr.drc:610
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 1105.00M
+"output" in: sky130A_mr.drc:610
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 1105.00M
+"enclosing" in: sky130A_mr.drc:615
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.180s  Memory: 1105.00M
+"output" in: sky130A_mr.drc:615
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 1105.00M
+"not" in: sky130A_mr.drc:616
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.060s  Memory: 1105.00M
+"output" in: sky130A_mr.drc:616
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 1105.00M
+END: 71/20 (m4)
+START: 71/44 (via4)
+"not" in: sky130A_mr.drc:629
+    Polygons (raw): 552 (flat)  552 (hierarchical)
+    Elapsed: 0.000s  Memory: 1105.00M
+"non_rectangles" in: sky130A_mr.drc:630
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 1105.00M
+"output" in: sky130A_mr.drc:630
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 1105.00M
+"width" in: sky130A_mr.drc:631
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 1105.00M
+"output" in: sky130A_mr.drc:631
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 1105.00M
+"drc" in: sky130A_mr.drc:632
+    Edges: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 1105.00M
+"output" in: sky130A_mr.drc:632
+    Edges: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 1105.00M
+"space" in: sky130A_mr.drc:634
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 1105.00M
+"polygons" in: sky130A_mr.drc:634
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 1105.00M
+"output" in: sky130A_mr.drc:634
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 1105.00M
+"enclosing" in: sky130A_mr.drc:642
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 1105.00M
+"output" in: sky130A_mr.drc:642
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 1105.00M
+"not" in: sky130A_mr.drc:643
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 1105.00M
+"output" in: sky130A_mr.drc:643
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 1105.00M
+END: 71/44 (via4)
+START: 72/20 (m5)
+"width" in: sky130A_mr.drc:648
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 1105.00M
+"output" in: sky130A_mr.drc:648
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 1105.00M
+"space" in: sky130A_mr.drc:650
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 1105.00M
+"output" in: sky130A_mr.drc:650
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 1105.00M
+"enclosing" in: sky130A_mr.drc:652
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.020s  Memory: 1105.00M
+"output" in: sky130A_mr.drc:652
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 1105.00M
+"not" in: sky130A_mr.drc:653
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 1105.00M
+"output" in: sky130A_mr.drc:653
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 1105.00M
+"with_area" in: sky130A_mr.drc:657
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 1105.00M
+"output" in: sky130A_mr.drc:657
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 1105.00M
+END: 72/20 (m5)
+START: 76/20 (pad)
+"space" in: sky130A_mr.drc:662
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 1105.00M
+"output" in: sky130A_mr.drc:662
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 1105.00M
+END: 76/20 (pad)
+Writing report database: /home/krishna/Comparator_MPW6/precheck_results/04_JUN_2022___07_42_57/outputs/reports/klayout_beol_check.xml ..
+Total elapsed: 3.880s  Memory: 1105.00M
diff --git a/precheck_results/04_JUN_2022___07_42_57/logs/klayout_beol_check.total b/precheck_results/04_JUN_2022___07_42_57/logs/klayout_beol_check.total
new file mode 100644
index 0000000..c227083
--- /dev/null
+++ b/precheck_results/04_JUN_2022___07_42_57/logs/klayout_beol_check.total
@@ -0,0 +1 @@
+0
\ No newline at end of file
diff --git a/precheck_results/04_JUN_2022___07_42_57/logs/klayout_feol_check.log b/precheck_results/04_JUN_2022___07_42_57/logs/klayout_feol_check.log
new file mode 100644
index 0000000..0ec75a0
--- /dev/null
+++ b/precheck_results/04_JUN_2022___07_42_57/logs/klayout_feol_check.log
@@ -0,0 +1,789 @@
+/home/krishna/mpw_precheckmpw6/checks/tech-files/sky130A_mr.drc:36: warning: already initialized constant DRC::DRCEngine::FEOL
+/home/krishna/mpw_precheckmpw6/checks/tech-files/sky130A_mr.drc:28: warning: previous definition of FEOL was here
+/home/krishna/mpw_precheckmpw6/checks/tech-files/sky130A_mr.drc:44: warning: already initialized constant DRC::DRCEngine::BEOL
+/home/krishna/mpw_precheckmpw6/checks/tech-files/sky130A_mr.drc:29: warning: previous definition of BEOL was here
+/home/krishna/mpw_precheckmpw6/checks/tech-files/sky130A_mr.drc:50: warning: already initialized constant DRC::DRCEngine::OFFGRID
+/home/krishna/mpw_precheckmpw6/checks/tech-files/sky130A_mr.drc:30: warning: previous definition of OFFGRID was here
+/home/krishna/mpw_precheckmpw6/checks/tech-files/sky130A_mr.drc:56: warning: already initialized constant DRC::DRCEngine::SEAL
+/home/krishna/mpw_precheckmpw6/checks/tech-files/sky130A_mr.drc:31: warning: previous definition of SEAL was here
+/home/krishna/mpw_precheckmpw6/checks/tech-files/sky130A_mr.drc:62: warning: already initialized constant DRC::DRCEngine::FLOATING_MET
+/home/krishna/mpw_precheckmpw6/checks/tech-files/sky130A_mr.drc:32: warning: previous definition of FLOATING_MET was here
+"input" in: sky130A_mr.drc:112
+    Polygons (raw): 62 (flat)  25 (hierarchical)
+    Elapsed: 0.010s  Memory: 522.00M
+"polygons" in: sky130A_mr.drc:113
+    Polygons (raw): 38 (flat)  30 (hierarchical)
+    Elapsed: 0.000s  Memory: 522.00M
+"polygons" in: sky130A_mr.drc:114
+    Polygons (raw): 89 (flat)  69 (hierarchical)
+    Elapsed: 0.010s  Memory: 522.00M
+"polygons" in: sky130A_mr.drc:115
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 522.00M
+"polygons" in: sky130A_mr.drc:116
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 522.00M
+"polygons" in: sky130A_mr.drc:117
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 522.00M
+"polygons" in: sky130A_mr.drc:118
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 522.00M
+"polygons" in: sky130A_mr.drc:119
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 522.00M
+"polygons" in: sky130A_mr.drc:120
+    Polygons (raw): 10 (flat)  2 (hierarchical)
+    Elapsed: 0.010s  Memory: 522.00M
+"polygons" in: sky130A_mr.drc:121
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 522.00M
+"polygons" in: sky130A_mr.drc:122
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 522.00M
+"polygons" in: sky130A_mr.drc:123
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 522.00M
+"polygons" in: sky130A_mr.drc:124
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 522.00M
+"polygons" in: sky130A_mr.drc:125
+    Polygons (raw): 202 (flat)  116 (hierarchical)
+    Elapsed: 0.000s  Memory: 522.00M
+"polygons" in: sky130A_mr.drc:126
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 522.00M
+"polygons" in: sky130A_mr.drc:127
+    Polygons (raw): 62 (flat)  43 (hierarchical)
+    Elapsed: 0.000s  Memory: 522.00M
+"polygons" in: sky130A_mr.drc:128
+    Polygons (raw): 52 (flat)  26 (hierarchical)
+    Elapsed: 0.010s  Memory: 522.00M
+"polygons" in: sky130A_mr.drc:129
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 522.00M
+"polygons" in: sky130A_mr.drc:130
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 522.00M
+"polygons" in: sky130A_mr.drc:131
+    Polygons (raw): 33 (flat)  24 (hierarchical)
+    Elapsed: 0.000s  Memory: 522.00M
+"polygons" in: sky130A_mr.drc:132
+    Polygons (raw): 907 (flat)  291 (hierarchical)
+    Elapsed: 0.010s  Memory: 522.00M
+"polygons" in: sky130A_mr.drc:134
+    Polygons (raw): 566 (flat)  394 (hierarchical)
+    Elapsed: 0.010s  Memory: 522.00M
+"polygons" in: sky130A_mr.drc:135
+    Polygons (raw): 1756 (flat)  1480 (hierarchical)
+    Elapsed: 0.000s  Memory: 522.00M
+"polygons" in: sky130A_mr.drc:137
+    Polygons (raw): 349 (flat)  299 (hierarchical)
+    Elapsed: 0.010s  Memory: 522.00M
+"polygons" in: sky130A_mr.drc:138
+    Polygons (raw): 2570 (flat)  2570 (hierarchical)
+    Elapsed: 0.000s  Memory: 522.00M
+"polygons" in: sky130A_mr.drc:140
+    Polygons (raw): 603 (flat)  603 (hierarchical)
+    Elapsed: 0.010s  Memory: 522.00M
+"polygons" in: sky130A_mr.drc:141
+    Polygons (raw): 1761 (flat)  1761 (hierarchical)
+    Elapsed: 0.000s  Memory: 522.00M
+"polygons" in: sky130A_mr.drc:143
+    Polygons (raw): 461 (flat)  461 (hierarchical)
+    Elapsed: 0.020s  Memory: 522.00M
+"polygons" in: sky130A_mr.drc:144
+    Polygons (raw): 25800 (flat)  25800 (hierarchical)
+    Elapsed: 0.010s  Memory: 523.00M
+"polygons" in: sky130A_mr.drc:146
+    Polygons (raw): 178 (flat)  178 (hierarchical)
+    Elapsed: 0.010s  Memory: 523.00M
+"polygons" in: sky130A_mr.drc:147
+    Polygons (raw): 552 (flat)  552 (hierarchical)
+    Elapsed: 0.000s  Memory: 523.00M
+"polygons" in: sky130A_mr.drc:149
+    Polygons (raw): 153 (flat)  153 (hierarchical)
+    Elapsed: 0.010s  Memory: 523.00M
+"polygons" in: sky130A_mr.drc:151
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 523.00M
+"polygons" in: sky130A_mr.drc:152
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 523.00M
+"polygons" in: sky130A_mr.drc:153
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 523.00M
+"polygons" in: sky130A_mr.drc:154
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 523.00M
+"polygons" in: sky130A_mr.drc:155
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 523.00M
+"polygons" in: sky130A_mr.drc:156
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 523.00M
+"polygons" in: sky130A_mr.drc:157
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 523.00M
+"polygons" in: sky130A_mr.drc:158
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 523.00M
+"polygons" in: sky130A_mr.drc:159
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 523.00M
+"polygons" in: sky130A_mr.drc:160
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 523.00M
+"polygons" in: sky130A_mr.drc:161
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 523.00M
+"polygons" in: sky130A_mr.drc:162
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 523.00M
+"polygons" in: sky130A_mr.drc:163
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 523.00M
+"polygons" in: sky130A_mr.drc:164
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 523.00M
+"polygons" in: sky130A_mr.drc:165
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 523.00M
+"polygons" in: sky130A_mr.drc:166
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 523.00M
+"polygons" in: sky130A_mr.drc:167
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 523.00M
+"polygons" in: sky130A_mr.drc:168
+    Polygons (raw): 10 (flat)  2 (hierarchical)
+    Elapsed: 0.010s  Memory: 523.00M
+"polygons" in: sky130A_mr.drc:169
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 523.00M
+"polygons" in: sky130A_mr.drc:170
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 523.00M
+"polygons" in: sky130A_mr.drc:171
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 523.00M
+"polygons" in: sky130A_mr.drc:172
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 523.00M
+"polygons" in: sky130A_mr.drc:173
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 523.00M
+"polygons" in: sky130A_mr.drc:174
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 523.00M
+"polygons" in: sky130A_mr.drc:175
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 523.00M
+"polygons" in: sky130A_mr.drc:176
+    Polygons (raw): 1 (flat)  1 (hierarchical)
+    Elapsed: 0.010s  Memory: 523.00M
+"polygons" in: sky130A_mr.drc:177
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 523.00M
+"polygons" in: sky130A_mr.drc:178
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 523.00M
+"polygons" in: sky130A_mr.drc:179
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 523.00M
+"polygons" in: sky130A_mr.drc:180
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 523.00M
+"polygons" in: sky130A_mr.drc:181
+    Polygons (raw): 4 (flat)  3 (hierarchical)
+    Elapsed: 0.000s  Memory: 523.00M
+"polygons" in: sky130A_mr.drc:182
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 523.00M
+"polygons" in: sky130A_mr.drc:183
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 523.00M
+"polygons" in: sky130A_mr.drc:184
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 523.00M
+"polygons" in: sky130A_mr.drc:185
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 523.00M
+"polygons" in: sky130A_mr.drc:186
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 523.00M
+"polygons" in: sky130A_mr.drc:187
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 523.00M
+"polygons" in: sky130A_mr.drc:188
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 523.00M
+"polygons" in: sky130A_mr.drc:189
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 523.00M
+"polygons" in: sky130A_mr.drc:190
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 523.00M
+"polygons" in: sky130A_mr.drc:191
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 523.00M
+"polygons" in: sky130A_mr.drc:192
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 523.00M
+"polygons" in: sky130A_mr.drc:193
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 523.00M
+"polygons" in: sky130A_mr.drc:194
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 523.00M
+"polygons" in: sky130A_mr.drc:195
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 523.00M
+"polygons" in: sky130A_mr.drc:196
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 523.00M
+"polygons" in: sky130A_mr.drc:197
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 523.00M
+"polygons" in: sky130A_mr.drc:198
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 523.00M
+"polygons" in: sky130A_mr.drc:199
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 523.00M
+"polygons" in: sky130A_mr.drc:200
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 523.00M
+"polygons" in: sky130A_mr.drc:201
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 523.00M
+"polygons" in: sky130A_mr.drc:202
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 523.00M
+"polygons" in: sky130A_mr.drc:203
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 523.00M
+DRC section
+FEOL section
+START: 64/18 (dnwell)
+"width" in: sky130A_mr.drc:229
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 523.00M
+"output" in: sky130A_mr.drc:229
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 523.00M
+END: 64/18 (dnwell)
+"input" in: sky130A_mr.drc:233
+    Polygons (raw): 62 (flat)  43 (hierarchical)
+    Elapsed: 0.010s  Memory: 523.00M
+"input" in: sky130A_mr.drc:234
+    Polygons (raw): 52 (flat)  26 (hierarchical)
+    Elapsed: 0.000s  Memory: 523.00M
+"input" in: sky130A_mr.drc:235
+    Polygons (raw): 89 (flat)  69 (hierarchical)
+    Elapsed: 0.010s  Memory: 523.00M
+START: 64/20 (nwell)
+"width" in: sky130A_mr.drc:239
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 523.00M
+"output" in: sky130A_mr.drc:239
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 523.00M
+"space" in: sky130A_mr.drc:240
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 1067.00M
+"output" in: sky130A_mr.drc:240
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 1067.00M
+"merge" in: sky130A_mr.drc:241
+    Polygons (raw): 15 (flat)  14 (hierarchical)
+    Elapsed: 0.010s  Memory: 1067.00M
+"holes" in: sky130A_mr.drc:242
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 1067.00M
+"enclosing" in: sky130A_mr.drc:242
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 1067.00M
+"output" in: sky130A_mr.drc:242
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 1067.00M
+END: 64/20 (nwell)
+START: 78/44 (hvtp)
+"width" in: sky130A_mr.drc:247
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 1067.00M
+"output" in: sky130A_mr.drc:247
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 1067.00M
+"space" in: sky130A_mr.drc:248
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 1067.00M
+"output" in: sky130A_mr.drc:248
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 1067.00M
+END: 78/44 (hvtp)
+START: 18/20 (htvr)
+"width" in: sky130A_mr.drc:253
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 1067.00M
+"output" in: sky130A_mr.drc:253
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 1067.00M
+"separation" in: sky130A_mr.drc:254
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 1067.00M
+"output" in: sky130A_mr.drc:254
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 1067.00M
+"and" in: sky130A_mr.drc:255
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 1067.00M
+"output" in: sky130A_mr.drc:255
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 1067.00M
+END: 18/20 (htvr)
+START: 25/44 (lvtn)
+"width" in: sky130A_mr.drc:260
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 1067.00M
+"output" in: sky130A_mr.drc:260
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 1067.00M
+"space" in: sky130A_mr.drc:261
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 1067.00M
+"output" in: sky130A_mr.drc:261
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 1067.00M
+END: 25/44 (lvtn)
+START: 92/44 (ncm)
+"width" in: sky130A_mr.drc:266
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 1067.00M
+"output" in: sky130A_mr.drc:266
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 1067.00M
+"space" in: sky130A_mr.drc:267
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 1067.00M
+"output" in: sky130A_mr.drc:267
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 1067.00M
+END: 92/44 (ncm)
+START: 65/20 (diff)
+"or" in: sky130A_mr.drc:272
+    Polygons (raw): 68 (flat)  35 (hierarchical)
+    Elapsed: 0.000s  Memory: 1067.00M
+"rectangles" in: sky130A_mr.drc:273
+    Polygons (raw): 31 (flat)  11 (hierarchical)
+    Elapsed: 0.010s  Memory: 1067.00M
+"width" in: sky130A_mr.drc:273
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 1067.00M
+"polygons" in: sky130A_mr.drc:273
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 1067.00M
+"edges" in: sky130A_mr.drc:274
+    Edges: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 1067.00M
+"outside_part" in: sky130A_mr.drc:274
+    Edges: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 1067.00M
+"outside" in: sky130A_mr.drc:274
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 1067.00M
+"edges" in: sky130A_mr.drc:274
+    Edges: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 1067.00M
+"not" in: sky130A_mr.drc:274
+    Edges: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 1067.00M
+"output" in: sky130A_mr.drc:275
+    Edges: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 1067.00M
+"outside" in: sky130A_mr.drc:276
+    Polygons (raw): 48 (flat)  17 (hierarchical)
+    Elapsed: 0.010s  Memory: 1067.00M
+"width" in: sky130A_mr.drc:276
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 1067.00M
+"output" in: sky130A_mr.drc:276
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 1067.00M
+END: 65/20 (diff)
+START: 65/44 (tap)
+"rectangles" in: sky130A_mr.drc:280
+    Polygons (raw): 14 (flat)  14 (hierarchical)
+    Elapsed: 0.010s  Memory: 1067.00M
+"width" in: sky130A_mr.drc:280
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 1067.00M
+"polygons" in: sky130A_mr.drc:280
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 1067.00M
+"edges" in: sky130A_mr.drc:281
+    Edges: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 1067.00M
+"outside_part" in: sky130A_mr.drc:281
+    Edges: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 1067.00M
+"outside" in: sky130A_mr.drc:281
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 1067.00M
+"edges" in: sky130A_mr.drc:281
+    Edges: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 1067.00M
+"not" in: sky130A_mr.drc:281
+    Edges: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 1067.00M
+"output" in: sky130A_mr.drc:282
+    Edges: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 1067.00M
+"not" in: sky130A_mr.drc:283
+    Polygons (raw): 38 (flat)  30 (hierarchical)
+    Elapsed: 0.010s  Memory: 1067.00M
+"width" in: sky130A_mr.drc:283
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 1067.00M
+"output" in: sky130A_mr.drc:283
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 1067.00M
+END: 65/44 (tap)
+"space" in: sky130A_mr.drc:286
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 1067.00M
+"output" in: sky130A_mr.drc:286
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 1067.00M
+START: 80/20 (tunm)
+"width" in: sky130A_mr.drc:290
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 1067.00M
+"output" in: sky130A_mr.drc:290
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 1067.00M
+"space" in: sky130A_mr.drc:291
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 1067.00M
+"output" in: sky130A_mr.drc:291
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 1067.00M
+END: 80/20 (tunm)
+START: 66/20 (poly)
+"width" in: sky130A_mr.drc:296
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 1067.00M
+"output" in: sky130A_mr.drc:296
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 1067.00M
+"not" in: sky130A_mr.drc:297
+    Polygons (raw): 202 (flat)  116 (hierarchical)
+    Elapsed: 0.000s  Memory: 1067.00M
+"space" in: sky130A_mr.drc:297
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 1067.00M
+"output" in: sky130A_mr.drc:297
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 1067.00M
+START: 86/20 (rpm)
+"width" in: sky130A_mr.drc:302
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 1067.00M
+"output" in: sky130A_mr.drc:302
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 1067.00M
+"space" in: sky130A_mr.drc:303
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 1067.00M
+"output" in: sky130A_mr.drc:303
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 1067.00M
+END: 86/20 (rpm)
+START: 79/20 (urpm)
+"width" in: sky130A_mr.drc:308
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 1067.00M
+"output" in: sky130A_mr.drc:308
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 1067.00M
+"space" in: sky130A_mr.drc:309
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 1067.00M
+"output" in: sky130A_mr.drc:309
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 1067.00M
+END: 79/20 (urpm)
+START: 95/20 (npc)
+"width" in: sky130A_mr.drc:314
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 1067.00M
+"output" in: sky130A_mr.drc:314
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 1067.00M
+"space" in: sky130A_mr.drc:315
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 1067.00M
+"output" in: sky130A_mr.drc:315
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 1067.00M
+END: 95/20 (npc)
+START: 93/44 (nsdm)
+"outside" in: sky130A_mr.drc:320
+    Polygons (raw): 34 (flat)  22 (hierarchical)
+    Elapsed: 0.010s  Memory: 1067.00M
+"width" in: sky130A_mr.drc:320
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 1067.00M
+"output" in: sky130A_mr.drc:320
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 1067.00M
+"not" in: sky130A_mr.drc:321
+    Polygons (raw): 62 (flat)  43 (hierarchical)
+    Elapsed: 0.000s  Memory: 1067.00M
+"space" in: sky130A_mr.drc:321
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 1067.00M
+"output" in: sky130A_mr.drc:321
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 1067.00M
+END: 93/44 (nsdm)
+START: 94/20 (psdm)
+"outside" in: sky130A_mr.drc:326
+    Polygons (raw): 28 (flat)  16 (hierarchical)
+    Elapsed: 0.010s  Memory: 1067.00M
+"width" in: sky130A_mr.drc:326
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 1067.00M
+"output" in: sky130A_mr.drc:326
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 1067.00M
+"not" in: sky130A_mr.drc:327
+    Polygons (raw): 52 (flat)  26 (hierarchical)
+    Elapsed: 0.000s  Memory: 1067.00M
+"space" in: sky130A_mr.drc:327
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 1067.00M
+"output" in: sky130A_mr.drc:327
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 1067.00M
+END: 94/20 (psdm)
+START: 66/44 (licon)
+"not" in: sky130A_mr.drc:338
+    Polygons (raw): 359 (flat)  106 (hierarchical)
+    Elapsed: 0.010s  Memory: 1067.00M
+"and" in: sky130A_mr.drc:339
+    Polygons (raw): 743 (flat)  236 (hierarchical)
+    Elapsed: 0.010s  Memory: 1067.00M
+"interacting" in: sky130A_mr.drc:339
+    Polygons (raw): 743 (flat)  236 (hierarchical)
+    Elapsed: 0.010s  Memory: 1067.00M
+"not" in: sky130A_mr.drc:340
+    Polygons (raw): 743 (flat)  236 (hierarchical)
+    Elapsed: 0.010s  Memory: 1067.00M
+"non_rectangles" in: sky130A_mr.drc:341
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 1067.00M
+"output" in: sky130A_mr.drc:341
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 1067.00M
+"or" in: sky130A_mr.drc:342
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 1067.00M
+"not" in: sky130A_mr.drc:342
+    Polygons (raw): 907 (flat)  291 (hierarchical)
+    Elapsed: 0.010s  Memory: 1067.00M
+"edges" in: sky130A_mr.drc:342
+    Edges: 3628 (flat)  1164 (hierarchical)
+    Elapsed: 0.010s  Memory: 1067.00M
+"without_length" in: sky130A_mr.drc:342
+    Edges: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 1067.00M
+"output" in: sky130A_mr.drc:342
+    Edges: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 1067.00M
+"separation" in: sky130A_mr.drc:343
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 1067.00M
+"output" in: sky130A_mr.drc:343
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 1067.00M
+"and" in: sky130A_mr.drc:344
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 1067.00M
+"output" in: sky130A_mr.drc:344
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 1067.00M
+"interacting" in: sky130A_mr.drc:345
+    Polygons (raw): 164 (flat)  55 (hierarchical)
+    Elapsed: 0.010s  Memory: 1067.00M
+"interacting" in: sky130A_mr.drc:345
+    Polygons (raw): 743 (flat)  236 (hierarchical)
+    Elapsed: 0.010s  Memory: 1067.00M
+"and" in: sky130A_mr.drc:345
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 1067.00M
+"output" in: sky130A_mr.drc:345
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 1067.00M
+END: 66/44 (licon)
+START: 89/44 (capm)
+"and" in: sky130A_mr.drc:350
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 1067.00M
+"sized" in: sky130A_mr.drc:350
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 1067.00M
+"width" in: sky130A_mr.drc:351
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 1067.00M
+"output" in: sky130A_mr.drc:351
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 1067.00M
+"space" in: sky130A_mr.drc:352
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 1067.00M
+"output" in: sky130A_mr.drc:352
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 1067.00M
+"interacting" in: sky130A_mr.drc:353
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 1067.00M
+"isolated" in: sky130A_mr.drc:353
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 1067.00M
+"output" in: sky130A_mr.drc:353
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 1067.00M
+"isolated" in: sky130A_mr.drc:354
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 1067.00M
+"output" in: sky130A_mr.drc:354
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 1067.00M
+"and" in: sky130A_mr.drc:355
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 1067.00M
+"enclosing" in: sky130A_mr.drc:355
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 1067.00M
+"output" in: sky130A_mr.drc:355
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 1067.00M
+"enclosing" in: sky130A_mr.drc:356
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 1067.00M
+"output" in: sky130A_mr.drc:356
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 1067.00M
+"enclosing" in: sky130A_mr.drc:357
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 1067.00M
+"output" in: sky130A_mr.drc:357
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 1067.00M
+"separation" in: sky130A_mr.drc:358
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 1067.00M
+"output" in: sky130A_mr.drc:358
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 1067.00M
+END: 89/44 (capm)
+START: 97/44 (cap2m)
+"and" in: sky130A_mr.drc:363
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 1067.00M
+"sized" in: sky130A_mr.drc:363
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 1067.00M
+"width" in: sky130A_mr.drc:364
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 1067.00M
+"output" in: sky130A_mr.drc:364
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 1067.00M
+"space" in: sky130A_mr.drc:365
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 1067.00M
+"output" in: sky130A_mr.drc:365
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 1067.00M
+"interacting" in: sky130A_mr.drc:366
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 1067.00M
+"isolated" in: sky130A_mr.drc:366
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 1067.00M
+"output" in: sky130A_mr.drc:366
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 1067.00M
+"isolated" in: sky130A_mr.drc:368
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 1067.00M
+"output" in: sky130A_mr.drc:368
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 1067.00M
+"and" in: sky130A_mr.drc:369
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 1067.00M
+"enclosing" in: sky130A_mr.drc:369
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 1067.00M
+"output" in: sky130A_mr.drc:369
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 1067.00M
+"enclosing" in: sky130A_mr.drc:370
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 1067.00M
+"output" in: sky130A_mr.drc:370
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 1067.00M
+"enclosing" in: sky130A_mr.drc:371
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.020s  Memory: 1067.00M
+"output" in: sky130A_mr.drc:371
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 1067.00M
+"separation" in: sky130A_mr.drc:372
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 1067.00M
+"output" in: sky130A_mr.drc:372
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 1067.00M
+END: 97/44 (cap2m)
+FEOL section
+START: 75/20 (hvi)
+"not" in: sky130A_mr.drc:672
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 1067.00M
+"width" in: sky130A_mr.drc:673
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 1067.00M
+"output" in: sky130A_mr.drc:673
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 1067.00M
+"space" in: sky130A_mr.drc:674
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 1067.00M
+"output" in: sky130A_mr.drc:674
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 1067.00M
+END: 75/20 (hvi)
+START: 125/20 (hvntm)
+"not" in: sky130A_mr.drc:679
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 1067.00M
+"width" in: sky130A_mr.drc:680
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 1067.00M
+"output" in: sky130A_mr.drc:680
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 1067.00M
+"space" in: sky130A_mr.drc:681
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 1067.00M
+"output" in: sky130A_mr.drc:681
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 1067.00M
+END: 125/20 (hvntm)
+Writing report database: /home/krishna/Comparator_MPW6/precheck_results/04_JUN_2022___07_42_57/outputs/reports/klayout_feol_check.xml ..
+Total elapsed: 1.430s  Memory: 1067.00M
diff --git a/precheck_results/04_JUN_2022___07_42_57/logs/klayout_feol_check.total b/precheck_results/04_JUN_2022___07_42_57/logs/klayout_feol_check.total
new file mode 100644
index 0000000..c227083
--- /dev/null
+++ b/precheck_results/04_JUN_2022___07_42_57/logs/klayout_feol_check.total
@@ -0,0 +1 @@
+0
\ No newline at end of file
diff --git a/precheck_results/04_JUN_2022___07_42_57/logs/klayout_met_min_ca_density_check.log b/precheck_results/04_JUN_2022___07_42_57/logs/klayout_met_min_ca_density_check.log
new file mode 100644
index 0000000..26e623c
--- /dev/null
+++ b/precheck_results/04_JUN_2022___07_42_57/logs/klayout_met_min_ca_density_check.log
@@ -0,0 +1,79 @@
+"polygons" in: met_min_ca_density.lydrc:35
+    Polygons (raw): 616 (flat)  404 (hierarchical)
+    Elapsed: 0.000s  Memory: 522.00M
+"polygons" in: met_min_ca_density.lydrc:36
+    Polygons (raw): 1756 (flat)  1480 (hierarchical)
+    Elapsed: 0.010s  Memory: 522.00M
+"polygons" in: met_min_ca_density.lydrc:38
+    Polygons (raw): 369 (flat)  303 (hierarchical)
+    Elapsed: 0.000s  Memory: 522.00M
+"polygons" in: met_min_ca_density.lydrc:39
+    Polygons (raw): 2570 (flat)  2570 (hierarchical)
+    Elapsed: 0.010s  Memory: 522.00M
+"polygons" in: met_min_ca_density.lydrc:41
+    Polygons (raw): 1097 (flat)  1097 (hierarchical)
+    Elapsed: 0.010s  Memory: 522.00M
+"polygons" in: met_min_ca_density.lydrc:42
+    Polygons (raw): 1761 (flat)  1761 (hierarchical)
+    Elapsed: 0.000s  Memory: 522.00M
+"polygons" in: met_min_ca_density.lydrc:44
+    Polygons (raw): 645 (flat)  645 (hierarchical)
+    Elapsed: 0.010s  Memory: 522.00M
+"polygons" in: met_min_ca_density.lydrc:45
+    Polygons (raw): 25800 (flat)  25800 (hierarchical)
+    Elapsed: 0.010s  Memory: 523.00M
+"polygons" in: met_min_ca_density.lydrc:47
+    Polygons (raw): 182 (flat)  182 (hierarchical)
+    Elapsed: 0.010s  Memory: 523.00M
+"polygons" in: met_min_ca_density.lydrc:48
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 523.00M
+"polygons" in: met_min_ca_density.lydrc:49
+    Polygons (raw): 552 (flat)  552 (hierarchical)
+    Elapsed: 0.010s  Memory: 523.00M
+"polygons" in: met_min_ca_density.lydrc:51
+    Polygons (raw): 159 (flat)  159 (hierarchical)
+    Elapsed: 0.000s  Memory: 523.00M
+"input" in: met_min_ca_density.lydrc:53
+    Polygons (raw): 5 (flat)  4 (hierarchical)
+    Elapsed: 0.010s  Memory: 523.00M
+"area" in: met_min_ca_density.lydrc:55
+    Elapsed: 0.000s  Memory: 523.00M
+"polygons" in: met_min_ca_density.lydrc:59
+    Polygons (raw): 616 (flat)  404 (hierarchical)
+    Elapsed: 0.010s  Memory: 523.00M
+"area" in: met_min_ca_density.lydrc:59
+    Elapsed: 0.010s  Memory: 523.00M
+li1_ca_density is 0.9998144907840715
+"polygons" in: met_min_ca_density.lydrc:69
+    Polygons (raw): 369 (flat)  303 (hierarchical)
+    Elapsed: 0.000s  Memory: 523.00M
+"area" in: met_min_ca_density.lydrc:69
+    Elapsed: 0.010s  Memory: 523.00M
+m1_ca_density is 0.9997226470316392
+"polygons" in: met_min_ca_density.lydrc:79
+    Polygons (raw): 1097 (flat)  1097 (hierarchical)
+    Elapsed: 0.010s  Memory: 523.00M
+"area" in: met_min_ca_density.lydrc:79
+    Elapsed: 0.000s  Memory: 523.00M
+m2_ca_density is 0.999675358061566
+"polygons" in: met_min_ca_density.lydrc:89
+    Polygons (raw): 645 (flat)  645 (hierarchical)
+    Elapsed: 0.010s  Memory: 523.00M
+"area" in: met_min_ca_density.lydrc:89
+    Elapsed: 0.010s  Memory: 523.00M
+m3_ca_density is 0.9840553985007394
+"polygons" in: met_min_ca_density.lydrc:99
+    Polygons (raw): 182 (flat)  182 (hierarchical)
+    Elapsed: 0.000s  Memory: 523.00M
+"area" in: met_min_ca_density.lydrc:99
+    Elapsed: 0.010s  Memory: 523.00M
+m4_ca_density is 0.9791222472855697
+"polygons" in: met_min_ca_density.lydrc:109
+    Polygons (raw): 159 (flat)  159 (hierarchical)
+    Elapsed: 0.000s  Memory: 523.00M
+"area" in: met_min_ca_density.lydrc:109
+    Elapsed: 0.000s  Memory: 523.00M
+m5_ca_density is 0.9695929682440847
+Writing report database: /home/krishna/Comparator_MPW6/precheck_results/04_JUN_2022___07_42_57/outputs/reports/klayout_met_min_ca_density_check.xml ..
+Total elapsed: 0.170s  Memory: 522.00M
diff --git a/precheck_results/04_JUN_2022___07_42_57/logs/klayout_met_min_ca_density_check.total b/precheck_results/04_JUN_2022___07_42_57/logs/klayout_met_min_ca_density_check.total
new file mode 100644
index 0000000..c227083
--- /dev/null
+++ b/precheck_results/04_JUN_2022___07_42_57/logs/klayout_met_min_ca_density_check.total
@@ -0,0 +1 @@
+0
\ No newline at end of file
diff --git a/precheck_results/04_JUN_2022___07_42_57/logs/klayout_offgrid_check.log b/precheck_results/04_JUN_2022___07_42_57/logs/klayout_offgrid_check.log
new file mode 100644
index 0000000..b512a72
--- /dev/null
+++ b/precheck_results/04_JUN_2022___07_42_57/logs/klayout_offgrid_check.log
@@ -0,0 +1,755 @@
+/home/krishna/mpw_precheckmpw6/checks/tech-files/sky130A_mr.drc:38: warning: already initialized constant DRC::DRCEngine::FEOL
+/home/krishna/mpw_precheckmpw6/checks/tech-files/sky130A_mr.drc:28: warning: previous definition of FEOL was here
+/home/krishna/mpw_precheckmpw6/checks/tech-files/sky130A_mr.drc:44: warning: already initialized constant DRC::DRCEngine::BEOL
+/home/krishna/mpw_precheckmpw6/checks/tech-files/sky130A_mr.drc:29: warning: previous definition of BEOL was here
+/home/krishna/mpw_precheckmpw6/checks/tech-files/sky130A_mr.drc:48: warning: already initialized constant DRC::DRCEngine::OFFGRID
+/home/krishna/mpw_precheckmpw6/checks/tech-files/sky130A_mr.drc:30: warning: previous definition of OFFGRID was here
+/home/krishna/mpw_precheckmpw6/checks/tech-files/sky130A_mr.drc:56: warning: already initialized constant DRC::DRCEngine::SEAL
+/home/krishna/mpw_precheckmpw6/checks/tech-files/sky130A_mr.drc:31: warning: previous definition of SEAL was here
+/home/krishna/mpw_precheckmpw6/checks/tech-files/sky130A_mr.drc:62: warning: already initialized constant DRC::DRCEngine::FLOATING_MET
+/home/krishna/mpw_precheckmpw6/checks/tech-files/sky130A_mr.drc:32: warning: previous definition of FLOATING_MET was here
+"input" in: sky130A_mr.drc:112
+    Polygons (raw): 62 (flat)  25 (hierarchical)
+    Elapsed: 0.010s  Memory: 522.00M
+"polygons" in: sky130A_mr.drc:113
+    Polygons (raw): 38 (flat)  30 (hierarchical)
+    Elapsed: 0.000s  Memory: 522.00M
+"polygons" in: sky130A_mr.drc:114
+    Polygons (raw): 89 (flat)  69 (hierarchical)
+    Elapsed: 0.010s  Memory: 522.00M
+"polygons" in: sky130A_mr.drc:115
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 522.00M
+"polygons" in: sky130A_mr.drc:116
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 522.00M
+"polygons" in: sky130A_mr.drc:117
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 522.00M
+"polygons" in: sky130A_mr.drc:118
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 522.00M
+"polygons" in: sky130A_mr.drc:119
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 522.00M
+"polygons" in: sky130A_mr.drc:120
+    Polygons (raw): 10 (flat)  2 (hierarchical)
+    Elapsed: 0.010s  Memory: 522.00M
+"polygons" in: sky130A_mr.drc:121
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 522.00M
+"polygons" in: sky130A_mr.drc:122
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 522.00M
+"polygons" in: sky130A_mr.drc:123
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 522.00M
+"polygons" in: sky130A_mr.drc:124
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 522.00M
+"polygons" in: sky130A_mr.drc:125
+    Polygons (raw): 202 (flat)  116 (hierarchical)
+    Elapsed: 0.000s  Memory: 522.00M
+"polygons" in: sky130A_mr.drc:126
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 522.00M
+"polygons" in: sky130A_mr.drc:127
+    Polygons (raw): 62 (flat)  43 (hierarchical)
+    Elapsed: 0.000s  Memory: 522.00M
+"polygons" in: sky130A_mr.drc:128
+    Polygons (raw): 52 (flat)  26 (hierarchical)
+    Elapsed: 0.010s  Memory: 522.00M
+"polygons" in: sky130A_mr.drc:129
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 522.00M
+"polygons" in: sky130A_mr.drc:130
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 522.00M
+"polygons" in: sky130A_mr.drc:131
+    Polygons (raw): 33 (flat)  24 (hierarchical)
+    Elapsed: 0.000s  Memory: 522.00M
+"polygons" in: sky130A_mr.drc:132
+    Polygons (raw): 907 (flat)  291 (hierarchical)
+    Elapsed: 0.010s  Memory: 522.00M
+"polygons" in: sky130A_mr.drc:134
+    Polygons (raw): 566 (flat)  394 (hierarchical)
+    Elapsed: 0.000s  Memory: 522.00M
+"polygons" in: sky130A_mr.drc:135
+    Polygons (raw): 1756 (flat)  1480 (hierarchical)
+    Elapsed: 0.010s  Memory: 522.00M
+"polygons" in: sky130A_mr.drc:137
+    Polygons (raw): 349 (flat)  299 (hierarchical)
+    Elapsed: 0.010s  Memory: 522.00M
+"polygons" in: sky130A_mr.drc:138
+    Polygons (raw): 2570 (flat)  2570 (hierarchical)
+    Elapsed: 0.000s  Memory: 522.00M
+"polygons" in: sky130A_mr.drc:140
+    Polygons (raw): 603 (flat)  603 (hierarchical)
+    Elapsed: 0.010s  Memory: 522.00M
+"polygons" in: sky130A_mr.drc:141
+    Polygons (raw): 1761 (flat)  1761 (hierarchical)
+    Elapsed: 0.000s  Memory: 522.00M
+"polygons" in: sky130A_mr.drc:143
+    Polygons (raw): 461 (flat)  461 (hierarchical)
+    Elapsed: 0.010s  Memory: 522.00M
+"polygons" in: sky130A_mr.drc:144
+    Polygons (raw): 25800 (flat)  25800 (hierarchical)
+    Elapsed: 0.010s  Memory: 523.00M
+"polygons" in: sky130A_mr.drc:146
+    Polygons (raw): 178 (flat)  178 (hierarchical)
+    Elapsed: 0.000s  Memory: 523.00M
+"polygons" in: sky130A_mr.drc:147
+    Polygons (raw): 552 (flat)  552 (hierarchical)
+    Elapsed: 0.010s  Memory: 523.00M
+"polygons" in: sky130A_mr.drc:149
+    Polygons (raw): 153 (flat)  153 (hierarchical)
+    Elapsed: 0.000s  Memory: 523.00M
+"polygons" in: sky130A_mr.drc:151
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 523.00M
+"polygons" in: sky130A_mr.drc:152
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 523.00M
+"polygons" in: sky130A_mr.drc:153
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 523.00M
+"polygons" in: sky130A_mr.drc:154
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 523.00M
+"polygons" in: sky130A_mr.drc:155
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 523.00M
+"polygons" in: sky130A_mr.drc:156
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 523.00M
+"polygons" in: sky130A_mr.drc:157
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 523.00M
+"polygons" in: sky130A_mr.drc:158
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 523.00M
+"polygons" in: sky130A_mr.drc:159
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 523.00M
+"polygons" in: sky130A_mr.drc:160
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 523.00M
+"polygons" in: sky130A_mr.drc:161
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 523.00M
+"polygons" in: sky130A_mr.drc:162
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 523.00M
+"polygons" in: sky130A_mr.drc:163
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 523.00M
+"polygons" in: sky130A_mr.drc:164
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 523.00M
+"polygons" in: sky130A_mr.drc:165
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 523.00M
+"polygons" in: sky130A_mr.drc:166
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 523.00M
+"polygons" in: sky130A_mr.drc:167
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 523.00M
+"polygons" in: sky130A_mr.drc:168
+    Polygons (raw): 10 (flat)  2 (hierarchical)
+    Elapsed: 0.000s  Memory: 523.00M
+"polygons" in: sky130A_mr.drc:169
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 523.00M
+"polygons" in: sky130A_mr.drc:170
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 523.00M
+"polygons" in: sky130A_mr.drc:171
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 523.00M
+"polygons" in: sky130A_mr.drc:172
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 523.00M
+"polygons" in: sky130A_mr.drc:173
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 523.00M
+"polygons" in: sky130A_mr.drc:174
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 523.00M
+"polygons" in: sky130A_mr.drc:175
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 523.00M
+"polygons" in: sky130A_mr.drc:176
+    Polygons (raw): 1 (flat)  1 (hierarchical)
+    Elapsed: 0.010s  Memory: 523.00M
+"polygons" in: sky130A_mr.drc:177
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 523.00M
+"polygons" in: sky130A_mr.drc:178
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 523.00M
+"polygons" in: sky130A_mr.drc:179
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 523.00M
+"polygons" in: sky130A_mr.drc:180
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 523.00M
+"polygons" in: sky130A_mr.drc:181
+    Polygons (raw): 4 (flat)  3 (hierarchical)
+    Elapsed: 0.000s  Memory: 523.00M
+"polygons" in: sky130A_mr.drc:182
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 523.00M
+"polygons" in: sky130A_mr.drc:183
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 523.00M
+"polygons" in: sky130A_mr.drc:184
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 523.00M
+"polygons" in: sky130A_mr.drc:185
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 523.00M
+"polygons" in: sky130A_mr.drc:186
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 523.00M
+"polygons" in: sky130A_mr.drc:187
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 523.00M
+"polygons" in: sky130A_mr.drc:188
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 523.00M
+"polygons" in: sky130A_mr.drc:189
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 523.00M
+"polygons" in: sky130A_mr.drc:190
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 523.00M
+"polygons" in: sky130A_mr.drc:191
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 523.00M
+"polygons" in: sky130A_mr.drc:192
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 523.00M
+"polygons" in: sky130A_mr.drc:193
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 523.00M
+"polygons" in: sky130A_mr.drc:194
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 523.00M
+"polygons" in: sky130A_mr.drc:195
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 523.00M
+"polygons" in: sky130A_mr.drc:196
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 523.00M
+"polygons" in: sky130A_mr.drc:197
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 523.00M
+"polygons" in: sky130A_mr.drc:198
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 523.00M
+"polygons" in: sky130A_mr.drc:199
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 523.00M
+"polygons" in: sky130A_mr.drc:200
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 523.00M
+"polygons" in: sky130A_mr.drc:201
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 523.00M
+"polygons" in: sky130A_mr.drc:202
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 523.00M
+"polygons" in: sky130A_mr.drc:203
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 523.00M
+DRC section
+OFFGRID-ANGLES section
+"ongrid" in: sky130A_mr.drc:690
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 523.00M
+"output" in: sky130A_mr.drc:690
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 523.00M
+"with_angle" in: sky130A_mr.drc:691
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 523.00M
+"output" in: sky130A_mr.drc:691
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 523.00M
+"ongrid" in: sky130A_mr.drc:692
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 523.00M
+"output" in: sky130A_mr.drc:692
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 523.00M
+"with_angle" in: sky130A_mr.drc:693
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 523.00M
+"output" in: sky130A_mr.drc:693
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 523.00M
+"ongrid" in: sky130A_mr.drc:694
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 523.00M
+"output" in: sky130A_mr.drc:694
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 523.00M
+"with_angle" in: sky130A_mr.drc:695
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 523.00M
+"output" in: sky130A_mr.drc:695
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 523.00M
+"ongrid" in: sky130A_mr.drc:696
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 523.00M
+"output" in: sky130A_mr.drc:696
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 523.00M
+"with_angle" in: sky130A_mr.drc:697
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 523.00M
+"output" in: sky130A_mr.drc:697
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 523.00M
+"ongrid" in: sky130A_mr.drc:698
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 523.00M
+"output" in: sky130A_mr.drc:698
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 523.00M
+"with_angle" in: sky130A_mr.drc:699
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 523.00M
+"output" in: sky130A_mr.drc:699
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 523.00M
+"ongrid" in: sky130A_mr.drc:700
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 523.00M
+"output" in: sky130A_mr.drc:700
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 523.00M
+"with_angle" in: sky130A_mr.drc:701
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 523.00M
+"output" in: sky130A_mr.drc:701
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 523.00M
+"ongrid" in: sky130A_mr.drc:702
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 523.00M
+"output" in: sky130A_mr.drc:702
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 523.00M
+"with_angle" in: sky130A_mr.drc:703
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 523.00M
+"output" in: sky130A_mr.drc:703
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 523.00M
+"ongrid" in: sky130A_mr.drc:704
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 523.00M
+"output" in: sky130A_mr.drc:704
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 523.00M
+"with_angle" in: sky130A_mr.drc:705
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 523.00M
+"output" in: sky130A_mr.drc:705
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 523.00M
+"ongrid" in: sky130A_mr.drc:706
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 523.00M
+"output" in: sky130A_mr.drc:706
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 523.00M
+"ongrid" in: sky130A_mr.drc:707
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 523.00M
+"output" in: sky130A_mr.drc:707
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 523.00M
+"and" in: sky130A_mr.drc:708
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 523.00M
+"not" in: sky130A_mr.drc:708
+    Polygons (raw): 62 (flat)  25 (hierarchical)
+    Elapsed: 0.010s  Memory: 523.00M
+"with_angle" in: sky130A_mr.drc:708
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 523.00M
+"output" in: sky130A_mr.drc:708
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 523.00M
+"and" in: sky130A_mr.drc:709
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 523.00M
+"and" in: sky130A_mr.drc:709
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 523.00M
+"with_angle" in: sky130A_mr.drc:709
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 523.00M
+"output" in: sky130A_mr.drc:709
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 523.00M
+"and" in: sky130A_mr.drc:710
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 523.00M
+"not" in: sky130A_mr.drc:710
+    Polygons (raw): 38 (flat)  30 (hierarchical)
+    Elapsed: 0.010s  Memory: 523.00M
+"with_angle" in: sky130A_mr.drc:710
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 523.00M
+"output" in: sky130A_mr.drc:710
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 523.00M
+"and" in: sky130A_mr.drc:711
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 523.00M
+"and" in: sky130A_mr.drc:711
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 523.00M
+"with_angle" in: sky130A_mr.drc:711
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 523.00M
+"output" in: sky130A_mr.drc:711
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 523.00M
+"ongrid" in: sky130A_mr.drc:712
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 523.00M
+"output" in: sky130A_mr.drc:712
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 523.00M
+"with_angle" in: sky130A_mr.drc:713
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 523.00M
+"output" in: sky130A_mr.drc:713
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 523.00M
+"ongrid" in: sky130A_mr.drc:714
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 523.00M
+"output" in: sky130A_mr.drc:714
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 523.00M
+"with_angle" in: sky130A_mr.drc:715
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.020s  Memory: 523.00M
+"output" in: sky130A_mr.drc:715
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 523.00M
+"ongrid" in: sky130A_mr.drc:716
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 523.00M
+"output" in: sky130A_mr.drc:716
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 523.00M
+"with_angle" in: sky130A_mr.drc:717
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 523.00M
+"output" in: sky130A_mr.drc:717
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 523.00M
+"ongrid" in: sky130A_mr.drc:718
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 523.00M
+"output" in: sky130A_mr.drc:718
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 523.00M
+"with_angle" in: sky130A_mr.drc:719
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 523.00M
+"output" in: sky130A_mr.drc:719
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 523.00M
+"ongrid" in: sky130A_mr.drc:720
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 523.00M
+"output" in: sky130A_mr.drc:720
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 523.00M
+"with_angle" in: sky130A_mr.drc:721
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 523.00M
+"output" in: sky130A_mr.drc:721
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 523.00M
+"ongrid" in: sky130A_mr.drc:722
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 523.00M
+"output" in: sky130A_mr.drc:722
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 523.00M
+"with_angle" in: sky130A_mr.drc:723
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 523.00M
+"output" in: sky130A_mr.drc:723
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 523.00M
+"ongrid" in: sky130A_mr.drc:724
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 523.00M
+"output" in: sky130A_mr.drc:724
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 523.00M
+"with_angle" in: sky130A_mr.drc:725
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 523.00M
+"output" in: sky130A_mr.drc:725
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 523.00M
+"ongrid" in: sky130A_mr.drc:726
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 523.00M
+"output" in: sky130A_mr.drc:726
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 523.00M
+"with_angle" in: sky130A_mr.drc:727
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 523.00M
+"output" in: sky130A_mr.drc:727
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 523.00M
+"ongrid" in: sky130A_mr.drc:728
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 523.00M
+"output" in: sky130A_mr.drc:728
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 523.00M
+"with_angle" in: sky130A_mr.drc:729
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 523.00M
+"output" in: sky130A_mr.drc:729
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 523.00M
+"ongrid" in: sky130A_mr.drc:730
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 523.00M
+"output" in: sky130A_mr.drc:730
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 523.00M
+"with_angle" in: sky130A_mr.drc:731
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 523.00M
+"output" in: sky130A_mr.drc:731
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 523.00M
+"ongrid" in: sky130A_mr.drc:732
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 523.00M
+"output" in: sky130A_mr.drc:732
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 523.00M
+"with_angle" in: sky130A_mr.drc:733
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 523.00M
+"output" in: sky130A_mr.drc:733
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 523.00M
+"ongrid" in: sky130A_mr.drc:734
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 524.00M
+"output" in: sky130A_mr.drc:734
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 524.00M
+"with_angle" in: sky130A_mr.drc:735
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 524.00M
+"output" in: sky130A_mr.drc:735
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 524.00M
+"ongrid" in: sky130A_mr.drc:736
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 524.00M
+"output" in: sky130A_mr.drc:736
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 524.00M
+"with_angle" in: sky130A_mr.drc:737
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 524.00M
+"output" in: sky130A_mr.drc:737
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 524.00M
+"ongrid" in: sky130A_mr.drc:738
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.020s  Memory: 524.00M
+"output" in: sky130A_mr.drc:738
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 524.00M
+"with_angle" in: sky130A_mr.drc:739
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 524.00M
+"output" in: sky130A_mr.drc:739
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 524.00M
+"ongrid" in: sky130A_mr.drc:740
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 524.00M
+"output" in: sky130A_mr.drc:740
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 524.00M
+"with_angle" in: sky130A_mr.drc:741
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 524.00M
+"output" in: sky130A_mr.drc:741
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 524.00M
+"ongrid" in: sky130A_mr.drc:742
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.130s  Memory: 530.00M
+"output" in: sky130A_mr.drc:742
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 530.00M
+"with_angle" in: sky130A_mr.drc:743
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.020s  Memory: 530.00M
+"output" in: sky130A_mr.drc:743
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 530.00M
+"ongrid" in: sky130A_mr.drc:744
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 530.00M
+"output" in: sky130A_mr.drc:744
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 530.00M
+"with_angle" in: sky130A_mr.drc:745
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 530.00M
+"output" in: sky130A_mr.drc:745
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 530.00M
+"ongrid" in: sky130A_mr.drc:746
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 530.00M
+"output" in: sky130A_mr.drc:746
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 530.00M
+"with_angle" in: sky130A_mr.drc:747
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 530.00M
+"output" in: sky130A_mr.drc:747
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 530.00M
+"ongrid" in: sky130A_mr.drc:748
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 530.00M
+"output" in: sky130A_mr.drc:748
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 530.00M
+"with_angle" in: sky130A_mr.drc:749
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 530.00M
+"output" in: sky130A_mr.drc:749
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 530.00M
+"ongrid" in: sky130A_mr.drc:750
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 530.00M
+"output" in: sky130A_mr.drc:750
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 530.00M
+"with_angle" in: sky130A_mr.drc:751
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 530.00M
+"output" in: sky130A_mr.drc:751
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 530.00M
+"ongrid" in: sky130A_mr.drc:752
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 530.00M
+"output" in: sky130A_mr.drc:752
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 530.00M
+"with_angle" in: sky130A_mr.drc:753
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 530.00M
+"output" in: sky130A_mr.drc:753
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 530.00M
+"ongrid" in: sky130A_mr.drc:754
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 530.00M
+"output" in: sky130A_mr.drc:754
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 530.00M
+"with_angle" in: sky130A_mr.drc:755
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 530.00M
+"output" in: sky130A_mr.drc:755
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 530.00M
+"ongrid" in: sky130A_mr.drc:756
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 530.00M
+"output" in: sky130A_mr.drc:756
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 530.00M
+"with_angle" in: sky130A_mr.drc:757
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 530.00M
+"output" in: sky130A_mr.drc:757
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 530.00M
+"ongrid" in: sky130A_mr.drc:758
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 530.00M
+"output" in: sky130A_mr.drc:758
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 530.00M
+"with_angle" in: sky130A_mr.drc:759
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 530.00M
+"output" in: sky130A_mr.drc:759
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 530.00M
+"ongrid" in: sky130A_mr.drc:760
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 530.00M
+"output" in: sky130A_mr.drc:760
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 530.00M
+"with_angle" in: sky130A_mr.drc:761
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 530.00M
+"output" in: sky130A_mr.drc:761
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 530.00M
+"ongrid" in: sky130A_mr.drc:762
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 530.00M
+"output" in: sky130A_mr.drc:762
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 530.00M
+"with_angle" in: sky130A_mr.drc:763
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 530.00M
+"output" in: sky130A_mr.drc:763
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 530.00M
+"ongrid" in: sky130A_mr.drc:764
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 530.00M
+"output" in: sky130A_mr.drc:764
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 530.00M
+"with_angle" in: sky130A_mr.drc:765
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 530.00M
+"output" in: sky130A_mr.drc:765
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 530.00M
+"ongrid" in: sky130A_mr.drc:766
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 530.00M
+"output" in: sky130A_mr.drc:766
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 530.00M
+Writing report database: /home/krishna/Comparator_MPW6/precheck_results/04_JUN_2022___07_42_57/outputs/reports/klayout_offgrid_check.xml ..
+Total elapsed: 1.470s  Memory: 530.00M
diff --git a/precheck_results/04_JUN_2022___07_42_57/logs/klayout_offgrid_check.total b/precheck_results/04_JUN_2022___07_42_57/logs/klayout_offgrid_check.total
new file mode 100644
index 0000000..c227083
--- /dev/null
+++ b/precheck_results/04_JUN_2022___07_42_57/logs/klayout_offgrid_check.total
@@ -0,0 +1 @@
+0
\ No newline at end of file
diff --git a/precheck_results/04_JUN_2022___07_42_57/logs/klayout_pin_label_purposes_overlapping_drawing_check.log b/precheck_results/04_JUN_2022___07_42_57/logs/klayout_pin_label_purposes_overlapping_drawing_check.log
new file mode 100644
index 0000000..faff2ae
--- /dev/null
+++ b/precheck_results/04_JUN_2022___07_42_57/logs/klayout_pin_label_purposes_overlapping_drawing_check.log
@@ -0,0 +1,31 @@
+Running pin_label_purposes_overlapping_drawing.rb.drc on file=/home/krishna/Comparator_MPW6/gds/user_analog_project_wrapper.gds, topcell=user_analog_project_wrapper, output to /home/krishna/Comparator_MPW6/precheck_results/04_JUN_2022___07_42_57/outputs/reports/klayout_pin_label_purposes_overlapping_drawing_check.xml
+  deep:true tiled:false threads:12
+--- #err|description, table for cell: user_analog_project_wrapper
+NO-Check ----        pwell:64/44/EMP   122/16/dat    64/59/EMP    44/16/EMP     44/5/EMP
+         ----        nwell:64/20/dat    64/16/dat     64/5/EMP
+         ----         diff:65/20/dat    65/16/EMP     65/6/EMP
+         ----          tap:65/44/dat    65/48/EMP     65/5/EMP
+         ----         poly:66/20/dat    66/16/EMP     66/5/EMP
+         ----       licon1:66/44/dat    66/58/EMP
+         ----          li1:67/20/dat    67/16/dat     67/5/EMP
+         ----         mcon:67/44/dat    67/48/EMP
+         ----         met1:68/20/dat    68/16/dat     68/5/EMP
+         ----          via:68/44/dat    68/58/EMP
+         ----         met2:69/20/dat    69/16/dat     69/5/EMP
+         ----         via2:69/44/dat    69/58/EMP
+       1 70/16: met3, pin/label not-over drawing:70/20
+         ----         met3:70/20/dat    70/16/dat     70/5/EMP
+         ----         via3:70/44/dat    70/48/EMP
+         ----         met4:71/20/dat    71/16/dat     71/5/EMP
+         ----         via4:71/44/dat    71/48/EMP
+       1 72/16: met5, pin/label not-over drawing:72/20
+         ----         met5:72/20/dat    72/16/dat     72/5/EMP
+         ----          pad:76/20/EMP     76/5/EMP    76/16/EMP
+         ----          pnp:82/44/EMP    82/59/EMP
+         ----          npn:82/20/EMP     82/5/EMP
+         ----          rdl:74/20/EMP    74/16/EMP     74/5/EMP
+         ----     inductor:82/24/EMP    82/25/EMP
+       2 total error(s) among 2 error type(s), 33 checks, cell: user_analog_project_wrapper
+Writing report...
+VmPeak:	 2369884 kB
+VmHWM:	  257688 kB
diff --git a/precheck_results/04_JUN_2022___07_42_57/logs/klayout_pin_label_purposes_overlapping_drawing_check.total b/precheck_results/04_JUN_2022___07_42_57/logs/klayout_pin_label_purposes_overlapping_drawing_check.total
new file mode 100644
index 0000000..d8263ee
--- /dev/null
+++ b/precheck_results/04_JUN_2022___07_42_57/logs/klayout_pin_label_purposes_overlapping_drawing_check.total
@@ -0,0 +1 @@
+2
\ No newline at end of file
diff --git a/precheck_results/04_JUN_2022___07_42_57/logs/klayout_zeroarea_check.log b/precheck_results/04_JUN_2022___07_42_57/logs/klayout_zeroarea_check.log
new file mode 100644
index 0000000..a85e496
--- /dev/null
+++ b/precheck_results/04_JUN_2022___07_42_57/logs/klayout_zeroarea_check.log
@@ -0,0 +1,4 @@
+0 zero-area shapes
+writing to /home/krishna/Comparator_MPW6/precheck_results/04_JUN_2022___07_42_57/outputs/user_analog_project_wrapper_no_zero_areas.gds
+VmPeak:	  542076 kB
+VmHWM:	  261008 kB
diff --git a/precheck_results/04_JUN_2022___07_42_57/logs/klayout_zeroarea_check.total b/precheck_results/04_JUN_2022___07_42_57/logs/klayout_zeroarea_check.total
new file mode 100644
index 0000000..c227083
--- /dev/null
+++ b/precheck_results/04_JUN_2022___07_42_57/logs/klayout_zeroarea_check.total
@@ -0,0 +1 @@
+0
\ No newline at end of file
diff --git a/precheck_results/04_JUN_2022___07_42_57/logs/magic_drc_check.log b/precheck_results/04_JUN_2022___07_42_57/logs/magic_drc_check.log
new file mode 100644
index 0000000..8219a66
--- /dev/null
+++ b/precheck_results/04_JUN_2022___07_42_57/logs/magic_drc_check.log
@@ -0,0 +1,30 @@
+
+Magic 8.3 revision 274 - Compiled on Fri Mar  4 22:54:15 UTC 2022.
+Starting magic under Tcl interpreter
+Using the terminal as the console.
+Using NULL graphics device.
+Processing system .magicrc file
+Sourcing design .magicrc for technology sky130A ...
+2 Magic internal units = 1 Lambda
+Could not find file '/usr/local/share/pdk/sky130A/sky130A/libs.tech/magic/sky130A.tech' in any of these directories:
+         . $CAD_ROOT/magic/sys $CAD_ROOT/magic/sys/current
+Error parsing "/home/krishna/mpw_precheckmpw6/checks/tech-files/sky130A.magicrc": couldn't read file "/usr/local/share/pdk/sky130A/sky130A/libs.tech/magic/sky130A.tcl": no such file or directory
+Bad local startup file "/home/krishna/mpw_precheckmpw6/checks/tech-files/sky130A.magicrc", continuing without.
+Scaled tech values by 2 / 1 to match internal grid scaling
+Loading "/home/krishna/mpw_precheckmpw6/checks/drc_checks/magic/magic_drc_check.tcl" from command line.
+Don't know how to read GDS-II:
+Nothing in "cifinput" section of tech file.
+[INFO]: Loading user_analog_project_wrapper
+
+File user_analog_project_wrapper.mag couldn't be read
+No such file or directory
+Creating new cell
+"drc(full)" is not one of the DRC styles Magic knows.
+The current style is "default".
+The DRC styles are: default.
+No errors found.
+[INFO]: COUNT: 0
+[INFO]: Should be divided by 3 or 4
+[INFO]: DRC Checking DONE (/home/krishna/Comparator_MPW6/precheck_results/04_JUN_2022___07_42_57/outputs/reports/magic_drc_check.drc.report)
+[INFO]: Saving mag view with DRC errors(/home/krishna/Comparator_MPW6/precheck_results/04_JUN_2022___07_42_57/outputs/user_analog_project_wrapper.magic.drc.mag)
+[INFO]: Saved
diff --git a/precheck_results/04_JUN_2022___07_42_57/logs/magic_drc_check.total b/precheck_results/04_JUN_2022___07_42_57/logs/magic_drc_check.total
new file mode 100644
index 0000000..c227083
--- /dev/null
+++ b/precheck_results/04_JUN_2022___07_42_57/logs/magic_drc_check.total
@@ -0,0 +1 @@
+0
\ No newline at end of file
diff --git a/precheck_results/04_JUN_2022___07_42_57/logs/pdks.info b/precheck_results/04_JUN_2022___07_42_57/logs/pdks.info
new file mode 100644
index 0000000..3072015
--- /dev/null
+++ b/precheck_results/04_JUN_2022___07_42_57/logs/pdks.info
@@ -0,0 +1,2 @@
+Open PDKs a3d6ffea8022332b859daa454cef7ee7131c5181
+Skywater PDK f70d8ca46961ff92719d8870a18a076370b85f6c
\ No newline at end of file
diff --git a/precheck_results/04_JUN_2022___07_42_57/logs/precheck.log b/precheck_results/04_JUN_2022___07_42_57/logs/precheck.log
new file mode 100644
index 0000000..ebeb239
--- /dev/null
+++ b/precheck_results/04_JUN_2022___07_42_57/logs/precheck.log
@@ -0,0 +1,60 @@
+2022-06-04 07:42:57 - [INFO] - {{EXTRACTING FILES}} Extracting compressed files in: /home/krishna/Comparator_MPW6
+2022-06-04 07:42:57 - [INFO] - {{Project Type Info}} analog
+2022-06-04 07:42:57 - [INFO] - {{Project GDS Info}} user_analog_project_wrapper: 9ad4bdc5c0828b135490ecda58b2a75175ea8c70
+2022-06-04 07:42:57 - [INFO] - {{Tools Info}} KLayout: v0.27.8 | Magic: v8.3.274
+2022-06-04 07:42:57 - [INFO] - {{PDKs Info}} Open PDKs: a3d6ffea8022332b859daa454cef7ee7131c5181 | Skywater PDK: f70d8ca46961ff92719d8870a18a076370b85f6c
+2022-06-04 07:42:57 - [INFO] - {{START}} Precheck Started, the full log 'precheck.log' will be located in '/home/krishna/Comparator_MPW6/precheck_results/04_JUN_2022___07_42_57/logs'
+2022-06-04 07:42:57 - [INFO] - {{PRECHECK SEQUENCE}} Precheck will run the following checks: [License, Makefile, Default, Documentation, Consistency, XOR, Magic DRC, Klayout FEOL, Klayout BEOL, Klayout Offgrid, Klayout Metal Minimum Clear Area Density, Klayout Pin Label Purposes Overlapping Drawing, Klayout ZeroArea]
+2022-06-04 07:42:57 - [INFO] - {{STEP UPDATE}} Executing Check 1 of 13: License
+2022-06-04 07:42:58 - [INFO] - An approved LICENSE (Apache-2.0) was found in /home/krishna/Comparator_MPW6.
+2022-06-04 07:42:58 - [INFO] - {{MAIN LICENSE CHECK PASSED}} An approved LICENSE was found in project root.
+2022-06-04 07:42:59 - [INFO] - An approved LICENSE (Apache-2.0) was found in /home/krishna/Comparator_MPW6.
+2022-06-04 07:42:59 - [INFO] - {{SUBMODULES LICENSE CHECK PASSED}} No prohibited LICENSE file(s) was found in project submodules
+2022-06-04 07:42:59 - [WARNING] - {{SPDX COMPLIANCE CHECK FAILED}} Found 131 non-compliant file(s) with the SPDX Standard.
+2022-06-04 07:42:59 - [INFO] - SPDX COMPLIANCE: NON-COMPLIANT FILE(S) PREVIEW: ['/home/krishna/Comparator_MPW6/Makefile', '/home/krishna/Comparator_MPW6/.spiceinit', '/home/krishna/Comparator_MPW6/verilog/dv/Makefile', '/home/krishna/Comparator_MPW6/verilog/dv/mprj_por/mprj_por.c', '/home/krishna/Comparator_MPW6/verilog/dv/mprj_por/mprj_por_tb.v', '/home/krishna/Comparator_MPW6/verilog/dv/mprj_por/Makefile', '/home/krishna/Comparator_MPW6/verilog/rtl/uprj_analog_netlists.v', '/home/krishna/Comparator_MPW6/verilog/rtl/example_por.v', '/home/krishna/Comparator_MPW6/verilog/rtl/user_analog_proj_example.v', '/home/krishna/Comparator_MPW6/verilog/rtl/user_analog_project_wrapper.v', '/home/krishna/Comparator_MPW6/verilog/rtl/comparator.v', '/home/krishna/Comparator_MPW6/precheck_results/01_JUN_2022___10_26_55/outputs/reports/magic_drc_check.drc.report', '/home/krishna/Comparator_MPW6/precheck_results/01_JUN_2022___10_26_55/logs/klayout_zeroarea_check.total', '/home/krishna/Comparator_MPW6/precheck_results/01_JUN_2022___10_26_55/logs/tools.info', '/home/krishna/Comparator_MPW6/precheck_results/01_JUN_2022___10_26_55/logs/klayout_beol_check.total']
+2022-06-04 07:42:59 - [INFO] - For the full SPDX compliance report check: /home/krishna/Comparator_MPW6/precheck_results/04_JUN_2022___07_42_57/logs/spdx_compliance_report.log
+2022-06-04 07:42:59 - [INFO] - {{STEP UPDATE}} Executing Check 2 of 13: Makefile
+2022-06-04 07:42:59 - [INFO] - {{MAKEFILE CHECK PASSED}} Makefile valid.
+2022-06-04 07:42:59 - [INFO] - {{STEP UPDATE}} Executing Check 3 of 13: Default
+2022-06-04 07:42:59 - [INFO] - {{README DEFAULT CHECK PASSED}} Project 'README.md' was modified and is not identical to the default 'README.md'
+2022-06-04 07:42:59 - [INFO] - {{CONTENT DEFAULT CHECK PASSED}} Project 'gds' was modified and is not identical to the default 'gds'
+2022-06-04 07:42:59 - [INFO] - {{STEP UPDATE}} Executing Check 4 of 13: Documentation
+2022-06-04 07:42:59 - [INFO] - {{DOCUMENTATION CHECK PASSED}} Project documentation is appropriate.
+2022-06-04 07:42:59 - [INFO] - {{STEP UPDATE}} Executing Check 5 of 13: Consistency
+2022-06-04 07:43:01 - [INFO] - HIERARCHY CHECK PASSED: Module user_analog_project_wrapper is instantiated in caravan. 
+2022-06-04 07:43:01 - [INFO] - COMPLEXITY CHECK PASSED: Netlist caravan contains at least 8 instances (68 instances). 
+2022-06-04 07:43:01 - [INFO] - MODELING CHECK PASSED: Netlist caravan is structural.
+2022-06-04 07:43:01 - [INFO] - SUBMODULE HOOKS CHECK PASSED: All module ports for user_analog_project_wrapper are correctly connected in the top level netlist caravan.
+2022-06-04 07:43:01 - [INFO] - {{NETLIST CONSISTENCY CHECK PASSED}} caravan netlist passed all consistency checks.
+2022-06-04 07:43:01 - [INFO] - PORTS CHECK PASSED: Netlist user_analog_project_wrapper ports match the golden wrapper ports
+2022-06-04 07:43:01 - [INFO] - COMPLEXITY CHECK PASSED: Netlist user_analog_project_wrapper contains at least 1 instances (19 instances). 
+2022-06-04 07:43:01 - [INFO] - MODELING CHECK PASSED: Netlist user_analog_project_wrapper is structural.
+2022-06-04 07:43:01 - [INFO] - LAYOUT CHECK PASSED: The GDS layout for user_analog_project_wrapper matches the provided structural netlist.
+2022-06-04 07:43:01 - [INFO] - {{NETLIST CONSISTENCY CHECK PASSED}} user_analog_project_wrapper netlist passed all consistency checks.
+2022-06-04 07:43:01 - [INFO] - {{CONSISTENCY CHECK PASSED}} The user netlist and the top netlist are valid.
+2022-06-04 07:43:01 - [INFO] - {{STEP UPDATE}} Executing Check 6 of 13: XOR
+2022-06-04 07:43:02 - [ERROR] - XOR CHECK FILE NOT FOUND in /home/krishna/Comparator_MPW6/precheck_results/04_JUN_2022___07_42_57/logs/xor_check.total
+2022-06-04 07:43:02 - [WARNING] - {{XOR CHECK FAILED}} The GDS file has non-conforming geometries.
+2022-06-04 07:43:02 - [INFO] - {{STEP UPDATE}} Executing Check 7 of 13: Magic DRC
+2022-06-04 07:43:02 - [INFO] - 0 DRC violations
+2022-06-04 07:43:02 - [INFO] - {{MAGIC DRC CHECK PASSED}} The GDS file, user_analog_project_wrapper.gds, has no DRC violations.
+2022-06-04 07:43:02 - [INFO] - {{STEP UPDATE}} Executing Check 8 of 13: Klayout FEOL
+2022-06-04 07:43:05 - [INFO] - No DRC Violations found
+2022-06-04 07:43:05 - [INFO] - {{Klayout FEOL CHECK PASSED}} The GDS file, user_analog_project_wrapper.gds, has no DRC violations.
+2022-06-04 07:43:05 - [INFO] - {{STEP UPDATE}} Executing Check 9 of 13: Klayout BEOL
+2022-06-04 07:43:09 - [INFO] - No DRC Violations found
+2022-06-04 07:43:09 - [INFO] - {{Klayout BEOL CHECK PASSED}} The GDS file, user_analog_project_wrapper.gds, has no DRC violations.
+2022-06-04 07:43:09 - [INFO] - {{STEP UPDATE}} Executing Check 10 of 13: Klayout Offgrid
+2022-06-04 07:43:11 - [INFO] - No DRC Violations found
+2022-06-04 07:43:11 - [INFO] - {{Klayout Offgrid CHECK PASSED}} The GDS file, user_analog_project_wrapper.gds, has no DRC violations.
+2022-06-04 07:43:11 - [INFO] - {{STEP UPDATE}} Executing Check 11 of 13: Klayout Metal Minimum Clear Area Density
+2022-06-04 07:43:12 - [INFO] - No DRC Violations found
+2022-06-04 07:43:12 - [INFO] - {{Klayout Metal Minimum Clear Area Density CHECK PASSED}} The GDS file, user_analog_project_wrapper.gds, has no DRC violations.
+2022-06-04 07:43:12 - [INFO] - {{STEP UPDATE}} Executing Check 12 of 13: Klayout Pin Label Purposes Overlapping Drawing
+2022-06-04 07:43:14 - [ERROR] - Total # of DRC violations is 2 Please check /home/krishna/Comparator_MPW6/precheck_results/04_JUN_2022___07_42_57/outputs/reports/klayout_pin_label_purposes_overlapping_drawing_check.xml For more details
+2022-06-04 07:43:14 - [WARNING] - {{Klayout Pin Label Purposes Overlapping Drawing CHECK FAILED}} The GDS file, user_analog_project_wrapper.gds, has DRC violations.
+2022-06-04 07:43:14 - [INFO] - {{STEP UPDATE}} Executing Check 13 of 13: Klayout ZeroArea
+2022-06-04 07:43:14 - [INFO] - No DRC Violations found
+2022-06-04 07:43:14 - [INFO] - {{Klayout ZeroArea CHECK PASSED}} The GDS file, user_analog_project_wrapper.gds, has no DRC violations.
+2022-06-04 07:43:14 - [INFO] - {{FINISH}} Executing Finished, the full log 'precheck.log' can be found in '/home/krishna/Comparator_MPW6/precheck_results/04_JUN_2022___07_42_57/logs'
+2022-06-04 07:43:14 - [CRITICAL] - {{FAILURE}} 2 Check(s) Failed: ['XOR', 'Klayout Pin Label Purposes Overlapping Drawing'] !!!
diff --git a/precheck_results/04_JUN_2022___07_42_57/logs/spdx_compliance_report.log b/precheck_results/04_JUN_2022___07_42_57/logs/spdx_compliance_report.log
new file mode 100644
index 0000000..45e415c
--- /dev/null
+++ b/precheck_results/04_JUN_2022___07_42_57/logs/spdx_compliance_report.log
@@ -0,0 +1,131 @@
+/home/krishna/Comparator_MPW6/Makefile
+/home/krishna/Comparator_MPW6/.spiceinit
+/home/krishna/Comparator_MPW6/verilog/dv/Makefile
+/home/krishna/Comparator_MPW6/verilog/dv/mprj_por/mprj_por.c
+/home/krishna/Comparator_MPW6/verilog/dv/mprj_por/mprj_por_tb.v
+/home/krishna/Comparator_MPW6/verilog/dv/mprj_por/Makefile
+/home/krishna/Comparator_MPW6/verilog/rtl/uprj_analog_netlists.v
+/home/krishna/Comparator_MPW6/verilog/rtl/example_por.v
+/home/krishna/Comparator_MPW6/verilog/rtl/user_analog_proj_example.v
+/home/krishna/Comparator_MPW6/verilog/rtl/user_analog_project_wrapper.v
+/home/krishna/Comparator_MPW6/verilog/rtl/comparator.v
+/home/krishna/Comparator_MPW6/precheck_results/01_JUN_2022___10_26_55/outputs/reports/magic_drc_check.drc.report
+/home/krishna/Comparator_MPW6/precheck_results/01_JUN_2022___10_26_55/logs/klayout_zeroarea_check.total
+/home/krishna/Comparator_MPW6/precheck_results/01_JUN_2022___10_26_55/logs/tools.info
+/home/krishna/Comparator_MPW6/precheck_results/01_JUN_2022___10_26_55/logs/klayout_beol_check.total
+/home/krishna/Comparator_MPW6/precheck_results/01_JUN_2022___10_26_55/logs/klayout_pin_label_purposes_overlapping_drawing_check.total
+/home/krishna/Comparator_MPW6/precheck_results/01_JUN_2022___10_26_55/logs/klayout_feol_check.total
+/home/krishna/Comparator_MPW6/precheck_results/01_JUN_2022___10_26_55/logs/gds.info
+/home/krishna/Comparator_MPW6/precheck_results/01_JUN_2022___10_26_55/logs/pdks.info
+/home/krishna/Comparator_MPW6/precheck_results/01_JUN_2022___10_26_55/logs/magic_drc_check.total
+/home/krishna/Comparator_MPW6/precheck_results/01_JUN_2022___10_26_55/logs/klayout_offgrid_check.total
+/home/krishna/Comparator_MPW6/precheck_results/01_JUN_2022___10_26_55/logs/klayout_met_min_ca_density_check.total
+/home/krishna/Comparator_MPW6/precheck_results/01_JUN_2022___10_22_16/outputs/reports/magic_drc_check.drc.report
+/home/krishna/Comparator_MPW6/precheck_results/01_JUN_2022___10_22_16/logs/klayout_zeroarea_check.total
+/home/krishna/Comparator_MPW6/precheck_results/01_JUN_2022___10_22_16/logs/tools.info
+/home/krishna/Comparator_MPW6/precheck_results/01_JUN_2022___10_22_16/logs/klayout_beol_check.total
+/home/krishna/Comparator_MPW6/precheck_results/01_JUN_2022___10_22_16/logs/klayout_pin_label_purposes_overlapping_drawing_check.total
+/home/krishna/Comparator_MPW6/precheck_results/01_JUN_2022___10_22_16/logs/klayout_feol_check.total
+/home/krishna/Comparator_MPW6/precheck_results/01_JUN_2022___10_22_16/logs/gds.info
+/home/krishna/Comparator_MPW6/precheck_results/01_JUN_2022___10_22_16/logs/pdks.info
+/home/krishna/Comparator_MPW6/precheck_results/01_JUN_2022___10_22_16/logs/magic_drc_check.total
+/home/krishna/Comparator_MPW6/precheck_results/01_JUN_2022___10_22_16/logs/klayout_offgrid_check.total
+/home/krishna/Comparator_MPW6/precheck_results/01_JUN_2022___10_22_16/logs/klayout_met_min_ca_density_check.total
+/home/krishna/Comparator_MPW6/precheck_results/04_JUN_2022___07_42_57/logs/tools.info
+/home/krishna/Comparator_MPW6/precheck_results/04_JUN_2022___07_42_57/logs/gds.info
+/home/krishna/Comparator_MPW6/precheck_results/04_JUN_2022___07_42_57/logs/pdks.info
+/home/krishna/Comparator_MPW6/precheck_results/02_JUN_2022___13_34_14/outputs/reports/magic_drc_check.drc.report
+/home/krishna/Comparator_MPW6/precheck_results/02_JUN_2022___13_34_14/logs/klayout_zeroarea_check.total
+/home/krishna/Comparator_MPW6/precheck_results/02_JUN_2022___13_34_14/logs/tools.info
+/home/krishna/Comparator_MPW6/precheck_results/02_JUN_2022___13_34_14/logs/klayout_beol_check.total
+/home/krishna/Comparator_MPW6/precheck_results/02_JUN_2022___13_34_14/logs/klayout_pin_label_purposes_overlapping_drawing_check.total
+/home/krishna/Comparator_MPW6/precheck_results/02_JUN_2022___13_34_14/logs/klayout_feol_check.total
+/home/krishna/Comparator_MPW6/precheck_results/02_JUN_2022___13_34_14/logs/gds.info
+/home/krishna/Comparator_MPW6/precheck_results/02_JUN_2022___13_34_14/logs/pdks.info
+/home/krishna/Comparator_MPW6/precheck_results/02_JUN_2022___13_34_14/logs/magic_drc_check.total
+/home/krishna/Comparator_MPW6/precheck_results/02_JUN_2022___13_34_14/logs/klayout_offgrid_check.total
+/home/krishna/Comparator_MPW6/precheck_results/02_JUN_2022___13_34_14/logs/klayout_met_min_ca_density_check.total
+/home/krishna/Comparator_MPW6/precheck_results/04_JUN_2022___07_38_11/outputs/reports/magic_drc_check.drc.report
+/home/krishna/Comparator_MPW6/precheck_results/04_JUN_2022___07_38_11/logs/klayout_zeroarea_check.total
+/home/krishna/Comparator_MPW6/precheck_results/04_JUN_2022___07_38_11/logs/tools.info
+/home/krishna/Comparator_MPW6/precheck_results/04_JUN_2022___07_38_11/logs/klayout_beol_check.total
+/home/krishna/Comparator_MPW6/precheck_results/04_JUN_2022___07_38_11/logs/klayout_pin_label_purposes_overlapping_drawing_check.total
+/home/krishna/Comparator_MPW6/precheck_results/04_JUN_2022___07_38_11/logs/klayout_feol_check.total
+/home/krishna/Comparator_MPW6/precheck_results/04_JUN_2022___07_38_11/logs/gds.info
+/home/krishna/Comparator_MPW6/precheck_results/04_JUN_2022___07_38_11/logs/pdks.info
+/home/krishna/Comparator_MPW6/precheck_results/04_JUN_2022___07_38_11/logs/magic_drc_check.total
+/home/krishna/Comparator_MPW6/precheck_results/04_JUN_2022___07_38_11/logs/klayout_offgrid_check.total
+/home/krishna/Comparator_MPW6/precheck_results/04_JUN_2022___07_38_11/logs/klayout_met_min_ca_density_check.total
+/home/krishna/Comparator_MPW6/precheck_results/02_JUN_2022___13_44_02/outputs/reports/magic_drc_check.drc.report
+/home/krishna/Comparator_MPW6/precheck_results/02_JUN_2022___13_44_02/logs/klayout_zeroarea_check.total
+/home/krishna/Comparator_MPW6/precheck_results/02_JUN_2022___13_44_02/logs/tools.info
+/home/krishna/Comparator_MPW6/precheck_results/02_JUN_2022___13_44_02/logs/klayout_beol_check.total
+/home/krishna/Comparator_MPW6/precheck_results/02_JUN_2022___13_44_02/logs/klayout_pin_label_purposes_overlapping_drawing_check.total
+/home/krishna/Comparator_MPW6/precheck_results/02_JUN_2022___13_44_02/logs/klayout_feol_check.total
+/home/krishna/Comparator_MPW6/precheck_results/02_JUN_2022___13_44_02/logs/gds.info
+/home/krishna/Comparator_MPW6/precheck_results/02_JUN_2022___13_44_02/logs/pdks.info
+/home/krishna/Comparator_MPW6/precheck_results/02_JUN_2022___13_44_02/logs/magic_drc_check.total
+/home/krishna/Comparator_MPW6/precheck_results/02_JUN_2022___13_44_02/logs/klayout_offgrid_check.total
+/home/krishna/Comparator_MPW6/precheck_results/02_JUN_2022___13_44_02/logs/klayout_met_min_ca_density_check.total
+/home/krishna/Comparator_MPW6/mag/sky130_fd_pr__pfet_01v8_AC5Z8B#0.ext
+/home/krishna/Comparator_MPW6/mag/latch_3.ext
+/home/krishna/Comparator_MPW6/mag/inv_W2.ext
+/home/krishna/Comparator_MPW6/mag/sky130_fd_pr__diode_pw2nd_05v5_KLAK3C.ext
+/home/krishna/Comparator_MPW6/mag/sky130_fd_pr__diode_pw2nd_05v5_FT7GK8.ext
+/home/krishna/Comparator_MPW6/mag/sky130_fd_pr__diode_pw2nd_05v5_3P6M5Y.ext
+/home/krishna/Comparator_MPW6/mag/sky130_fd_pr__pfet_01v8_5SM9EE.ext
+/home/krishna/Comparator_MPW6/mag/latch_22.ext
+/home/krishna/Comparator_MPW6/mag/sky130_fd_pr__diode_pw2nd_05v5_GT7G3L.ext
+/home/krishna/Comparator_MPW6/mag/inv_W2#0.ext
+/home/krishna/Comparator_MPW6/mag/.magicrc
+/home/krishna/Comparator_MPW6/mag/sky130_fd_pr__diode_pd2nw_05v5_G4XDRY.ext
+/home/krishna/Comparator_MPW6/mag/sky130_fd_pr__nfet_01v8_XJTKXQ#1.ext
+/home/krishna/Comparator_MPW6/mag/sky130_fd_pr__nfet_01v8_XJTKXQ.ext
+/home/krishna/Comparator_MPW6/mag/sky130_fd_pr__pfet_01v8_AC5Z8B.ext
+/home/krishna/Comparator_MPW6/mag/buffer_2#1.ext
+/home/krishna/Comparator_MPW6/mag/comparator_v6.ext
+/home/krishna/Comparator_MPW6/mag/buffer_1#0.ext
+/home/krishna/Comparator_MPW6/mag/latch_2.ext
+/home/krishna/Comparator_MPW6/mag/user_analog_project_wrapper.ext
+/home/krishna/Comparator_MPW6/mag/buffer_2#0.ext
+/home/krishna/Comparator_MPW6/mag/sky130_fd_pr__diode_pd2nw_05v5_RT56W3.ext
+/home/krishna/Comparator_MPW6/mag/latch/SR_latch.ext
+/home/krishna/Comparator_MPW6/mag/latch/sky130_fd_pr__pfet_01v8_AC5Z8B#0.ext
+/home/krishna/Comparator_MPW6/mag/latch/sky130A.magicrc
+/home/krishna/Comparator_MPW6/mag/latch/latch_22.ext
+/home/krishna/Comparator_MPW6/mag/latch/sky130_fd_pr__pfet_01v8_AC5E9B.ext
+/home/krishna/Comparator_MPW6/mag/latch/.magicrc
+/home/krishna/Comparator_MPW6/mag/latch/sky130_fd_pr__nfet_01v8_XJTKXQ#1.ext
+/home/krishna/Comparator_MPW6/mag/latch/sky130_fd_pr__nfet_01v8_F5U58G.ext
+/home/krishna/Comparator_MPW6/mag/latch/sky130_fd_pr__pfet_01v8_GJYUB2.ext
+/home/krishna/Comparator_MPW6/mag/latch/latch_2.ext
+/home/krishna/Comparator_MPW6/mag/latch/sky130_fd_pr__pfet_01v8_5SVZDE.ext
+/home/krishna/Comparator_MPW6/mag/latch/latch.ext
+/home/krishna/Comparator_MPW6/mag/preamp/sky130_fd_pr__nfet_01v8_G6PLX8.ext
+/home/krishna/Comparator_MPW6/mag/preamp/preamp_part1.ext
+/home/krishna/Comparator_MPW6/mag/preamp/preamp_part2.ext
+/home/krishna/Comparator_MPW6/mag/preamp/sky130_fd_pr__nfet_01v8_XJTKXQ#0.ext
+/home/krishna/Comparator_MPW6/mag/preamp/sky130_fd_pr__nfet_01v8_F5U58G#1.ext
+/home/krishna/Comparator_MPW6/mag/preamp/.magicrc
+/home/krishna/Comparator_MPW6/mag/preamp/sky130_fd_pr__pfet_01v8_RFM3CD.ext
+/home/krishna/Comparator_MPW6/mag/preamp/sky130_fd_pr__nfet_01v8_8FHE5N.ext
+/home/krishna/Comparator_MPW6/mag/preamp/sky130_fd_pr__nfet_01v8_F5U58G#0.ext
+/home/krishna/Comparator_MPW6/xschem/xschemrc
+/home/krishna/Comparator_MPW6/xschem/buffer.sch
+/home/krishna/Comparator_MPW6/xschem/user_analog_project_wrapper.sym
+/home/krishna/Comparator_MPW6/xschem/SR_latch.sym
+/home/krishna/Comparator_MPW6/xschem/comparator.sch
+/home/krishna/Comparator_MPW6/xschem/comparator.sym
+/home/krishna/Comparator_MPW6/xschem/analog_wrapper_tb.sch
+/home/krishna/Comparator_MPW6/xschem/user_analog_project_wrapper.sch
+/home/krishna/Comparator_MPW6/xschem/.spiceinit
+/home/krishna/Comparator_MPW6/xschem/comparator_tb.sch
+/home/krishna/Comparator_MPW6/xschem/SR_latch.sch
+/home/krishna/Comparator_MPW6/xschem/buffer.sym
+/home/krishna/Comparator_MPW6/docs/Makefile
+/home/krishna/Comparator_MPW6/docs/environment.yml
+/home/krishna/Comparator_MPW6/docs/source/conf.py
+/home/krishna/Comparator_MPW6/docs/source/index.rst
+/home/krishna/Comparator_MPW6/netgen/run_lvs_wrapper_verilog.sh
+/home/krishna/Comparator_MPW6/netgen/run_lvs_por.sh
+/home/krishna/Comparator_MPW6/netgen/run_lvs_wrapper_xschem.sh
diff --git a/precheck_results/04_JUN_2022___07_42_57/logs/tools.info b/precheck_results/04_JUN_2022___07_42_57/logs/tools.info
new file mode 100644
index 0000000..9b2230a
--- /dev/null
+++ b/precheck_results/04_JUN_2022___07_42_57/logs/tools.info
@@ -0,0 +1,2 @@
+KLayout: 0.27.8
+Magic: 8.3.274
\ No newline at end of file
diff --git a/precheck_results/04_JUN_2022___07_42_57/logs/xor_check.log b/precheck_results/04_JUN_2022___07_42_57/logs/xor_check.log
new file mode 100644
index 0000000..ef828b4
--- /dev/null
+++ b/precheck_results/04_JUN_2022___07_42_57/logs/xor_check.log
@@ -0,0 +1,179 @@
+Reading file /home/krishna/Comparator_MPW6/gds/user_analog_project_wrapper.gds for cell user_analog_project_wrapper
+dbu=0.001
+cell user_analog_project_wrapper dbu-bbox(ll;ur)=(-4000,-4000;2924000,3524050)
+cell user_analog_project_wrapper dbu-bbox(left,bottom,right,top)=(-4000,-4000,2924000,3524050)
+cell user_analog_project_wrapper dbu-size(width,height)=(2928000,3528050)
+cell user_analog_project_wrapper micron-bbox(left,bottom,right,top)=(-4.0,-4.0,2924.0,3524.05)
+cell user_analog_project_wrapper micron-size(width,height)=(2928.0,3528.05)
+Done.
+
+Magic 8.3 revision 274 - Compiled on Fri Mar  4 22:54:15 UTC 2022.
+Starting magic under Tcl interpreter
+Using the terminal as the console.
+Using NULL graphics device.
+Processing system .magicrc file
+Loading "/home/krishna/mpw_precheckmpw6/checks/xor_check/erase_box.tcl" from command line.
+"sky130(vendor)" is not one of the CIF input styles Magic knows.
+Error: No style is set
+The CIF input styles are: .
+Don't know how to read GDS-II:
+Nothing in "cifinput" section of tech file.
+File user_analog_project_wrapper.mag couldn't be read
+No such file or directory
+Creating new cell
+Root cell box:
+           width x height  (   llx,  lly  ), (   urx,  ury  )  area (units^2)
+
+microns:  43.000 x 3520.000  (-43.000,  0.000), ( 0.000,  3520.000)  151360.000
+lambda:       43 x 3520    (   -43,  0    ), (     0,  3520 )  151360    
+Root cell box:
+           width x height  (   llx,  lly  ), (   urx,  ury  )  area (units^2)
+
+microns:  43.000 x 3520.000  ( 2920.000,  0.000), ( 2963.000,  3520.000)  151360.000
+lambda:       43 x 3520    (  2920,  0    ), (  2963,  3520 )  151360    
+Root cell box:
+           width x height  (   llx,  lly  ), (   urx,  ury  )  area (units^2)
+
+microns:  3006.000 x 38.000  (-43.000, -38.000), ( 2963.000,  0.000)  114228.000
+lambda:     3006 x 38      (   -43, -38   ), (  2963,  0    )  114228    
+Root cell box:
+           width x height  (   llx,  lly  ), (   urx,  ury  )  area (units^2)
+
+microns:  3006.000 x 37.000  (-43.000,  3520.000), ( 2963.000,  3557.000)  111222.000
+lambda:     3006 x 37      (   -43,  3520 ), (  2963,  3557 )  111222    
+Unrecognized layer: metal5
+Layer names are:
+    mag or magnet
+    f or fence
+    r or rotate
+    $
+    *
+    errors
+    labels
+    subcell
+    connect
+Unrecognized layer: metal4
+Layer names are:
+    mag or magnet
+    f or fence
+    r or rotate
+    $
+    *
+    errors
+    labels
+    subcell
+    connect
+Unrecognized layer: metal5
+Layer names are:
+    mag or magnet
+    f or fence
+    r or rotate
+    $
+    *
+    errors
+    labels
+    subcell
+    connect
+Unrecognized layer: metal4
+Layer names are:
+    mag or magnet
+    f or fence
+    r or rotate
+    $
+    *
+    errors
+    labels
+    subcell
+    connect
+No CIF/GDS output style set!
+I/O error in writing file /home/krishna/Comparator_MPW6/precheck_results/04_JUN_2022___07_42_57/outputs/user_analog_project_wrapper_erased.gds.
+File may be incompletely written.
+
+Magic 8.3 revision 274 - Compiled on Fri Mar  4 22:54:15 UTC 2022.
+Starting magic under Tcl interpreter
+Using the terminal as the console.
+Using NULL graphics device.
+Processing system .magicrc file
+Loading "/home/krishna/mpw_precheckmpw6/checks/xor_check/erase_box.tcl" from command line.
+"sky130(vendor)" is not one of the CIF input styles Magic knows.
+Error: No style is set
+The CIF input styles are: .
+Don't know how to read GDS-II:
+Nothing in "cifinput" section of tech file.
+File user_analog_project_wrapper.mag couldn't be read
+No such file or directory
+Creating new cell
+Root cell box:
+           width x height  (   llx,  lly  ), (   urx,  ury  )  area (units^2)
+
+microns:  43.000 x 3520.000  (-43.000,  0.000), ( 0.000,  3520.000)  151360.000
+lambda:       43 x 3520    (   -43,  0    ), (     0,  3520 )  151360    
+Root cell box:
+           width x height  (   llx,  lly  ), (   urx,  ury  )  area (units^2)
+
+microns:  43.000 x 3520.000  ( 2920.000,  0.000), ( 2963.000,  3520.000)  151360.000
+lambda:       43 x 3520    (  2920,  0    ), (  2963,  3520 )  151360    
+Root cell box:
+           width x height  (   llx,  lly  ), (   urx,  ury  )  area (units^2)
+
+microns:  3006.000 x 38.000  (-43.000, -38.000), ( 2963.000,  0.000)  114228.000
+lambda:     3006 x 38      (   -43, -38   ), (  2963,  0    )  114228    
+Root cell box:
+           width x height  (   llx,  lly  ), (   urx,  ury  )  area (units^2)
+
+microns:  3006.000 x 37.000  (-43.000,  3520.000), ( 2963.000,  3557.000)  111222.000
+lambda:     3006 x 37      (   -43,  3520 ), (  2963,  3557 )  111222    
+Unrecognized layer: metal5
+Layer names are:
+    mag or magnet
+    f or fence
+    r or rotate
+    $
+    *
+    errors
+    labels
+    subcell
+    connect
+Unrecognized layer: metal4
+Layer names are:
+    mag or magnet
+    f or fence
+    r or rotate
+    $
+    *
+    errors
+    labels
+    subcell
+    connect
+Unrecognized layer: metal5
+Layer names are:
+    mag or magnet
+    f or fence
+    r or rotate
+    $
+    *
+    errors
+    labels
+    subcell
+    connect
+Unrecognized layer: metal4
+Layer names are:
+    mag or magnet
+    f or fence
+    r or rotate
+    $
+    *
+    errors
+    labels
+    subcell
+    connect
+No CIF/GDS output style set!
+I/O error in writing file /home/krishna/Comparator_MPW6/precheck_results/04_JUN_2022___07_42_57/outputs/user_analog_project_wrapper_empty_erased.gds.
+File may be incompletely written.
+Reading /home/krishna/Comparator_MPW6/precheck_results/04_JUN_2022___07_42_57/outputs/user_analog_project_wrapper_erased.gds ..
+ERROR: In /home/krishna/mpw_precheckmpw6/checks/xor_check/xor.rb.drc: 'source': Stream has unknown format: /home/krishna/Comparator_MPW6/precheck_results/04_JUN_2022___07_42_57/outputs/user_analog_project_wrapper_erased.gds in Layout::read
+Total elapsed: 0.000s  Memory: 521.00M
+ERROR: 'source': Stream has unknown format: /home/krishna/Comparator_MPW6/precheck_results/04_JUN_2022___07_42_57/outputs/user_analog_project_wrapper_erased.gds in Layout::read in Executable::execute
+  /home/krishna/mpw_precheckmpw6/checks/xor_check/xor.rb.drc:15:in `execute'
+  :/built-in-macros/drc_interpreters.lym:27:in `instance_eval'
+  :/built-in-macros/drc_interpreters.lym:27:in `execute'
diff --git a/precheck_results/04_JUN_2022___07_42_57/outputs/reports/klayout_beol_check.xml b/precheck_results/04_JUN_2022___07_42_57/outputs/reports/klayout_beol_check.xml
new file mode 100644
index 0000000..9ad4ffd
--- /dev/null
+++ b/precheck_results/04_JUN_2022___07_42_57/outputs/reports/klayout_beol_check.xml
@@ -0,0 +1,447 @@
+<?xml version="1.0" encoding="utf-8"?>
+<report-database>
+ <description>SKY130 DRC runset</description>
+ <original-file/>
+ <generator>drc: script='/home/krishna/mpw_precheckmpw6/checks/tech-files/sky130A_mr.drc'</generator>
+ <top-cell>user_analog_project_wrapper</top-cell>
+ <tags>
+ </tags>
+ <categories>
+  <category>
+   <name>li.1</name>
+   <description>li.1 : min. li width : 0.17um</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>li.3</name>
+   <description>li.3 : min. li spacing : 0.17um</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>li.5</name>
+   <description>li.5 : min. li enclosure of licon of 2 adjacent edges : 0.08um</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>li.6</name>
+   <description>li.6 : min. li area : 0.0561um²</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>ct.1</name>
+   <description>ct.1: non-ring mcon should be rectangular</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>ct.1_a</name>
+   <description>ct.1_a : minimum width of mcon : 0.17um</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>ct.1_b</name>
+   <description>ct.1_b : maximum length of mcon : 0.17um</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>ct.2</name>
+   <description>ct.2 : min. mcon spacing : 0.19um</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>ct.4</name>
+   <description>ct.4 : mcon should covered by li</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>m1.1</name>
+   <description>m1.1 : min. m1 width : 0.14um</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>m1.2</name>
+   <description>m1.2 : min. m1 spacing : 0.14um</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>m1.3ab</name>
+   <description>m1.3ab : min. 3um.m1 spacing m1 : 0.28um</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>791_m1.4</name>
+   <description>791_m1.4 : min. m1 enclosure of mcon : 0.03um</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>m1.4</name>
+   <description>m1.4 : mcon periphery must be enclosed by m1</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>m1.4a</name>
+   <description>m1.4a : min. m1 enclosure of mcon for specific cells : 0.005um</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>m1.4a_a</name>
+   <description>m1.4a_a : mcon periph must be enclosed by met1 for specific cells</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>m1.6</name>
+   <description>m1.6 : min. m1 area : 0.083um²</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>m1.7</name>
+   <description>m1.7 : min. m1 with holes area : 0.14um²</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>m1.5</name>
+   <description>m1.5 : min. m1 enclosure of mcon of 2 adjacent edges : 0.06um</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>via.1a</name>
+   <description>via.1a : via outside of moduleCut should be rectangular</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>via.1a_a</name>
+   <description>via.1a_a : min. width of via outside of moduleCut : 0.15um</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>via.1a_b</name>
+   <description>via.1a_b : maximum length of via : 0.15um</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>via.2</name>
+   <description>via.2 : min. via spacing : 0.17um</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>via.4a</name>
+   <description>via.4a : min. m1 enclosure of 0.15um via : 0.055um</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>via.4a_a</name>
+   <description>via.4a_a : 0.15um via must be enclosed by met1</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>via.5a</name>
+   <description>via.5a : min. m1 enclosure of 0.15um via of 2 adjacent edges : 0.085um</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>m2.1</name>
+   <description>m2.1 : min. m2 width : 0.14um</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>m2.2</name>
+   <description>m2.2 : min. m2 spacing : 0.14um</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>m2.3ab</name>
+   <description>m2.3ab : min. 3um.m2 spacing m2 : 0.28um</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>m2.6</name>
+   <description>m2.6 : min. m2 area : 0.0676um²</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>m2.7</name>
+   <description>m2.7 : min. m2 holes area : 0.14um²</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>m2.4</name>
+   <description>m2.4 : min. m2 enclosure of via : 0.055um</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>m2.4_a</name>
+   <description>m2.4_a : via in periphery must be enclosed by met2</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>m2.5</name>
+   <description>m2.5 : min. m2 enclosure of via of 2 adjacent edges : 0.085um</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>via2.1a</name>
+   <description>via2.1a : via2 outside of moduleCut should be rectangular</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>via2.1a_a</name>
+   <description>via2.1a_a : min. width of via2 outside of moduleCut : 0.2um</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>via2.1a_b</name>
+   <description>via2.1a_b : maximum length of via2 : 0.2um</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>via2.2</name>
+   <description>via2.2 : min. via2 spacing : 0.2um</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>via2.4</name>
+   <description>via2.4 : min. m2 enclosure of via2 : 0.04um</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>via2.4_a</name>
+   <description>via2.4_a : via must be enclosed by met2</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>via2.5</name>
+   <description>via2.5 : min. m3 enclosure of via2 of 2 adjacent edges : 0.085um</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>m3.1</name>
+   <description>m3.1 : min. m3 width : 0.3um</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>m3.2</name>
+   <description>m3.2 : min. m3 spacing : 0.3um</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>m3.3cd</name>
+   <description>m3.3cd : min. 3um.m3 spacing m3 : 0.4um</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>m3.4</name>
+   <description>m3.4 : min. m3 enclosure of via2 : 0.065um</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>m3.4_a</name>
+   <description>m3.4_a : via2 must be enclosed by met3</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>via3.1</name>
+   <description>via3.1 : via3 outside of moduleCut should be rectangular</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>via3.1_a</name>
+   <description>via3.1_a : min. width of via3 outside of moduleCut : 0.2um</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>via3.1_b</name>
+   <description>via3.1_b : maximum length of via3 : 0.2um</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>via3.2</name>
+   <description>via3.2 : min. via3 spacing : 0.2um</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>via3.4</name>
+   <description>via3.4 : min. m3 enclosure of via3 : 0.06um</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>via3.4_a</name>
+   <description>via3.4_a : non-ring via3 must be enclosed by met3</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>via3.5</name>
+   <description>via3.5 : min. m3 enclosure of via3 of 2 adjacent edges : 0.09um</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>m4.1</name>
+   <description>m4.1 : min. m4 width : 0.3um</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>m4.2</name>
+   <description>m4.2 : min. m4 spacing : 0.3um</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>m4.4a</name>
+   <description>m4.4a : min. m4 area : 0.240um²</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>m4.5ab</name>
+   <description>m4.5ab : min. 3um.m4 spacing m4 : 0.4um</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>m4.3</name>
+   <description>m4.3 : min. m4 enclosure of via3 : 0.065um</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>m4.3_a</name>
+   <description>m4.3_a : via3 must be enclosed by met4</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>via4.1</name>
+   <description>via4.1 : via4 outside of moduleCut should be rectangular</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>via4.1_a</name>
+   <description>via4.1_a : min. width of via4 outside of moduleCut : 0.8um</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>via4.1_b</name>
+   <description>via4.1_b : maximum length of via4 : 0.8um</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>via4.2</name>
+   <description>via4.2 : min. via4 spacing : 0.8um</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>via4.4</name>
+   <description>via4.4 : min. m4 enclosure of via4 : 0.19um</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>via4.4_a</name>
+   <description>via4.4_a : m4 must enclose all via4</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>m5.1</name>
+   <description>m5.1 : min. m5 width : 1.6um</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>m5.2</name>
+   <description>m5.2 : min. m5 spacing : 1.6um</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>m5.3</name>
+   <description>m5.3 : min. m5 enclosure of via4 : 0.31um</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>m5.3_a</name>
+   <description>m5.3_a : via must be enclosed by m5</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>m5.4</name>
+   <description>m5.4 : min. m5 area : 4.0um²</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>pad.2</name>
+   <description>pad.2 : min. pad spacing : 1.27um</description>
+   <categories>
+   </categories>
+  </category>
+ </categories>
+ <cells>
+  <cell>
+   <name>user_analog_project_wrapper</name>
+   <variant/>
+   <references>
+   </references>
+  </cell>
+ </cells>
+ <items>
+ </items>
+</report-database>
diff --git a/precheck_results/04_JUN_2022___07_42_57/outputs/reports/klayout_feol_check.xml b/precheck_results/04_JUN_2022___07_42_57/outputs/reports/klayout_feol_check.xml
new file mode 100644
index 0000000..5c0c69c
--- /dev/null
+++ b/precheck_results/04_JUN_2022___07_42_57/outputs/reports/klayout_feol_check.xml
@@ -0,0 +1,363 @@
+<?xml version="1.0" encoding="utf-8"?>
+<report-database>
+ <description>SKY130 DRC runset</description>
+ <original-file/>
+ <generator>drc: script='/home/krishna/mpw_precheckmpw6/checks/tech-files/sky130A_mr.drc'</generator>
+ <top-cell>user_analog_project_wrapper</top-cell>
+ <tags>
+ </tags>
+ <categories>
+  <category>
+   <name>dnwell.2</name>
+   <description>dnwell.2 : min. dnwell width : 3.0um</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>nwell.1</name>
+   <description>nwell.1 : min. nwell width : 0.84um</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>nwell.2a</name>
+   <description>nwell.2a : min. nwell spacing (merged if less) : 1.27um</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>nwell.6</name>
+   <description>nwell.6 : min enclosure of nwellHole by dnwell : 1.03um</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>hvtp.1</name>
+   <description>hvtp.1 : min. hvtp width : 0.38um</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>hvtp.2</name>
+   <description>hvtp.2 : min. hvtp spacing : 0.38um</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>hvtr.1</name>
+   <description>hvtr.1 : min. hvtr width : 0.38um</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>hvtr.2</name>
+   <description>hvtr.2 : min. hvtr spacing : 0.38um</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>hvtr.2_a</name>
+   <description>hvtr.2_a : hvtr must not overlap hvtp</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>lvtn.1a</name>
+   <description>lvtn.1a : min. lvtn width : 0.38um</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>lvtn.2</name>
+   <description>lvtn.2 : min. lvtn spacing : 0.38um</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>ncm.1</name>
+   <description>ncm.1 : min. ncm width : 0.38um</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>ncm.2a</name>
+   <description>ncm.2a : min. ncm spacing : 0.38um</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>difftap.1</name>
+   <description>difftap.1 : min. diff width across areaid:ce : 0.15um</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>difftap.1_a</name>
+   <description>difftap.1_a : min. diff width in periphery : 0.15um</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>difftap.1_b</name>
+   <description>difftap.1_b : min. tap width across areaid:ce : 0.15um</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>difftap.1_c</name>
+   <description>difftap.1_c : min. tap width in periphery : 0.15um</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>difftap.3</name>
+   <description>difftap.3 : min. difftap spacing : 0.27um</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>tunm.1</name>
+   <description>tunm.1 : min. tunm width : 0.41um</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>tunm.2</name>
+   <description>tunm.2 : min. tunm spacing : 0.5um</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>poly.1a</name>
+   <description>poly.1a : min. poly width : 0.15um</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>poly.2</name>
+   <description>poly.2 : min. poly spacing : 0.21um</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>rpm.1a</name>
+   <description>rpm.1a : min. rpm width : 1.27um</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>rpm.2</name>
+   <description>rpm.2 : min. rpm spacing : 0.84um</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>urpm.1a</name>
+   <description>urpm.1a : min. rpm width : 1.27um</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>urpm.2</name>
+   <description>urpm.2 : min. rpm spacing : 0.84um</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>npc.1</name>
+   <description>npc.1 : min. npc width : 0.27um</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>npc.2</name>
+   <description>npc.2 : min. npc spacing, should be manually merged if less than : 0.27um</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>nsd.1</name>
+   <description>nsd.1 : min. nsdm width : 0.38um</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>nsd.2</name>
+   <description>nsd.2 : min. nsdm spacing, should be manually merged if less than : 0.38um</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>psd.1</name>
+   <description>psd.1 : min. psdm width : 0.38um</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>psd.2</name>
+   <description>psd.2 : min. psdm spacing, should be manually merged if less than : 0.38um</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>licon.1</name>
+   <description>licon.1 : licon should be rectangle</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>licon.1_a/b</name>
+   <description>licon.1_a/b : minimum/maximum width of licon : 0.17um</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>licon.13</name>
+   <description>licon.13 : min. difftap licon spacing to npc : 0.09um</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>licon.13_a</name>
+   <description>licon.13_a : licon of diffTap in periphery must not overlap npc</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>licon.17</name>
+   <description>licon.17 : Licons may not overlap both poly and (diff or tap)</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>capm.1</name>
+   <description>capm.1 : min. capm width : 1.0um</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>capm.2a</name>
+   <description>capm.2a : min. capm spacing : 0.84um</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>capm.2b</name>
+   <description>capm.2b : min. capm spacing : 1.2um</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>capm.2b_a</name>
+   <description>capm.2b_a : min. spacing of m3_bot_plate : 1.2um</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>capm.3</name>
+   <description>capm.3 : min. capm and m3 enclosure of m3 : 0.14um</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>capm.3_a</name>
+   <description>capm.3_a : min. m3 enclosure of capm : 0.14um</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>capm.4</name>
+   <description>capm.4 : min. capm enclosure of via3 : 0.14um</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>capm.5</name>
+   <description>capm.5 : min. capm spacing to via3 : 0.14um</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>cap2m.1</name>
+   <description>cap2m.1 : min. cap2m width : 1.0um</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>cap2m.2a</name>
+   <description>cap2m.2a : min. cap2m spacing : 0.84um</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>cap2m.2b</name>
+   <description>cap2m.2b : min. cap2m spacing : 1.2um</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>cap2m.2b_a</name>
+   <description>cap2m.2b_a : min. spacing of m4_bot_plate : 1.2um</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>cap2m.3</name>
+   <description>cap2m.3 : min. m4 enclosure of cap2m : 0.14um</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>cap2m.3_a</name>
+   <description>cap2m.3_a : min. m4 enclosure of cap2m : 0.14um</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>cap2m.4</name>
+   <description>cap2m.4 : min. cap2m enclosure of via4 : 0.14um</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>cap2m.5</name>
+   <description>cap2m.5 : min. cap2m spacing to via4 : 0.14um</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>hvi.1</name>
+   <description>hvi.1 : min. hvi width : 0.6um</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>hvi.2a</name>
+   <description>hvi.2a : min. hvi spacing : 0.7um</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>hvntm.1</name>
+   <description>hvntm.1 : min. hvntm width : 0.7um</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>hvntm.2</name>
+   <description>hvntm.2 : min. hvntm spacing : 0.7um</description>
+   <categories>
+   </categories>
+  </category>
+ </categories>
+ <cells>
+  <cell>
+   <name>user_analog_project_wrapper</name>
+   <variant/>
+   <references>
+   </references>
+  </cell>
+ </cells>
+ <items>
+ </items>
+</report-database>
diff --git a/precheck_results/04_JUN_2022___07_42_57/outputs/reports/klayout_met_min_ca_density_check.xml b/precheck_results/04_JUN_2022___07_42_57/outputs/reports/klayout_met_min_ca_density_check.xml
new file mode 100644
index 0000000..dc650ad
--- /dev/null
+++ b/precheck_results/04_JUN_2022___07_42_57/outputs/reports/klayout_met_min_ca_density_check.xml
@@ -0,0 +1,21 @@
+<?xml version="1.0" encoding="utf-8"?>
+<report-database>
+ <description>Density Checks</description>
+ <original-file/>
+ <generator>drc: script='/home/krishna/mpw_precheckmpw6/checks/drc_checks/klayout/met_min_ca_density.lydrc'</generator>
+ <top-cell>user_analog_project_wrapper</top-cell>
+ <tags>
+ </tags>
+ <categories>
+ </categories>
+ <cells>
+  <cell>
+   <name>user_analog_project_wrapper</name>
+   <variant/>
+   <references>
+   </references>
+  </cell>
+ </cells>
+ <items>
+ </items>
+</report-database>
diff --git a/precheck_results/04_JUN_2022___07_42_57/outputs/reports/klayout_offgrid_check.xml b/precheck_results/04_JUN_2022___07_42_57/outputs/reports/klayout_offgrid_check.xml
new file mode 100644
index 0000000..80a7de2
--- /dev/null
+++ b/precheck_results/04_JUN_2022___07_42_57/outputs/reports/klayout_offgrid_check.xml
@@ -0,0 +1,483 @@
+<?xml version="1.0" encoding="utf-8"?>
+<report-database>
+ <description>SKY130 DRC runset</description>
+ <original-file/>
+ <generator>drc: script='/home/krishna/mpw_precheckmpw6/checks/tech-files/sky130A_mr.drc'</generator>
+ <top-cell>user_analog_project_wrapper</top-cell>
+ <tags>
+ </tags>
+ <categories>
+  <category>
+   <name>dnwell_OFFGRID</name>
+   <description>x.1b : OFFGRID vertex on dnwell</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>dnwell_angle</name>
+   <description>x.3a : non 45 degree angle dnwell</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>nwell_OFFGRID</name>
+   <description>x.1b : OFFGRID vertex on nwell</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>nwell_angle</name>
+   <description>x.3a : non 45 degree angle nwell</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>pwbm_OFFGRID</name>
+   <description>x.1b : OFFGRID vertex on pwbm</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>pwbm_angle</name>
+   <description>x.3a : non 45 degree angle pwbm</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>pwde_OFFGRID</name>
+   <description>x.1b : OFFGRID vertex on pwde</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>pwde_angle</name>
+   <description>x.3a : non 45 degree angle pwde</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>hvtp_OFFGRID</name>
+   <description>x.1b : OFFGRID vertex on hvtp</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>hvtp_angle</name>
+   <description>x.3a : non 45 degree angle hvtp</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>hvtr_OFFGRID</name>
+   <description>x.1b : OFFGRID vertex on hvtr</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>hvtr_angle</name>
+   <description>x.3a : non 45 degree angle hvtr</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>lvtn_OFFGRID</name>
+   <description>x.1b : OFFGRID vertex on lvtn</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>lvtn_angle</name>
+   <description>x.3a : non 45 degree angle lvtn</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>ncm_OFFGRID</name>
+   <description>x.1b : OFFGRID vertex on ncm</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>ncm_angle</name>
+   <description>x.3a : non 45 degree angle ncm</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>diff_OFFGRID</name>
+   <description>x.1b : OFFGRID vertex on diff</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>tap_OFFGRID</name>
+   <description>x.1b : OFFGRID vertex on tap</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>diff_angle</name>
+   <description>x.2 : non 90 degree angle diff</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>diff_angle</name>
+   <description>x.2c : non 45 degree angle diff</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>tap_angle</name>
+   <description>x.2 : non 90 degree angle tap</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>tap_angle</name>
+   <description>x.2c : non 45 degree angle tap</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>tunm_OFFGRID</name>
+   <description>x.1b : OFFGRID vertex on tunm</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>tunm_angle</name>
+   <description>x.3a : non 45 degree angle tunm</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>poly_OFFGRID</name>
+   <description>x.1b : OFFGRID vertex on poly</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>poly_angle</name>
+   <description>x.2 : non 90 degree angle poly</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>rpm_OFFGRID</name>
+   <description>x.1b : OFFGRID vertex on rpm</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>rpm_angle</name>
+   <description>x.3a : non 45 degree angle rpm</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>npc_OFFGRID</name>
+   <description>x.1b : OFFGRID vertex on npc</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>npc_angle</name>
+   <description>x.3a : non 45 degree angle npc</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>nsdm_OFFGRID</name>
+   <description>x.1b : OFFGRID vertex on nsdm</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>nsdm_angle</name>
+   <description>x.3a : non 45 degree angle nsdm</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>psdm_OFFGRID</name>
+   <description>x.1b : OFFGRID vertex on psdm</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>psdm_angle</name>
+   <description>x.3a : non 45 degree angle psdm</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>licon_OFFGRID</name>
+   <description>x.1b : OFFGRID vertex on licon</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>licon_angle</name>
+   <description>x.2 : non 90 degree angle licon</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>li_OFFGRID</name>
+   <description>x.1b : OFFGRID vertex on li</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>li_angle</name>
+   <description>x.3a : non 45 degree angle li</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>ct_OFFGRID</name>
+   <description>x.1b : OFFGRID vertex on mcon</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>ct_angle</name>
+   <description>x.2 : non 90 degree angle mcon</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>vpp_OFFGRID</name>
+   <description>x.1b : OFFGRID vertex on vpp</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>vpp_angle</name>
+   <description>x.3a : non 45 degree angle vpp</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>m1_OFFGRID</name>
+   <description>x.1b : OFFGRID vertex on m1</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>m1_angle</name>
+   <description>x.3a : non 45 degree angle m1</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>via_OFFGRID</name>
+   <description>x.1b : OFFGRID vertex on via</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>via_angle</name>
+   <description>x.2 : non 90 degree angle via</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>m2_OFFGRID</name>
+   <description>x.1b : OFFGRID vertex on m2</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>m2_angle</name>
+   <description>x.3a : non 45 degree angle m2</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>via2_OFFGRID</name>
+   <description>x.1b : OFFGRID vertex on via2</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>via2_angle</name>
+   <description>x.2 : non 90 degree angle via2</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>m3_OFFGRID</name>
+   <description>x.1b : OFFGRID vertex on m3</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>m3_angle</name>
+   <description>x.3a : non 45 degree angle m3</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>via3_OFFGRID</name>
+   <description>x.1b : OFFGRID vertex on via3</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>via3_angle</name>
+   <description>x.2 : non 90 degree angle via3</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>nsm_OFFGRID</name>
+   <description>x.1b : OFFGRID vertex on nsm</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>nsm_angle</name>
+   <description>x.3a : non 45 degree angle nsm</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>m4_OFFGRID</name>
+   <description>x.1b : OFFGRID vertex on m4</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>m4_angle</name>
+   <description>x.3a : non 45 degree angle m4</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>via4_OFFGRID</name>
+   <description>x.1b : OFFGRID vertex on via4</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>via4_angle</name>
+   <description>x.2 : non 90 degree angle via4</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>m5_OFFGRID</name>
+   <description>x.1b : OFFGRID vertex on m5</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>m5_angle</name>
+   <description>x.3a : non 45 degree angle m5</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>pad_OFFGRID</name>
+   <description>x.1b : OFFGRID vertex on pad</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>pad_angle</name>
+   <description>x.3a : non 45 degree angle pad</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>mf_OFFGRID</name>
+   <description>x.1b : OFFGRID vertex on mf</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>mf_angle</name>
+   <description>x.2 : non 90 degree angle mf</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>hvi_OFFGRID</name>
+   <description>x.1b : OFFGRID vertex on hvi</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>hvi_angle</name>
+   <description>x.3a : non 45 degree angle hvi</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>hvntm_OFFGRID</name>
+   <description>x.1b : OFFGRID vertex on hvntm</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>hvntm_angle</name>
+   <description>x.3a : non 45 degree angle hvntm</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>vhvi_OFFGRID</name>
+   <description>x.1b : OFFGRID vertex on vhvi</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>vhvi_angle</name>
+   <description>x.3a : non 45 degree angle vhvi</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>uhvi_OFFGRID</name>
+   <description>x.1b : OFFGRID vertex on uhvi</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>uhvi_angle</name>
+   <description>x.3a : non 45 degree angle uhvi</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>pwell_rs_OFFGRID</name>
+   <description>x.1b : OFFGRID vertex on pwell_rs</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>pwell_rs_angle</name>
+   <description>x.3a : non 45 degree angle pwell_rs</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>areaid_re_OFFGRID</name>
+   <description>x.1b : OFFGRID vertex on areaid.re</description>
+   <categories>
+   </categories>
+  </category>
+ </categories>
+ <cells>
+  <cell>
+   <name>user_analog_project_wrapper</name>
+   <variant/>
+   <references>
+   </references>
+  </cell>
+ </cells>
+ <items>
+ </items>
+</report-database>
diff --git a/precheck_results/04_JUN_2022___07_42_57/outputs/reports/klayout_pin_label_purposes_overlapping_drawing_check.xml b/precheck_results/04_JUN_2022___07_42_57/outputs/reports/klayout_pin_label_purposes_overlapping_drawing_check.xml
new file mode 100644
index 0000000..e87a5ba
--- /dev/null
+++ b/precheck_results/04_JUN_2022___07_42_57/outputs/reports/klayout_pin_label_purposes_overlapping_drawing_check.xml
@@ -0,0 +1,55 @@
+<?xml version="1.0" encoding="utf-8"?>
+<report-database>
+ <description>pin_label_purposes_overlapping_drawing.rb.drc, input=/home/krishna/Comparator_MPW6/gds/user_analog_project_wrapper.gds, topcell=user_analog_project_wrapper</description>
+ <original-file/>
+ <generator>drc: script='/home/krishna/mpw_precheckmpw6/checks/drc_checks/klayout/pin_label_purposes_overlapping_drawing.rb.drc'</generator>
+ <top-cell>user_analog_project_wrapper</top-cell>
+ <tags>
+ </tags>
+ <categories>
+  <category>
+   <name>70/16: met3, pin/label not-over drawing:70/20</name>
+   <description/>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>72/16: met5, pin/label not-over drawing:72/20</name>
+   <description/>
+   <categories>
+   </categories>
+  </category>
+ </categories>
+ <cells>
+  <cell>
+   <name>user_analog_project_wrapper</name>
+   <variant/>
+   <references>
+   </references>
+  </cell>
+ </cells>
+ <items>
+  <item>
+   <tags/>
+   <category>'70/16: met3, pin/label not-over drawing:70/20'</category>
+   <cell>user_analog_project_wrapper</cell>
+   <visited>false</visited>
+   <multiplicity>1</multiplicity>
+   <image/>
+   <values>
+    <value>polygon: (852.93,3511.5;852.93,3513.28;852.98,3513.28;852.98,3511.5)</value>
+   </values>
+  </item>
+  <item>
+   <tags/>
+   <category>'72/16: met5, pin/label not-over drawing:72/20'</category>
+   <cell>user_analog_project_wrapper</cell>
+   <visited>false</visited>
+   <multiplicity>1</multiplicity>
+   <image/>
+   <values>
+    <value>polygon: (827.97,3523.78;827.97,3524;827.98,3524;827.98,3523.78)</value>
+   </values>
+  </item>
+ </items>
+</report-database>
diff --git a/precheck_results/04_JUN_2022___07_42_57/outputs/reports/klayout_zeroarea_check.xml b/precheck_results/04_JUN_2022___07_42_57/outputs/reports/klayout_zeroarea_check.xml
new file mode 100644
index 0000000..c90408a
--- /dev/null
+++ b/precheck_results/04_JUN_2022___07_42_57/outputs/reports/klayout_zeroarea_check.xml
@@ -0,0 +1,21 @@
+<?xml version="1.0" encoding="utf-8"?>
+<report-database>
+ <description>zero area check</description>
+ <original-file/>
+ <generator>drc: script='/home/krishna/mpw_precheckmpw6/checks/drc_checks/klayout/zeroarea.rb.drc'</generator>
+ <top-cell>user_analog_project_wrapper</top-cell>
+ <tags>
+ </tags>
+ <categories>
+ </categories>
+ <cells>
+  <cell>
+   <name>user_analog_project_wrapper</name>
+   <variant/>
+   <references>
+   </references>
+  </cell>
+ </cells>
+ <items>
+ </items>
+</report-database>
diff --git a/precheck_results/04_JUN_2022___07_42_57/outputs/reports/magic_drc_check.drc.report b/precheck_results/04_JUN_2022___07_42_57/outputs/reports/magic_drc_check.drc.report
new file mode 100644
index 0000000..829b9d5
--- /dev/null
+++ b/precheck_results/04_JUN_2022___07_42_57/outputs/reports/magic_drc_check.drc.report
@@ -0,0 +1,5 @@
+user_analog_project_wrapper
+----------------------------------------
+[INFO]: COUNT: 0
+[INFO]: Should be divided by 3 or 4
+
diff --git a/precheck_results/04_JUN_2022___07_42_57/outputs/reports/magic_drc_check.rdb b/precheck_results/04_JUN_2022___07_42_57/outputs/reports/magic_drc_check.rdb
new file mode 100644
index 0000000..8a882f3
--- /dev/null
+++ b/precheck_results/04_JUN_2022___07_42_57/outputs/reports/magic_drc_check.rdb
@@ -0,0 +1,2 @@
+$user_analog_project_wrapper
+ 100
diff --git a/precheck_results/04_JUN_2022___07_42_57/outputs/reports/magic_drc_check.tcl b/precheck_results/04_JUN_2022___07_42_57/outputs/reports/magic_drc_check.tcl
new file mode 100644
index 0000000..e69de29
--- /dev/null
+++ b/precheck_results/04_JUN_2022___07_42_57/outputs/reports/magic_drc_check.tcl
diff --git a/precheck_results/04_JUN_2022___07_42_57/outputs/reports/magic_drc_check.tr b/precheck_results/04_JUN_2022___07_42_57/outputs/reports/magic_drc_check.tr
new file mode 100644
index 0000000..e69de29
--- /dev/null
+++ b/precheck_results/04_JUN_2022___07_42_57/outputs/reports/magic_drc_check.tr
diff --git a/precheck_results/04_JUN_2022___07_42_57/outputs/reports/magic_drc_check.xml b/precheck_results/04_JUN_2022___07_42_57/outputs/reports/magic_drc_check.xml
new file mode 100644
index 0000000..7161d7c
--- /dev/null
+++ b/precheck_results/04_JUN_2022___07_42_57/outputs/reports/magic_drc_check.xml
@@ -0,0 +1,10 @@
+<?xml version="1.0" ?>
+<report-database>
+    <categories/>
+    <cells>
+        <cell>
+            <name>user_analog_project_wrapper</name>
+        </cell>
+    </cells>
+    <items/>
+</report-database>
diff --git a/precheck_results/04_JUN_2022___07_42_57/outputs/user_analog_project_wrapper.magic.drc.mag b/precheck_results/04_JUN_2022___07_42_57/outputs/user_analog_project_wrapper.magic.drc.mag
new file mode 100644
index 0000000..bc7865b
--- /dev/null
+++ b/precheck_results/04_JUN_2022___07_42_57/outputs/user_analog_project_wrapper.magic.drc.mag
@@ -0,0 +1,7 @@
+magic
+tech minimum
+magscale 1 2
+timestamp 0
+<< checkpaint >>
+rect 0 0 1 1
+<< end >>
diff --git a/precheck_results/04_JUN_2022___07_42_57/outputs/user_analog_project_wrapper_empty_erased.gds b/precheck_results/04_JUN_2022___07_42_57/outputs/user_analog_project_wrapper_empty_erased.gds
new file mode 100644
index 0000000..e69de29
--- /dev/null
+++ b/precheck_results/04_JUN_2022___07_42_57/outputs/user_analog_project_wrapper_empty_erased.gds
diff --git a/precheck_results/04_JUN_2022___07_42_57/outputs/user_analog_project_wrapper_erased.gds b/precheck_results/04_JUN_2022___07_42_57/outputs/user_analog_project_wrapper_erased.gds
new file mode 100644
index 0000000..e69de29
--- /dev/null
+++ b/precheck_results/04_JUN_2022___07_42_57/outputs/user_analog_project_wrapper_erased.gds
diff --git a/precheck_results/04_JUN_2022___07_42_57/outputs/user_analog_project_wrapper_no_zero_areas.gds b/precheck_results/04_JUN_2022___07_42_57/outputs/user_analog_project_wrapper_no_zero_areas.gds
new file mode 100644
index 0000000..26f3416
--- /dev/null
+++ b/precheck_results/04_JUN_2022___07_42_57/outputs/user_analog_project_wrapper_no_zero_areas.gds
Binary files differ
diff --git a/precheck_results/04_JUN_2022___07_51_46/logs/gds.info b/precheck_results/04_JUN_2022___07_51_46/logs/gds.info
new file mode 100644
index 0000000..f031767
--- /dev/null
+++ b/precheck_results/04_JUN_2022___07_51_46/logs/gds.info
@@ -0,0 +1 @@
+user_analog_project_wrapper.gds: 88161c0613ad0dd956d6108ccf7b0d59ac248984
\ No newline at end of file
diff --git a/precheck_results/04_JUN_2022___07_51_46/logs/klayout_beol_check.log b/precheck_results/04_JUN_2022___07_51_46/logs/klayout_beol_check.log
new file mode 100644
index 0000000..47d450b
--- /dev/null
+++ b/precheck_results/04_JUN_2022___07_51_46/logs/klayout_beol_check.log
@@ -0,0 +1,992 @@
+/home/krishna/mpw_precheckmpw6/checks/tech-files/sky130A_mr.drc:38: warning: already initialized constant DRC::DRCEngine::FEOL
+/home/krishna/mpw_precheckmpw6/checks/tech-files/sky130A_mr.drc:28: warning: previous definition of FEOL was here
+/home/krishna/mpw_precheckmpw6/checks/tech-files/sky130A_mr.drc:42: warning: already initialized constant DRC::DRCEngine::BEOL
+/home/krishna/mpw_precheckmpw6/checks/tech-files/sky130A_mr.drc:29: warning: previous definition of BEOL was here
+/home/krishna/mpw_precheckmpw6/checks/tech-files/sky130A_mr.drc:50: warning: already initialized constant DRC::DRCEngine::OFFGRID
+/home/krishna/mpw_precheckmpw6/checks/tech-files/sky130A_mr.drc:30: warning: previous definition of OFFGRID was here
+/home/krishna/mpw_precheckmpw6/checks/tech-files/sky130A_mr.drc:56: warning: already initialized constant DRC::DRCEngine::SEAL
+/home/krishna/mpw_precheckmpw6/checks/tech-files/sky130A_mr.drc:31: warning: previous definition of SEAL was here
+/home/krishna/mpw_precheckmpw6/checks/tech-files/sky130A_mr.drc:62: warning: already initialized constant DRC::DRCEngine::FLOATING_MET
+/home/krishna/mpw_precheckmpw6/checks/tech-files/sky130A_mr.drc:32: warning: previous definition of FLOATING_MET was here
+"input" in: sky130A_mr.drc:112
+    Polygons (raw): 62 (flat)  25 (hierarchical)
+    Elapsed: 0.010s  Memory: 522.00M
+"polygons" in: sky130A_mr.drc:113
+    Polygons (raw): 38 (flat)  30 (hierarchical)
+    Elapsed: 0.000s  Memory: 522.00M
+"polygons" in: sky130A_mr.drc:114
+    Polygons (raw): 89 (flat)  69 (hierarchical)
+    Elapsed: 0.010s  Memory: 522.00M
+"polygons" in: sky130A_mr.drc:115
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 522.00M
+"polygons" in: sky130A_mr.drc:116
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 522.00M
+"polygons" in: sky130A_mr.drc:117
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 522.00M
+"polygons" in: sky130A_mr.drc:118
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 522.00M
+"polygons" in: sky130A_mr.drc:119
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 522.00M
+"polygons" in: sky130A_mr.drc:120
+    Polygons (raw): 10 (flat)  2 (hierarchical)
+    Elapsed: 0.000s  Memory: 522.00M
+"polygons" in: sky130A_mr.drc:121
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 522.00M
+"polygons" in: sky130A_mr.drc:122
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 522.00M
+"polygons" in: sky130A_mr.drc:123
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 522.00M
+"polygons" in: sky130A_mr.drc:124
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 522.00M
+"polygons" in: sky130A_mr.drc:125
+    Polygons (raw): 202 (flat)  116 (hierarchical)
+    Elapsed: 0.010s  Memory: 522.00M
+"polygons" in: sky130A_mr.drc:126
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 522.00M
+"polygons" in: sky130A_mr.drc:127
+    Polygons (raw): 62 (flat)  43 (hierarchical)
+    Elapsed: 0.010s  Memory: 522.00M
+"polygons" in: sky130A_mr.drc:128
+    Polygons (raw): 52 (flat)  26 (hierarchical)
+    Elapsed: 0.000s  Memory: 522.00M
+"polygons" in: sky130A_mr.drc:129
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 522.00M
+"polygons" in: sky130A_mr.drc:130
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 522.00M
+"polygons" in: sky130A_mr.drc:131
+    Polygons (raw): 33 (flat)  24 (hierarchical)
+    Elapsed: 0.010s  Memory: 522.00M
+"polygons" in: sky130A_mr.drc:132
+    Polygons (raw): 907 (flat)  291 (hierarchical)
+    Elapsed: 0.000s  Memory: 522.00M
+"polygons" in: sky130A_mr.drc:134
+    Polygons (raw): 566 (flat)  394 (hierarchical)
+    Elapsed: 0.010s  Memory: 522.00M
+"polygons" in: sky130A_mr.drc:135
+    Polygons (raw): 1756 (flat)  1480 (hierarchical)
+    Elapsed: 0.000s  Memory: 522.00M
+"polygons" in: sky130A_mr.drc:137
+    Polygons (raw): 349 (flat)  299 (hierarchical)
+    Elapsed: 0.000s  Memory: 522.00M
+"polygons" in: sky130A_mr.drc:138
+    Polygons (raw): 2570 (flat)  2570 (hierarchical)
+    Elapsed: 0.010s  Memory: 522.00M
+"polygons" in: sky130A_mr.drc:140
+    Polygons (raw): 603 (flat)  603 (hierarchical)
+    Elapsed: 0.000s  Memory: 522.00M
+"polygons" in: sky130A_mr.drc:141
+    Polygons (raw): 1761 (flat)  1761 (hierarchical)
+    Elapsed: 0.010s  Memory: 522.00M
+"polygons" in: sky130A_mr.drc:143
+    Polygons (raw): 461 (flat)  461 (hierarchical)
+    Elapsed: 0.000s  Memory: 522.00M
+"polygons" in: sky130A_mr.drc:144
+    Polygons (raw): 25800 (flat)  25800 (hierarchical)
+    Elapsed: 0.020s  Memory: 523.00M
+"polygons" in: sky130A_mr.drc:146
+    Polygons (raw): 179 (flat)  179 (hierarchical)
+    Elapsed: 0.000s  Memory: 523.00M
+"polygons" in: sky130A_mr.drc:147
+    Polygons (raw): 552 (flat)  552 (hierarchical)
+    Elapsed: 0.010s  Memory: 523.00M
+"polygons" in: sky130A_mr.drc:149
+    Polygons (raw): 153 (flat)  153 (hierarchical)
+    Elapsed: 0.000s  Memory: 523.00M
+"polygons" in: sky130A_mr.drc:151
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 523.00M
+"polygons" in: sky130A_mr.drc:152
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 523.00M
+"polygons" in: sky130A_mr.drc:153
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 523.00M
+"polygons" in: sky130A_mr.drc:154
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 523.00M
+"polygons" in: sky130A_mr.drc:155
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 523.00M
+"polygons" in: sky130A_mr.drc:156
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 523.00M
+"polygons" in: sky130A_mr.drc:157
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 523.00M
+"polygons" in: sky130A_mr.drc:158
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 523.00M
+"polygons" in: sky130A_mr.drc:159
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 523.00M
+"polygons" in: sky130A_mr.drc:160
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 523.00M
+"polygons" in: sky130A_mr.drc:161
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 523.00M
+"polygons" in: sky130A_mr.drc:162
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 523.00M
+"polygons" in: sky130A_mr.drc:163
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 523.00M
+"polygons" in: sky130A_mr.drc:164
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 523.00M
+"polygons" in: sky130A_mr.drc:165
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 523.00M
+"polygons" in: sky130A_mr.drc:166
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 523.00M
+"polygons" in: sky130A_mr.drc:167
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 523.00M
+"polygons" in: sky130A_mr.drc:168
+    Polygons (raw): 10 (flat)  2 (hierarchical)
+    Elapsed: 0.000s  Memory: 523.00M
+"polygons" in: sky130A_mr.drc:169
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 523.00M
+"polygons" in: sky130A_mr.drc:170
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 523.00M
+"polygons" in: sky130A_mr.drc:171
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 523.00M
+"polygons" in: sky130A_mr.drc:172
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 523.00M
+"polygons" in: sky130A_mr.drc:173
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 523.00M
+"polygons" in: sky130A_mr.drc:174
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 523.00M
+"polygons" in: sky130A_mr.drc:175
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 523.00M
+"polygons" in: sky130A_mr.drc:176
+    Polygons (raw): 1 (flat)  1 (hierarchical)
+    Elapsed: 0.000s  Memory: 523.00M
+"polygons" in: sky130A_mr.drc:177
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 523.00M
+"polygons" in: sky130A_mr.drc:178
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 523.00M
+"polygons" in: sky130A_mr.drc:179
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 523.00M
+"polygons" in: sky130A_mr.drc:180
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 523.00M
+"polygons" in: sky130A_mr.drc:181
+    Polygons (raw): 4 (flat)  3 (hierarchical)
+    Elapsed: 0.000s  Memory: 523.00M
+"polygons" in: sky130A_mr.drc:182
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 523.00M
+"polygons" in: sky130A_mr.drc:183
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 523.00M
+"polygons" in: sky130A_mr.drc:184
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 523.00M
+"polygons" in: sky130A_mr.drc:185
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 523.00M
+"polygons" in: sky130A_mr.drc:186
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 523.00M
+"polygons" in: sky130A_mr.drc:187
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 523.00M
+"polygons" in: sky130A_mr.drc:188
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 523.00M
+"polygons" in: sky130A_mr.drc:189
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 523.00M
+"polygons" in: sky130A_mr.drc:190
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 523.00M
+"polygons" in: sky130A_mr.drc:191
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 523.00M
+"polygons" in: sky130A_mr.drc:192
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 523.00M
+"polygons" in: sky130A_mr.drc:193
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 523.00M
+"polygons" in: sky130A_mr.drc:194
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 523.00M
+"polygons" in: sky130A_mr.drc:195
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 523.00M
+"polygons" in: sky130A_mr.drc:196
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 523.00M
+"polygons" in: sky130A_mr.drc:197
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 523.00M
+"polygons" in: sky130A_mr.drc:198
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 523.00M
+"polygons" in: sky130A_mr.drc:199
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 523.00M
+"polygons" in: sky130A_mr.drc:200
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 523.00M
+"polygons" in: sky130A_mr.drc:201
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 523.00M
+"polygons" in: sky130A_mr.drc:202
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 523.00M
+"polygons" in: sky130A_mr.drc:203
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 523.00M
+DRC section
+BEOL section
+START: 67/20 (li)
+"not" in: sky130A_mr.drc:381
+    Polygons (raw): 566 (flat)  394 (hierarchical)
+    Elapsed: 0.010s  Memory: 523.00M
+"width" in: sky130A_mr.drc:382
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 523.00M
+"output" in: sky130A_mr.drc:382
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 523.00M
+"edges" in: sky130A_mr.drc:384
+    Edges: 2849 (flat)  1598 (hierarchical)
+    Elapsed: 0.040s  Memory: 1067.00M
+"space" in: sky130A_mr.drc:384
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 1067.00M
+"output" in: sky130A_mr.drc:384
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 1067.00M
+"not" in: sky130A_mr.drc:385
+    Polygons (raw): 907 (flat)  291 (hierarchical)
+    Elapsed: 0.000s  Memory: 1067.00M
+"enclosing" in: sky130A_mr.drc:386
+    Edge pairs: 1195 (flat)  859 (hierarchical)
+    Elapsed: 0.030s  Memory: 1067.00M
+"second_edges" in: sky130A_mr.drc:386
+    Edges: 1195 (flat)  859 (hierarchical)
+    Elapsed: 0.010s  Memory: 1067.00M
+"width" in: sky130A_mr.drc:387
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 1067.00M
+"polygons" in: sky130A_mr.drc:388
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 1067.00M
+"interacting" in: sky130A_mr.drc:388
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 1067.00M
+"output" in: sky130A_mr.drc:389
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 1067.00M
+"with_area" in: sky130A_mr.drc:390
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 1067.00M
+"output" in: sky130A_mr.drc:390
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 1067.00M
+END: 67/20 (li)
+START: 67/44 (mcon)
+"not" in: sky130A_mr.drc:395
+    Polygons (raw): 1756 (flat)  1480 (hierarchical)
+    Elapsed: 0.000s  Memory: 1067.00M
+"not" in: sky130A_mr.drc:402
+    Polygons (raw): 1756 (flat)  1480 (hierarchical)
+    Elapsed: 0.010s  Memory: 1067.00M
+"non_rectangles" in: sky130A_mr.drc:403
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 1068.00M
+"output" in: sky130A_mr.drc:403
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 1068.00M
+"drc" in: sky130A_mr.drc:405
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.020s  Memory: 1068.00M
+"output" in: sky130A_mr.drc:405
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 1068.00M
+"drc" in: sky130A_mr.drc:406
+    Edges: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 1068.00M
+"output" in: sky130A_mr.drc:406
+    Edges: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 1068.00M
+"space" in: sky130A_mr.drc:407
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 1068.00M
+"output" in: sky130A_mr.drc:407
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 1068.00M
+"not" in: sky130A_mr.drc:413
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 1068.00M
+"output" in: sky130A_mr.drc:413
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 1068.00M
+END: 67/44 (mcon)
+START: 68/20 (m1)
+"width" in: sky130A_mr.drc:418
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 1068.00M
+"output" in: sky130A_mr.drc:418
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 1068.00M
+"sized" in: sky130A_mr.drc:419
+    Polygons (raw): 17 (flat)  17 (hierarchical)
+    Elapsed: 0.000s  Memory: 1068.00M
+"sized" in: sky130A_mr.drc:419
+    Polygons (raw): 17 (flat)  17 (hierarchical)
+    Elapsed: 0.010s  Memory: 1068.00M
+"snap" in: sky130A_mr.drc:419
+    Polygons (raw): 17 (flat)  17 (hierarchical)
+    Elapsed: 0.000s  Memory: 1068.00M
+"&" in: sky130A_mr.drc:419
+    Polygons (raw): 17 (flat)  17 (hierarchical)
+    Elapsed: 0.010s  Memory: 1068.00M
+"edges" in: sky130A_mr.drc:420
+    Edges: 1235 (flat)  1093 (hierarchical)
+    Elapsed: 0.020s  Memory: 1068.00M
+"-" in: sky130A_mr.drc:420
+    Edges: 1100 (flat)  964 (hierarchical)
+    Elapsed: 0.010s  Memory: 1068.00M
+"edges" in: sky130A_mr.drc:421
+    Edges: 122 (flat)  122 (hierarchical)
+    Elapsed: 0.000s  Memory: 1068.00M
+"merged" in: sky130A_mr.drc:421
+    Polygons (raw): 123 (flat)  113 (hierarchical)
+    Elapsed: 0.010s  Memory: 1068.00M
+"outside_part" in: sky130A_mr.drc:421
+    Edges: 138 (flat)  138 (hierarchical)
+    Elapsed: 0.010s  Memory: 1068.00M
+"space" in: sky130A_mr.drc:423
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 1068.00M
+"output" in: sky130A_mr.drc:423
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 1068.00M
+"separation" in: sky130A_mr.drc:425
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 1068.00M
+"space" in: sky130A_mr.drc:425
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 1068.00M
+"+" in: sky130A_mr.drc:425
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 1068.00M
+"output" in: sky130A_mr.drc:425
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 1068.00M
+"input" in: sky130A_mr.drc:429
+    Polygons (raw): 349 (flat)  305 (hierarchical)
+    Elapsed: 0.000s  Memory: 1068.00M
+"enclosing" in: sky130A_mr.drc:431
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.020s  Memory: 1068.00M
+"output" in: sky130A_mr.drc:431
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 1068.00M
+"not" in: sky130A_mr.drc:432
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 1068.00M
+"output" in: sky130A_mr.drc:432
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 1068.00M
+"input" in: sky130A_mr.drc:434
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 1068.00M
+"enclosing" in: sky130A_mr.drc:435
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 1068.00M
+"output" in: sky130A_mr.drc:435
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 1068.00M
+"not" in: sky130A_mr.drc:437
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 1068.00M
+"output" in: sky130A_mr.drc:437
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 1068.00M
+"with_area" in: sky130A_mr.drc:439
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 1068.00M
+"output" in: sky130A_mr.drc:439
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 1068.00M
+"holes" in: sky130A_mr.drc:441
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 1068.00M
+"with_area" in: sky130A_mr.drc:441
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 1068.00M
+"output" in: sky130A_mr.drc:441
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 1068.00M
+"enclosing" in: sky130A_mr.drc:448
+    Edge pairs: 245 (flat)  205 (hierarchical)
+    Elapsed: 0.020s  Memory: 1068.00M
+"second_edges" in: sky130A_mr.drc:448
+    Edges: 245 (flat)  205 (hierarchical)
+    Elapsed: 0.010s  Memory: 1068.00M
+"width" in: sky130A_mr.drc:449
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 1068.00M
+"polygons" in: sky130A_mr.drc:450
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 1068.00M
+"interacting" in: sky130A_mr.drc:450
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.020s  Memory: 1068.00M
+"output" in: sky130A_mr.drc:451
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 1068.00M
+END: 68/20 (m1)
+START: 68/44 (via)
+"not" in: sky130A_mr.drc:465
+    Polygons (raw): 2570 (flat)  2570 (hierarchical)
+    Elapsed: 0.010s  Memory: 1068.00M
+"non_rectangles" in: sky130A_mr.drc:467
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 1068.00M
+"output" in: sky130A_mr.drc:467
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 1068.00M
+"width" in: sky130A_mr.drc:468
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 1068.00M
+"output" in: sky130A_mr.drc:468
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 1068.00M
+"drc" in: sky130A_mr.drc:470
+    Edges: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.020s  Memory: 1068.00M
+"output" in: sky130A_mr.drc:470
+    Edges: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 1068.00M
+"space" in: sky130A_mr.drc:472
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.030s  Memory: 1068.00M
+"output" in: sky130A_mr.drc:472
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 1068.00M
+"edges" in: sky130A_mr.drc:480
+    Edges: 1235 (flat)  1093 (hierarchical)
+    Elapsed: 0.010s  Memory: 1068.00M
+"drc" in: sky130A_mr.drc:480
+    Edges: 10280 (flat)  10280 (hierarchical)
+    Elapsed: 0.040s  Memory: 1068.00M
+"enclosing" in: sky130A_mr.drc:480
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 1068.00M
+"output" in: sky130A_mr.drc:480
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 1068.00M
+"squares" in: sky130A_mr.drc:481
+    Polygons (raw): 2570 (flat)  2570 (hierarchical)
+    Elapsed: 0.000s  Memory: 1068.00M
+"drc" in: sky130A_mr.drc:481
+    Edges: 10280 (flat)  10280 (hierarchical)
+    Elapsed: 0.040s  Memory: 1068.00M
+"not" in: sky130A_mr.drc:481
+    Edges: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.020s  Memory: 1068.00M
+"output" in: sky130A_mr.drc:481
+    Edges: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 1068.00M
+"edges" in: sky130A_mr.drc:483
+    Edges: 1235 (flat)  1093 (hierarchical)
+    Elapsed: 0.010s  Memory: 1068.00M
+"drc" in: sky130A_mr.drc:483
+    Edges: 10280 (flat)  10280 (hierarchical)
+    Elapsed: 0.030s  Memory: 1068.00M
+"enclosing" in: sky130A_mr.drc:483
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 1068.00M
+"second_edges" in: sky130A_mr.drc:483
+    Edges: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 1068.00M
+"width" in: sky130A_mr.drc:484
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 1068.00M
+"polygons" in: sky130A_mr.drc:485
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 1068.00M
+"interacting" in: sky130A_mr.drc:485
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 1068.00M
+"output" in: sky130A_mr.drc:486
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 1068.00M
+END: 68/44 (via)
+START: 69/20 (m2)
+"width" in: sky130A_mr.drc:493
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 1069.00M
+"output" in: sky130A_mr.drc:493
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 1069.00M
+"sized" in: sky130A_mr.drc:495
+    Polygons (raw): 13 (flat)  13 (hierarchical)
+    Elapsed: 0.010s  Memory: 1069.00M
+"sized" in: sky130A_mr.drc:495
+    Polygons (raw): 13 (flat)  13 (hierarchical)
+    Elapsed: 0.000s  Memory: 1069.00M
+"snap" in: sky130A_mr.drc:495
+    Polygons (raw): 13 (flat)  13 (hierarchical)
+    Elapsed: 0.010s  Memory: 1069.00M
+"&" in: sky130A_mr.drc:495
+    Polygons (raw): 13 (flat)  13 (hierarchical)
+    Elapsed: 0.000s  Memory: 1069.00M
+"edges" in: sky130A_mr.drc:496
+    Edges: 2367 (flat)  2367 (hierarchical)
+    Elapsed: 0.010s  Memory: 1069.00M
+"-" in: sky130A_mr.drc:496
+    Edges: 2296 (flat)  2296 (hierarchical)
+    Elapsed: 0.000s  Memory: 1069.00M
+"edges" in: sky130A_mr.drc:497
+    Edges: 64 (flat)  64 (hierarchical)
+    Elapsed: 0.010s  Memory: 1069.00M
+"merged" in: sky130A_mr.drc:497
+    Polygons (raw): 550 (flat)  550 (hierarchical)
+    Elapsed: 0.010s  Memory: 1069.00M
+"outside_part" in: sky130A_mr.drc:497
+    Edges: 65 (flat)  65 (hierarchical)
+    Elapsed: 0.000s  Memory: 1069.00M
+"not" in: sky130A_mr.drc:498
+    Polygons (raw): 2570 (flat)  2570 (hierarchical)
+    Elapsed: 0.010s  Memory: 1069.00M
+"space" in: sky130A_mr.drc:500
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 1069.00M
+"output" in: sky130A_mr.drc:500
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 1069.00M
+"separation" in: sky130A_mr.drc:502
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 1069.00M
+"space" in: sky130A_mr.drc:502
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 1069.00M
+"+" in: sky130A_mr.drc:502
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 1069.00M
+"output" in: sky130A_mr.drc:502
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 1069.00M
+"with_area" in: sky130A_mr.drc:504
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 1069.00M
+"output" in: sky130A_mr.drc:504
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 1069.00M
+"holes" in: sky130A_mr.drc:505
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 1069.00M
+"with_area" in: sky130A_mr.drc:505
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 1069.00M
+"output" in: sky130A_mr.drc:505
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 1069.00M
+"enclosing" in: sky130A_mr.drc:510
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.020s  Memory: 1069.00M
+"output" in: sky130A_mr.drc:510
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 1069.00M
+"not" in: sky130A_mr.drc:511
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 1069.00M
+"output" in: sky130A_mr.drc:511
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 1069.00M
+"enclosing" in: sky130A_mr.drc:512
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.020s  Memory: 1069.00M
+"second_edges" in: sky130A_mr.drc:512
+    Edges: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 1069.00M
+"width" in: sky130A_mr.drc:513
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 1069.00M
+"polygons" in: sky130A_mr.drc:514
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 1069.00M
+"interacting" in: sky130A_mr.drc:514
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 1069.00M
+"output" in: sky130A_mr.drc:515
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 1069.00M
+END: 69/20 (m2)
+START: 69/44 (via2)
+"not" in: sky130A_mr.drc:530
+    Polygons (raw): 1761 (flat)  1761 (hierarchical)
+    Elapsed: 0.000s  Memory: 1069.00M
+"non_rectangles" in: sky130A_mr.drc:531
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.020s  Memory: 1069.00M
+"output" in: sky130A_mr.drc:531
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 1069.00M
+"width" in: sky130A_mr.drc:532
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 1069.00M
+"output" in: sky130A_mr.drc:532
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 1069.00M
+"edges" in: sky130A_mr.drc:533
+    Edges: 7044 (flat)  7044 (hierarchical)
+    Elapsed: 0.020s  Memory: 1069.00M
+"without_length" in: sky130A_mr.drc:533
+    Edges: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.020s  Memory: 1071.00M
+"output" in: sky130A_mr.drc:533
+    Edges: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 1071.00M
+"space" in: sky130A_mr.drc:534
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.030s  Memory: 1071.00M
+"output" in: sky130A_mr.drc:534
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 1071.00M
+"enclosing" in: sky130A_mr.drc:542
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 1071.00M
+"output" in: sky130A_mr.drc:542
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 1071.00M
+"not" in: sky130A_mr.drc:543
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 1071.00M
+"output" in: sky130A_mr.drc:543
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 1071.00M
+"enclosing" in: sky130A_mr.drc:545
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 1071.00M
+"second_edges" in: sky130A_mr.drc:545
+    Edges: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 1071.00M
+"width" in: sky130A_mr.drc:546
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.020s  Memory: 1071.00M
+"polygons" in: sky130A_mr.drc:547
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 1071.00M
+"interacting" in: sky130A_mr.drc:547
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 1071.00M
+"output" in: sky130A_mr.drc:548
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 1071.00M
+END: 69/44 (via2)
+START: 70/20 (m3)
+"width" in: sky130A_mr.drc:554
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 1071.00M
+"output" in: sky130A_mr.drc:554
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 1071.00M
+"sized" in: sky130A_mr.drc:556
+    Polygons (raw): 53 (flat)  53 (hierarchical)
+    Elapsed: 0.010s  Memory: 1071.00M
+"sized" in: sky130A_mr.drc:556
+    Polygons (raw): 53 (flat)  53 (hierarchical)
+    Elapsed: 0.000s  Memory: 1071.00M
+"snap" in: sky130A_mr.drc:556
+    Polygons (raw): 53 (flat)  53 (hierarchical)
+    Elapsed: 0.010s  Memory: 1071.00M
+"&" in: sky130A_mr.drc:556
+    Polygons (raw): 98 (flat)  98 (hierarchical)
+    Elapsed: 0.010s  Memory: 1071.00M
+"edges" in: sky130A_mr.drc:557
+    Edges: 1667 (flat)  1667 (hierarchical)
+    Elapsed: 0.020s  Memory: 1071.00M
+"-" in: sky130A_mr.drc:557
+    Edges: 883 (flat)  883 (hierarchical)
+    Elapsed: 0.010s  Memory: 1071.00M
+"edges" in: sky130A_mr.drc:558
+    Edges: 677 (flat)  677 (hierarchical)
+    Elapsed: 0.010s  Memory: 1071.00M
+"merged" in: sky130A_mr.drc:558
+    Polygons (raw): 228 (flat)  228 (hierarchical)
+    Elapsed: 0.000s  Memory: 1071.00M
+"outside_part" in: sky130A_mr.drc:558
+    Edges: 682 (flat)  682 (hierarchical)
+    Elapsed: 0.010s  Memory: 1071.00M
+"space" in: sky130A_mr.drc:560
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 1071.00M
+"output" in: sky130A_mr.drc:560
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 1071.00M
+"separation" in: sky130A_mr.drc:562
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 1071.00M
+"space" in: sky130A_mr.drc:562
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 1071.00M
+"+" in: sky130A_mr.drc:562
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 1071.00M
+"output" in: sky130A_mr.drc:562
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 1071.00M
+"enclosing" in: sky130A_mr.drc:567
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.020s  Memory: 1071.00M
+"output" in: sky130A_mr.drc:567
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 1071.00M
+"not" in: sky130A_mr.drc:568
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 1071.00M
+"output" in: sky130A_mr.drc:568
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 1071.00M
+END: 70/20 (m3)
+START: 70/44 (via3)
+"not" in: sky130A_mr.drc:582
+    Polygons (raw): 25800 (flat)  25800 (hierarchical)
+    Elapsed: 0.010s  Memory: 1071.00M
+"non_rectangles" in: sky130A_mr.drc:583
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.130s  Memory: 1078.00M
+"output" in: sky130A_mr.drc:583
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 1078.00M
+"width" in: sky130A_mr.drc:584
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.020s  Memory: 1078.00M
+"output" in: sky130A_mr.drc:584
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 1078.00M
+"edges" in: sky130A_mr.drc:585
+    Edges: 103200 (flat)  103200 (hierarchical)
+    Elapsed: 0.100s  Memory: 1078.00M
+"without_length" in: sky130A_mr.drc:585
+    Edges: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.260s  Memory: 1105.00M
+"output" in: sky130A_mr.drc:585
+    Edges: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 1105.00M
+"space" in: sky130A_mr.drc:587
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.190s  Memory: 1105.00M
+"output" in: sky130A_mr.drc:587
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 1105.00M
+"enclosing" in: sky130A_mr.drc:588
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.230s  Memory: 1105.00M
+"output" in: sky130A_mr.drc:588
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 1105.00M
+"not" in: sky130A_mr.drc:589
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.050s  Memory: 1105.00M
+"output" in: sky130A_mr.drc:589
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 1105.00M
+"enclosing" in: sky130A_mr.drc:591
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.220s  Memory: 1105.00M
+"second_edges" in: sky130A_mr.drc:591
+    Edges: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 1105.00M
+"width" in: sky130A_mr.drc:592
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 1105.00M
+"polygons" in: sky130A_mr.drc:593
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 1105.00M
+"interacting" in: sky130A_mr.drc:593
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 1105.00M
+"output" in: sky130A_mr.drc:594
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 1105.00M
+END: 70/44 (via3)
+START: 71/20 (m4)
+"width" in: sky130A_mr.drc:600
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 1105.00M
+"output" in: sky130A_mr.drc:600
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 1105.00M
+"sized" in: sky130A_mr.drc:602
+    Polygons (raw): 20 (flat)  20 (hierarchical)
+    Elapsed: 0.000s  Memory: 1105.00M
+"sized" in: sky130A_mr.drc:602
+    Polygons (raw): 20 (flat)  20 (hierarchical)
+    Elapsed: 0.010s  Memory: 1105.00M
+"snap" in: sky130A_mr.drc:602
+    Polygons (raw): 20 (flat)  20 (hierarchical)
+    Elapsed: 0.010s  Memory: 1105.00M
+"&" in: sky130A_mr.drc:602
+    Polygons (raw): 46 (flat)  46 (hierarchical)
+    Elapsed: 0.010s  Memory: 1105.00M
+"edges" in: sky130A_mr.drc:603
+    Edges: 578 (flat)  578 (hierarchical)
+    Elapsed: 0.010s  Memory: 1105.00M
+"-" in: sky130A_mr.drc:603
+    Edges: 116 (flat)  116 (hierarchical)
+    Elapsed: 0.010s  Memory: 1105.00M
+"edges" in: sky130A_mr.drc:604
+    Edges: 370 (flat)  370 (hierarchical)
+    Elapsed: 0.000s  Memory: 1105.00M
+"merged" in: sky130A_mr.drc:604
+    Polygons (raw): 31 (flat)  31 (hierarchical)
+    Elapsed: 0.000s  Memory: 1105.00M
+"outside_part" in: sky130A_mr.drc:604
+    Edges: 375 (flat)  375 (hierarchical)
+    Elapsed: 0.010s  Memory: 1105.00M
+"space" in: sky130A_mr.drc:606
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 1105.00M
+"output" in: sky130A_mr.drc:606
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 1105.00M
+"with_area" in: sky130A_mr.drc:608
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 1105.00M
+"output" in: sky130A_mr.drc:608
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 1105.00M
+"separation" in: sky130A_mr.drc:610
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 1105.00M
+"space" in: sky130A_mr.drc:610
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 1105.00M
+"+" in: sky130A_mr.drc:610
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 1105.00M
+"output" in: sky130A_mr.drc:610
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 1105.00M
+"enclosing" in: sky130A_mr.drc:615
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.190s  Memory: 1105.00M
+"output" in: sky130A_mr.drc:615
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 1105.00M
+"not" in: sky130A_mr.drc:616
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.060s  Memory: 1105.00M
+"output" in: sky130A_mr.drc:616
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 1105.00M
+END: 71/20 (m4)
+START: 71/44 (via4)
+"not" in: sky130A_mr.drc:629
+    Polygons (raw): 552 (flat)  552 (hierarchical)
+    Elapsed: 0.010s  Memory: 1105.00M
+"non_rectangles" in: sky130A_mr.drc:630
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 1105.00M
+"output" in: sky130A_mr.drc:630
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 1105.00M
+"width" in: sky130A_mr.drc:631
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 1105.00M
+"output" in: sky130A_mr.drc:631
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 1105.00M
+"drc" in: sky130A_mr.drc:632
+    Edges: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 1105.00M
+"output" in: sky130A_mr.drc:632
+    Edges: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 1105.00M
+"space" in: sky130A_mr.drc:634
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 1105.00M
+"polygons" in: sky130A_mr.drc:634
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 1105.00M
+"output" in: sky130A_mr.drc:634
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 1105.00M
+"enclosing" in: sky130A_mr.drc:642
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.020s  Memory: 1105.00M
+"output" in: sky130A_mr.drc:642
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 1105.00M
+"not" in: sky130A_mr.drc:643
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 1105.00M
+"output" in: sky130A_mr.drc:643
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 1105.00M
+END: 71/44 (via4)
+START: 72/20 (m5)
+"width" in: sky130A_mr.drc:648
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 1105.00M
+"output" in: sky130A_mr.drc:648
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 1105.00M
+"space" in: sky130A_mr.drc:650
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 1105.00M
+"output" in: sky130A_mr.drc:650
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 1105.00M
+"enclosing" in: sky130A_mr.drc:652
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 1105.00M
+"output" in: sky130A_mr.drc:652
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 1105.00M
+"not" in: sky130A_mr.drc:653
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 1105.00M
+"output" in: sky130A_mr.drc:653
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 1105.00M
+"with_area" in: sky130A_mr.drc:657
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 1105.00M
+"output" in: sky130A_mr.drc:657
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 1105.00M
+END: 72/20 (m5)
+START: 76/20 (pad)
+"space" in: sky130A_mr.drc:662
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 1105.00M
+"output" in: sky130A_mr.drc:662
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 1105.00M
+END: 76/20 (pad)
+Writing report database: /home/krishna/Comparator_MPW6/precheck_results/04_JUN_2022___07_51_46/outputs/reports/klayout_beol_check.xml ..
+Total elapsed: 3.760s  Memory: 1105.00M
diff --git a/precheck_results/04_JUN_2022___07_51_46/logs/klayout_beol_check.total b/precheck_results/04_JUN_2022___07_51_46/logs/klayout_beol_check.total
new file mode 100644
index 0000000..c227083
--- /dev/null
+++ b/precheck_results/04_JUN_2022___07_51_46/logs/klayout_beol_check.total
@@ -0,0 +1 @@
+0
\ No newline at end of file
diff --git a/precheck_results/04_JUN_2022___07_51_46/logs/klayout_feol_check.log b/precheck_results/04_JUN_2022___07_51_46/logs/klayout_feol_check.log
new file mode 100644
index 0000000..9007b81
--- /dev/null
+++ b/precheck_results/04_JUN_2022___07_51_46/logs/klayout_feol_check.log
@@ -0,0 +1,789 @@
+/home/krishna/mpw_precheckmpw6/checks/tech-files/sky130A_mr.drc:36: warning: already initialized constant DRC::DRCEngine::FEOL
+/home/krishna/mpw_precheckmpw6/checks/tech-files/sky130A_mr.drc:28: warning: previous definition of FEOL was here
+/home/krishna/mpw_precheckmpw6/checks/tech-files/sky130A_mr.drc:44: warning: already initialized constant DRC::DRCEngine::BEOL
+/home/krishna/mpw_precheckmpw6/checks/tech-files/sky130A_mr.drc:29: warning: previous definition of BEOL was here
+/home/krishna/mpw_precheckmpw6/checks/tech-files/sky130A_mr.drc:50: warning: already initialized constant DRC::DRCEngine::OFFGRID
+/home/krishna/mpw_precheckmpw6/checks/tech-files/sky130A_mr.drc:30: warning: previous definition of OFFGRID was here
+/home/krishna/mpw_precheckmpw6/checks/tech-files/sky130A_mr.drc:56: warning: already initialized constant DRC::DRCEngine::SEAL
+/home/krishna/mpw_precheckmpw6/checks/tech-files/sky130A_mr.drc:31: warning: previous definition of SEAL was here
+/home/krishna/mpw_precheckmpw6/checks/tech-files/sky130A_mr.drc:62: warning: already initialized constant DRC::DRCEngine::FLOATING_MET
+/home/krishna/mpw_precheckmpw6/checks/tech-files/sky130A_mr.drc:32: warning: previous definition of FLOATING_MET was here
+"input" in: sky130A_mr.drc:112
+    Polygons (raw): 62 (flat)  25 (hierarchical)
+    Elapsed: 0.000s  Memory: 522.00M
+"polygons" in: sky130A_mr.drc:113
+    Polygons (raw): 38 (flat)  30 (hierarchical)
+    Elapsed: 0.010s  Memory: 522.00M
+"polygons" in: sky130A_mr.drc:114
+    Polygons (raw): 89 (flat)  69 (hierarchical)
+    Elapsed: 0.000s  Memory: 522.00M
+"polygons" in: sky130A_mr.drc:115
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 522.00M
+"polygons" in: sky130A_mr.drc:116
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 522.00M
+"polygons" in: sky130A_mr.drc:117
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 522.00M
+"polygons" in: sky130A_mr.drc:118
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 522.00M
+"polygons" in: sky130A_mr.drc:119
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 522.00M
+"polygons" in: sky130A_mr.drc:120
+    Polygons (raw): 10 (flat)  2 (hierarchical)
+    Elapsed: 0.000s  Memory: 522.00M
+"polygons" in: sky130A_mr.drc:121
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 522.00M
+"polygons" in: sky130A_mr.drc:122
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 522.00M
+"polygons" in: sky130A_mr.drc:123
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 522.00M
+"polygons" in: sky130A_mr.drc:124
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 522.00M
+"polygons" in: sky130A_mr.drc:125
+    Polygons (raw): 202 (flat)  116 (hierarchical)
+    Elapsed: 0.000s  Memory: 522.00M
+"polygons" in: sky130A_mr.drc:126
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 522.00M
+"polygons" in: sky130A_mr.drc:127
+    Polygons (raw): 62 (flat)  43 (hierarchical)
+    Elapsed: 0.000s  Memory: 522.00M
+"polygons" in: sky130A_mr.drc:128
+    Polygons (raw): 52 (flat)  26 (hierarchical)
+    Elapsed: 0.010s  Memory: 522.00M
+"polygons" in: sky130A_mr.drc:129
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 522.00M
+"polygons" in: sky130A_mr.drc:130
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 522.00M
+"polygons" in: sky130A_mr.drc:131
+    Polygons (raw): 33 (flat)  24 (hierarchical)
+    Elapsed: 0.000s  Memory: 522.00M
+"polygons" in: sky130A_mr.drc:132
+    Polygons (raw): 907 (flat)  291 (hierarchical)
+    Elapsed: 0.010s  Memory: 522.00M
+"polygons" in: sky130A_mr.drc:134
+    Polygons (raw): 566 (flat)  394 (hierarchical)
+    Elapsed: 0.000s  Memory: 522.00M
+"polygons" in: sky130A_mr.drc:135
+    Polygons (raw): 1756 (flat)  1480 (hierarchical)
+    Elapsed: 0.010s  Memory: 522.00M
+"polygons" in: sky130A_mr.drc:137
+    Polygons (raw): 349 (flat)  299 (hierarchical)
+    Elapsed: 0.010s  Memory: 522.00M
+"polygons" in: sky130A_mr.drc:138
+    Polygons (raw): 2570 (flat)  2570 (hierarchical)
+    Elapsed: 0.010s  Memory: 522.00M
+"polygons" in: sky130A_mr.drc:140
+    Polygons (raw): 603 (flat)  603 (hierarchical)
+    Elapsed: 0.000s  Memory: 522.00M
+"polygons" in: sky130A_mr.drc:141
+    Polygons (raw): 1761 (flat)  1761 (hierarchical)
+    Elapsed: 0.010s  Memory: 522.00M
+"polygons" in: sky130A_mr.drc:143
+    Polygons (raw): 461 (flat)  461 (hierarchical)
+    Elapsed: 0.000s  Memory: 522.00M
+"polygons" in: sky130A_mr.drc:144
+    Polygons (raw): 25800 (flat)  25800 (hierarchical)
+    Elapsed: 0.020s  Memory: 523.00M
+"polygons" in: sky130A_mr.drc:146
+    Polygons (raw): 179 (flat)  179 (hierarchical)
+    Elapsed: 0.010s  Memory: 523.00M
+"polygons" in: sky130A_mr.drc:147
+    Polygons (raw): 552 (flat)  552 (hierarchical)
+    Elapsed: 0.000s  Memory: 523.00M
+"polygons" in: sky130A_mr.drc:149
+    Polygons (raw): 153 (flat)  153 (hierarchical)
+    Elapsed: 0.010s  Memory: 523.00M
+"polygons" in: sky130A_mr.drc:151
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 523.00M
+"polygons" in: sky130A_mr.drc:152
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 523.00M
+"polygons" in: sky130A_mr.drc:153
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 523.00M
+"polygons" in: sky130A_mr.drc:154
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 523.00M
+"polygons" in: sky130A_mr.drc:155
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 523.00M
+"polygons" in: sky130A_mr.drc:156
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 523.00M
+"polygons" in: sky130A_mr.drc:157
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 523.00M
+"polygons" in: sky130A_mr.drc:158
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 523.00M
+"polygons" in: sky130A_mr.drc:159
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 523.00M
+"polygons" in: sky130A_mr.drc:160
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 523.00M
+"polygons" in: sky130A_mr.drc:161
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 523.00M
+"polygons" in: sky130A_mr.drc:162
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 523.00M
+"polygons" in: sky130A_mr.drc:163
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 523.00M
+"polygons" in: sky130A_mr.drc:164
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 523.00M
+"polygons" in: sky130A_mr.drc:165
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 523.00M
+"polygons" in: sky130A_mr.drc:166
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 523.00M
+"polygons" in: sky130A_mr.drc:167
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 523.00M
+"polygons" in: sky130A_mr.drc:168
+    Polygons (raw): 10 (flat)  2 (hierarchical)
+    Elapsed: 0.000s  Memory: 523.00M
+"polygons" in: sky130A_mr.drc:169
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 523.00M
+"polygons" in: sky130A_mr.drc:170
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 523.00M
+"polygons" in: sky130A_mr.drc:171
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 523.00M
+"polygons" in: sky130A_mr.drc:172
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 523.00M
+"polygons" in: sky130A_mr.drc:173
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 523.00M
+"polygons" in: sky130A_mr.drc:174
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 523.00M
+"polygons" in: sky130A_mr.drc:175
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 523.00M
+"polygons" in: sky130A_mr.drc:176
+    Polygons (raw): 1 (flat)  1 (hierarchical)
+    Elapsed: 0.000s  Memory: 523.00M
+"polygons" in: sky130A_mr.drc:177
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 523.00M
+"polygons" in: sky130A_mr.drc:178
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 523.00M
+"polygons" in: sky130A_mr.drc:179
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 523.00M
+"polygons" in: sky130A_mr.drc:180
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 523.00M
+"polygons" in: sky130A_mr.drc:181
+    Polygons (raw): 4 (flat)  3 (hierarchical)
+    Elapsed: 0.010s  Memory: 523.00M
+"polygons" in: sky130A_mr.drc:182
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 523.00M
+"polygons" in: sky130A_mr.drc:183
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 523.00M
+"polygons" in: sky130A_mr.drc:184
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 523.00M
+"polygons" in: sky130A_mr.drc:185
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 523.00M
+"polygons" in: sky130A_mr.drc:186
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 523.00M
+"polygons" in: sky130A_mr.drc:187
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 523.00M
+"polygons" in: sky130A_mr.drc:188
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 523.00M
+"polygons" in: sky130A_mr.drc:189
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 523.00M
+"polygons" in: sky130A_mr.drc:190
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 523.00M
+"polygons" in: sky130A_mr.drc:191
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 523.00M
+"polygons" in: sky130A_mr.drc:192
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 523.00M
+"polygons" in: sky130A_mr.drc:193
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 523.00M
+"polygons" in: sky130A_mr.drc:194
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 523.00M
+"polygons" in: sky130A_mr.drc:195
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 523.00M
+"polygons" in: sky130A_mr.drc:196
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 523.00M
+"polygons" in: sky130A_mr.drc:197
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 523.00M
+"polygons" in: sky130A_mr.drc:198
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 523.00M
+"polygons" in: sky130A_mr.drc:199
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 523.00M
+"polygons" in: sky130A_mr.drc:200
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 523.00M
+"polygons" in: sky130A_mr.drc:201
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 523.00M
+"polygons" in: sky130A_mr.drc:202
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 523.00M
+"polygons" in: sky130A_mr.drc:203
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 523.00M
+DRC section
+FEOL section
+START: 64/18 (dnwell)
+"width" in: sky130A_mr.drc:229
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 523.00M
+"output" in: sky130A_mr.drc:229
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 523.00M
+END: 64/18 (dnwell)
+"input" in: sky130A_mr.drc:233
+    Polygons (raw): 62 (flat)  43 (hierarchical)
+    Elapsed: 0.000s  Memory: 523.00M
+"input" in: sky130A_mr.drc:234
+    Polygons (raw): 52 (flat)  26 (hierarchical)
+    Elapsed: 0.010s  Memory: 523.00M
+"input" in: sky130A_mr.drc:235
+    Polygons (raw): 89 (flat)  69 (hierarchical)
+    Elapsed: 0.000s  Memory: 523.00M
+START: 64/20 (nwell)
+"width" in: sky130A_mr.drc:239
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 523.00M
+"output" in: sky130A_mr.drc:239
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 523.00M
+"space" in: sky130A_mr.drc:240
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 1067.00M
+"output" in: sky130A_mr.drc:240
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 1067.00M
+"merge" in: sky130A_mr.drc:241
+    Polygons (raw): 15 (flat)  14 (hierarchical)
+    Elapsed: 0.000s  Memory: 1067.00M
+"holes" in: sky130A_mr.drc:242
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 1067.00M
+"enclosing" in: sky130A_mr.drc:242
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 1067.00M
+"output" in: sky130A_mr.drc:242
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 1067.00M
+END: 64/20 (nwell)
+START: 78/44 (hvtp)
+"width" in: sky130A_mr.drc:247
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 1067.00M
+"output" in: sky130A_mr.drc:247
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 1067.00M
+"space" in: sky130A_mr.drc:248
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 1067.00M
+"output" in: sky130A_mr.drc:248
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 1067.00M
+END: 78/44 (hvtp)
+START: 18/20 (htvr)
+"width" in: sky130A_mr.drc:253
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 1067.00M
+"output" in: sky130A_mr.drc:253
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 1067.00M
+"separation" in: sky130A_mr.drc:254
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 1067.00M
+"output" in: sky130A_mr.drc:254
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 1067.00M
+"and" in: sky130A_mr.drc:255
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 1067.00M
+"output" in: sky130A_mr.drc:255
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 1067.00M
+END: 18/20 (htvr)
+START: 25/44 (lvtn)
+"width" in: sky130A_mr.drc:260
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 1067.00M
+"output" in: sky130A_mr.drc:260
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 1067.00M
+"space" in: sky130A_mr.drc:261
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 1067.00M
+"output" in: sky130A_mr.drc:261
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 1067.00M
+END: 25/44 (lvtn)
+START: 92/44 (ncm)
+"width" in: sky130A_mr.drc:266
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 1067.00M
+"output" in: sky130A_mr.drc:266
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 1067.00M
+"space" in: sky130A_mr.drc:267
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 1067.00M
+"output" in: sky130A_mr.drc:267
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 1067.00M
+END: 92/44 (ncm)
+START: 65/20 (diff)
+"or" in: sky130A_mr.drc:272
+    Polygons (raw): 68 (flat)  35 (hierarchical)
+    Elapsed: 0.010s  Memory: 1067.00M
+"rectangles" in: sky130A_mr.drc:273
+    Polygons (raw): 31 (flat)  11 (hierarchical)
+    Elapsed: 0.000s  Memory: 1067.00M
+"width" in: sky130A_mr.drc:273
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 1067.00M
+"polygons" in: sky130A_mr.drc:273
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 1067.00M
+"edges" in: sky130A_mr.drc:274
+    Edges: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 1075.00M
+"outside_part" in: sky130A_mr.drc:274
+    Edges: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 1067.00M
+"outside" in: sky130A_mr.drc:274
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 1067.00M
+"edges" in: sky130A_mr.drc:274
+    Edges: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 1067.00M
+"not" in: sky130A_mr.drc:274
+    Edges: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 1067.00M
+"output" in: sky130A_mr.drc:275
+    Edges: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 1067.00M
+"outside" in: sky130A_mr.drc:276
+    Polygons (raw): 48 (flat)  17 (hierarchical)
+    Elapsed: 0.010s  Memory: 1067.00M
+"width" in: sky130A_mr.drc:276
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 1067.00M
+"output" in: sky130A_mr.drc:276
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 1067.00M
+END: 65/20 (diff)
+START: 65/44 (tap)
+"rectangles" in: sky130A_mr.drc:280
+    Polygons (raw): 14 (flat)  14 (hierarchical)
+    Elapsed: 0.010s  Memory: 1067.00M
+"width" in: sky130A_mr.drc:280
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 1067.00M
+"polygons" in: sky130A_mr.drc:280
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 1067.00M
+"edges" in: sky130A_mr.drc:281
+    Edges: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 1067.00M
+"outside_part" in: sky130A_mr.drc:281
+    Edges: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 1067.00M
+"outside" in: sky130A_mr.drc:281
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 1067.00M
+"edges" in: sky130A_mr.drc:281
+    Edges: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 1067.00M
+"not" in: sky130A_mr.drc:281
+    Edges: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 1067.00M
+"output" in: sky130A_mr.drc:282
+    Edges: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 1067.00M
+"not" in: sky130A_mr.drc:283
+    Polygons (raw): 38 (flat)  30 (hierarchical)
+    Elapsed: 0.000s  Memory: 1067.00M
+"width" in: sky130A_mr.drc:283
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 1067.00M
+"output" in: sky130A_mr.drc:283
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 1067.00M
+END: 65/44 (tap)
+"space" in: sky130A_mr.drc:286
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 1067.00M
+"output" in: sky130A_mr.drc:286
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 1067.00M
+START: 80/20 (tunm)
+"width" in: sky130A_mr.drc:290
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 1067.00M
+"output" in: sky130A_mr.drc:290
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 1067.00M
+"space" in: sky130A_mr.drc:291
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 1067.00M
+"output" in: sky130A_mr.drc:291
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 1067.00M
+END: 80/20 (tunm)
+START: 66/20 (poly)
+"width" in: sky130A_mr.drc:296
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 1067.00M
+"output" in: sky130A_mr.drc:296
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 1067.00M
+"not" in: sky130A_mr.drc:297
+    Polygons (raw): 202 (flat)  116 (hierarchical)
+    Elapsed: 0.010s  Memory: 1067.00M
+"space" in: sky130A_mr.drc:297
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 1067.00M
+"output" in: sky130A_mr.drc:297
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 1067.00M
+START: 86/20 (rpm)
+"width" in: sky130A_mr.drc:302
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 1067.00M
+"output" in: sky130A_mr.drc:302
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 1067.00M
+"space" in: sky130A_mr.drc:303
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 1067.00M
+"output" in: sky130A_mr.drc:303
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 1067.00M
+END: 86/20 (rpm)
+START: 79/20 (urpm)
+"width" in: sky130A_mr.drc:308
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 1067.00M
+"output" in: sky130A_mr.drc:308
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 1067.00M
+"space" in: sky130A_mr.drc:309
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 1067.00M
+"output" in: sky130A_mr.drc:309
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 1067.00M
+END: 79/20 (urpm)
+START: 95/20 (npc)
+"width" in: sky130A_mr.drc:314
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 1067.00M
+"output" in: sky130A_mr.drc:314
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 1067.00M
+"space" in: sky130A_mr.drc:315
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 1067.00M
+"output" in: sky130A_mr.drc:315
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 1067.00M
+END: 95/20 (npc)
+START: 93/44 (nsdm)
+"outside" in: sky130A_mr.drc:320
+    Polygons (raw): 34 (flat)  22 (hierarchical)
+    Elapsed: 0.010s  Memory: 1067.00M
+"width" in: sky130A_mr.drc:320
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 1067.00M
+"output" in: sky130A_mr.drc:320
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 1067.00M
+"not" in: sky130A_mr.drc:321
+    Polygons (raw): 62 (flat)  43 (hierarchical)
+    Elapsed: 0.010s  Memory: 1067.00M
+"space" in: sky130A_mr.drc:321
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 1067.00M
+"output" in: sky130A_mr.drc:321
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 1067.00M
+END: 93/44 (nsdm)
+START: 94/20 (psdm)
+"outside" in: sky130A_mr.drc:326
+    Polygons (raw): 28 (flat)  16 (hierarchical)
+    Elapsed: 0.010s  Memory: 1067.00M
+"width" in: sky130A_mr.drc:326
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 1067.00M
+"output" in: sky130A_mr.drc:326
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 1067.00M
+"not" in: sky130A_mr.drc:327
+    Polygons (raw): 52 (flat)  26 (hierarchical)
+    Elapsed: 0.010s  Memory: 1067.00M
+"space" in: sky130A_mr.drc:327
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 1067.00M
+"output" in: sky130A_mr.drc:327
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 1067.00M
+END: 94/20 (psdm)
+START: 66/44 (licon)
+"not" in: sky130A_mr.drc:338
+    Polygons (raw): 359 (flat)  106 (hierarchical)
+    Elapsed: 0.010s  Memory: 1067.00M
+"and" in: sky130A_mr.drc:339
+    Polygons (raw): 743 (flat)  236 (hierarchical)
+    Elapsed: 0.010s  Memory: 1067.00M
+"interacting" in: sky130A_mr.drc:339
+    Polygons (raw): 743 (flat)  236 (hierarchical)
+    Elapsed: 0.010s  Memory: 1067.00M
+"not" in: sky130A_mr.drc:340
+    Polygons (raw): 743 (flat)  236 (hierarchical)
+    Elapsed: 0.000s  Memory: 1067.00M
+"non_rectangles" in: sky130A_mr.drc:341
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 1067.00M
+"output" in: sky130A_mr.drc:341
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 1067.00M
+"or" in: sky130A_mr.drc:342
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 1067.00M
+"not" in: sky130A_mr.drc:342
+    Polygons (raw): 907 (flat)  291 (hierarchical)
+    Elapsed: 0.000s  Memory: 1067.00M
+"edges" in: sky130A_mr.drc:342
+    Edges: 3628 (flat)  1164 (hierarchical)
+    Elapsed: 0.010s  Memory: 1067.00M
+"without_length" in: sky130A_mr.drc:342
+    Edges: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 1067.00M
+"output" in: sky130A_mr.drc:342
+    Edges: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 1067.00M
+"separation" in: sky130A_mr.drc:343
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 1067.00M
+"output" in: sky130A_mr.drc:343
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 1067.00M
+"and" in: sky130A_mr.drc:344
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 1067.00M
+"output" in: sky130A_mr.drc:344
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 1067.00M
+"interacting" in: sky130A_mr.drc:345
+    Polygons (raw): 164 (flat)  55 (hierarchical)
+    Elapsed: 0.010s  Memory: 1067.00M
+"interacting" in: sky130A_mr.drc:345
+    Polygons (raw): 743 (flat)  236 (hierarchical)
+    Elapsed: 0.000s  Memory: 1067.00M
+"and" in: sky130A_mr.drc:345
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 1067.00M
+"output" in: sky130A_mr.drc:345
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 1067.00M
+END: 66/44 (licon)
+START: 89/44 (capm)
+"and" in: sky130A_mr.drc:350
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 1067.00M
+"sized" in: sky130A_mr.drc:350
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 1067.00M
+"width" in: sky130A_mr.drc:351
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 1067.00M
+"output" in: sky130A_mr.drc:351
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 1067.00M
+"space" in: sky130A_mr.drc:352
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 1067.00M
+"output" in: sky130A_mr.drc:352
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 1067.00M
+"interacting" in: sky130A_mr.drc:353
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 1067.00M
+"isolated" in: sky130A_mr.drc:353
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 1067.00M
+"output" in: sky130A_mr.drc:353
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 1067.00M
+"isolated" in: sky130A_mr.drc:354
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 1067.00M
+"output" in: sky130A_mr.drc:354
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 1067.00M
+"and" in: sky130A_mr.drc:355
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 1067.00M
+"enclosing" in: sky130A_mr.drc:355
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 1067.00M
+"output" in: sky130A_mr.drc:355
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 1067.00M
+"enclosing" in: sky130A_mr.drc:356
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 1067.00M
+"output" in: sky130A_mr.drc:356
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 1067.00M
+"enclosing" in: sky130A_mr.drc:357
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 1067.00M
+"output" in: sky130A_mr.drc:357
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 1067.00M
+"separation" in: sky130A_mr.drc:358
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 1067.00M
+"output" in: sky130A_mr.drc:358
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 1067.00M
+END: 89/44 (capm)
+START: 97/44 (cap2m)
+"and" in: sky130A_mr.drc:363
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 1067.00M
+"sized" in: sky130A_mr.drc:363
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 1067.00M
+"width" in: sky130A_mr.drc:364
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 1067.00M
+"output" in: sky130A_mr.drc:364
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 1067.00M
+"space" in: sky130A_mr.drc:365
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 1067.00M
+"output" in: sky130A_mr.drc:365
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 1067.00M
+"interacting" in: sky130A_mr.drc:366
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 1067.00M
+"isolated" in: sky130A_mr.drc:366
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 1067.00M
+"output" in: sky130A_mr.drc:366
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 1067.00M
+"isolated" in: sky130A_mr.drc:368
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 1067.00M
+"output" in: sky130A_mr.drc:368
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 1067.00M
+"and" in: sky130A_mr.drc:369
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 1067.00M
+"enclosing" in: sky130A_mr.drc:369
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 1067.00M
+"output" in: sky130A_mr.drc:369
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 1067.00M
+"enclosing" in: sky130A_mr.drc:370
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 1067.00M
+"output" in: sky130A_mr.drc:370
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 1067.00M
+"enclosing" in: sky130A_mr.drc:371
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 1067.00M
+"output" in: sky130A_mr.drc:371
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 1067.00M
+"separation" in: sky130A_mr.drc:372
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 1067.00M
+"output" in: sky130A_mr.drc:372
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 1067.00M
+END: 97/44 (cap2m)
+FEOL section
+START: 75/20 (hvi)
+"not" in: sky130A_mr.drc:672
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 1067.00M
+"width" in: sky130A_mr.drc:673
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 1067.00M
+"output" in: sky130A_mr.drc:673
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 1067.00M
+"space" in: sky130A_mr.drc:674
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 1067.00M
+"output" in: sky130A_mr.drc:674
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 1067.00M
+END: 75/20 (hvi)
+START: 125/20 (hvntm)
+"not" in: sky130A_mr.drc:679
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 1067.00M
+"width" in: sky130A_mr.drc:680
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 1067.00M
+"output" in: sky130A_mr.drc:680
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 1067.00M
+"space" in: sky130A_mr.drc:681
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 1067.00M
+"output" in: sky130A_mr.drc:681
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 1067.00M
+END: 125/20 (hvntm)
+Writing report database: /home/krishna/Comparator_MPW6/precheck_results/04_JUN_2022___07_51_46/outputs/reports/klayout_feol_check.xml ..
+Total elapsed: 1.440s  Memory: 1067.00M
diff --git a/precheck_results/04_JUN_2022___07_51_46/logs/klayout_feol_check.total b/precheck_results/04_JUN_2022___07_51_46/logs/klayout_feol_check.total
new file mode 100644
index 0000000..c227083
--- /dev/null
+++ b/precheck_results/04_JUN_2022___07_51_46/logs/klayout_feol_check.total
@@ -0,0 +1 @@
+0
\ No newline at end of file
diff --git a/precheck_results/04_JUN_2022___07_51_46/logs/klayout_met_min_ca_density_check.log b/precheck_results/04_JUN_2022___07_51_46/logs/klayout_met_min_ca_density_check.log
new file mode 100644
index 0000000..b879a5f
--- /dev/null
+++ b/precheck_results/04_JUN_2022___07_51_46/logs/klayout_met_min_ca_density_check.log
@@ -0,0 +1,79 @@
+"polygons" in: met_min_ca_density.lydrc:35
+    Polygons (raw): 616 (flat)  404 (hierarchical)
+    Elapsed: 0.010s  Memory: 522.00M
+"polygons" in: met_min_ca_density.lydrc:36
+    Polygons (raw): 1756 (flat)  1480 (hierarchical)
+    Elapsed: 0.000s  Memory: 522.00M
+"polygons" in: met_min_ca_density.lydrc:38
+    Polygons (raw): 369 (flat)  303 (hierarchical)
+    Elapsed: 0.010s  Memory: 522.00M
+"polygons" in: met_min_ca_density.lydrc:39
+    Polygons (raw): 2570 (flat)  2570 (hierarchical)
+    Elapsed: 0.000s  Memory: 522.00M
+"polygons" in: met_min_ca_density.lydrc:41
+    Polygons (raw): 1097 (flat)  1097 (hierarchical)
+    Elapsed: 0.000s  Memory: 522.00M
+"polygons" in: met_min_ca_density.lydrc:42
+    Polygons (raw): 1761 (flat)  1761 (hierarchical)
+    Elapsed: 0.010s  Memory: 522.00M
+"polygons" in: met_min_ca_density.lydrc:44
+    Polygons (raw): 645 (flat)  645 (hierarchical)
+    Elapsed: 0.000s  Memory: 522.00M
+"polygons" in: met_min_ca_density.lydrc:45
+    Polygons (raw): 25800 (flat)  25800 (hierarchical)
+    Elapsed: 0.020s  Memory: 523.00M
+"polygons" in: met_min_ca_density.lydrc:47
+    Polygons (raw): 183 (flat)  183 (hierarchical)
+    Elapsed: 0.000s  Memory: 523.00M
+"polygons" in: met_min_ca_density.lydrc:48
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 523.00M
+"polygons" in: met_min_ca_density.lydrc:49
+    Polygons (raw): 552 (flat)  552 (hierarchical)
+    Elapsed: 0.010s  Memory: 523.00M
+"polygons" in: met_min_ca_density.lydrc:51
+    Polygons (raw): 159 (flat)  159 (hierarchical)
+    Elapsed: 0.000s  Memory: 523.00M
+"input" in: met_min_ca_density.lydrc:53
+    Polygons (raw): 5 (flat)  4 (hierarchical)
+    Elapsed: 0.010s  Memory: 523.00M
+"area" in: met_min_ca_density.lydrc:55
+    Elapsed: 0.000s  Memory: 523.00M
+"polygons" in: met_min_ca_density.lydrc:59
+    Polygons (raw): 616 (flat)  404 (hierarchical)
+    Elapsed: 0.010s  Memory: 523.00M
+"area" in: met_min_ca_density.lydrc:59
+    Elapsed: 0.000s  Memory: 523.00M
+li1_ca_density is 0.9998144907840715
+"polygons" in: met_min_ca_density.lydrc:69
+    Polygons (raw): 369 (flat)  303 (hierarchical)
+    Elapsed: 0.000s  Memory: 523.00M
+"area" in: met_min_ca_density.lydrc:69
+    Elapsed: 0.010s  Memory: 523.00M
+m1_ca_density is 0.9997226470316392
+"polygons" in: met_min_ca_density.lydrc:79
+    Polygons (raw): 1097 (flat)  1097 (hierarchical)
+    Elapsed: 0.000s  Memory: 523.00M
+"area" in: met_min_ca_density.lydrc:79
+    Elapsed: 0.010s  Memory: 523.00M
+m2_ca_density is 0.999675358061566
+"polygons" in: met_min_ca_density.lydrc:89
+    Polygons (raw): 645 (flat)  645 (hierarchical)
+    Elapsed: 0.010s  Memory: 523.00M
+"area" in: met_min_ca_density.lydrc:89
+    Elapsed: 0.000s  Memory: 523.00M
+m3_ca_density is 0.984055641067676
+"polygons" in: met_min_ca_density.lydrc:99
+    Polygons (raw): 183 (flat)  183 (hierarchical)
+    Elapsed: 0.010s  Memory: 523.00M
+"area" in: met_min_ca_density.lydrc:99
+    Elapsed: 0.000s  Memory: 523.00M
+m4_ca_density is 0.9791223682479764
+"polygons" in: met_min_ca_density.lydrc:109
+    Polygons (raw): 159 (flat)  159 (hierarchical)
+    Elapsed: 0.010s  Memory: 523.00M
+"area" in: met_min_ca_density.lydrc:109
+    Elapsed: 0.010s  Memory: 523.00M
+m5_ca_density is 0.9695931467154421
+Writing report database: /home/krishna/Comparator_MPW6/precheck_results/04_JUN_2022___07_51_46/outputs/reports/klayout_met_min_ca_density_check.xml ..
+Total elapsed: 0.180s  Memory: 522.00M
diff --git a/precheck_results/04_JUN_2022___07_51_46/logs/klayout_met_min_ca_density_check.total b/precheck_results/04_JUN_2022___07_51_46/logs/klayout_met_min_ca_density_check.total
new file mode 100644
index 0000000..c227083
--- /dev/null
+++ b/precheck_results/04_JUN_2022___07_51_46/logs/klayout_met_min_ca_density_check.total
@@ -0,0 +1 @@
+0
\ No newline at end of file
diff --git a/precheck_results/04_JUN_2022___07_51_46/logs/klayout_offgrid_check.log b/precheck_results/04_JUN_2022___07_51_46/logs/klayout_offgrid_check.log
new file mode 100644
index 0000000..3276dd2
--- /dev/null
+++ b/precheck_results/04_JUN_2022___07_51_46/logs/klayout_offgrid_check.log
@@ -0,0 +1,755 @@
+/home/krishna/mpw_precheckmpw6/checks/tech-files/sky130A_mr.drc:38: warning: already initialized constant DRC::DRCEngine::FEOL
+/home/krishna/mpw_precheckmpw6/checks/tech-files/sky130A_mr.drc:28: warning: previous definition of FEOL was here
+/home/krishna/mpw_precheckmpw6/checks/tech-files/sky130A_mr.drc:44: warning: already initialized constant DRC::DRCEngine::BEOL
+/home/krishna/mpw_precheckmpw6/checks/tech-files/sky130A_mr.drc:29: warning: previous definition of BEOL was here
+/home/krishna/mpw_precheckmpw6/checks/tech-files/sky130A_mr.drc:48: warning: already initialized constant DRC::DRCEngine::OFFGRID
+/home/krishna/mpw_precheckmpw6/checks/tech-files/sky130A_mr.drc:30: warning: previous definition of OFFGRID was here
+/home/krishna/mpw_precheckmpw6/checks/tech-files/sky130A_mr.drc:56: warning: already initialized constant DRC::DRCEngine::SEAL
+/home/krishna/mpw_precheckmpw6/checks/tech-files/sky130A_mr.drc:31: warning: previous definition of SEAL was here
+/home/krishna/mpw_precheckmpw6/checks/tech-files/sky130A_mr.drc:62: warning: already initialized constant DRC::DRCEngine::FLOATING_MET
+/home/krishna/mpw_precheckmpw6/checks/tech-files/sky130A_mr.drc:32: warning: previous definition of FLOATING_MET was here
+"input" in: sky130A_mr.drc:112
+    Polygons (raw): 62 (flat)  25 (hierarchical)
+    Elapsed: 0.010s  Memory: 522.00M
+"polygons" in: sky130A_mr.drc:113
+    Polygons (raw): 38 (flat)  30 (hierarchical)
+    Elapsed: 0.000s  Memory: 522.00M
+"polygons" in: sky130A_mr.drc:114
+    Polygons (raw): 89 (flat)  69 (hierarchical)
+    Elapsed: 0.010s  Memory: 522.00M
+"polygons" in: sky130A_mr.drc:115
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 522.00M
+"polygons" in: sky130A_mr.drc:116
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 522.00M
+"polygons" in: sky130A_mr.drc:117
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 522.00M
+"polygons" in: sky130A_mr.drc:118
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 522.00M
+"polygons" in: sky130A_mr.drc:119
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 522.00M
+"polygons" in: sky130A_mr.drc:120
+    Polygons (raw): 10 (flat)  2 (hierarchical)
+    Elapsed: 0.010s  Memory: 522.00M
+"polygons" in: sky130A_mr.drc:121
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 522.00M
+"polygons" in: sky130A_mr.drc:122
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 522.00M
+"polygons" in: sky130A_mr.drc:123
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 522.00M
+"polygons" in: sky130A_mr.drc:124
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 522.00M
+"polygons" in: sky130A_mr.drc:125
+    Polygons (raw): 202 (flat)  116 (hierarchical)
+    Elapsed: 0.000s  Memory: 522.00M
+"polygons" in: sky130A_mr.drc:126
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 522.00M
+"polygons" in: sky130A_mr.drc:127
+    Polygons (raw): 62 (flat)  43 (hierarchical)
+    Elapsed: 0.000s  Memory: 522.00M
+"polygons" in: sky130A_mr.drc:128
+    Polygons (raw): 52 (flat)  26 (hierarchical)
+    Elapsed: 0.010s  Memory: 522.00M
+"polygons" in: sky130A_mr.drc:129
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 522.00M
+"polygons" in: sky130A_mr.drc:130
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 522.00M
+"polygons" in: sky130A_mr.drc:131
+    Polygons (raw): 33 (flat)  24 (hierarchical)
+    Elapsed: 0.010s  Memory: 522.00M
+"polygons" in: sky130A_mr.drc:132
+    Polygons (raw): 907 (flat)  291 (hierarchical)
+    Elapsed: 0.000s  Memory: 522.00M
+"polygons" in: sky130A_mr.drc:134
+    Polygons (raw): 566 (flat)  394 (hierarchical)
+    Elapsed: 0.010s  Memory: 522.00M
+"polygons" in: sky130A_mr.drc:135
+    Polygons (raw): 1756 (flat)  1480 (hierarchical)
+    Elapsed: 0.010s  Memory: 522.00M
+"polygons" in: sky130A_mr.drc:137
+    Polygons (raw): 349 (flat)  299 (hierarchical)
+    Elapsed: 0.000s  Memory: 522.00M
+"polygons" in: sky130A_mr.drc:138
+    Polygons (raw): 2570 (flat)  2570 (hierarchical)
+    Elapsed: 0.010s  Memory: 522.00M
+"polygons" in: sky130A_mr.drc:140
+    Polygons (raw): 603 (flat)  603 (hierarchical)
+    Elapsed: 0.010s  Memory: 522.00M
+"polygons" in: sky130A_mr.drc:141
+    Polygons (raw): 1761 (flat)  1761 (hierarchical)
+    Elapsed: 0.000s  Memory: 522.00M
+"polygons" in: sky130A_mr.drc:143
+    Polygons (raw): 461 (flat)  461 (hierarchical)
+    Elapsed: 0.010s  Memory: 522.00M
+"polygons" in: sky130A_mr.drc:144
+    Polygons (raw): 25800 (flat)  25800 (hierarchical)
+    Elapsed: 0.010s  Memory: 523.00M
+"polygons" in: sky130A_mr.drc:146
+    Polygons (raw): 179 (flat)  179 (hierarchical)
+    Elapsed: 0.000s  Memory: 523.00M
+"polygons" in: sky130A_mr.drc:147
+    Polygons (raw): 552 (flat)  552 (hierarchical)
+    Elapsed: 0.010s  Memory: 523.00M
+"polygons" in: sky130A_mr.drc:149
+    Polygons (raw): 153 (flat)  153 (hierarchical)
+    Elapsed: 0.000s  Memory: 523.00M
+"polygons" in: sky130A_mr.drc:151
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 523.00M
+"polygons" in: sky130A_mr.drc:152
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 523.00M
+"polygons" in: sky130A_mr.drc:153
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 523.00M
+"polygons" in: sky130A_mr.drc:154
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 523.00M
+"polygons" in: sky130A_mr.drc:155
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 523.00M
+"polygons" in: sky130A_mr.drc:156
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 523.00M
+"polygons" in: sky130A_mr.drc:157
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 523.00M
+"polygons" in: sky130A_mr.drc:158
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 523.00M
+"polygons" in: sky130A_mr.drc:159
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 523.00M
+"polygons" in: sky130A_mr.drc:160
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 523.00M
+"polygons" in: sky130A_mr.drc:161
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 523.00M
+"polygons" in: sky130A_mr.drc:162
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 523.00M
+"polygons" in: sky130A_mr.drc:163
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 523.00M
+"polygons" in: sky130A_mr.drc:164
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 523.00M
+"polygons" in: sky130A_mr.drc:165
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 523.00M
+"polygons" in: sky130A_mr.drc:166
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 523.00M
+"polygons" in: sky130A_mr.drc:167
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 523.00M
+"polygons" in: sky130A_mr.drc:168
+    Polygons (raw): 10 (flat)  2 (hierarchical)
+    Elapsed: 0.010s  Memory: 523.00M
+"polygons" in: sky130A_mr.drc:169
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 523.00M
+"polygons" in: sky130A_mr.drc:170
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 523.00M
+"polygons" in: sky130A_mr.drc:171
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 523.00M
+"polygons" in: sky130A_mr.drc:172
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 523.00M
+"polygons" in: sky130A_mr.drc:173
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 523.00M
+"polygons" in: sky130A_mr.drc:174
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 523.00M
+"polygons" in: sky130A_mr.drc:175
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 523.00M
+"polygons" in: sky130A_mr.drc:176
+    Polygons (raw): 1 (flat)  1 (hierarchical)
+    Elapsed: 0.010s  Memory: 523.00M
+"polygons" in: sky130A_mr.drc:177
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 523.00M
+"polygons" in: sky130A_mr.drc:178
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 523.00M
+"polygons" in: sky130A_mr.drc:179
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 523.00M
+"polygons" in: sky130A_mr.drc:180
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 523.00M
+"polygons" in: sky130A_mr.drc:181
+    Polygons (raw): 4 (flat)  3 (hierarchical)
+    Elapsed: 0.000s  Memory: 523.00M
+"polygons" in: sky130A_mr.drc:182
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 523.00M
+"polygons" in: sky130A_mr.drc:183
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 523.00M
+"polygons" in: sky130A_mr.drc:184
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 523.00M
+"polygons" in: sky130A_mr.drc:185
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 523.00M
+"polygons" in: sky130A_mr.drc:186
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 523.00M
+"polygons" in: sky130A_mr.drc:187
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 523.00M
+"polygons" in: sky130A_mr.drc:188
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 523.00M
+"polygons" in: sky130A_mr.drc:189
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 523.00M
+"polygons" in: sky130A_mr.drc:190
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 523.00M
+"polygons" in: sky130A_mr.drc:191
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 523.00M
+"polygons" in: sky130A_mr.drc:192
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 523.00M
+"polygons" in: sky130A_mr.drc:193
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 523.00M
+"polygons" in: sky130A_mr.drc:194
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 523.00M
+"polygons" in: sky130A_mr.drc:195
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 523.00M
+"polygons" in: sky130A_mr.drc:196
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 523.00M
+"polygons" in: sky130A_mr.drc:197
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 523.00M
+"polygons" in: sky130A_mr.drc:198
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 523.00M
+"polygons" in: sky130A_mr.drc:199
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 523.00M
+"polygons" in: sky130A_mr.drc:200
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 523.00M
+"polygons" in: sky130A_mr.drc:201
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 523.00M
+"polygons" in: sky130A_mr.drc:202
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 523.00M
+"polygons" in: sky130A_mr.drc:203
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 523.00M
+DRC section
+OFFGRID-ANGLES section
+"ongrid" in: sky130A_mr.drc:690
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 523.00M
+"output" in: sky130A_mr.drc:690
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 523.00M
+"with_angle" in: sky130A_mr.drc:691
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 523.00M
+"output" in: sky130A_mr.drc:691
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 523.00M
+"ongrid" in: sky130A_mr.drc:692
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 523.00M
+"output" in: sky130A_mr.drc:692
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 523.00M
+"with_angle" in: sky130A_mr.drc:693
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 523.00M
+"output" in: sky130A_mr.drc:693
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 523.00M
+"ongrid" in: sky130A_mr.drc:694
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 523.00M
+"output" in: sky130A_mr.drc:694
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 523.00M
+"with_angle" in: sky130A_mr.drc:695
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 523.00M
+"output" in: sky130A_mr.drc:695
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 523.00M
+"ongrid" in: sky130A_mr.drc:696
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 523.00M
+"output" in: sky130A_mr.drc:696
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 523.00M
+"with_angle" in: sky130A_mr.drc:697
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 523.00M
+"output" in: sky130A_mr.drc:697
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 523.00M
+"ongrid" in: sky130A_mr.drc:698
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 523.00M
+"output" in: sky130A_mr.drc:698
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 523.00M
+"with_angle" in: sky130A_mr.drc:699
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 523.00M
+"output" in: sky130A_mr.drc:699
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 523.00M
+"ongrid" in: sky130A_mr.drc:700
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 523.00M
+"output" in: sky130A_mr.drc:700
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 523.00M
+"with_angle" in: sky130A_mr.drc:701
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 523.00M
+"output" in: sky130A_mr.drc:701
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 523.00M
+"ongrid" in: sky130A_mr.drc:702
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 523.00M
+"output" in: sky130A_mr.drc:702
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 523.00M
+"with_angle" in: sky130A_mr.drc:703
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 523.00M
+"output" in: sky130A_mr.drc:703
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 523.00M
+"ongrid" in: sky130A_mr.drc:704
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 523.00M
+"output" in: sky130A_mr.drc:704
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 523.00M
+"with_angle" in: sky130A_mr.drc:705
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 523.00M
+"output" in: sky130A_mr.drc:705
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 523.00M
+"ongrid" in: sky130A_mr.drc:706
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 523.00M
+"output" in: sky130A_mr.drc:706
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 523.00M
+"ongrid" in: sky130A_mr.drc:707
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 523.00M
+"output" in: sky130A_mr.drc:707
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 523.00M
+"and" in: sky130A_mr.drc:708
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 523.00M
+"not" in: sky130A_mr.drc:708
+    Polygons (raw): 62 (flat)  25 (hierarchical)
+    Elapsed: 0.000s  Memory: 523.00M
+"with_angle" in: sky130A_mr.drc:708
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 523.00M
+"output" in: sky130A_mr.drc:708
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 523.00M
+"and" in: sky130A_mr.drc:709
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 523.00M
+"and" in: sky130A_mr.drc:709
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 523.00M
+"with_angle" in: sky130A_mr.drc:709
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 523.00M
+"output" in: sky130A_mr.drc:709
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 523.00M
+"and" in: sky130A_mr.drc:710
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 523.00M
+"not" in: sky130A_mr.drc:710
+    Polygons (raw): 38 (flat)  30 (hierarchical)
+    Elapsed: 0.010s  Memory: 523.00M
+"with_angle" in: sky130A_mr.drc:710
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 523.00M
+"output" in: sky130A_mr.drc:710
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 523.00M
+"and" in: sky130A_mr.drc:711
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 523.00M
+"and" in: sky130A_mr.drc:711
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 523.00M
+"with_angle" in: sky130A_mr.drc:711
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 523.00M
+"output" in: sky130A_mr.drc:711
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 523.00M
+"ongrid" in: sky130A_mr.drc:712
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 523.00M
+"output" in: sky130A_mr.drc:712
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 523.00M
+"with_angle" in: sky130A_mr.drc:713
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 523.00M
+"output" in: sky130A_mr.drc:713
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 523.00M
+"ongrid" in: sky130A_mr.drc:714
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 523.00M
+"output" in: sky130A_mr.drc:714
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 523.00M
+"with_angle" in: sky130A_mr.drc:715
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 523.00M
+"output" in: sky130A_mr.drc:715
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 523.00M
+"ongrid" in: sky130A_mr.drc:716
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 523.00M
+"output" in: sky130A_mr.drc:716
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 523.00M
+"with_angle" in: sky130A_mr.drc:717
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 523.00M
+"output" in: sky130A_mr.drc:717
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 523.00M
+"ongrid" in: sky130A_mr.drc:718
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 523.00M
+"output" in: sky130A_mr.drc:718
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 523.00M
+"with_angle" in: sky130A_mr.drc:719
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 523.00M
+"output" in: sky130A_mr.drc:719
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 523.00M
+"ongrid" in: sky130A_mr.drc:720
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 523.00M
+"output" in: sky130A_mr.drc:720
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 523.00M
+"with_angle" in: sky130A_mr.drc:721
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 523.00M
+"output" in: sky130A_mr.drc:721
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 523.00M
+"ongrid" in: sky130A_mr.drc:722
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 523.00M
+"output" in: sky130A_mr.drc:722
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 523.00M
+"with_angle" in: sky130A_mr.drc:723
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 523.00M
+"output" in: sky130A_mr.drc:723
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 523.00M
+"ongrid" in: sky130A_mr.drc:724
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 523.00M
+"output" in: sky130A_mr.drc:724
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 523.00M
+"with_angle" in: sky130A_mr.drc:725
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 523.00M
+"output" in: sky130A_mr.drc:725
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 523.00M
+"ongrid" in: sky130A_mr.drc:726
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 523.00M
+"output" in: sky130A_mr.drc:726
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 523.00M
+"with_angle" in: sky130A_mr.drc:727
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 523.00M
+"output" in: sky130A_mr.drc:727
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 523.00M
+"ongrid" in: sky130A_mr.drc:728
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 523.00M
+"output" in: sky130A_mr.drc:728
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 523.00M
+"with_angle" in: sky130A_mr.drc:729
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 523.00M
+"output" in: sky130A_mr.drc:729
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 523.00M
+"ongrid" in: sky130A_mr.drc:730
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 523.00M
+"output" in: sky130A_mr.drc:730
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 523.00M
+"with_angle" in: sky130A_mr.drc:731
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 523.00M
+"output" in: sky130A_mr.drc:731
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 523.00M
+"ongrid" in: sky130A_mr.drc:732
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 523.00M
+"output" in: sky130A_mr.drc:732
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 523.00M
+"with_angle" in: sky130A_mr.drc:733
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 523.00M
+"output" in: sky130A_mr.drc:733
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 523.00M
+"ongrid" in: sky130A_mr.drc:734
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 524.00M
+"output" in: sky130A_mr.drc:734
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 524.00M
+"with_angle" in: sky130A_mr.drc:735
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 524.00M
+"output" in: sky130A_mr.drc:735
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 524.00M
+"ongrid" in: sky130A_mr.drc:736
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 524.00M
+"output" in: sky130A_mr.drc:736
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 524.00M
+"with_angle" in: sky130A_mr.drc:737
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 524.00M
+"output" in: sky130A_mr.drc:737
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 524.00M
+"ongrid" in: sky130A_mr.drc:738
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.020s  Memory: 524.00M
+"output" in: sky130A_mr.drc:738
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 524.00M
+"with_angle" in: sky130A_mr.drc:739
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 524.00M
+"output" in: sky130A_mr.drc:739
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 524.00M
+"ongrid" in: sky130A_mr.drc:740
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 524.00M
+"output" in: sky130A_mr.drc:740
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 524.00M
+"with_angle" in: sky130A_mr.drc:741
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 524.00M
+"output" in: sky130A_mr.drc:741
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 524.00M
+"ongrid" in: sky130A_mr.drc:742
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.140s  Memory: 530.00M
+"output" in: sky130A_mr.drc:742
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 530.00M
+"with_angle" in: sky130A_mr.drc:743
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.020s  Memory: 530.00M
+"output" in: sky130A_mr.drc:743
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 530.00M
+"ongrid" in: sky130A_mr.drc:744
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 530.00M
+"output" in: sky130A_mr.drc:744
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 530.00M
+"with_angle" in: sky130A_mr.drc:745
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 530.00M
+"output" in: sky130A_mr.drc:745
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 530.00M
+"ongrid" in: sky130A_mr.drc:746
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 530.00M
+"output" in: sky130A_mr.drc:746
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 530.00M
+"with_angle" in: sky130A_mr.drc:747
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 530.00M
+"output" in: sky130A_mr.drc:747
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 530.00M
+"ongrid" in: sky130A_mr.drc:748
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 530.00M
+"output" in: sky130A_mr.drc:748
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 530.00M
+"with_angle" in: sky130A_mr.drc:749
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 530.00M
+"output" in: sky130A_mr.drc:749
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 530.00M
+"ongrid" in: sky130A_mr.drc:750
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 530.00M
+"output" in: sky130A_mr.drc:750
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 530.00M
+"with_angle" in: sky130A_mr.drc:751
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 530.00M
+"output" in: sky130A_mr.drc:751
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 530.00M
+"ongrid" in: sky130A_mr.drc:752
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 530.00M
+"output" in: sky130A_mr.drc:752
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 530.00M
+"with_angle" in: sky130A_mr.drc:753
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 530.00M
+"output" in: sky130A_mr.drc:753
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 530.00M
+"ongrid" in: sky130A_mr.drc:754
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 530.00M
+"output" in: sky130A_mr.drc:754
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 530.00M
+"with_angle" in: sky130A_mr.drc:755
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 530.00M
+"output" in: sky130A_mr.drc:755
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 530.00M
+"ongrid" in: sky130A_mr.drc:756
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 530.00M
+"output" in: sky130A_mr.drc:756
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 530.00M
+"with_angle" in: sky130A_mr.drc:757
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 530.00M
+"output" in: sky130A_mr.drc:757
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 530.00M
+"ongrid" in: sky130A_mr.drc:758
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 530.00M
+"output" in: sky130A_mr.drc:758
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 530.00M
+"with_angle" in: sky130A_mr.drc:759
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 530.00M
+"output" in: sky130A_mr.drc:759
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 530.00M
+"ongrid" in: sky130A_mr.drc:760
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 530.00M
+"output" in: sky130A_mr.drc:760
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 530.00M
+"with_angle" in: sky130A_mr.drc:761
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 530.00M
+"output" in: sky130A_mr.drc:761
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 530.00M
+"ongrid" in: sky130A_mr.drc:762
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 530.00M
+"output" in: sky130A_mr.drc:762
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 530.00M
+"with_angle" in: sky130A_mr.drc:763
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 530.00M
+"output" in: sky130A_mr.drc:763
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 530.00M
+"ongrid" in: sky130A_mr.drc:764
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 530.00M
+"output" in: sky130A_mr.drc:764
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 530.00M
+"with_angle" in: sky130A_mr.drc:765
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 530.00M
+"output" in: sky130A_mr.drc:765
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 530.00M
+"ongrid" in: sky130A_mr.drc:766
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 530.00M
+"output" in: sky130A_mr.drc:766
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 530.00M
+Writing report database: /home/krishna/Comparator_MPW6/precheck_results/04_JUN_2022___07_51_46/outputs/reports/klayout_offgrid_check.xml ..
+Total elapsed: 1.550s  Memory: 530.00M
diff --git a/precheck_results/04_JUN_2022___07_51_46/logs/klayout_offgrid_check.total b/precheck_results/04_JUN_2022___07_51_46/logs/klayout_offgrid_check.total
new file mode 100644
index 0000000..c227083
--- /dev/null
+++ b/precheck_results/04_JUN_2022___07_51_46/logs/klayout_offgrid_check.total
@@ -0,0 +1 @@
+0
\ No newline at end of file
diff --git a/precheck_results/04_JUN_2022___07_51_46/logs/klayout_pin_label_purposes_overlapping_drawing_check.log b/precheck_results/04_JUN_2022___07_51_46/logs/klayout_pin_label_purposes_overlapping_drawing_check.log
new file mode 100644
index 0000000..fb0a16a
--- /dev/null
+++ b/precheck_results/04_JUN_2022___07_51_46/logs/klayout_pin_label_purposes_overlapping_drawing_check.log
@@ -0,0 +1,30 @@
+Running pin_label_purposes_overlapping_drawing.rb.drc on file=/home/krishna/Comparator_MPW6/gds/user_analog_project_wrapper.gds, topcell=user_analog_project_wrapper, output to /home/krishna/Comparator_MPW6/precheck_results/04_JUN_2022___07_51_46/outputs/reports/klayout_pin_label_purposes_overlapping_drawing_check.xml
+  deep:true tiled:false threads:12
+--- #err|description, table for cell: user_analog_project_wrapper
+NO-Check ----        pwell:64/44/EMP   122/16/dat    64/59/EMP    44/16/EMP     44/5/EMP
+         ----        nwell:64/20/dat    64/16/dat     64/5/EMP
+         ----         diff:65/20/dat    65/16/EMP     65/6/EMP
+         ----          tap:65/44/dat    65/48/EMP     65/5/EMP
+         ----         poly:66/20/dat    66/16/EMP     66/5/EMP
+         ----       licon1:66/44/dat    66/58/EMP
+         ----          li1:67/20/dat    67/16/dat     67/5/EMP
+         ----         mcon:67/44/dat    67/48/EMP
+         ----         met1:68/20/dat    68/16/dat     68/5/EMP
+         ----          via:68/44/dat    68/58/EMP
+         ----         met2:69/20/dat    69/16/dat     69/5/EMP
+         ----         via2:69/44/dat    69/58/EMP
+       1 70/16: met3, pin/label not-over drawing:70/20
+         ----         met3:70/20/dat    70/16/dat     70/5/EMP
+         ----         via3:70/44/dat    70/48/EMP
+         ----         met4:71/20/dat    71/16/dat     71/5/EMP
+         ----         via4:71/44/dat    71/48/EMP
+         ----         met5:72/20/dat    72/16/dat     72/5/EMP
+         ----          pad:76/20/EMP     76/5/EMP    76/16/EMP
+         ----          pnp:82/44/EMP    82/59/EMP
+         ----          npn:82/20/EMP     82/5/EMP
+         ----          rdl:74/20/EMP    74/16/EMP     74/5/EMP
+         ----     inductor:82/24/EMP    82/25/EMP
+       1 total error(s) among 1 error type(s), 33 checks, cell: user_analog_project_wrapper
+Writing report...
+VmPeak:	 2305228 kB
+VmHWM:	  258180 kB
diff --git a/precheck_results/04_JUN_2022___07_51_46/logs/klayout_pin_label_purposes_overlapping_drawing_check.total b/precheck_results/04_JUN_2022___07_51_46/logs/klayout_pin_label_purposes_overlapping_drawing_check.total
new file mode 100644
index 0000000..56a6051
--- /dev/null
+++ b/precheck_results/04_JUN_2022___07_51_46/logs/klayout_pin_label_purposes_overlapping_drawing_check.total
@@ -0,0 +1 @@
+1
\ No newline at end of file
diff --git a/precheck_results/04_JUN_2022___07_51_46/logs/klayout_zeroarea_check.log b/precheck_results/04_JUN_2022___07_51_46/logs/klayout_zeroarea_check.log
new file mode 100644
index 0000000..4b6023d
--- /dev/null
+++ b/precheck_results/04_JUN_2022___07_51_46/logs/klayout_zeroarea_check.log
@@ -0,0 +1,4 @@
+0 zero-area shapes
+writing to /home/krishna/Comparator_MPW6/precheck_results/04_JUN_2022___07_51_46/outputs/user_analog_project_wrapper_no_zero_areas.gds
+VmPeak:	  542072 kB
+VmHWM:	  260468 kB
diff --git a/precheck_results/04_JUN_2022___07_51_46/logs/klayout_zeroarea_check.total b/precheck_results/04_JUN_2022___07_51_46/logs/klayout_zeroarea_check.total
new file mode 100644
index 0000000..c227083
--- /dev/null
+++ b/precheck_results/04_JUN_2022___07_51_46/logs/klayout_zeroarea_check.total
@@ -0,0 +1 @@
+0
\ No newline at end of file
diff --git a/precheck_results/04_JUN_2022___07_51_46/logs/magic_drc_check.log b/precheck_results/04_JUN_2022___07_51_46/logs/magic_drc_check.log
new file mode 100644
index 0000000..d805403
--- /dev/null
+++ b/precheck_results/04_JUN_2022___07_51_46/logs/magic_drc_check.log
@@ -0,0 +1,30 @@
+
+Magic 8.3 revision 274 - Compiled on Fri Mar  4 22:54:15 UTC 2022.
+Starting magic under Tcl interpreter
+Using the terminal as the console.
+Using NULL graphics device.
+Processing system .magicrc file
+Sourcing design .magicrc for technology sky130A ...
+2 Magic internal units = 1 Lambda
+Could not find file '/usr/local/share/pdk/sky130A/sky130A/libs.tech/magic/sky130A.tech' in any of these directories:
+         . $CAD_ROOT/magic/sys $CAD_ROOT/magic/sys/current
+Error parsing "/home/krishna/mpw_precheckmpw6/checks/tech-files/sky130A.magicrc": couldn't read file "/usr/local/share/pdk/sky130A/sky130A/libs.tech/magic/sky130A.tcl": no such file or directory
+Bad local startup file "/home/krishna/mpw_precheckmpw6/checks/tech-files/sky130A.magicrc", continuing without.
+Scaled tech values by 2 / 1 to match internal grid scaling
+Loading "/home/krishna/mpw_precheckmpw6/checks/drc_checks/magic/magic_drc_check.tcl" from command line.
+Don't know how to read GDS-II:
+Nothing in "cifinput" section of tech file.
+[INFO]: Loading user_analog_project_wrapper
+
+File user_analog_project_wrapper.mag couldn't be read
+No such file or directory
+Creating new cell
+"drc(full)" is not one of the DRC styles Magic knows.
+The current style is "default".
+The DRC styles are: default.
+No errors found.
+[INFO]: COUNT: 0
+[INFO]: Should be divided by 3 or 4
+[INFO]: DRC Checking DONE (/home/krishna/Comparator_MPW6/precheck_results/04_JUN_2022___07_51_46/outputs/reports/magic_drc_check.drc.report)
+[INFO]: Saving mag view with DRC errors(/home/krishna/Comparator_MPW6/precheck_results/04_JUN_2022___07_51_46/outputs/user_analog_project_wrapper.magic.drc.mag)
+[INFO]: Saved
diff --git a/precheck_results/04_JUN_2022___07_51_46/logs/magic_drc_check.total b/precheck_results/04_JUN_2022___07_51_46/logs/magic_drc_check.total
new file mode 100644
index 0000000..c227083
--- /dev/null
+++ b/precheck_results/04_JUN_2022___07_51_46/logs/magic_drc_check.total
@@ -0,0 +1 @@
+0
\ No newline at end of file
diff --git a/precheck_results/04_JUN_2022___07_51_46/logs/pdks.info b/precheck_results/04_JUN_2022___07_51_46/logs/pdks.info
new file mode 100644
index 0000000..3072015
--- /dev/null
+++ b/precheck_results/04_JUN_2022___07_51_46/logs/pdks.info
@@ -0,0 +1,2 @@
+Open PDKs a3d6ffea8022332b859daa454cef7ee7131c5181
+Skywater PDK f70d8ca46961ff92719d8870a18a076370b85f6c
\ No newline at end of file
diff --git a/precheck_results/04_JUN_2022___07_51_46/logs/precheck.log b/precheck_results/04_JUN_2022___07_51_46/logs/precheck.log
new file mode 100644
index 0000000..9fb50e3
--- /dev/null
+++ b/precheck_results/04_JUN_2022___07_51_46/logs/precheck.log
@@ -0,0 +1,60 @@
+2022-06-04 07:51:46 - [INFO] - {{EXTRACTING FILES}} Extracting compressed files in: /home/krishna/Comparator_MPW6
+2022-06-04 07:51:46 - [INFO] - {{Project Type Info}} analog
+2022-06-04 07:51:46 - [INFO] - {{Project GDS Info}} user_analog_project_wrapper: 88161c0613ad0dd956d6108ccf7b0d59ac248984
+2022-06-04 07:51:46 - [INFO] - {{Tools Info}} KLayout: v0.27.8 | Magic: v8.3.274
+2022-06-04 07:51:46 - [INFO] - {{PDKs Info}} Open PDKs: a3d6ffea8022332b859daa454cef7ee7131c5181 | Skywater PDK: f70d8ca46961ff92719d8870a18a076370b85f6c
+2022-06-04 07:51:46 - [INFO] - {{START}} Precheck Started, the full log 'precheck.log' will be located in '/home/krishna/Comparator_MPW6/precheck_results/04_JUN_2022___07_51_46/logs'
+2022-06-04 07:51:46 - [INFO] - {{PRECHECK SEQUENCE}} Precheck will run the following checks: [License, Makefile, Default, Documentation, Consistency, XOR, Magic DRC, Klayout FEOL, Klayout BEOL, Klayout Offgrid, Klayout Metal Minimum Clear Area Density, Klayout Pin Label Purposes Overlapping Drawing, Klayout ZeroArea]
+2022-06-04 07:51:46 - [INFO] - {{STEP UPDATE}} Executing Check 1 of 13: License
+2022-06-04 07:51:47 - [INFO] - An approved LICENSE (Apache-2.0) was found in /home/krishna/Comparator_MPW6.
+2022-06-04 07:51:47 - [INFO] - {{MAIN LICENSE CHECK PASSED}} An approved LICENSE was found in project root.
+2022-06-04 07:51:48 - [INFO] - An approved LICENSE (Apache-2.0) was found in /home/krishna/Comparator_MPW6.
+2022-06-04 07:51:48 - [INFO] - {{SUBMODULES LICENSE CHECK PASSED}} No prohibited LICENSE file(s) was found in project submodules
+2022-06-04 07:51:48 - [WARNING] - {{SPDX COMPLIANCE CHECK FAILED}} Found 142 non-compliant file(s) with the SPDX Standard.
+2022-06-04 07:51:48 - [INFO] - SPDX COMPLIANCE: NON-COMPLIANT FILE(S) PREVIEW: ['/home/krishna/Comparator_MPW6/Makefile', '/home/krishna/Comparator_MPW6/.spiceinit', '/home/krishna/Comparator_MPW6/verilog/dv/Makefile', '/home/krishna/Comparator_MPW6/verilog/dv/mprj_por/mprj_por.c', '/home/krishna/Comparator_MPW6/verilog/dv/mprj_por/mprj_por_tb.v', '/home/krishna/Comparator_MPW6/verilog/dv/mprj_por/Makefile', '/home/krishna/Comparator_MPW6/verilog/rtl/uprj_analog_netlists.v', '/home/krishna/Comparator_MPW6/verilog/rtl/example_por.v', '/home/krishna/Comparator_MPW6/verilog/rtl/user_analog_proj_example.v', '/home/krishna/Comparator_MPW6/verilog/rtl/user_analog_project_wrapper.v', '/home/krishna/Comparator_MPW6/verilog/rtl/comparator.v', '/home/krishna/Comparator_MPW6/precheck_results/01_JUN_2022___10_26_55/outputs/reports/magic_drc_check.drc.report', '/home/krishna/Comparator_MPW6/precheck_results/01_JUN_2022___10_26_55/logs/klayout_zeroarea_check.total', '/home/krishna/Comparator_MPW6/precheck_results/01_JUN_2022___10_26_55/logs/tools.info', '/home/krishna/Comparator_MPW6/precheck_results/01_JUN_2022___10_26_55/logs/klayout_beol_check.total']
+2022-06-04 07:51:48 - [INFO] - For the full SPDX compliance report check: /home/krishna/Comparator_MPW6/precheck_results/04_JUN_2022___07_51_46/logs/spdx_compliance_report.log
+2022-06-04 07:51:48 - [INFO] - {{STEP UPDATE}} Executing Check 2 of 13: Makefile
+2022-06-04 07:51:48 - [INFO] - {{MAKEFILE CHECK PASSED}} Makefile valid.
+2022-06-04 07:51:48 - [INFO] - {{STEP UPDATE}} Executing Check 3 of 13: Default
+2022-06-04 07:51:48 - [INFO] - {{README DEFAULT CHECK PASSED}} Project 'README.md' was modified and is not identical to the default 'README.md'
+2022-06-04 07:51:48 - [INFO] - {{CONTENT DEFAULT CHECK PASSED}} Project 'gds' was modified and is not identical to the default 'gds'
+2022-06-04 07:51:48 - [INFO] - {{STEP UPDATE}} Executing Check 4 of 13: Documentation
+2022-06-04 07:51:49 - [INFO] - {{DOCUMENTATION CHECK PASSED}} Project documentation is appropriate.
+2022-06-04 07:51:49 - [INFO] - {{STEP UPDATE}} Executing Check 5 of 13: Consistency
+2022-06-04 07:51:50 - [INFO] - HIERARCHY CHECK PASSED: Module user_analog_project_wrapper is instantiated in caravan. 
+2022-06-04 07:51:50 - [INFO] - COMPLEXITY CHECK PASSED: Netlist caravan contains at least 8 instances (68 instances). 
+2022-06-04 07:51:50 - [INFO] - MODELING CHECK PASSED: Netlist caravan is structural.
+2022-06-04 07:51:50 - [INFO] - SUBMODULE HOOKS CHECK PASSED: All module ports for user_analog_project_wrapper are correctly connected in the top level netlist caravan.
+2022-06-04 07:51:50 - [INFO] - {{NETLIST CONSISTENCY CHECK PASSED}} caravan netlist passed all consistency checks.
+2022-06-04 07:51:50 - [INFO] - PORTS CHECK PASSED: Netlist user_analog_project_wrapper ports match the golden wrapper ports
+2022-06-04 07:51:50 - [INFO] - COMPLEXITY CHECK PASSED: Netlist user_analog_project_wrapper contains at least 1 instances (19 instances). 
+2022-06-04 07:51:50 - [INFO] - MODELING CHECK PASSED: Netlist user_analog_project_wrapper is structural.
+2022-06-04 07:51:50 - [INFO] - LAYOUT CHECK PASSED: The GDS layout for user_analog_project_wrapper matches the provided structural netlist.
+2022-06-04 07:51:50 - [INFO] - {{NETLIST CONSISTENCY CHECK PASSED}} user_analog_project_wrapper netlist passed all consistency checks.
+2022-06-04 07:51:50 - [INFO] - {{CONSISTENCY CHECK PASSED}} The user netlist and the top netlist are valid.
+2022-06-04 07:51:50 - [INFO] - {{STEP UPDATE}} Executing Check 6 of 13: XOR
+2022-06-04 07:51:52 - [ERROR] - XOR CHECK FILE NOT FOUND in /home/krishna/Comparator_MPW6/precheck_results/04_JUN_2022___07_51_46/logs/xor_check.total
+2022-06-04 07:51:52 - [WARNING] - {{XOR CHECK FAILED}} The GDS file has non-conforming geometries.
+2022-06-04 07:51:52 - [INFO] - {{STEP UPDATE}} Executing Check 7 of 13: Magic DRC
+2022-06-04 07:51:52 - [INFO] - 0 DRC violations
+2022-06-04 07:51:52 - [INFO] - {{MAGIC DRC CHECK PASSED}} The GDS file, user_analog_project_wrapper.gds, has no DRC violations.
+2022-06-04 07:51:52 - [INFO] - {{STEP UPDATE}} Executing Check 8 of 13: Klayout FEOL
+2022-06-04 07:51:54 - [INFO] - No DRC Violations found
+2022-06-04 07:51:54 - [INFO] - {{Klayout FEOL CHECK PASSED}} The GDS file, user_analog_project_wrapper.gds, has no DRC violations.
+2022-06-04 07:51:54 - [INFO] - {{STEP UPDATE}} Executing Check 9 of 13: Klayout BEOL
+2022-06-04 07:51:58 - [INFO] - No DRC Violations found
+2022-06-04 07:51:58 - [INFO] - {{Klayout BEOL CHECK PASSED}} The GDS file, user_analog_project_wrapper.gds, has no DRC violations.
+2022-06-04 07:51:58 - [INFO] - {{STEP UPDATE}} Executing Check 10 of 13: Klayout Offgrid
+2022-06-04 07:52:01 - [INFO] - No DRC Violations found
+2022-06-04 07:52:01 - [INFO] - {{Klayout Offgrid CHECK PASSED}} The GDS file, user_analog_project_wrapper.gds, has no DRC violations.
+2022-06-04 07:52:01 - [INFO] - {{STEP UPDATE}} Executing Check 11 of 13: Klayout Metal Minimum Clear Area Density
+2022-06-04 07:52:02 - [INFO] - No DRC Violations found
+2022-06-04 07:52:02 - [INFO] - {{Klayout Metal Minimum Clear Area Density CHECK PASSED}} The GDS file, user_analog_project_wrapper.gds, has no DRC violations.
+2022-06-04 07:52:02 - [INFO] - {{STEP UPDATE}} Executing Check 12 of 13: Klayout Pin Label Purposes Overlapping Drawing
+2022-06-04 07:52:03 - [ERROR] - Total # of DRC violations is 1 Please check /home/krishna/Comparator_MPW6/precheck_results/04_JUN_2022___07_51_46/outputs/reports/klayout_pin_label_purposes_overlapping_drawing_check.xml For more details
+2022-06-04 07:52:03 - [WARNING] - {{Klayout Pin Label Purposes Overlapping Drawing CHECK FAILED}} The GDS file, user_analog_project_wrapper.gds, has DRC violations.
+2022-06-04 07:52:03 - [INFO] - {{STEP UPDATE}} Executing Check 13 of 13: Klayout ZeroArea
+2022-06-04 07:52:04 - [INFO] - No DRC Violations found
+2022-06-04 07:52:04 - [INFO] - {{Klayout ZeroArea CHECK PASSED}} The GDS file, user_analog_project_wrapper.gds, has no DRC violations.
+2022-06-04 07:52:04 - [INFO] - {{FINISH}} Executing Finished, the full log 'precheck.log' can be found in '/home/krishna/Comparator_MPW6/precheck_results/04_JUN_2022___07_51_46/logs'
+2022-06-04 07:52:04 - [CRITICAL] - {{FAILURE}} 2 Check(s) Failed: ['XOR', 'Klayout Pin Label Purposes Overlapping Drawing'] !!!
diff --git a/precheck_results/04_JUN_2022___07_51_46/logs/spdx_compliance_report.log b/precheck_results/04_JUN_2022___07_51_46/logs/spdx_compliance_report.log
new file mode 100644
index 0000000..d881bad
--- /dev/null
+++ b/precheck_results/04_JUN_2022___07_51_46/logs/spdx_compliance_report.log
@@ -0,0 +1,142 @@
+/home/krishna/Comparator_MPW6/Makefile
+/home/krishna/Comparator_MPW6/.spiceinit
+/home/krishna/Comparator_MPW6/verilog/dv/Makefile
+/home/krishna/Comparator_MPW6/verilog/dv/mprj_por/mprj_por.c
+/home/krishna/Comparator_MPW6/verilog/dv/mprj_por/mprj_por_tb.v
+/home/krishna/Comparator_MPW6/verilog/dv/mprj_por/Makefile
+/home/krishna/Comparator_MPW6/verilog/rtl/uprj_analog_netlists.v
+/home/krishna/Comparator_MPW6/verilog/rtl/example_por.v
+/home/krishna/Comparator_MPW6/verilog/rtl/user_analog_proj_example.v
+/home/krishna/Comparator_MPW6/verilog/rtl/user_analog_project_wrapper.v
+/home/krishna/Comparator_MPW6/verilog/rtl/comparator.v
+/home/krishna/Comparator_MPW6/precheck_results/01_JUN_2022___10_26_55/outputs/reports/magic_drc_check.drc.report
+/home/krishna/Comparator_MPW6/precheck_results/01_JUN_2022___10_26_55/logs/klayout_zeroarea_check.total
+/home/krishna/Comparator_MPW6/precheck_results/01_JUN_2022___10_26_55/logs/tools.info
+/home/krishna/Comparator_MPW6/precheck_results/01_JUN_2022___10_26_55/logs/klayout_beol_check.total
+/home/krishna/Comparator_MPW6/precheck_results/01_JUN_2022___10_26_55/logs/klayout_pin_label_purposes_overlapping_drawing_check.total
+/home/krishna/Comparator_MPW6/precheck_results/01_JUN_2022___10_26_55/logs/klayout_feol_check.total
+/home/krishna/Comparator_MPW6/precheck_results/01_JUN_2022___10_26_55/logs/gds.info
+/home/krishna/Comparator_MPW6/precheck_results/01_JUN_2022___10_26_55/logs/pdks.info
+/home/krishna/Comparator_MPW6/precheck_results/01_JUN_2022___10_26_55/logs/magic_drc_check.total
+/home/krishna/Comparator_MPW6/precheck_results/01_JUN_2022___10_26_55/logs/klayout_offgrid_check.total
+/home/krishna/Comparator_MPW6/precheck_results/01_JUN_2022___10_26_55/logs/klayout_met_min_ca_density_check.total
+/home/krishna/Comparator_MPW6/precheck_results/04_JUN_2022___07_51_46/logs/tools.info
+/home/krishna/Comparator_MPW6/precheck_results/04_JUN_2022___07_51_46/logs/gds.info
+/home/krishna/Comparator_MPW6/precheck_results/04_JUN_2022___07_51_46/logs/pdks.info
+/home/krishna/Comparator_MPW6/precheck_results/01_JUN_2022___10_22_16/outputs/reports/magic_drc_check.drc.report
+/home/krishna/Comparator_MPW6/precheck_results/01_JUN_2022___10_22_16/logs/klayout_zeroarea_check.total
+/home/krishna/Comparator_MPW6/precheck_results/01_JUN_2022___10_22_16/logs/tools.info
+/home/krishna/Comparator_MPW6/precheck_results/01_JUN_2022___10_22_16/logs/klayout_beol_check.total
+/home/krishna/Comparator_MPW6/precheck_results/01_JUN_2022___10_22_16/logs/klayout_pin_label_purposes_overlapping_drawing_check.total
+/home/krishna/Comparator_MPW6/precheck_results/01_JUN_2022___10_22_16/logs/klayout_feol_check.total
+/home/krishna/Comparator_MPW6/precheck_results/01_JUN_2022___10_22_16/logs/gds.info
+/home/krishna/Comparator_MPW6/precheck_results/01_JUN_2022___10_22_16/logs/pdks.info
+/home/krishna/Comparator_MPW6/precheck_results/01_JUN_2022___10_22_16/logs/magic_drc_check.total
+/home/krishna/Comparator_MPW6/precheck_results/01_JUN_2022___10_22_16/logs/klayout_offgrid_check.total
+/home/krishna/Comparator_MPW6/precheck_results/01_JUN_2022___10_22_16/logs/klayout_met_min_ca_density_check.total
+/home/krishna/Comparator_MPW6/precheck_results/04_JUN_2022___07_42_57/outputs/reports/magic_drc_check.drc.report
+/home/krishna/Comparator_MPW6/precheck_results/04_JUN_2022___07_42_57/logs/klayout_zeroarea_check.total
+/home/krishna/Comparator_MPW6/precheck_results/04_JUN_2022___07_42_57/logs/tools.info
+/home/krishna/Comparator_MPW6/precheck_results/04_JUN_2022___07_42_57/logs/klayout_beol_check.total
+/home/krishna/Comparator_MPW6/precheck_results/04_JUN_2022___07_42_57/logs/klayout_pin_label_purposes_overlapping_drawing_check.total
+/home/krishna/Comparator_MPW6/precheck_results/04_JUN_2022___07_42_57/logs/klayout_feol_check.total
+/home/krishna/Comparator_MPW6/precheck_results/04_JUN_2022___07_42_57/logs/gds.info
+/home/krishna/Comparator_MPW6/precheck_results/04_JUN_2022___07_42_57/logs/pdks.info
+/home/krishna/Comparator_MPW6/precheck_results/04_JUN_2022___07_42_57/logs/magic_drc_check.total
+/home/krishna/Comparator_MPW6/precheck_results/04_JUN_2022___07_42_57/logs/klayout_offgrid_check.total
+/home/krishna/Comparator_MPW6/precheck_results/04_JUN_2022___07_42_57/logs/klayout_met_min_ca_density_check.total
+/home/krishna/Comparator_MPW6/precheck_results/02_JUN_2022___13_34_14/outputs/reports/magic_drc_check.drc.report
+/home/krishna/Comparator_MPW6/precheck_results/02_JUN_2022___13_34_14/logs/klayout_zeroarea_check.total
+/home/krishna/Comparator_MPW6/precheck_results/02_JUN_2022___13_34_14/logs/tools.info
+/home/krishna/Comparator_MPW6/precheck_results/02_JUN_2022___13_34_14/logs/klayout_beol_check.total
+/home/krishna/Comparator_MPW6/precheck_results/02_JUN_2022___13_34_14/logs/klayout_pin_label_purposes_overlapping_drawing_check.total
+/home/krishna/Comparator_MPW6/precheck_results/02_JUN_2022___13_34_14/logs/klayout_feol_check.total
+/home/krishna/Comparator_MPW6/precheck_results/02_JUN_2022___13_34_14/logs/gds.info
+/home/krishna/Comparator_MPW6/precheck_results/02_JUN_2022___13_34_14/logs/pdks.info
+/home/krishna/Comparator_MPW6/precheck_results/02_JUN_2022___13_34_14/logs/magic_drc_check.total
+/home/krishna/Comparator_MPW6/precheck_results/02_JUN_2022___13_34_14/logs/klayout_offgrid_check.total
+/home/krishna/Comparator_MPW6/precheck_results/02_JUN_2022___13_34_14/logs/klayout_met_min_ca_density_check.total
+/home/krishna/Comparator_MPW6/precheck_results/04_JUN_2022___07_38_11/outputs/reports/magic_drc_check.drc.report
+/home/krishna/Comparator_MPW6/precheck_results/04_JUN_2022___07_38_11/logs/klayout_zeroarea_check.total
+/home/krishna/Comparator_MPW6/precheck_results/04_JUN_2022___07_38_11/logs/tools.info
+/home/krishna/Comparator_MPW6/precheck_results/04_JUN_2022___07_38_11/logs/klayout_beol_check.total
+/home/krishna/Comparator_MPW6/precheck_results/04_JUN_2022___07_38_11/logs/klayout_pin_label_purposes_overlapping_drawing_check.total
+/home/krishna/Comparator_MPW6/precheck_results/04_JUN_2022___07_38_11/logs/klayout_feol_check.total
+/home/krishna/Comparator_MPW6/precheck_results/04_JUN_2022___07_38_11/logs/gds.info
+/home/krishna/Comparator_MPW6/precheck_results/04_JUN_2022___07_38_11/logs/pdks.info
+/home/krishna/Comparator_MPW6/precheck_results/04_JUN_2022___07_38_11/logs/magic_drc_check.total
+/home/krishna/Comparator_MPW6/precheck_results/04_JUN_2022___07_38_11/logs/klayout_offgrid_check.total
+/home/krishna/Comparator_MPW6/precheck_results/04_JUN_2022___07_38_11/logs/klayout_met_min_ca_density_check.total
+/home/krishna/Comparator_MPW6/precheck_results/02_JUN_2022___13_44_02/outputs/reports/magic_drc_check.drc.report
+/home/krishna/Comparator_MPW6/precheck_results/02_JUN_2022___13_44_02/logs/klayout_zeroarea_check.total
+/home/krishna/Comparator_MPW6/precheck_results/02_JUN_2022___13_44_02/logs/tools.info
+/home/krishna/Comparator_MPW6/precheck_results/02_JUN_2022___13_44_02/logs/klayout_beol_check.total
+/home/krishna/Comparator_MPW6/precheck_results/02_JUN_2022___13_44_02/logs/klayout_pin_label_purposes_overlapping_drawing_check.total
+/home/krishna/Comparator_MPW6/precheck_results/02_JUN_2022___13_44_02/logs/klayout_feol_check.total
+/home/krishna/Comparator_MPW6/precheck_results/02_JUN_2022___13_44_02/logs/gds.info
+/home/krishna/Comparator_MPW6/precheck_results/02_JUN_2022___13_44_02/logs/pdks.info
+/home/krishna/Comparator_MPW6/precheck_results/02_JUN_2022___13_44_02/logs/magic_drc_check.total
+/home/krishna/Comparator_MPW6/precheck_results/02_JUN_2022___13_44_02/logs/klayout_offgrid_check.total
+/home/krishna/Comparator_MPW6/precheck_results/02_JUN_2022___13_44_02/logs/klayout_met_min_ca_density_check.total
+/home/krishna/Comparator_MPW6/mag/sky130_fd_pr__pfet_01v8_AC5Z8B#0.ext
+/home/krishna/Comparator_MPW6/mag/latch_3.ext
+/home/krishna/Comparator_MPW6/mag/inv_W2.ext
+/home/krishna/Comparator_MPW6/mag/sky130_fd_pr__diode_pw2nd_05v5_KLAK3C.ext
+/home/krishna/Comparator_MPW6/mag/sky130_fd_pr__diode_pw2nd_05v5_FT7GK8.ext
+/home/krishna/Comparator_MPW6/mag/sky130_fd_pr__diode_pw2nd_05v5_3P6M5Y.ext
+/home/krishna/Comparator_MPW6/mag/sky130_fd_pr__pfet_01v8_5SM9EE.ext
+/home/krishna/Comparator_MPW6/mag/latch_22.ext
+/home/krishna/Comparator_MPW6/mag/sky130_fd_pr__diode_pw2nd_05v5_GT7G3L.ext
+/home/krishna/Comparator_MPW6/mag/inv_W2#0.ext
+/home/krishna/Comparator_MPW6/mag/.magicrc
+/home/krishna/Comparator_MPW6/mag/sky130_fd_pr__diode_pd2nw_05v5_G4XDRY.ext
+/home/krishna/Comparator_MPW6/mag/sky130_fd_pr__nfet_01v8_XJTKXQ#1.ext
+/home/krishna/Comparator_MPW6/mag/sky130_fd_pr__nfet_01v8_XJTKXQ.ext
+/home/krishna/Comparator_MPW6/mag/sky130_fd_pr__pfet_01v8_AC5Z8B.ext
+/home/krishna/Comparator_MPW6/mag/buffer_2#1.ext
+/home/krishna/Comparator_MPW6/mag/comparator_v6.ext
+/home/krishna/Comparator_MPW6/mag/buffer_1#0.ext
+/home/krishna/Comparator_MPW6/mag/latch_2.ext
+/home/krishna/Comparator_MPW6/mag/user_analog_project_wrapper.ext
+/home/krishna/Comparator_MPW6/mag/buffer_2#0.ext
+/home/krishna/Comparator_MPW6/mag/sky130_fd_pr__diode_pd2nw_05v5_RT56W3.ext
+/home/krishna/Comparator_MPW6/mag/latch/SR_latch.ext
+/home/krishna/Comparator_MPW6/mag/latch/sky130_fd_pr__pfet_01v8_AC5Z8B#0.ext
+/home/krishna/Comparator_MPW6/mag/latch/sky130A.magicrc
+/home/krishna/Comparator_MPW6/mag/latch/latch_22.ext
+/home/krishna/Comparator_MPW6/mag/latch/sky130_fd_pr__pfet_01v8_AC5E9B.ext
+/home/krishna/Comparator_MPW6/mag/latch/.magicrc
+/home/krishna/Comparator_MPW6/mag/latch/sky130_fd_pr__nfet_01v8_XJTKXQ#1.ext
+/home/krishna/Comparator_MPW6/mag/latch/sky130_fd_pr__nfet_01v8_F5U58G.ext
+/home/krishna/Comparator_MPW6/mag/latch/sky130_fd_pr__pfet_01v8_GJYUB2.ext
+/home/krishna/Comparator_MPW6/mag/latch/latch_2.ext
+/home/krishna/Comparator_MPW6/mag/latch/sky130_fd_pr__pfet_01v8_5SVZDE.ext
+/home/krishna/Comparator_MPW6/mag/latch/latch.ext
+/home/krishna/Comparator_MPW6/mag/preamp/sky130_fd_pr__nfet_01v8_G6PLX8.ext
+/home/krishna/Comparator_MPW6/mag/preamp/preamp_part1.ext
+/home/krishna/Comparator_MPW6/mag/preamp/preamp_part2.ext
+/home/krishna/Comparator_MPW6/mag/preamp/sky130_fd_pr__nfet_01v8_XJTKXQ#0.ext
+/home/krishna/Comparator_MPW6/mag/preamp/sky130_fd_pr__nfet_01v8_F5U58G#1.ext
+/home/krishna/Comparator_MPW6/mag/preamp/.magicrc
+/home/krishna/Comparator_MPW6/mag/preamp/sky130_fd_pr__pfet_01v8_RFM3CD.ext
+/home/krishna/Comparator_MPW6/mag/preamp/sky130_fd_pr__nfet_01v8_8FHE5N.ext
+/home/krishna/Comparator_MPW6/mag/preamp/sky130_fd_pr__nfet_01v8_F5U58G#0.ext
+/home/krishna/Comparator_MPW6/xschem/xschemrc
+/home/krishna/Comparator_MPW6/xschem/buffer.sch
+/home/krishna/Comparator_MPW6/xschem/user_analog_project_wrapper.sym
+/home/krishna/Comparator_MPW6/xschem/SR_latch.sym
+/home/krishna/Comparator_MPW6/xschem/comparator.sch
+/home/krishna/Comparator_MPW6/xschem/comparator.sym
+/home/krishna/Comparator_MPW6/xschem/analog_wrapper_tb.sch
+/home/krishna/Comparator_MPW6/xschem/user_analog_project_wrapper.sch
+/home/krishna/Comparator_MPW6/xschem/.spiceinit
+/home/krishna/Comparator_MPW6/xschem/comparator_tb.sch
+/home/krishna/Comparator_MPW6/xschem/SR_latch.sch
+/home/krishna/Comparator_MPW6/xschem/buffer.sym
+/home/krishna/Comparator_MPW6/docs/Makefile
+/home/krishna/Comparator_MPW6/docs/environment.yml
+/home/krishna/Comparator_MPW6/docs/source/conf.py
+/home/krishna/Comparator_MPW6/docs/source/index.rst
+/home/krishna/Comparator_MPW6/netgen/run_lvs_wrapper_verilog.sh
+/home/krishna/Comparator_MPW6/netgen/run_lvs_por.sh
+/home/krishna/Comparator_MPW6/netgen/run_lvs_wrapper_xschem.sh
diff --git a/precheck_results/04_JUN_2022___07_51_46/logs/tools.info b/precheck_results/04_JUN_2022___07_51_46/logs/tools.info
new file mode 100644
index 0000000..9b2230a
--- /dev/null
+++ b/precheck_results/04_JUN_2022___07_51_46/logs/tools.info
@@ -0,0 +1,2 @@
+KLayout: 0.27.8
+Magic: 8.3.274
\ No newline at end of file
diff --git a/precheck_results/04_JUN_2022___07_51_46/logs/xor_check.log b/precheck_results/04_JUN_2022___07_51_46/logs/xor_check.log
new file mode 100644
index 0000000..509efb5
--- /dev/null
+++ b/precheck_results/04_JUN_2022___07_51_46/logs/xor_check.log
@@ -0,0 +1,179 @@
+Reading file /home/krishna/Comparator_MPW6/gds/user_analog_project_wrapper.gds for cell user_analog_project_wrapper
+dbu=0.001
+cell user_analog_project_wrapper dbu-bbox(ll;ur)=(-4000,-4000;2924000,3524050)
+cell user_analog_project_wrapper dbu-bbox(left,bottom,right,top)=(-4000,-4000,2924000,3524050)
+cell user_analog_project_wrapper dbu-size(width,height)=(2928000,3528050)
+cell user_analog_project_wrapper micron-bbox(left,bottom,right,top)=(-4.0,-4.0,2924.0,3524.05)
+cell user_analog_project_wrapper micron-size(width,height)=(2928.0,3528.05)
+Done.
+
+Magic 8.3 revision 274 - Compiled on Fri Mar  4 22:54:15 UTC 2022.
+Starting magic under Tcl interpreter
+Using the terminal as the console.
+Using NULL graphics device.
+Processing system .magicrc file
+Loading "/home/krishna/mpw_precheckmpw6/checks/xor_check/erase_box.tcl" from command line.
+"sky130(vendor)" is not one of the CIF input styles Magic knows.
+Error: No style is set
+The CIF input styles are: .
+Don't know how to read GDS-II:
+Nothing in "cifinput" section of tech file.
+File user_analog_project_wrapper.mag couldn't be read
+No such file or directory
+Creating new cell
+Root cell box:
+           width x height  (   llx,  lly  ), (   urx,  ury  )  area (units^2)
+
+microns:  43.000 x 3520.000  (-43.000,  0.000), ( 0.000,  3520.000)  151360.000
+lambda:       43 x 3520    (   -43,  0    ), (     0,  3520 )  151360    
+Root cell box:
+           width x height  (   llx,  lly  ), (   urx,  ury  )  area (units^2)
+
+microns:  43.000 x 3520.000  ( 2920.000,  0.000), ( 2963.000,  3520.000)  151360.000
+lambda:       43 x 3520    (  2920,  0    ), (  2963,  3520 )  151360    
+Root cell box:
+           width x height  (   llx,  lly  ), (   urx,  ury  )  area (units^2)
+
+microns:  3006.000 x 38.000  (-43.000, -38.000), ( 2963.000,  0.000)  114228.000
+lambda:     3006 x 38      (   -43, -38   ), (  2963,  0    )  114228    
+Root cell box:
+           width x height  (   llx,  lly  ), (   urx,  ury  )  area (units^2)
+
+microns:  3006.000 x 37.000  (-43.000,  3520.000), ( 2963.000,  3557.000)  111222.000
+lambda:     3006 x 37      (   -43,  3520 ), (  2963,  3557 )  111222    
+Unrecognized layer: metal5
+Layer names are:
+    mag or magnet
+    f or fence
+    r or rotate
+    $
+    *
+    errors
+    labels
+    subcell
+    connect
+Unrecognized layer: metal4
+Layer names are:
+    mag or magnet
+    f or fence
+    r or rotate
+    $
+    *
+    errors
+    labels
+    subcell
+    connect
+Unrecognized layer: metal5
+Layer names are:
+    mag or magnet
+    f or fence
+    r or rotate
+    $
+    *
+    errors
+    labels
+    subcell
+    connect
+Unrecognized layer: metal4
+Layer names are:
+    mag or magnet
+    f or fence
+    r or rotate
+    $
+    *
+    errors
+    labels
+    subcell
+    connect
+No CIF/GDS output style set!
+I/O error in writing file /home/krishna/Comparator_MPW6/precheck_results/04_JUN_2022___07_51_46/outputs/user_analog_project_wrapper_erased.gds.
+File may be incompletely written.
+
+Magic 8.3 revision 274 - Compiled on Fri Mar  4 22:54:15 UTC 2022.
+Starting magic under Tcl interpreter
+Using the terminal as the console.
+Using NULL graphics device.
+Processing system .magicrc file
+Loading "/home/krishna/mpw_precheckmpw6/checks/xor_check/erase_box.tcl" from command line.
+"sky130(vendor)" is not one of the CIF input styles Magic knows.
+Error: No style is set
+The CIF input styles are: .
+Don't know how to read GDS-II:
+Nothing in "cifinput" section of tech file.
+File user_analog_project_wrapper.mag couldn't be read
+No such file or directory
+Creating new cell
+Root cell box:
+           width x height  (   llx,  lly  ), (   urx,  ury  )  area (units^2)
+
+microns:  43.000 x 3520.000  (-43.000,  0.000), ( 0.000,  3520.000)  151360.000
+lambda:       43 x 3520    (   -43,  0    ), (     0,  3520 )  151360    
+Root cell box:
+           width x height  (   llx,  lly  ), (   urx,  ury  )  area (units^2)
+
+microns:  43.000 x 3520.000  ( 2920.000,  0.000), ( 2963.000,  3520.000)  151360.000
+lambda:       43 x 3520    (  2920,  0    ), (  2963,  3520 )  151360    
+Root cell box:
+           width x height  (   llx,  lly  ), (   urx,  ury  )  area (units^2)
+
+microns:  3006.000 x 38.000  (-43.000, -38.000), ( 2963.000,  0.000)  114228.000
+lambda:     3006 x 38      (   -43, -38   ), (  2963,  0    )  114228    
+Root cell box:
+           width x height  (   llx,  lly  ), (   urx,  ury  )  area (units^2)
+
+microns:  3006.000 x 37.000  (-43.000,  3520.000), ( 2963.000,  3557.000)  111222.000
+lambda:     3006 x 37      (   -43,  3520 ), (  2963,  3557 )  111222    
+Unrecognized layer: metal5
+Layer names are:
+    mag or magnet
+    f or fence
+    r or rotate
+    $
+    *
+    errors
+    labels
+    subcell
+    connect
+Unrecognized layer: metal4
+Layer names are:
+    mag or magnet
+    f or fence
+    r or rotate
+    $
+    *
+    errors
+    labels
+    subcell
+    connect
+Unrecognized layer: metal5
+Layer names are:
+    mag or magnet
+    f or fence
+    r or rotate
+    $
+    *
+    errors
+    labels
+    subcell
+    connect
+Unrecognized layer: metal4
+Layer names are:
+    mag or magnet
+    f or fence
+    r or rotate
+    $
+    *
+    errors
+    labels
+    subcell
+    connect
+No CIF/GDS output style set!
+I/O error in writing file /home/krishna/Comparator_MPW6/precheck_results/04_JUN_2022___07_51_46/outputs/user_analog_project_wrapper_empty_erased.gds.
+File may be incompletely written.
+Reading /home/krishna/Comparator_MPW6/precheck_results/04_JUN_2022___07_51_46/outputs/user_analog_project_wrapper_erased.gds ..
+ERROR: In /home/krishna/mpw_precheckmpw6/checks/xor_check/xor.rb.drc: 'source': Stream has unknown format: /home/krishna/Comparator_MPW6/precheck_results/04_JUN_2022___07_51_46/outputs/user_analog_project_wrapper_erased.gds in Layout::read
+Total elapsed: 0.000s  Memory: 521.00M
+ERROR: 'source': Stream has unknown format: /home/krishna/Comparator_MPW6/precheck_results/04_JUN_2022___07_51_46/outputs/user_analog_project_wrapper_erased.gds in Layout::read in Executable::execute
+  /home/krishna/mpw_precheckmpw6/checks/xor_check/xor.rb.drc:15:in `execute'
+  :/built-in-macros/drc_interpreters.lym:27:in `instance_eval'
+  :/built-in-macros/drc_interpreters.lym:27:in `execute'
diff --git a/precheck_results/04_JUN_2022___07_51_46/outputs/reports/klayout_beol_check.xml b/precheck_results/04_JUN_2022___07_51_46/outputs/reports/klayout_beol_check.xml
new file mode 100644
index 0000000..9ad4ffd
--- /dev/null
+++ b/precheck_results/04_JUN_2022___07_51_46/outputs/reports/klayout_beol_check.xml
@@ -0,0 +1,447 @@
+<?xml version="1.0" encoding="utf-8"?>
+<report-database>
+ <description>SKY130 DRC runset</description>
+ <original-file/>
+ <generator>drc: script='/home/krishna/mpw_precheckmpw6/checks/tech-files/sky130A_mr.drc'</generator>
+ <top-cell>user_analog_project_wrapper</top-cell>
+ <tags>
+ </tags>
+ <categories>
+  <category>
+   <name>li.1</name>
+   <description>li.1 : min. li width : 0.17um</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>li.3</name>
+   <description>li.3 : min. li spacing : 0.17um</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>li.5</name>
+   <description>li.5 : min. li enclosure of licon of 2 adjacent edges : 0.08um</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>li.6</name>
+   <description>li.6 : min. li area : 0.0561um²</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>ct.1</name>
+   <description>ct.1: non-ring mcon should be rectangular</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>ct.1_a</name>
+   <description>ct.1_a : minimum width of mcon : 0.17um</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>ct.1_b</name>
+   <description>ct.1_b : maximum length of mcon : 0.17um</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>ct.2</name>
+   <description>ct.2 : min. mcon spacing : 0.19um</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>ct.4</name>
+   <description>ct.4 : mcon should covered by li</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>m1.1</name>
+   <description>m1.1 : min. m1 width : 0.14um</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>m1.2</name>
+   <description>m1.2 : min. m1 spacing : 0.14um</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>m1.3ab</name>
+   <description>m1.3ab : min. 3um.m1 spacing m1 : 0.28um</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>791_m1.4</name>
+   <description>791_m1.4 : min. m1 enclosure of mcon : 0.03um</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>m1.4</name>
+   <description>m1.4 : mcon periphery must be enclosed by m1</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>m1.4a</name>
+   <description>m1.4a : min. m1 enclosure of mcon for specific cells : 0.005um</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>m1.4a_a</name>
+   <description>m1.4a_a : mcon periph must be enclosed by met1 for specific cells</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>m1.6</name>
+   <description>m1.6 : min. m1 area : 0.083um²</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>m1.7</name>
+   <description>m1.7 : min. m1 with holes area : 0.14um²</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>m1.5</name>
+   <description>m1.5 : min. m1 enclosure of mcon of 2 adjacent edges : 0.06um</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>via.1a</name>
+   <description>via.1a : via outside of moduleCut should be rectangular</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>via.1a_a</name>
+   <description>via.1a_a : min. width of via outside of moduleCut : 0.15um</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>via.1a_b</name>
+   <description>via.1a_b : maximum length of via : 0.15um</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>via.2</name>
+   <description>via.2 : min. via spacing : 0.17um</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>via.4a</name>
+   <description>via.4a : min. m1 enclosure of 0.15um via : 0.055um</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>via.4a_a</name>
+   <description>via.4a_a : 0.15um via must be enclosed by met1</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>via.5a</name>
+   <description>via.5a : min. m1 enclosure of 0.15um via of 2 adjacent edges : 0.085um</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>m2.1</name>
+   <description>m2.1 : min. m2 width : 0.14um</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>m2.2</name>
+   <description>m2.2 : min. m2 spacing : 0.14um</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>m2.3ab</name>
+   <description>m2.3ab : min. 3um.m2 spacing m2 : 0.28um</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>m2.6</name>
+   <description>m2.6 : min. m2 area : 0.0676um²</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>m2.7</name>
+   <description>m2.7 : min. m2 holes area : 0.14um²</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>m2.4</name>
+   <description>m2.4 : min. m2 enclosure of via : 0.055um</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>m2.4_a</name>
+   <description>m2.4_a : via in periphery must be enclosed by met2</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>m2.5</name>
+   <description>m2.5 : min. m2 enclosure of via of 2 adjacent edges : 0.085um</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>via2.1a</name>
+   <description>via2.1a : via2 outside of moduleCut should be rectangular</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>via2.1a_a</name>
+   <description>via2.1a_a : min. width of via2 outside of moduleCut : 0.2um</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>via2.1a_b</name>
+   <description>via2.1a_b : maximum length of via2 : 0.2um</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>via2.2</name>
+   <description>via2.2 : min. via2 spacing : 0.2um</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>via2.4</name>
+   <description>via2.4 : min. m2 enclosure of via2 : 0.04um</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>via2.4_a</name>
+   <description>via2.4_a : via must be enclosed by met2</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>via2.5</name>
+   <description>via2.5 : min. m3 enclosure of via2 of 2 adjacent edges : 0.085um</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>m3.1</name>
+   <description>m3.1 : min. m3 width : 0.3um</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>m3.2</name>
+   <description>m3.2 : min. m3 spacing : 0.3um</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>m3.3cd</name>
+   <description>m3.3cd : min. 3um.m3 spacing m3 : 0.4um</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>m3.4</name>
+   <description>m3.4 : min. m3 enclosure of via2 : 0.065um</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>m3.4_a</name>
+   <description>m3.4_a : via2 must be enclosed by met3</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>via3.1</name>
+   <description>via3.1 : via3 outside of moduleCut should be rectangular</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>via3.1_a</name>
+   <description>via3.1_a : min. width of via3 outside of moduleCut : 0.2um</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>via3.1_b</name>
+   <description>via3.1_b : maximum length of via3 : 0.2um</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>via3.2</name>
+   <description>via3.2 : min. via3 spacing : 0.2um</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>via3.4</name>
+   <description>via3.4 : min. m3 enclosure of via3 : 0.06um</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>via3.4_a</name>
+   <description>via3.4_a : non-ring via3 must be enclosed by met3</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>via3.5</name>
+   <description>via3.5 : min. m3 enclosure of via3 of 2 adjacent edges : 0.09um</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>m4.1</name>
+   <description>m4.1 : min. m4 width : 0.3um</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>m4.2</name>
+   <description>m4.2 : min. m4 spacing : 0.3um</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>m4.4a</name>
+   <description>m4.4a : min. m4 area : 0.240um²</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>m4.5ab</name>
+   <description>m4.5ab : min. 3um.m4 spacing m4 : 0.4um</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>m4.3</name>
+   <description>m4.3 : min. m4 enclosure of via3 : 0.065um</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>m4.3_a</name>
+   <description>m4.3_a : via3 must be enclosed by met4</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>via4.1</name>
+   <description>via4.1 : via4 outside of moduleCut should be rectangular</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>via4.1_a</name>
+   <description>via4.1_a : min. width of via4 outside of moduleCut : 0.8um</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>via4.1_b</name>
+   <description>via4.1_b : maximum length of via4 : 0.8um</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>via4.2</name>
+   <description>via4.2 : min. via4 spacing : 0.8um</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>via4.4</name>
+   <description>via4.4 : min. m4 enclosure of via4 : 0.19um</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>via4.4_a</name>
+   <description>via4.4_a : m4 must enclose all via4</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>m5.1</name>
+   <description>m5.1 : min. m5 width : 1.6um</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>m5.2</name>
+   <description>m5.2 : min. m5 spacing : 1.6um</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>m5.3</name>
+   <description>m5.3 : min. m5 enclosure of via4 : 0.31um</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>m5.3_a</name>
+   <description>m5.3_a : via must be enclosed by m5</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>m5.4</name>
+   <description>m5.4 : min. m5 area : 4.0um²</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>pad.2</name>
+   <description>pad.2 : min. pad spacing : 1.27um</description>
+   <categories>
+   </categories>
+  </category>
+ </categories>
+ <cells>
+  <cell>
+   <name>user_analog_project_wrapper</name>
+   <variant/>
+   <references>
+   </references>
+  </cell>
+ </cells>
+ <items>
+ </items>
+</report-database>
diff --git a/precheck_results/04_JUN_2022___07_51_46/outputs/reports/klayout_feol_check.xml b/precheck_results/04_JUN_2022___07_51_46/outputs/reports/klayout_feol_check.xml
new file mode 100644
index 0000000..5c0c69c
--- /dev/null
+++ b/precheck_results/04_JUN_2022___07_51_46/outputs/reports/klayout_feol_check.xml
@@ -0,0 +1,363 @@
+<?xml version="1.0" encoding="utf-8"?>
+<report-database>
+ <description>SKY130 DRC runset</description>
+ <original-file/>
+ <generator>drc: script='/home/krishna/mpw_precheckmpw6/checks/tech-files/sky130A_mr.drc'</generator>
+ <top-cell>user_analog_project_wrapper</top-cell>
+ <tags>
+ </tags>
+ <categories>
+  <category>
+   <name>dnwell.2</name>
+   <description>dnwell.2 : min. dnwell width : 3.0um</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>nwell.1</name>
+   <description>nwell.1 : min. nwell width : 0.84um</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>nwell.2a</name>
+   <description>nwell.2a : min. nwell spacing (merged if less) : 1.27um</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>nwell.6</name>
+   <description>nwell.6 : min enclosure of nwellHole by dnwell : 1.03um</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>hvtp.1</name>
+   <description>hvtp.1 : min. hvtp width : 0.38um</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>hvtp.2</name>
+   <description>hvtp.2 : min. hvtp spacing : 0.38um</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>hvtr.1</name>
+   <description>hvtr.1 : min. hvtr width : 0.38um</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>hvtr.2</name>
+   <description>hvtr.2 : min. hvtr spacing : 0.38um</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>hvtr.2_a</name>
+   <description>hvtr.2_a : hvtr must not overlap hvtp</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>lvtn.1a</name>
+   <description>lvtn.1a : min. lvtn width : 0.38um</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>lvtn.2</name>
+   <description>lvtn.2 : min. lvtn spacing : 0.38um</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>ncm.1</name>
+   <description>ncm.1 : min. ncm width : 0.38um</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>ncm.2a</name>
+   <description>ncm.2a : min. ncm spacing : 0.38um</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>difftap.1</name>
+   <description>difftap.1 : min. diff width across areaid:ce : 0.15um</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>difftap.1_a</name>
+   <description>difftap.1_a : min. diff width in periphery : 0.15um</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>difftap.1_b</name>
+   <description>difftap.1_b : min. tap width across areaid:ce : 0.15um</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>difftap.1_c</name>
+   <description>difftap.1_c : min. tap width in periphery : 0.15um</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>difftap.3</name>
+   <description>difftap.3 : min. difftap spacing : 0.27um</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>tunm.1</name>
+   <description>tunm.1 : min. tunm width : 0.41um</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>tunm.2</name>
+   <description>tunm.2 : min. tunm spacing : 0.5um</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>poly.1a</name>
+   <description>poly.1a : min. poly width : 0.15um</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>poly.2</name>
+   <description>poly.2 : min. poly spacing : 0.21um</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>rpm.1a</name>
+   <description>rpm.1a : min. rpm width : 1.27um</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>rpm.2</name>
+   <description>rpm.2 : min. rpm spacing : 0.84um</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>urpm.1a</name>
+   <description>urpm.1a : min. rpm width : 1.27um</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>urpm.2</name>
+   <description>urpm.2 : min. rpm spacing : 0.84um</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>npc.1</name>
+   <description>npc.1 : min. npc width : 0.27um</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>npc.2</name>
+   <description>npc.2 : min. npc spacing, should be manually merged if less than : 0.27um</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>nsd.1</name>
+   <description>nsd.1 : min. nsdm width : 0.38um</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>nsd.2</name>
+   <description>nsd.2 : min. nsdm spacing, should be manually merged if less than : 0.38um</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>psd.1</name>
+   <description>psd.1 : min. psdm width : 0.38um</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>psd.2</name>
+   <description>psd.2 : min. psdm spacing, should be manually merged if less than : 0.38um</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>licon.1</name>
+   <description>licon.1 : licon should be rectangle</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>licon.1_a/b</name>
+   <description>licon.1_a/b : minimum/maximum width of licon : 0.17um</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>licon.13</name>
+   <description>licon.13 : min. difftap licon spacing to npc : 0.09um</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>licon.13_a</name>
+   <description>licon.13_a : licon of diffTap in periphery must not overlap npc</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>licon.17</name>
+   <description>licon.17 : Licons may not overlap both poly and (diff or tap)</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>capm.1</name>
+   <description>capm.1 : min. capm width : 1.0um</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>capm.2a</name>
+   <description>capm.2a : min. capm spacing : 0.84um</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>capm.2b</name>
+   <description>capm.2b : min. capm spacing : 1.2um</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>capm.2b_a</name>
+   <description>capm.2b_a : min. spacing of m3_bot_plate : 1.2um</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>capm.3</name>
+   <description>capm.3 : min. capm and m3 enclosure of m3 : 0.14um</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>capm.3_a</name>
+   <description>capm.3_a : min. m3 enclosure of capm : 0.14um</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>capm.4</name>
+   <description>capm.4 : min. capm enclosure of via3 : 0.14um</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>capm.5</name>
+   <description>capm.5 : min. capm spacing to via3 : 0.14um</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>cap2m.1</name>
+   <description>cap2m.1 : min. cap2m width : 1.0um</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>cap2m.2a</name>
+   <description>cap2m.2a : min. cap2m spacing : 0.84um</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>cap2m.2b</name>
+   <description>cap2m.2b : min. cap2m spacing : 1.2um</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>cap2m.2b_a</name>
+   <description>cap2m.2b_a : min. spacing of m4_bot_plate : 1.2um</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>cap2m.3</name>
+   <description>cap2m.3 : min. m4 enclosure of cap2m : 0.14um</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>cap2m.3_a</name>
+   <description>cap2m.3_a : min. m4 enclosure of cap2m : 0.14um</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>cap2m.4</name>
+   <description>cap2m.4 : min. cap2m enclosure of via4 : 0.14um</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>cap2m.5</name>
+   <description>cap2m.5 : min. cap2m spacing to via4 : 0.14um</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>hvi.1</name>
+   <description>hvi.1 : min. hvi width : 0.6um</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>hvi.2a</name>
+   <description>hvi.2a : min. hvi spacing : 0.7um</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>hvntm.1</name>
+   <description>hvntm.1 : min. hvntm width : 0.7um</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>hvntm.2</name>
+   <description>hvntm.2 : min. hvntm spacing : 0.7um</description>
+   <categories>
+   </categories>
+  </category>
+ </categories>
+ <cells>
+  <cell>
+   <name>user_analog_project_wrapper</name>
+   <variant/>
+   <references>
+   </references>
+  </cell>
+ </cells>
+ <items>
+ </items>
+</report-database>
diff --git a/precheck_results/04_JUN_2022___07_51_46/outputs/reports/klayout_met_min_ca_density_check.xml b/precheck_results/04_JUN_2022___07_51_46/outputs/reports/klayout_met_min_ca_density_check.xml
new file mode 100644
index 0000000..dc650ad
--- /dev/null
+++ b/precheck_results/04_JUN_2022___07_51_46/outputs/reports/klayout_met_min_ca_density_check.xml
@@ -0,0 +1,21 @@
+<?xml version="1.0" encoding="utf-8"?>
+<report-database>
+ <description>Density Checks</description>
+ <original-file/>
+ <generator>drc: script='/home/krishna/mpw_precheckmpw6/checks/drc_checks/klayout/met_min_ca_density.lydrc'</generator>
+ <top-cell>user_analog_project_wrapper</top-cell>
+ <tags>
+ </tags>
+ <categories>
+ </categories>
+ <cells>
+  <cell>
+   <name>user_analog_project_wrapper</name>
+   <variant/>
+   <references>
+   </references>
+  </cell>
+ </cells>
+ <items>
+ </items>
+</report-database>
diff --git a/precheck_results/04_JUN_2022___07_51_46/outputs/reports/klayout_offgrid_check.xml b/precheck_results/04_JUN_2022___07_51_46/outputs/reports/klayout_offgrid_check.xml
new file mode 100644
index 0000000..80a7de2
--- /dev/null
+++ b/precheck_results/04_JUN_2022___07_51_46/outputs/reports/klayout_offgrid_check.xml
@@ -0,0 +1,483 @@
+<?xml version="1.0" encoding="utf-8"?>
+<report-database>
+ <description>SKY130 DRC runset</description>
+ <original-file/>
+ <generator>drc: script='/home/krishna/mpw_precheckmpw6/checks/tech-files/sky130A_mr.drc'</generator>
+ <top-cell>user_analog_project_wrapper</top-cell>
+ <tags>
+ </tags>
+ <categories>
+  <category>
+   <name>dnwell_OFFGRID</name>
+   <description>x.1b : OFFGRID vertex on dnwell</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>dnwell_angle</name>
+   <description>x.3a : non 45 degree angle dnwell</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>nwell_OFFGRID</name>
+   <description>x.1b : OFFGRID vertex on nwell</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>nwell_angle</name>
+   <description>x.3a : non 45 degree angle nwell</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>pwbm_OFFGRID</name>
+   <description>x.1b : OFFGRID vertex on pwbm</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>pwbm_angle</name>
+   <description>x.3a : non 45 degree angle pwbm</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>pwde_OFFGRID</name>
+   <description>x.1b : OFFGRID vertex on pwde</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>pwde_angle</name>
+   <description>x.3a : non 45 degree angle pwde</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>hvtp_OFFGRID</name>
+   <description>x.1b : OFFGRID vertex on hvtp</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>hvtp_angle</name>
+   <description>x.3a : non 45 degree angle hvtp</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>hvtr_OFFGRID</name>
+   <description>x.1b : OFFGRID vertex on hvtr</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>hvtr_angle</name>
+   <description>x.3a : non 45 degree angle hvtr</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>lvtn_OFFGRID</name>
+   <description>x.1b : OFFGRID vertex on lvtn</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>lvtn_angle</name>
+   <description>x.3a : non 45 degree angle lvtn</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>ncm_OFFGRID</name>
+   <description>x.1b : OFFGRID vertex on ncm</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>ncm_angle</name>
+   <description>x.3a : non 45 degree angle ncm</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>diff_OFFGRID</name>
+   <description>x.1b : OFFGRID vertex on diff</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>tap_OFFGRID</name>
+   <description>x.1b : OFFGRID vertex on tap</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>diff_angle</name>
+   <description>x.2 : non 90 degree angle diff</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>diff_angle</name>
+   <description>x.2c : non 45 degree angle diff</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>tap_angle</name>
+   <description>x.2 : non 90 degree angle tap</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>tap_angle</name>
+   <description>x.2c : non 45 degree angle tap</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>tunm_OFFGRID</name>
+   <description>x.1b : OFFGRID vertex on tunm</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>tunm_angle</name>
+   <description>x.3a : non 45 degree angle tunm</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>poly_OFFGRID</name>
+   <description>x.1b : OFFGRID vertex on poly</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>poly_angle</name>
+   <description>x.2 : non 90 degree angle poly</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>rpm_OFFGRID</name>
+   <description>x.1b : OFFGRID vertex on rpm</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>rpm_angle</name>
+   <description>x.3a : non 45 degree angle rpm</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>npc_OFFGRID</name>
+   <description>x.1b : OFFGRID vertex on npc</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>npc_angle</name>
+   <description>x.3a : non 45 degree angle npc</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>nsdm_OFFGRID</name>
+   <description>x.1b : OFFGRID vertex on nsdm</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>nsdm_angle</name>
+   <description>x.3a : non 45 degree angle nsdm</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>psdm_OFFGRID</name>
+   <description>x.1b : OFFGRID vertex on psdm</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>psdm_angle</name>
+   <description>x.3a : non 45 degree angle psdm</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>licon_OFFGRID</name>
+   <description>x.1b : OFFGRID vertex on licon</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>licon_angle</name>
+   <description>x.2 : non 90 degree angle licon</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>li_OFFGRID</name>
+   <description>x.1b : OFFGRID vertex on li</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>li_angle</name>
+   <description>x.3a : non 45 degree angle li</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>ct_OFFGRID</name>
+   <description>x.1b : OFFGRID vertex on mcon</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>ct_angle</name>
+   <description>x.2 : non 90 degree angle mcon</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>vpp_OFFGRID</name>
+   <description>x.1b : OFFGRID vertex on vpp</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>vpp_angle</name>
+   <description>x.3a : non 45 degree angle vpp</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>m1_OFFGRID</name>
+   <description>x.1b : OFFGRID vertex on m1</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>m1_angle</name>
+   <description>x.3a : non 45 degree angle m1</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>via_OFFGRID</name>
+   <description>x.1b : OFFGRID vertex on via</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>via_angle</name>
+   <description>x.2 : non 90 degree angle via</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>m2_OFFGRID</name>
+   <description>x.1b : OFFGRID vertex on m2</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>m2_angle</name>
+   <description>x.3a : non 45 degree angle m2</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>via2_OFFGRID</name>
+   <description>x.1b : OFFGRID vertex on via2</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>via2_angle</name>
+   <description>x.2 : non 90 degree angle via2</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>m3_OFFGRID</name>
+   <description>x.1b : OFFGRID vertex on m3</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>m3_angle</name>
+   <description>x.3a : non 45 degree angle m3</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>via3_OFFGRID</name>
+   <description>x.1b : OFFGRID vertex on via3</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>via3_angle</name>
+   <description>x.2 : non 90 degree angle via3</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>nsm_OFFGRID</name>
+   <description>x.1b : OFFGRID vertex on nsm</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>nsm_angle</name>
+   <description>x.3a : non 45 degree angle nsm</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>m4_OFFGRID</name>
+   <description>x.1b : OFFGRID vertex on m4</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>m4_angle</name>
+   <description>x.3a : non 45 degree angle m4</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>via4_OFFGRID</name>
+   <description>x.1b : OFFGRID vertex on via4</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>via4_angle</name>
+   <description>x.2 : non 90 degree angle via4</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>m5_OFFGRID</name>
+   <description>x.1b : OFFGRID vertex on m5</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>m5_angle</name>
+   <description>x.3a : non 45 degree angle m5</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>pad_OFFGRID</name>
+   <description>x.1b : OFFGRID vertex on pad</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>pad_angle</name>
+   <description>x.3a : non 45 degree angle pad</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>mf_OFFGRID</name>
+   <description>x.1b : OFFGRID vertex on mf</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>mf_angle</name>
+   <description>x.2 : non 90 degree angle mf</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>hvi_OFFGRID</name>
+   <description>x.1b : OFFGRID vertex on hvi</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>hvi_angle</name>
+   <description>x.3a : non 45 degree angle hvi</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>hvntm_OFFGRID</name>
+   <description>x.1b : OFFGRID vertex on hvntm</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>hvntm_angle</name>
+   <description>x.3a : non 45 degree angle hvntm</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>vhvi_OFFGRID</name>
+   <description>x.1b : OFFGRID vertex on vhvi</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>vhvi_angle</name>
+   <description>x.3a : non 45 degree angle vhvi</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>uhvi_OFFGRID</name>
+   <description>x.1b : OFFGRID vertex on uhvi</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>uhvi_angle</name>
+   <description>x.3a : non 45 degree angle uhvi</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>pwell_rs_OFFGRID</name>
+   <description>x.1b : OFFGRID vertex on pwell_rs</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>pwell_rs_angle</name>
+   <description>x.3a : non 45 degree angle pwell_rs</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>areaid_re_OFFGRID</name>
+   <description>x.1b : OFFGRID vertex on areaid.re</description>
+   <categories>
+   </categories>
+  </category>
+ </categories>
+ <cells>
+  <cell>
+   <name>user_analog_project_wrapper</name>
+   <variant/>
+   <references>
+   </references>
+  </cell>
+ </cells>
+ <items>
+ </items>
+</report-database>
diff --git a/precheck_results/04_JUN_2022___07_51_46/outputs/reports/klayout_pin_label_purposes_overlapping_drawing_check.xml b/precheck_results/04_JUN_2022___07_51_46/outputs/reports/klayout_pin_label_purposes_overlapping_drawing_check.xml
new file mode 100644
index 0000000..e34e7e9
--- /dev/null
+++ b/precheck_results/04_JUN_2022___07_51_46/outputs/reports/klayout_pin_label_purposes_overlapping_drawing_check.xml
@@ -0,0 +1,38 @@
+<?xml version="1.0" encoding="utf-8"?>
+<report-database>
+ <description>pin_label_purposes_overlapping_drawing.rb.drc, input=/home/krishna/Comparator_MPW6/gds/user_analog_project_wrapper.gds, topcell=user_analog_project_wrapper</description>
+ <original-file/>
+ <generator>drc: script='/home/krishna/mpw_precheckmpw6/checks/drc_checks/klayout/pin_label_purposes_overlapping_drawing.rb.drc'</generator>
+ <top-cell>user_analog_project_wrapper</top-cell>
+ <tags>
+ </tags>
+ <categories>
+  <category>
+   <name>70/16: met3, pin/label not-over drawing:70/20</name>
+   <description/>
+   <categories>
+   </categories>
+  </category>
+ </categories>
+ <cells>
+  <cell>
+   <name>user_analog_project_wrapper</name>
+   <variant/>
+   <references>
+   </references>
+  </cell>
+ </cells>
+ <items>
+  <item>
+   <tags/>
+   <category>'70/16: met3, pin/label not-over drawing:70/20'</category>
+   <cell>user_analog_project_wrapper</cell>
+   <visited>false</visited>
+   <multiplicity>1</multiplicity>
+   <image/>
+   <values>
+    <value>polygon: (852.96,3511.5;852.96,3513.28;852.98,3513.28;852.98,3511.5)</value>
+   </values>
+  </item>
+ </items>
+</report-database>
diff --git a/precheck_results/04_JUN_2022___07_51_46/outputs/reports/klayout_zeroarea_check.xml b/precheck_results/04_JUN_2022___07_51_46/outputs/reports/klayout_zeroarea_check.xml
new file mode 100644
index 0000000..c90408a
--- /dev/null
+++ b/precheck_results/04_JUN_2022___07_51_46/outputs/reports/klayout_zeroarea_check.xml
@@ -0,0 +1,21 @@
+<?xml version="1.0" encoding="utf-8"?>
+<report-database>
+ <description>zero area check</description>
+ <original-file/>
+ <generator>drc: script='/home/krishna/mpw_precheckmpw6/checks/drc_checks/klayout/zeroarea.rb.drc'</generator>
+ <top-cell>user_analog_project_wrapper</top-cell>
+ <tags>
+ </tags>
+ <categories>
+ </categories>
+ <cells>
+  <cell>
+   <name>user_analog_project_wrapper</name>
+   <variant/>
+   <references>
+   </references>
+  </cell>
+ </cells>
+ <items>
+ </items>
+</report-database>
diff --git a/precheck_results/04_JUN_2022___07_51_46/outputs/reports/magic_drc_check.drc.report b/precheck_results/04_JUN_2022___07_51_46/outputs/reports/magic_drc_check.drc.report
new file mode 100644
index 0000000..829b9d5
--- /dev/null
+++ b/precheck_results/04_JUN_2022___07_51_46/outputs/reports/magic_drc_check.drc.report
@@ -0,0 +1,5 @@
+user_analog_project_wrapper
+----------------------------------------
+[INFO]: COUNT: 0
+[INFO]: Should be divided by 3 or 4
+
diff --git a/precheck_results/04_JUN_2022___07_51_46/outputs/reports/magic_drc_check.rdb b/precheck_results/04_JUN_2022___07_51_46/outputs/reports/magic_drc_check.rdb
new file mode 100644
index 0000000..8a882f3
--- /dev/null
+++ b/precheck_results/04_JUN_2022___07_51_46/outputs/reports/magic_drc_check.rdb
@@ -0,0 +1,2 @@
+$user_analog_project_wrapper
+ 100
diff --git a/precheck_results/04_JUN_2022___07_51_46/outputs/reports/magic_drc_check.tcl b/precheck_results/04_JUN_2022___07_51_46/outputs/reports/magic_drc_check.tcl
new file mode 100644
index 0000000..e69de29
--- /dev/null
+++ b/precheck_results/04_JUN_2022___07_51_46/outputs/reports/magic_drc_check.tcl
diff --git a/precheck_results/04_JUN_2022___07_51_46/outputs/reports/magic_drc_check.tr b/precheck_results/04_JUN_2022___07_51_46/outputs/reports/magic_drc_check.tr
new file mode 100644
index 0000000..e69de29
--- /dev/null
+++ b/precheck_results/04_JUN_2022___07_51_46/outputs/reports/magic_drc_check.tr
diff --git a/precheck_results/04_JUN_2022___07_51_46/outputs/reports/magic_drc_check.xml b/precheck_results/04_JUN_2022___07_51_46/outputs/reports/magic_drc_check.xml
new file mode 100644
index 0000000..7161d7c
--- /dev/null
+++ b/precheck_results/04_JUN_2022___07_51_46/outputs/reports/magic_drc_check.xml
@@ -0,0 +1,10 @@
+<?xml version="1.0" ?>
+<report-database>
+    <categories/>
+    <cells>
+        <cell>
+            <name>user_analog_project_wrapper</name>
+        </cell>
+    </cells>
+    <items/>
+</report-database>
diff --git a/precheck_results/04_JUN_2022___07_51_46/outputs/user_analog_project_wrapper.magic.drc.mag b/precheck_results/04_JUN_2022___07_51_46/outputs/user_analog_project_wrapper.magic.drc.mag
new file mode 100644
index 0000000..bc7865b
--- /dev/null
+++ b/precheck_results/04_JUN_2022___07_51_46/outputs/user_analog_project_wrapper.magic.drc.mag
@@ -0,0 +1,7 @@
+magic
+tech minimum
+magscale 1 2
+timestamp 0
+<< checkpaint >>
+rect 0 0 1 1
+<< end >>
diff --git a/precheck_results/04_JUN_2022___07_51_46/outputs/user_analog_project_wrapper_empty_erased.gds b/precheck_results/04_JUN_2022___07_51_46/outputs/user_analog_project_wrapper_empty_erased.gds
new file mode 100644
index 0000000..e69de29
--- /dev/null
+++ b/precheck_results/04_JUN_2022___07_51_46/outputs/user_analog_project_wrapper_empty_erased.gds
diff --git a/precheck_results/04_JUN_2022___07_51_46/outputs/user_analog_project_wrapper_erased.gds b/precheck_results/04_JUN_2022___07_51_46/outputs/user_analog_project_wrapper_erased.gds
new file mode 100644
index 0000000..e69de29
--- /dev/null
+++ b/precheck_results/04_JUN_2022___07_51_46/outputs/user_analog_project_wrapper_erased.gds
diff --git a/precheck_results/04_JUN_2022___07_51_46/outputs/user_analog_project_wrapper_no_zero_areas.gds b/precheck_results/04_JUN_2022___07_51_46/outputs/user_analog_project_wrapper_no_zero_areas.gds
new file mode 100644
index 0000000..f92589d
--- /dev/null
+++ b/precheck_results/04_JUN_2022___07_51_46/outputs/user_analog_project_wrapper_no_zero_areas.gds
Binary files differ
diff --git a/precheck_results/04_JUN_2022___08_01_30/logs/gds.info b/precheck_results/04_JUN_2022___08_01_30/logs/gds.info
new file mode 100644
index 0000000..0265c04
--- /dev/null
+++ b/precheck_results/04_JUN_2022___08_01_30/logs/gds.info
@@ -0,0 +1 @@
+user_analog_project_wrapper.gds: 5900aa698faa7b9635452e07b582c861973edb55
\ No newline at end of file
diff --git a/precheck_results/04_JUN_2022___08_01_30/logs/klayout_beol_check.log b/precheck_results/04_JUN_2022___08_01_30/logs/klayout_beol_check.log
new file mode 100644
index 0000000..8d430c1
--- /dev/null
+++ b/precheck_results/04_JUN_2022___08_01_30/logs/klayout_beol_check.log
@@ -0,0 +1,992 @@
+/home/krishna/mpw_precheckmpw6/checks/tech-files/sky130A_mr.drc:38: warning: already initialized constant DRC::DRCEngine::FEOL
+/home/krishna/mpw_precheckmpw6/checks/tech-files/sky130A_mr.drc:28: warning: previous definition of FEOL was here
+/home/krishna/mpw_precheckmpw6/checks/tech-files/sky130A_mr.drc:42: warning: already initialized constant DRC::DRCEngine::BEOL
+/home/krishna/mpw_precheckmpw6/checks/tech-files/sky130A_mr.drc:29: warning: previous definition of BEOL was here
+/home/krishna/mpw_precheckmpw6/checks/tech-files/sky130A_mr.drc:50: warning: already initialized constant DRC::DRCEngine::OFFGRID
+/home/krishna/mpw_precheckmpw6/checks/tech-files/sky130A_mr.drc:30: warning: previous definition of OFFGRID was here
+/home/krishna/mpw_precheckmpw6/checks/tech-files/sky130A_mr.drc:56: warning: already initialized constant DRC::DRCEngine::SEAL
+/home/krishna/mpw_precheckmpw6/checks/tech-files/sky130A_mr.drc:31: warning: previous definition of SEAL was here
+/home/krishna/mpw_precheckmpw6/checks/tech-files/sky130A_mr.drc:62: warning: already initialized constant DRC::DRCEngine::FLOATING_MET
+/home/krishna/mpw_precheckmpw6/checks/tech-files/sky130A_mr.drc:32: warning: previous definition of FLOATING_MET was here
+"input" in: sky130A_mr.drc:112
+    Polygons (raw): 62 (flat)  25 (hierarchical)
+    Elapsed: 0.000s  Memory: 522.00M
+"polygons" in: sky130A_mr.drc:113
+    Polygons (raw): 38 (flat)  30 (hierarchical)
+    Elapsed: 0.010s  Memory: 522.00M
+"polygons" in: sky130A_mr.drc:114
+    Polygons (raw): 89 (flat)  69 (hierarchical)
+    Elapsed: 0.000s  Memory: 522.00M
+"polygons" in: sky130A_mr.drc:115
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 522.00M
+"polygons" in: sky130A_mr.drc:116
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 522.00M
+"polygons" in: sky130A_mr.drc:117
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 522.00M
+"polygons" in: sky130A_mr.drc:118
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 522.00M
+"polygons" in: sky130A_mr.drc:119
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 522.00M
+"polygons" in: sky130A_mr.drc:120
+    Polygons (raw): 10 (flat)  2 (hierarchical)
+    Elapsed: 0.000s  Memory: 522.00M
+"polygons" in: sky130A_mr.drc:121
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 522.00M
+"polygons" in: sky130A_mr.drc:122
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 522.00M
+"polygons" in: sky130A_mr.drc:123
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 522.00M
+"polygons" in: sky130A_mr.drc:124
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 522.00M
+"polygons" in: sky130A_mr.drc:125
+    Polygons (raw): 202 (flat)  116 (hierarchical)
+    Elapsed: 0.010s  Memory: 522.00M
+"polygons" in: sky130A_mr.drc:126
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 522.00M
+"polygons" in: sky130A_mr.drc:127
+    Polygons (raw): 62 (flat)  43 (hierarchical)
+    Elapsed: 0.010s  Memory: 522.00M
+"polygons" in: sky130A_mr.drc:128
+    Polygons (raw): 52 (flat)  26 (hierarchical)
+    Elapsed: 0.000s  Memory: 522.00M
+"polygons" in: sky130A_mr.drc:129
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 522.00M
+"polygons" in: sky130A_mr.drc:130
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 522.00M
+"polygons" in: sky130A_mr.drc:131
+    Polygons (raw): 33 (flat)  24 (hierarchical)
+    Elapsed: 0.010s  Memory: 522.00M
+"polygons" in: sky130A_mr.drc:132
+    Polygons (raw): 907 (flat)  291 (hierarchical)
+    Elapsed: 0.000s  Memory: 522.00M
+"polygons" in: sky130A_mr.drc:134
+    Polygons (raw): 566 (flat)  394 (hierarchical)
+    Elapsed: 0.010s  Memory: 522.00M
+"polygons" in: sky130A_mr.drc:135
+    Polygons (raw): 1756 (flat)  1480 (hierarchical)
+    Elapsed: 0.000s  Memory: 522.00M
+"polygons" in: sky130A_mr.drc:137
+    Polygons (raw): 349 (flat)  299 (hierarchical)
+    Elapsed: 0.010s  Memory: 522.00M
+"polygons" in: sky130A_mr.drc:138
+    Polygons (raw): 2570 (flat)  2570 (hierarchical)
+    Elapsed: 0.000s  Memory: 522.00M
+"polygons" in: sky130A_mr.drc:140
+    Polygons (raw): 603 (flat)  603 (hierarchical)
+    Elapsed: 0.000s  Memory: 522.00M
+"polygons" in: sky130A_mr.drc:141
+    Polygons (raw): 1761 (flat)  1761 (hierarchical)
+    Elapsed: 0.010s  Memory: 522.00M
+"polygons" in: sky130A_mr.drc:143
+    Polygons (raw): 461 (flat)  461 (hierarchical)
+    Elapsed: 0.000s  Memory: 522.00M
+"polygons" in: sky130A_mr.drc:144
+    Polygons (raw): 25800 (flat)  25800 (hierarchical)
+    Elapsed: 0.020s  Memory: 523.00M
+"polygons" in: sky130A_mr.drc:146
+    Polygons (raw): 179 (flat)  179 (hierarchical)
+    Elapsed: 0.010s  Memory: 523.00M
+"polygons" in: sky130A_mr.drc:147
+    Polygons (raw): 552 (flat)  552 (hierarchical)
+    Elapsed: 0.010s  Memory: 523.00M
+"polygons" in: sky130A_mr.drc:149
+    Polygons (raw): 153 (flat)  153 (hierarchical)
+    Elapsed: 0.000s  Memory: 523.00M
+"polygons" in: sky130A_mr.drc:151
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 523.00M
+"polygons" in: sky130A_mr.drc:152
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 523.00M
+"polygons" in: sky130A_mr.drc:153
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 523.00M
+"polygons" in: sky130A_mr.drc:154
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 523.00M
+"polygons" in: sky130A_mr.drc:155
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 523.00M
+"polygons" in: sky130A_mr.drc:156
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 523.00M
+"polygons" in: sky130A_mr.drc:157
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 523.00M
+"polygons" in: sky130A_mr.drc:158
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 523.00M
+"polygons" in: sky130A_mr.drc:159
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 523.00M
+"polygons" in: sky130A_mr.drc:160
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 523.00M
+"polygons" in: sky130A_mr.drc:161
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 523.00M
+"polygons" in: sky130A_mr.drc:162
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 523.00M
+"polygons" in: sky130A_mr.drc:163
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 523.00M
+"polygons" in: sky130A_mr.drc:164
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 523.00M
+"polygons" in: sky130A_mr.drc:165
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 523.00M
+"polygons" in: sky130A_mr.drc:166
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 523.00M
+"polygons" in: sky130A_mr.drc:167
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 523.00M
+"polygons" in: sky130A_mr.drc:168
+    Polygons (raw): 10 (flat)  2 (hierarchical)
+    Elapsed: 0.010s  Memory: 523.00M
+"polygons" in: sky130A_mr.drc:169
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 523.00M
+"polygons" in: sky130A_mr.drc:170
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 523.00M
+"polygons" in: sky130A_mr.drc:171
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 523.00M
+"polygons" in: sky130A_mr.drc:172
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 523.00M
+"polygons" in: sky130A_mr.drc:173
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 523.00M
+"polygons" in: sky130A_mr.drc:174
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 523.00M
+"polygons" in: sky130A_mr.drc:175
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 523.00M
+"polygons" in: sky130A_mr.drc:176
+    Polygons (raw): 1 (flat)  1 (hierarchical)
+    Elapsed: 0.010s  Memory: 523.00M
+"polygons" in: sky130A_mr.drc:177
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 523.00M
+"polygons" in: sky130A_mr.drc:178
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 523.00M
+"polygons" in: sky130A_mr.drc:179
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 523.00M
+"polygons" in: sky130A_mr.drc:180
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 523.00M
+"polygons" in: sky130A_mr.drc:181
+    Polygons (raw): 4 (flat)  3 (hierarchical)
+    Elapsed: 0.000s  Memory: 523.00M
+"polygons" in: sky130A_mr.drc:182
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 523.00M
+"polygons" in: sky130A_mr.drc:183
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 523.00M
+"polygons" in: sky130A_mr.drc:184
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 523.00M
+"polygons" in: sky130A_mr.drc:185
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 523.00M
+"polygons" in: sky130A_mr.drc:186
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 523.00M
+"polygons" in: sky130A_mr.drc:187
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 523.00M
+"polygons" in: sky130A_mr.drc:188
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 523.00M
+"polygons" in: sky130A_mr.drc:189
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 523.00M
+"polygons" in: sky130A_mr.drc:190
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 523.00M
+"polygons" in: sky130A_mr.drc:191
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 523.00M
+"polygons" in: sky130A_mr.drc:192
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 523.00M
+"polygons" in: sky130A_mr.drc:193
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 523.00M
+"polygons" in: sky130A_mr.drc:194
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 523.00M
+"polygons" in: sky130A_mr.drc:195
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 523.00M
+"polygons" in: sky130A_mr.drc:196
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 523.00M
+"polygons" in: sky130A_mr.drc:197
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 523.00M
+"polygons" in: sky130A_mr.drc:198
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 523.00M
+"polygons" in: sky130A_mr.drc:199
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 523.00M
+"polygons" in: sky130A_mr.drc:200
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 523.00M
+"polygons" in: sky130A_mr.drc:201
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 523.00M
+"polygons" in: sky130A_mr.drc:202
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 523.00M
+"polygons" in: sky130A_mr.drc:203
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 523.00M
+DRC section
+BEOL section
+START: 67/20 (li)
+"not" in: sky130A_mr.drc:381
+    Polygons (raw): 566 (flat)  394 (hierarchical)
+    Elapsed: 0.000s  Memory: 523.00M
+"width" in: sky130A_mr.drc:382
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 523.00M
+"output" in: sky130A_mr.drc:382
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 523.00M
+"edges" in: sky130A_mr.drc:384
+    Edges: 2849 (flat)  1598 (hierarchical)
+    Elapsed: 0.020s  Memory: 1067.00M
+"space" in: sky130A_mr.drc:384
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.020s  Memory: 1067.00M
+"output" in: sky130A_mr.drc:384
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 1067.00M
+"not" in: sky130A_mr.drc:385
+    Polygons (raw): 907 (flat)  291 (hierarchical)
+    Elapsed: 0.000s  Memory: 1067.00M
+"enclosing" in: sky130A_mr.drc:386
+    Edge pairs: 1195 (flat)  859 (hierarchical)
+    Elapsed: 0.030s  Memory: 1067.00M
+"second_edges" in: sky130A_mr.drc:386
+    Edges: 1195 (flat)  859 (hierarchical)
+    Elapsed: 0.010s  Memory: 1067.00M
+"width" in: sky130A_mr.drc:387
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 1067.00M
+"polygons" in: sky130A_mr.drc:388
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 1067.00M
+"interacting" in: sky130A_mr.drc:388
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 1067.00M
+"output" in: sky130A_mr.drc:389
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 1067.00M
+"with_area" in: sky130A_mr.drc:390
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 1067.00M
+"output" in: sky130A_mr.drc:390
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 1067.00M
+END: 67/20 (li)
+START: 67/44 (mcon)
+"not" in: sky130A_mr.drc:395
+    Polygons (raw): 1756 (flat)  1480 (hierarchical)
+    Elapsed: 0.010s  Memory: 1067.00M
+"not" in: sky130A_mr.drc:402
+    Polygons (raw): 1756 (flat)  1480 (hierarchical)
+    Elapsed: 0.000s  Memory: 1067.00M
+"non_rectangles" in: sky130A_mr.drc:403
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.020s  Memory: 1068.00M
+"output" in: sky130A_mr.drc:403
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 1068.00M
+"drc" in: sky130A_mr.drc:405
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.020s  Memory: 1068.00M
+"output" in: sky130A_mr.drc:405
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 1068.00M
+"drc" in: sky130A_mr.drc:406
+    Edges: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 1068.00M
+"output" in: sky130A_mr.drc:406
+    Edges: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 1068.00M
+"space" in: sky130A_mr.drc:407
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.020s  Memory: 1068.00M
+"output" in: sky130A_mr.drc:407
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 1068.00M
+"not" in: sky130A_mr.drc:413
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 1068.00M
+"output" in: sky130A_mr.drc:413
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 1068.00M
+END: 67/44 (mcon)
+START: 68/20 (m1)
+"width" in: sky130A_mr.drc:418
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 1068.00M
+"output" in: sky130A_mr.drc:418
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 1068.00M
+"sized" in: sky130A_mr.drc:419
+    Polygons (raw): 17 (flat)  17 (hierarchical)
+    Elapsed: 0.010s  Memory: 1068.00M
+"sized" in: sky130A_mr.drc:419
+    Polygons (raw): 17 (flat)  17 (hierarchical)
+    Elapsed: 0.000s  Memory: 1068.00M
+"snap" in: sky130A_mr.drc:419
+    Polygons (raw): 17 (flat)  17 (hierarchical)
+    Elapsed: 0.010s  Memory: 1068.00M
+"&" in: sky130A_mr.drc:419
+    Polygons (raw): 17 (flat)  17 (hierarchical)
+    Elapsed: 0.010s  Memory: 1068.00M
+"edges" in: sky130A_mr.drc:420
+    Edges: 1235 (flat)  1093 (hierarchical)
+    Elapsed: 0.010s  Memory: 1068.00M
+"-" in: sky130A_mr.drc:420
+    Edges: 1100 (flat)  964 (hierarchical)
+    Elapsed: 0.010s  Memory: 1068.00M
+"edges" in: sky130A_mr.drc:421
+    Edges: 122 (flat)  122 (hierarchical)
+    Elapsed: 0.010s  Memory: 1068.00M
+"merged" in: sky130A_mr.drc:421
+    Polygons (raw): 123 (flat)  113 (hierarchical)
+    Elapsed: 0.000s  Memory: 1068.00M
+"outside_part" in: sky130A_mr.drc:421
+    Edges: 138 (flat)  138 (hierarchical)
+    Elapsed: 0.010s  Memory: 1068.00M
+"space" in: sky130A_mr.drc:423
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 1068.00M
+"output" in: sky130A_mr.drc:423
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 1068.00M
+"separation" in: sky130A_mr.drc:425
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 1068.00M
+"space" in: sky130A_mr.drc:425
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 1068.00M
+"+" in: sky130A_mr.drc:425
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 1068.00M
+"output" in: sky130A_mr.drc:425
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 1068.00M
+"input" in: sky130A_mr.drc:429
+    Polygons (raw): 349 (flat)  305 (hierarchical)
+    Elapsed: 0.010s  Memory: 1068.00M
+"enclosing" in: sky130A_mr.drc:431
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.020s  Memory: 1068.00M
+"output" in: sky130A_mr.drc:431
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 1068.00M
+"not" in: sky130A_mr.drc:432
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 1068.00M
+"output" in: sky130A_mr.drc:432
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 1068.00M
+"input" in: sky130A_mr.drc:434
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 1068.00M
+"enclosing" in: sky130A_mr.drc:435
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 1068.00M
+"output" in: sky130A_mr.drc:435
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 1068.00M
+"not" in: sky130A_mr.drc:437
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 1068.00M
+"output" in: sky130A_mr.drc:437
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 1068.00M
+"with_area" in: sky130A_mr.drc:439
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 1068.00M
+"output" in: sky130A_mr.drc:439
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 1068.00M
+"holes" in: sky130A_mr.drc:441
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 1068.00M
+"with_area" in: sky130A_mr.drc:441
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 1068.00M
+"output" in: sky130A_mr.drc:441
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 1068.00M
+"enclosing" in: sky130A_mr.drc:448
+    Edge pairs: 245 (flat)  205 (hierarchical)
+    Elapsed: 0.020s  Memory: 1068.00M
+"second_edges" in: sky130A_mr.drc:448
+    Edges: 245 (flat)  205 (hierarchical)
+    Elapsed: 0.010s  Memory: 1068.00M
+"width" in: sky130A_mr.drc:449
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 1068.00M
+"polygons" in: sky130A_mr.drc:450
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 1068.00M
+"interacting" in: sky130A_mr.drc:450
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.020s  Memory: 1068.00M
+"output" in: sky130A_mr.drc:451
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 1068.00M
+END: 68/20 (m1)
+START: 68/44 (via)
+"not" in: sky130A_mr.drc:465
+    Polygons (raw): 2570 (flat)  2570 (hierarchical)
+    Elapsed: 0.000s  Memory: 1068.00M
+"non_rectangles" in: sky130A_mr.drc:467
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.030s  Memory: 1068.00M
+"output" in: sky130A_mr.drc:467
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 1068.00M
+"width" in: sky130A_mr.drc:468
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 1068.00M
+"output" in: sky130A_mr.drc:468
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 1068.00M
+"drc" in: sky130A_mr.drc:470
+    Edges: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.020s  Memory: 1068.00M
+"output" in: sky130A_mr.drc:470
+    Edges: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 1068.00M
+"space" in: sky130A_mr.drc:472
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.030s  Memory: 1068.00M
+"output" in: sky130A_mr.drc:472
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 1068.00M
+"edges" in: sky130A_mr.drc:480
+    Edges: 1235 (flat)  1093 (hierarchical)
+    Elapsed: 0.010s  Memory: 1068.00M
+"drc" in: sky130A_mr.drc:480
+    Edges: 10280 (flat)  10280 (hierarchical)
+    Elapsed: 0.030s  Memory: 1068.00M
+"enclosing" in: sky130A_mr.drc:480
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 1068.00M
+"output" in: sky130A_mr.drc:480
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 1068.00M
+"squares" in: sky130A_mr.drc:481
+    Polygons (raw): 2570 (flat)  2570 (hierarchical)
+    Elapsed: 0.010s  Memory: 1068.00M
+"drc" in: sky130A_mr.drc:481
+    Edges: 10280 (flat)  10280 (hierarchical)
+    Elapsed: 0.030s  Memory: 1068.00M
+"not" in: sky130A_mr.drc:481
+    Edges: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.020s  Memory: 1068.00M
+"output" in: sky130A_mr.drc:481
+    Edges: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 1068.00M
+"edges" in: sky130A_mr.drc:483
+    Edges: 1235 (flat)  1093 (hierarchical)
+    Elapsed: 0.010s  Memory: 1068.00M
+"drc" in: sky130A_mr.drc:483
+    Edges: 10280 (flat)  10280 (hierarchical)
+    Elapsed: 0.040s  Memory: 1068.00M
+"enclosing" in: sky130A_mr.drc:483
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 1068.00M
+"second_edges" in: sky130A_mr.drc:483
+    Edges: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 1068.00M
+"width" in: sky130A_mr.drc:484
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 1068.00M
+"polygons" in: sky130A_mr.drc:485
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 1068.00M
+"interacting" in: sky130A_mr.drc:485
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.020s  Memory: 1068.00M
+"output" in: sky130A_mr.drc:486
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 1068.00M
+END: 68/44 (via)
+START: 69/20 (m2)
+"width" in: sky130A_mr.drc:493
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 1069.00M
+"output" in: sky130A_mr.drc:493
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 1069.00M
+"sized" in: sky130A_mr.drc:495
+    Polygons (raw): 13 (flat)  13 (hierarchical)
+    Elapsed: 0.010s  Memory: 1069.00M
+"sized" in: sky130A_mr.drc:495
+    Polygons (raw): 13 (flat)  13 (hierarchical)
+    Elapsed: 0.010s  Memory: 1069.00M
+"snap" in: sky130A_mr.drc:495
+    Polygons (raw): 13 (flat)  13 (hierarchical)
+    Elapsed: 0.000s  Memory: 1069.00M
+"&" in: sky130A_mr.drc:495
+    Polygons (raw): 13 (flat)  13 (hierarchical)
+    Elapsed: 0.010s  Memory: 1069.00M
+"edges" in: sky130A_mr.drc:496
+    Edges: 2367 (flat)  2367 (hierarchical)
+    Elapsed: 0.010s  Memory: 1069.00M
+"-" in: sky130A_mr.drc:496
+    Edges: 2296 (flat)  2296 (hierarchical)
+    Elapsed: 0.010s  Memory: 1069.00M
+"edges" in: sky130A_mr.drc:497
+    Edges: 64 (flat)  64 (hierarchical)
+    Elapsed: 0.000s  Memory: 1069.00M
+"merged" in: sky130A_mr.drc:497
+    Polygons (raw): 550 (flat)  550 (hierarchical)
+    Elapsed: 0.010s  Memory: 1069.00M
+"outside_part" in: sky130A_mr.drc:497
+    Edges: 65 (flat)  65 (hierarchical)
+    Elapsed: 0.010s  Memory: 1069.00M
+"not" in: sky130A_mr.drc:498
+    Polygons (raw): 2570 (flat)  2570 (hierarchical)
+    Elapsed: 0.000s  Memory: 1069.00M
+"space" in: sky130A_mr.drc:500
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.020s  Memory: 1069.00M
+"output" in: sky130A_mr.drc:500
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 1069.00M
+"separation" in: sky130A_mr.drc:502
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 1069.00M
+"space" in: sky130A_mr.drc:502
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 1069.00M
+"+" in: sky130A_mr.drc:502
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 1069.00M
+"output" in: sky130A_mr.drc:502
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 1069.00M
+"with_area" in: sky130A_mr.drc:504
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 1069.00M
+"output" in: sky130A_mr.drc:504
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 1069.00M
+"holes" in: sky130A_mr.drc:505
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 1069.00M
+"with_area" in: sky130A_mr.drc:505
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 1069.00M
+"output" in: sky130A_mr.drc:505
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 1069.00M
+"enclosing" in: sky130A_mr.drc:510
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.020s  Memory: 1069.00M
+"output" in: sky130A_mr.drc:510
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 1069.00M
+"not" in: sky130A_mr.drc:511
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 1069.00M
+"output" in: sky130A_mr.drc:511
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 1069.00M
+"enclosing" in: sky130A_mr.drc:512
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.020s  Memory: 1069.00M
+"second_edges" in: sky130A_mr.drc:512
+    Edges: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 1069.00M
+"width" in: sky130A_mr.drc:513
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 1069.00M
+"polygons" in: sky130A_mr.drc:514
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 1069.00M
+"interacting" in: sky130A_mr.drc:514
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 1069.00M
+"output" in: sky130A_mr.drc:515
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 1069.00M
+END: 69/20 (m2)
+START: 69/44 (via2)
+"not" in: sky130A_mr.drc:530
+    Polygons (raw): 1761 (flat)  1761 (hierarchical)
+    Elapsed: 0.010s  Memory: 1069.00M
+"non_rectangles" in: sky130A_mr.drc:531
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 1069.00M
+"output" in: sky130A_mr.drc:531
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 1069.00M
+"width" in: sky130A_mr.drc:532
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 1069.00M
+"output" in: sky130A_mr.drc:532
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 1069.00M
+"edges" in: sky130A_mr.drc:533
+    Edges: 7044 (flat)  7044 (hierarchical)
+    Elapsed: 0.020s  Memory: 1069.00M
+"without_length" in: sky130A_mr.drc:533
+    Edges: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.020s  Memory: 1071.00M
+"output" in: sky130A_mr.drc:533
+    Edges: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 1071.00M
+"space" in: sky130A_mr.drc:534
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.020s  Memory: 1071.00M
+"output" in: sky130A_mr.drc:534
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 1071.00M
+"enclosing" in: sky130A_mr.drc:542
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.020s  Memory: 1071.00M
+"output" in: sky130A_mr.drc:542
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 1071.00M
+"not" in: sky130A_mr.drc:543
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 1071.00M
+"output" in: sky130A_mr.drc:543
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 1071.00M
+"enclosing" in: sky130A_mr.drc:545
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.020s  Memory: 1071.00M
+"second_edges" in: sky130A_mr.drc:545
+    Edges: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 1071.00M
+"width" in: sky130A_mr.drc:546
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 1071.00M
+"polygons" in: sky130A_mr.drc:547
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 1071.00M
+"interacting" in: sky130A_mr.drc:547
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 1071.00M
+"output" in: sky130A_mr.drc:548
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 1071.00M
+END: 69/44 (via2)
+START: 70/20 (m3)
+"width" in: sky130A_mr.drc:554
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 1071.00M
+"output" in: sky130A_mr.drc:554
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 1071.00M
+"sized" in: sky130A_mr.drc:556
+    Polygons (raw): 53 (flat)  53 (hierarchical)
+    Elapsed: 0.010s  Memory: 1071.00M
+"sized" in: sky130A_mr.drc:556
+    Polygons (raw): 53 (flat)  53 (hierarchical)
+    Elapsed: 0.000s  Memory: 1071.00M
+"snap" in: sky130A_mr.drc:556
+    Polygons (raw): 53 (flat)  53 (hierarchical)
+    Elapsed: 0.010s  Memory: 1071.00M
+"&" in: sky130A_mr.drc:556
+    Polygons (raw): 98 (flat)  98 (hierarchical)
+    Elapsed: 0.010s  Memory: 1071.00M
+"edges" in: sky130A_mr.drc:557
+    Edges: 1667 (flat)  1667 (hierarchical)
+    Elapsed: 0.010s  Memory: 1071.00M
+"-" in: sky130A_mr.drc:557
+    Edges: 883 (flat)  883 (hierarchical)
+    Elapsed: 0.010s  Memory: 1071.00M
+"edges" in: sky130A_mr.drc:558
+    Edges: 677 (flat)  677 (hierarchical)
+    Elapsed: 0.010s  Memory: 1071.00M
+"merged" in: sky130A_mr.drc:558
+    Polygons (raw): 228 (flat)  228 (hierarchical)
+    Elapsed: 0.000s  Memory: 1071.00M
+"outside_part" in: sky130A_mr.drc:558
+    Edges: 682 (flat)  682 (hierarchical)
+    Elapsed: 0.000s  Memory: 1071.00M
+"space" in: sky130A_mr.drc:560
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 1071.00M
+"output" in: sky130A_mr.drc:560
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 1071.00M
+"separation" in: sky130A_mr.drc:562
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 1071.00M
+"space" in: sky130A_mr.drc:562
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 1071.00M
+"+" in: sky130A_mr.drc:562
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 1071.00M
+"output" in: sky130A_mr.drc:562
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 1071.00M
+"enclosing" in: sky130A_mr.drc:567
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 1071.00M
+"output" in: sky130A_mr.drc:567
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 1071.00M
+"not" in: sky130A_mr.drc:568
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 1071.00M
+"output" in: sky130A_mr.drc:568
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 1071.00M
+END: 70/20 (m3)
+START: 70/44 (via3)
+"not" in: sky130A_mr.drc:582
+    Polygons (raw): 25800 (flat)  25800 (hierarchical)
+    Elapsed: 0.010s  Memory: 1071.00M
+"non_rectangles" in: sky130A_mr.drc:583
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.140s  Memory: 1078.00M
+"output" in: sky130A_mr.drc:583
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 1078.00M
+"width" in: sky130A_mr.drc:584
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.020s  Memory: 1078.00M
+"output" in: sky130A_mr.drc:584
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 1078.00M
+"edges" in: sky130A_mr.drc:585
+    Edges: 103200 (flat)  103200 (hierarchical)
+    Elapsed: 0.100s  Memory: 1078.00M
+"without_length" in: sky130A_mr.drc:585
+    Edges: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.240s  Memory: 1105.00M
+"output" in: sky130A_mr.drc:585
+    Edges: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 1105.00M
+"space" in: sky130A_mr.drc:587
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.180s  Memory: 1105.00M
+"output" in: sky130A_mr.drc:587
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 1105.00M
+"enclosing" in: sky130A_mr.drc:588
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.230s  Memory: 1105.00M
+"output" in: sky130A_mr.drc:588
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 1105.00M
+"not" in: sky130A_mr.drc:589
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.060s  Memory: 1105.00M
+"output" in: sky130A_mr.drc:589
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 1105.00M
+"enclosing" in: sky130A_mr.drc:591
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.230s  Memory: 1105.00M
+"second_edges" in: sky130A_mr.drc:591
+    Edges: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 1105.00M
+"width" in: sky130A_mr.drc:592
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 1105.00M
+"polygons" in: sky130A_mr.drc:593
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 1105.00M
+"interacting" in: sky130A_mr.drc:593
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 1105.00M
+"output" in: sky130A_mr.drc:594
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 1105.00M
+END: 70/44 (via3)
+START: 71/20 (m4)
+"width" in: sky130A_mr.drc:600
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 1105.00M
+"output" in: sky130A_mr.drc:600
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 1105.00M
+"sized" in: sky130A_mr.drc:602
+    Polygons (raw): 20 (flat)  20 (hierarchical)
+    Elapsed: 0.010s  Memory: 1105.00M
+"sized" in: sky130A_mr.drc:602
+    Polygons (raw): 20 (flat)  20 (hierarchical)
+    Elapsed: 0.000s  Memory: 1105.00M
+"snap" in: sky130A_mr.drc:602
+    Polygons (raw): 20 (flat)  20 (hierarchical)
+    Elapsed: 0.010s  Memory: 1105.00M
+"&" in: sky130A_mr.drc:602
+    Polygons (raw): 46 (flat)  46 (hierarchical)
+    Elapsed: 0.010s  Memory: 1105.00M
+"edges" in: sky130A_mr.drc:603
+    Edges: 578 (flat)  578 (hierarchical)
+    Elapsed: 0.000s  Memory: 1105.00M
+"-" in: sky130A_mr.drc:603
+    Edges: 116 (flat)  116 (hierarchical)
+    Elapsed: 0.010s  Memory: 1105.00M
+"edges" in: sky130A_mr.drc:604
+    Edges: 370 (flat)  370 (hierarchical)
+    Elapsed: 0.010s  Memory: 1105.00M
+"merged" in: sky130A_mr.drc:604
+    Polygons (raw): 31 (flat)  31 (hierarchical)
+    Elapsed: 0.010s  Memory: 1105.00M
+"outside_part" in: sky130A_mr.drc:604
+    Edges: 375 (flat)  375 (hierarchical)
+    Elapsed: 0.000s  Memory: 1105.00M
+"space" in: sky130A_mr.drc:606
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.020s  Memory: 1105.00M
+"output" in: sky130A_mr.drc:606
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 1105.00M
+"with_area" in: sky130A_mr.drc:608
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 1105.00M
+"output" in: sky130A_mr.drc:608
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 1105.00M
+"separation" in: sky130A_mr.drc:610
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 1105.00M
+"space" in: sky130A_mr.drc:610
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 1105.00M
+"+" in: sky130A_mr.drc:610
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 1105.00M
+"output" in: sky130A_mr.drc:610
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 1105.00M
+"enclosing" in: sky130A_mr.drc:615
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.180s  Memory: 1105.00M
+"output" in: sky130A_mr.drc:615
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 1105.00M
+"not" in: sky130A_mr.drc:616
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.060s  Memory: 1105.00M
+"output" in: sky130A_mr.drc:616
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 1105.00M
+END: 71/20 (m4)
+START: 71/44 (via4)
+"not" in: sky130A_mr.drc:629
+    Polygons (raw): 552 (flat)  552 (hierarchical)
+    Elapsed: 0.010s  Memory: 1105.00M
+"non_rectangles" in: sky130A_mr.drc:630
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 1105.00M
+"output" in: sky130A_mr.drc:630
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 1105.00M
+"width" in: sky130A_mr.drc:631
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 1105.00M
+"output" in: sky130A_mr.drc:631
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 1105.00M
+"drc" in: sky130A_mr.drc:632
+    Edges: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 1105.00M
+"output" in: sky130A_mr.drc:632
+    Edges: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 1105.00M
+"space" in: sky130A_mr.drc:634
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 1105.00M
+"polygons" in: sky130A_mr.drc:634
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 1105.00M
+"output" in: sky130A_mr.drc:634
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 1105.00M
+"enclosing" in: sky130A_mr.drc:642
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.020s  Memory: 1105.00M
+"output" in: sky130A_mr.drc:642
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 1105.00M
+"not" in: sky130A_mr.drc:643
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 1105.00M
+"output" in: sky130A_mr.drc:643
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 1105.00M
+END: 71/44 (via4)
+START: 72/20 (m5)
+"width" in: sky130A_mr.drc:648
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 1105.00M
+"output" in: sky130A_mr.drc:648
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 1105.00M
+"space" in: sky130A_mr.drc:650
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 1105.00M
+"output" in: sky130A_mr.drc:650
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 1105.00M
+"enclosing" in: sky130A_mr.drc:652
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 1105.00M
+"output" in: sky130A_mr.drc:652
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 1105.00M
+"not" in: sky130A_mr.drc:653
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 1105.00M
+"output" in: sky130A_mr.drc:653
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 1105.00M
+"with_area" in: sky130A_mr.drc:657
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 1105.00M
+"output" in: sky130A_mr.drc:657
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 1105.00M
+END: 72/20 (m5)
+START: 76/20 (pad)
+"space" in: sky130A_mr.drc:662
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 1105.00M
+"output" in: sky130A_mr.drc:662
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 1105.00M
+END: 76/20 (pad)
+Writing report database: /home/krishna/Comparator_MPW6/precheck_results/04_JUN_2022___08_01_30/outputs/reports/klayout_beol_check.xml ..
+Total elapsed: 3.820s  Memory: 1105.00M
diff --git a/precheck_results/04_JUN_2022___08_01_30/logs/klayout_beol_check.total b/precheck_results/04_JUN_2022___08_01_30/logs/klayout_beol_check.total
new file mode 100644
index 0000000..c227083
--- /dev/null
+++ b/precheck_results/04_JUN_2022___08_01_30/logs/klayout_beol_check.total
@@ -0,0 +1 @@
+0
\ No newline at end of file
diff --git a/precheck_results/04_JUN_2022___08_01_30/logs/klayout_feol_check.log b/precheck_results/04_JUN_2022___08_01_30/logs/klayout_feol_check.log
new file mode 100644
index 0000000..3ca6674
--- /dev/null
+++ b/precheck_results/04_JUN_2022___08_01_30/logs/klayout_feol_check.log
@@ -0,0 +1,789 @@
+/home/krishna/mpw_precheckmpw6/checks/tech-files/sky130A_mr.drc:36: warning: already initialized constant DRC::DRCEngine::FEOL
+/home/krishna/mpw_precheckmpw6/checks/tech-files/sky130A_mr.drc:28: warning: previous definition of FEOL was here
+/home/krishna/mpw_precheckmpw6/checks/tech-files/sky130A_mr.drc:44: warning: already initialized constant DRC::DRCEngine::BEOL
+/home/krishna/mpw_precheckmpw6/checks/tech-files/sky130A_mr.drc:29: warning: previous definition of BEOL was here
+/home/krishna/mpw_precheckmpw6/checks/tech-files/sky130A_mr.drc:50: warning: already initialized constant DRC::DRCEngine::OFFGRID
+/home/krishna/mpw_precheckmpw6/checks/tech-files/sky130A_mr.drc:30: warning: previous definition of OFFGRID was here
+/home/krishna/mpw_precheckmpw6/checks/tech-files/sky130A_mr.drc:56: warning: already initialized constant DRC::DRCEngine::SEAL
+/home/krishna/mpw_precheckmpw6/checks/tech-files/sky130A_mr.drc:31: warning: previous definition of SEAL was here
+/home/krishna/mpw_precheckmpw6/checks/tech-files/sky130A_mr.drc:62: warning: already initialized constant DRC::DRCEngine::FLOATING_MET
+/home/krishna/mpw_precheckmpw6/checks/tech-files/sky130A_mr.drc:32: warning: previous definition of FLOATING_MET was here
+"input" in: sky130A_mr.drc:112
+    Polygons (raw): 62 (flat)  25 (hierarchical)
+    Elapsed: 0.010s  Memory: 522.00M
+"polygons" in: sky130A_mr.drc:113
+    Polygons (raw): 38 (flat)  30 (hierarchical)
+    Elapsed: 0.010s  Memory: 522.00M
+"polygons" in: sky130A_mr.drc:114
+    Polygons (raw): 89 (flat)  69 (hierarchical)
+    Elapsed: 0.000s  Memory: 522.00M
+"polygons" in: sky130A_mr.drc:115
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 522.00M
+"polygons" in: sky130A_mr.drc:116
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 522.00M
+"polygons" in: sky130A_mr.drc:117
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 522.00M
+"polygons" in: sky130A_mr.drc:118
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 522.00M
+"polygons" in: sky130A_mr.drc:119
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 522.00M
+"polygons" in: sky130A_mr.drc:120
+    Polygons (raw): 10 (flat)  2 (hierarchical)
+    Elapsed: 0.000s  Memory: 522.00M
+"polygons" in: sky130A_mr.drc:121
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 522.00M
+"polygons" in: sky130A_mr.drc:122
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 522.00M
+"polygons" in: sky130A_mr.drc:123
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 522.00M
+"polygons" in: sky130A_mr.drc:124
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 522.00M
+"polygons" in: sky130A_mr.drc:125
+    Polygons (raw): 202 (flat)  116 (hierarchical)
+    Elapsed: 0.010s  Memory: 522.00M
+"polygons" in: sky130A_mr.drc:126
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 522.00M
+"polygons" in: sky130A_mr.drc:127
+    Polygons (raw): 62 (flat)  43 (hierarchical)
+    Elapsed: 0.010s  Memory: 522.00M
+"polygons" in: sky130A_mr.drc:128
+    Polygons (raw): 52 (flat)  26 (hierarchical)
+    Elapsed: 0.000s  Memory: 522.00M
+"polygons" in: sky130A_mr.drc:129
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 522.00M
+"polygons" in: sky130A_mr.drc:130
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 522.00M
+"polygons" in: sky130A_mr.drc:131
+    Polygons (raw): 33 (flat)  24 (hierarchical)
+    Elapsed: 0.010s  Memory: 522.00M
+"polygons" in: sky130A_mr.drc:132
+    Polygons (raw): 907 (flat)  291 (hierarchical)
+    Elapsed: 0.000s  Memory: 522.00M
+"polygons" in: sky130A_mr.drc:134
+    Polygons (raw): 566 (flat)  394 (hierarchical)
+    Elapsed: 0.010s  Memory: 522.00M
+"polygons" in: sky130A_mr.drc:135
+    Polygons (raw): 1756 (flat)  1480 (hierarchical)
+    Elapsed: 0.000s  Memory: 522.00M
+"polygons" in: sky130A_mr.drc:137
+    Polygons (raw): 349 (flat)  299 (hierarchical)
+    Elapsed: 0.010s  Memory: 522.00M
+"polygons" in: sky130A_mr.drc:138
+    Polygons (raw): 2570 (flat)  2570 (hierarchical)
+    Elapsed: 0.010s  Memory: 522.00M
+"polygons" in: sky130A_mr.drc:140
+    Polygons (raw): 603 (flat)  603 (hierarchical)
+    Elapsed: 0.000s  Memory: 522.00M
+"polygons" in: sky130A_mr.drc:141
+    Polygons (raw): 1761 (flat)  1761 (hierarchical)
+    Elapsed: 0.010s  Memory: 522.00M
+"polygons" in: sky130A_mr.drc:143
+    Polygons (raw): 461 (flat)  461 (hierarchical)
+    Elapsed: 0.000s  Memory: 522.00M
+"polygons" in: sky130A_mr.drc:144
+    Polygons (raw): 25800 (flat)  25800 (hierarchical)
+    Elapsed: 0.020s  Memory: 523.00M
+"polygons" in: sky130A_mr.drc:146
+    Polygons (raw): 179 (flat)  179 (hierarchical)
+    Elapsed: 0.000s  Memory: 523.00M
+"polygons" in: sky130A_mr.drc:147
+    Polygons (raw): 552 (flat)  552 (hierarchical)
+    Elapsed: 0.010s  Memory: 523.00M
+"polygons" in: sky130A_mr.drc:149
+    Polygons (raw): 153 (flat)  153 (hierarchical)
+    Elapsed: 0.000s  Memory: 523.00M
+"polygons" in: sky130A_mr.drc:151
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 523.00M
+"polygons" in: sky130A_mr.drc:152
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 523.00M
+"polygons" in: sky130A_mr.drc:153
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 523.00M
+"polygons" in: sky130A_mr.drc:154
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 523.00M
+"polygons" in: sky130A_mr.drc:155
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 523.00M
+"polygons" in: sky130A_mr.drc:156
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 523.00M
+"polygons" in: sky130A_mr.drc:157
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 523.00M
+"polygons" in: sky130A_mr.drc:158
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 523.00M
+"polygons" in: sky130A_mr.drc:159
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 523.00M
+"polygons" in: sky130A_mr.drc:160
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 523.00M
+"polygons" in: sky130A_mr.drc:161
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 523.00M
+"polygons" in: sky130A_mr.drc:162
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 523.00M
+"polygons" in: sky130A_mr.drc:163
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 523.00M
+"polygons" in: sky130A_mr.drc:164
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 523.00M
+"polygons" in: sky130A_mr.drc:165
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 523.00M
+"polygons" in: sky130A_mr.drc:166
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 523.00M
+"polygons" in: sky130A_mr.drc:167
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 523.00M
+"polygons" in: sky130A_mr.drc:168
+    Polygons (raw): 10 (flat)  2 (hierarchical)
+    Elapsed: 0.000s  Memory: 523.00M
+"polygons" in: sky130A_mr.drc:169
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 523.00M
+"polygons" in: sky130A_mr.drc:170
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 523.00M
+"polygons" in: sky130A_mr.drc:171
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 523.00M
+"polygons" in: sky130A_mr.drc:172
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 523.00M
+"polygons" in: sky130A_mr.drc:173
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 523.00M
+"polygons" in: sky130A_mr.drc:174
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 523.00M
+"polygons" in: sky130A_mr.drc:175
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 523.00M
+"polygons" in: sky130A_mr.drc:176
+    Polygons (raw): 1 (flat)  1 (hierarchical)
+    Elapsed: 0.010s  Memory: 523.00M
+"polygons" in: sky130A_mr.drc:177
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 523.00M
+"polygons" in: sky130A_mr.drc:178
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 523.00M
+"polygons" in: sky130A_mr.drc:179
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 523.00M
+"polygons" in: sky130A_mr.drc:180
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 523.00M
+"polygons" in: sky130A_mr.drc:181
+    Polygons (raw): 4 (flat)  3 (hierarchical)
+    Elapsed: 0.000s  Memory: 523.00M
+"polygons" in: sky130A_mr.drc:182
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 523.00M
+"polygons" in: sky130A_mr.drc:183
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 523.00M
+"polygons" in: sky130A_mr.drc:184
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 523.00M
+"polygons" in: sky130A_mr.drc:185
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 523.00M
+"polygons" in: sky130A_mr.drc:186
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 523.00M
+"polygons" in: sky130A_mr.drc:187
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 523.00M
+"polygons" in: sky130A_mr.drc:188
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 523.00M
+"polygons" in: sky130A_mr.drc:189
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 523.00M
+"polygons" in: sky130A_mr.drc:190
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 523.00M
+"polygons" in: sky130A_mr.drc:191
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 523.00M
+"polygons" in: sky130A_mr.drc:192
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 523.00M
+"polygons" in: sky130A_mr.drc:193
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 523.00M
+"polygons" in: sky130A_mr.drc:194
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 523.00M
+"polygons" in: sky130A_mr.drc:195
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 523.00M
+"polygons" in: sky130A_mr.drc:196
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 523.00M
+"polygons" in: sky130A_mr.drc:197
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 523.00M
+"polygons" in: sky130A_mr.drc:198
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 523.00M
+"polygons" in: sky130A_mr.drc:199
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 523.00M
+"polygons" in: sky130A_mr.drc:200
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 523.00M
+"polygons" in: sky130A_mr.drc:201
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 523.00M
+"polygons" in: sky130A_mr.drc:202
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 523.00M
+"polygons" in: sky130A_mr.drc:203
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 523.00M
+DRC section
+FEOL section
+START: 64/18 (dnwell)
+"width" in: sky130A_mr.drc:229
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 523.00M
+"output" in: sky130A_mr.drc:229
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 523.00M
+END: 64/18 (dnwell)
+"input" in: sky130A_mr.drc:233
+    Polygons (raw): 62 (flat)  43 (hierarchical)
+    Elapsed: 0.000s  Memory: 523.00M
+"input" in: sky130A_mr.drc:234
+    Polygons (raw): 52 (flat)  26 (hierarchical)
+    Elapsed: 0.010s  Memory: 523.00M
+"input" in: sky130A_mr.drc:235
+    Polygons (raw): 89 (flat)  69 (hierarchical)
+    Elapsed: 0.000s  Memory: 523.00M
+START: 64/20 (nwell)
+"width" in: sky130A_mr.drc:239
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 523.00M
+"output" in: sky130A_mr.drc:239
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 523.00M
+"space" in: sky130A_mr.drc:240
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 1067.00M
+"output" in: sky130A_mr.drc:240
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 1067.00M
+"merge" in: sky130A_mr.drc:241
+    Polygons (raw): 15 (flat)  14 (hierarchical)
+    Elapsed: 0.010s  Memory: 1067.00M
+"holes" in: sky130A_mr.drc:242
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 1067.00M
+"enclosing" in: sky130A_mr.drc:242
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 1067.00M
+"output" in: sky130A_mr.drc:242
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 1067.00M
+END: 64/20 (nwell)
+START: 78/44 (hvtp)
+"width" in: sky130A_mr.drc:247
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 1067.00M
+"output" in: sky130A_mr.drc:247
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 1067.00M
+"space" in: sky130A_mr.drc:248
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 1067.00M
+"output" in: sky130A_mr.drc:248
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 1067.00M
+END: 78/44 (hvtp)
+START: 18/20 (htvr)
+"width" in: sky130A_mr.drc:253
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 1067.00M
+"output" in: sky130A_mr.drc:253
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 1067.00M
+"separation" in: sky130A_mr.drc:254
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 1067.00M
+"output" in: sky130A_mr.drc:254
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 1067.00M
+"and" in: sky130A_mr.drc:255
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 1067.00M
+"output" in: sky130A_mr.drc:255
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 1067.00M
+END: 18/20 (htvr)
+START: 25/44 (lvtn)
+"width" in: sky130A_mr.drc:260
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 1067.00M
+"output" in: sky130A_mr.drc:260
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 1067.00M
+"space" in: sky130A_mr.drc:261
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 1067.00M
+"output" in: sky130A_mr.drc:261
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 1067.00M
+END: 25/44 (lvtn)
+START: 92/44 (ncm)
+"width" in: sky130A_mr.drc:266
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 1067.00M
+"output" in: sky130A_mr.drc:266
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 1067.00M
+"space" in: sky130A_mr.drc:267
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 1067.00M
+"output" in: sky130A_mr.drc:267
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 1067.00M
+END: 92/44 (ncm)
+START: 65/20 (diff)
+"or" in: sky130A_mr.drc:272
+    Polygons (raw): 68 (flat)  35 (hierarchical)
+    Elapsed: 0.010s  Memory: 1067.00M
+"rectangles" in: sky130A_mr.drc:273
+    Polygons (raw): 31 (flat)  11 (hierarchical)
+    Elapsed: 0.010s  Memory: 1067.00M
+"width" in: sky130A_mr.drc:273
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 1067.00M
+"polygons" in: sky130A_mr.drc:273
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 1067.00M
+"edges" in: sky130A_mr.drc:274
+    Edges: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 1067.00M
+"outside_part" in: sky130A_mr.drc:274
+    Edges: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 1067.00M
+"outside" in: sky130A_mr.drc:274
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 1067.00M
+"edges" in: sky130A_mr.drc:274
+    Edges: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 1067.00M
+"not" in: sky130A_mr.drc:274
+    Edges: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 1067.00M
+"output" in: sky130A_mr.drc:275
+    Edges: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 1067.00M
+"outside" in: sky130A_mr.drc:276
+    Polygons (raw): 48 (flat)  17 (hierarchical)
+    Elapsed: 0.010s  Memory: 1067.00M
+"width" in: sky130A_mr.drc:276
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 1067.00M
+"output" in: sky130A_mr.drc:276
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 1067.00M
+END: 65/20 (diff)
+START: 65/44 (tap)
+"rectangles" in: sky130A_mr.drc:280
+    Polygons (raw): 14 (flat)  14 (hierarchical)
+    Elapsed: 0.000s  Memory: 1067.00M
+"width" in: sky130A_mr.drc:280
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 1067.00M
+"polygons" in: sky130A_mr.drc:280
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 1067.00M
+"edges" in: sky130A_mr.drc:281
+    Edges: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 1067.00M
+"outside_part" in: sky130A_mr.drc:281
+    Edges: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 1067.00M
+"outside" in: sky130A_mr.drc:281
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 1067.00M
+"edges" in: sky130A_mr.drc:281
+    Edges: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 1067.00M
+"not" in: sky130A_mr.drc:281
+    Edges: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 1067.00M
+"output" in: sky130A_mr.drc:282
+    Edges: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 1067.00M
+"not" in: sky130A_mr.drc:283
+    Polygons (raw): 38 (flat)  30 (hierarchical)
+    Elapsed: 0.000s  Memory: 1067.00M
+"width" in: sky130A_mr.drc:283
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 1067.00M
+"output" in: sky130A_mr.drc:283
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 1067.00M
+END: 65/44 (tap)
+"space" in: sky130A_mr.drc:286
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 1067.00M
+"output" in: sky130A_mr.drc:286
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 1067.00M
+START: 80/20 (tunm)
+"width" in: sky130A_mr.drc:290
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 1067.00M
+"output" in: sky130A_mr.drc:290
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 1067.00M
+"space" in: sky130A_mr.drc:291
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 1067.00M
+"output" in: sky130A_mr.drc:291
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 1067.00M
+END: 80/20 (tunm)
+START: 66/20 (poly)
+"width" in: sky130A_mr.drc:296
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 1067.00M
+"output" in: sky130A_mr.drc:296
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 1067.00M
+"not" in: sky130A_mr.drc:297
+    Polygons (raw): 202 (flat)  116 (hierarchical)
+    Elapsed: 0.010s  Memory: 1067.00M
+"space" in: sky130A_mr.drc:297
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 1067.00M
+"output" in: sky130A_mr.drc:297
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 1067.00M
+START: 86/20 (rpm)
+"width" in: sky130A_mr.drc:302
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 1067.00M
+"output" in: sky130A_mr.drc:302
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 1067.00M
+"space" in: sky130A_mr.drc:303
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 1067.00M
+"output" in: sky130A_mr.drc:303
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 1067.00M
+END: 86/20 (rpm)
+START: 79/20 (urpm)
+"width" in: sky130A_mr.drc:308
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 1067.00M
+"output" in: sky130A_mr.drc:308
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 1067.00M
+"space" in: sky130A_mr.drc:309
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 1067.00M
+"output" in: sky130A_mr.drc:309
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 1067.00M
+END: 79/20 (urpm)
+START: 95/20 (npc)
+"width" in: sky130A_mr.drc:314
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 1067.00M
+"output" in: sky130A_mr.drc:314
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 1067.00M
+"space" in: sky130A_mr.drc:315
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 1067.00M
+"output" in: sky130A_mr.drc:315
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 1067.00M
+END: 95/20 (npc)
+START: 93/44 (nsdm)
+"outside" in: sky130A_mr.drc:320
+    Polygons (raw): 34 (flat)  22 (hierarchical)
+    Elapsed: 0.010s  Memory: 1067.00M
+"width" in: sky130A_mr.drc:320
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 1067.00M
+"output" in: sky130A_mr.drc:320
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 1067.00M
+"not" in: sky130A_mr.drc:321
+    Polygons (raw): 62 (flat)  43 (hierarchical)
+    Elapsed: 0.000s  Memory: 1067.00M
+"space" in: sky130A_mr.drc:321
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 1067.00M
+"output" in: sky130A_mr.drc:321
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 1067.00M
+END: 93/44 (nsdm)
+START: 94/20 (psdm)
+"outside" in: sky130A_mr.drc:326
+    Polygons (raw): 28 (flat)  16 (hierarchical)
+    Elapsed: 0.010s  Memory: 1067.00M
+"width" in: sky130A_mr.drc:326
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 1067.00M
+"output" in: sky130A_mr.drc:326
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 1067.00M
+"not" in: sky130A_mr.drc:327
+    Polygons (raw): 52 (flat)  26 (hierarchical)
+    Elapsed: 0.000s  Memory: 1067.00M
+"space" in: sky130A_mr.drc:327
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 1067.00M
+"output" in: sky130A_mr.drc:327
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 1067.00M
+END: 94/20 (psdm)
+START: 66/44 (licon)
+"not" in: sky130A_mr.drc:338
+    Polygons (raw): 359 (flat)  106 (hierarchical)
+    Elapsed: 0.000s  Memory: 1067.00M
+"and" in: sky130A_mr.drc:339
+    Polygons (raw): 743 (flat)  236 (hierarchical)
+    Elapsed: 0.020s  Memory: 1067.00M
+"interacting" in: sky130A_mr.drc:339
+    Polygons (raw): 743 (flat)  236 (hierarchical)
+    Elapsed: 0.010s  Memory: 1067.00M
+"not" in: sky130A_mr.drc:340
+    Polygons (raw): 743 (flat)  236 (hierarchical)
+    Elapsed: 0.000s  Memory: 1067.00M
+"non_rectangles" in: sky130A_mr.drc:341
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 1067.00M
+"output" in: sky130A_mr.drc:341
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 1067.00M
+"or" in: sky130A_mr.drc:342
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 1067.00M
+"not" in: sky130A_mr.drc:342
+    Polygons (raw): 907 (flat)  291 (hierarchical)
+    Elapsed: 0.000s  Memory: 1067.00M
+"edges" in: sky130A_mr.drc:342
+    Edges: 3628 (flat)  1164 (hierarchical)
+    Elapsed: 0.010s  Memory: 1067.00M
+"without_length" in: sky130A_mr.drc:342
+    Edges: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 1067.00M
+"output" in: sky130A_mr.drc:342
+    Edges: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 1067.00M
+"separation" in: sky130A_mr.drc:343
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 1067.00M
+"output" in: sky130A_mr.drc:343
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 1067.00M
+"and" in: sky130A_mr.drc:344
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 1067.00M
+"output" in: sky130A_mr.drc:344
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 1067.00M
+"interacting" in: sky130A_mr.drc:345
+    Polygons (raw): 164 (flat)  55 (hierarchical)
+    Elapsed: 0.010s  Memory: 1067.00M
+"interacting" in: sky130A_mr.drc:345
+    Polygons (raw): 743 (flat)  236 (hierarchical)
+    Elapsed: 0.010s  Memory: 1067.00M
+"and" in: sky130A_mr.drc:345
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 1067.00M
+"output" in: sky130A_mr.drc:345
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 1067.00M
+END: 66/44 (licon)
+START: 89/44 (capm)
+"and" in: sky130A_mr.drc:350
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 1067.00M
+"sized" in: sky130A_mr.drc:350
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.020s  Memory: 1067.00M
+"width" in: sky130A_mr.drc:351
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 1067.00M
+"output" in: sky130A_mr.drc:351
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 1067.00M
+"space" in: sky130A_mr.drc:352
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 1067.00M
+"output" in: sky130A_mr.drc:352
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 1067.00M
+"interacting" in: sky130A_mr.drc:353
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 1067.00M
+"isolated" in: sky130A_mr.drc:353
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 1067.00M
+"output" in: sky130A_mr.drc:353
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 1067.00M
+"isolated" in: sky130A_mr.drc:354
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 1067.00M
+"output" in: sky130A_mr.drc:354
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 1067.00M
+"and" in: sky130A_mr.drc:355
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 1067.00M
+"enclosing" in: sky130A_mr.drc:355
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 1067.00M
+"output" in: sky130A_mr.drc:355
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 1067.00M
+"enclosing" in: sky130A_mr.drc:356
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 1067.00M
+"output" in: sky130A_mr.drc:356
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 1067.00M
+"enclosing" in: sky130A_mr.drc:357
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 1067.00M
+"output" in: sky130A_mr.drc:357
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 1067.00M
+"separation" in: sky130A_mr.drc:358
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 1067.00M
+"output" in: sky130A_mr.drc:358
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 1067.00M
+END: 89/44 (capm)
+START: 97/44 (cap2m)
+"and" in: sky130A_mr.drc:363
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 1067.00M
+"sized" in: sky130A_mr.drc:363
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 1067.00M
+"width" in: sky130A_mr.drc:364
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 1067.00M
+"output" in: sky130A_mr.drc:364
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 1067.00M
+"space" in: sky130A_mr.drc:365
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 1067.00M
+"output" in: sky130A_mr.drc:365
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 1067.00M
+"interacting" in: sky130A_mr.drc:366
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 1067.00M
+"isolated" in: sky130A_mr.drc:366
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 1067.00M
+"output" in: sky130A_mr.drc:366
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 1067.00M
+"isolated" in: sky130A_mr.drc:368
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 1067.00M
+"output" in: sky130A_mr.drc:368
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 1067.00M
+"and" in: sky130A_mr.drc:369
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 1067.00M
+"enclosing" in: sky130A_mr.drc:369
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 1067.00M
+"output" in: sky130A_mr.drc:369
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 1067.00M
+"enclosing" in: sky130A_mr.drc:370
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 1067.00M
+"output" in: sky130A_mr.drc:370
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 1067.00M
+"enclosing" in: sky130A_mr.drc:371
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 1067.00M
+"output" in: sky130A_mr.drc:371
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 1067.00M
+"separation" in: sky130A_mr.drc:372
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 1067.00M
+"output" in: sky130A_mr.drc:372
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 1067.00M
+END: 97/44 (cap2m)
+FEOL section
+START: 75/20 (hvi)
+"not" in: sky130A_mr.drc:672
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 1067.00M
+"width" in: sky130A_mr.drc:673
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 1067.00M
+"output" in: sky130A_mr.drc:673
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 1067.00M
+"space" in: sky130A_mr.drc:674
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 1067.00M
+"output" in: sky130A_mr.drc:674
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 1067.00M
+END: 75/20 (hvi)
+START: 125/20 (hvntm)
+"not" in: sky130A_mr.drc:679
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 1067.00M
+"width" in: sky130A_mr.drc:680
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 1067.00M
+"output" in: sky130A_mr.drc:680
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 1067.00M
+"space" in: sky130A_mr.drc:681
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 1067.00M
+"output" in: sky130A_mr.drc:681
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 1067.00M
+END: 125/20 (hvntm)
+Writing report database: /home/krishna/Comparator_MPW6/precheck_results/04_JUN_2022___08_01_30/outputs/reports/klayout_feol_check.xml ..
+Total elapsed: 1.450s  Memory: 1067.00M
diff --git a/precheck_results/04_JUN_2022___08_01_30/logs/klayout_feol_check.total b/precheck_results/04_JUN_2022___08_01_30/logs/klayout_feol_check.total
new file mode 100644
index 0000000..c227083
--- /dev/null
+++ b/precheck_results/04_JUN_2022___08_01_30/logs/klayout_feol_check.total
@@ -0,0 +1 @@
+0
\ No newline at end of file
diff --git a/precheck_results/04_JUN_2022___08_01_30/logs/klayout_met_min_ca_density_check.log b/precheck_results/04_JUN_2022___08_01_30/logs/klayout_met_min_ca_density_check.log
new file mode 100644
index 0000000..2b69a97
--- /dev/null
+++ b/precheck_results/04_JUN_2022___08_01_30/logs/klayout_met_min_ca_density_check.log
@@ -0,0 +1,79 @@
+"polygons" in: met_min_ca_density.lydrc:35
+    Polygons (raw): 616 (flat)  404 (hierarchical)
+    Elapsed: 0.010s  Memory: 522.00M
+"polygons" in: met_min_ca_density.lydrc:36
+    Polygons (raw): 1756 (flat)  1480 (hierarchical)
+    Elapsed: 0.000s  Memory: 522.00M
+"polygons" in: met_min_ca_density.lydrc:38
+    Polygons (raw): 369 (flat)  303 (hierarchical)
+    Elapsed: 0.010s  Memory: 522.00M
+"polygons" in: met_min_ca_density.lydrc:39
+    Polygons (raw): 2570 (flat)  2570 (hierarchical)
+    Elapsed: 0.010s  Memory: 522.00M
+"polygons" in: met_min_ca_density.lydrc:41
+    Polygons (raw): 1097 (flat)  1097 (hierarchical)
+    Elapsed: 0.000s  Memory: 522.00M
+"polygons" in: met_min_ca_density.lydrc:42
+    Polygons (raw): 1761 (flat)  1761 (hierarchical)
+    Elapsed: 0.010s  Memory: 522.00M
+"polygons" in: met_min_ca_density.lydrc:44
+    Polygons (raw): 645 (flat)  645 (hierarchical)
+    Elapsed: 0.000s  Memory: 522.00M
+"polygons" in: met_min_ca_density.lydrc:45
+    Polygons (raw): 25800 (flat)  25800 (hierarchical)
+    Elapsed: 0.020s  Memory: 523.00M
+"polygons" in: met_min_ca_density.lydrc:47
+    Polygons (raw): 183 (flat)  183 (hierarchical)
+    Elapsed: 0.000s  Memory: 523.00M
+"polygons" in: met_min_ca_density.lydrc:48
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 523.00M
+"polygons" in: met_min_ca_density.lydrc:49
+    Polygons (raw): 552 (flat)  552 (hierarchical)
+    Elapsed: 0.000s  Memory: 523.00M
+"polygons" in: met_min_ca_density.lydrc:51
+    Polygons (raw): 159 (flat)  159 (hierarchical)
+    Elapsed: 0.010s  Memory: 523.00M
+"input" in: met_min_ca_density.lydrc:53
+    Polygons (raw): 5 (flat)  4 (hierarchical)
+    Elapsed: 0.000s  Memory: 523.00M
+"area" in: met_min_ca_density.lydrc:55
+    Elapsed: 0.010s  Memory: 523.00M
+"polygons" in: met_min_ca_density.lydrc:59
+    Polygons (raw): 616 (flat)  404 (hierarchical)
+    Elapsed: 0.000s  Memory: 523.00M
+"area" in: met_min_ca_density.lydrc:59
+    Elapsed: 0.000s  Memory: 523.00M
+li1_ca_density is 0.9998144907840715
+"polygons" in: met_min_ca_density.lydrc:69
+    Polygons (raw): 369 (flat)  303 (hierarchical)
+    Elapsed: 0.010s  Memory: 523.00M
+"area" in: met_min_ca_density.lydrc:69
+    Elapsed: 0.000s  Memory: 523.00M
+m1_ca_density is 0.9997226470316392
+"polygons" in: met_min_ca_density.lydrc:79
+    Polygons (raw): 1097 (flat)  1097 (hierarchical)
+    Elapsed: 0.000s  Memory: 523.00M
+"area" in: met_min_ca_density.lydrc:79
+    Elapsed: 0.010s  Memory: 523.00M
+m2_ca_density is 0.999675358061566
+"polygons" in: met_min_ca_density.lydrc:89
+    Polygons (raw): 645 (flat)  645 (hierarchical)
+    Elapsed: 0.000s  Memory: 523.00M
+"area" in: met_min_ca_density.lydrc:89
+    Elapsed: 0.010s  Memory: 523.00M
+m3_ca_density is 0.984055641067676
+"polygons" in: met_min_ca_density.lydrc:99
+    Polygons (raw): 183 (flat)  183 (hierarchical)
+    Elapsed: 0.010s  Memory: 523.00M
+"area" in: met_min_ca_density.lydrc:99
+    Elapsed: 0.000s  Memory: 523.00M
+m4_ca_density is 0.9791224458865193
+"polygons" in: met_min_ca_density.lydrc:109
+    Polygons (raw): 159 (flat)  159 (hierarchical)
+    Elapsed: 0.010s  Memory: 523.00M
+"area" in: met_min_ca_density.lydrc:109
+    Elapsed: 0.000s  Memory: 523.00M
+m5_ca_density is 0.9695933055825809
+Writing report database: /home/krishna/Comparator_MPW6/precheck_results/04_JUN_2022___08_01_30/outputs/reports/klayout_met_min_ca_density_check.xml ..
+Total elapsed: 0.170s  Memory: 522.00M
diff --git a/precheck_results/04_JUN_2022___08_01_30/logs/klayout_met_min_ca_density_check.total b/precheck_results/04_JUN_2022___08_01_30/logs/klayout_met_min_ca_density_check.total
new file mode 100644
index 0000000..c227083
--- /dev/null
+++ b/precheck_results/04_JUN_2022___08_01_30/logs/klayout_met_min_ca_density_check.total
@@ -0,0 +1 @@
+0
\ No newline at end of file
diff --git a/precheck_results/04_JUN_2022___08_01_30/logs/klayout_offgrid_check.log b/precheck_results/04_JUN_2022___08_01_30/logs/klayout_offgrid_check.log
new file mode 100644
index 0000000..4736f88
--- /dev/null
+++ b/precheck_results/04_JUN_2022___08_01_30/logs/klayout_offgrid_check.log
@@ -0,0 +1,755 @@
+/home/krishna/mpw_precheckmpw6/checks/tech-files/sky130A_mr.drc:38: warning: already initialized constant DRC::DRCEngine::FEOL
+/home/krishna/mpw_precheckmpw6/checks/tech-files/sky130A_mr.drc:28: warning: previous definition of FEOL was here
+/home/krishna/mpw_precheckmpw6/checks/tech-files/sky130A_mr.drc:44: warning: already initialized constant DRC::DRCEngine::BEOL
+/home/krishna/mpw_precheckmpw6/checks/tech-files/sky130A_mr.drc:29: warning: previous definition of BEOL was here
+/home/krishna/mpw_precheckmpw6/checks/tech-files/sky130A_mr.drc:48: warning: already initialized constant DRC::DRCEngine::OFFGRID
+/home/krishna/mpw_precheckmpw6/checks/tech-files/sky130A_mr.drc:30: warning: previous definition of OFFGRID was here
+/home/krishna/mpw_precheckmpw6/checks/tech-files/sky130A_mr.drc:56: warning: already initialized constant DRC::DRCEngine::SEAL
+/home/krishna/mpw_precheckmpw6/checks/tech-files/sky130A_mr.drc:31: warning: previous definition of SEAL was here
+/home/krishna/mpw_precheckmpw6/checks/tech-files/sky130A_mr.drc:62: warning: already initialized constant DRC::DRCEngine::FLOATING_MET
+/home/krishna/mpw_precheckmpw6/checks/tech-files/sky130A_mr.drc:32: warning: previous definition of FLOATING_MET was here
+"input" in: sky130A_mr.drc:112
+    Polygons (raw): 62 (flat)  25 (hierarchical)
+    Elapsed: 0.010s  Memory: 522.00M
+"polygons" in: sky130A_mr.drc:113
+    Polygons (raw): 38 (flat)  30 (hierarchical)
+    Elapsed: 0.000s  Memory: 522.00M
+"polygons" in: sky130A_mr.drc:114
+    Polygons (raw): 89 (flat)  69 (hierarchical)
+    Elapsed: 0.010s  Memory: 522.00M
+"polygons" in: sky130A_mr.drc:115
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 522.00M
+"polygons" in: sky130A_mr.drc:116
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 522.00M
+"polygons" in: sky130A_mr.drc:117
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 522.00M
+"polygons" in: sky130A_mr.drc:118
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 522.00M
+"polygons" in: sky130A_mr.drc:119
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 522.00M
+"polygons" in: sky130A_mr.drc:120
+    Polygons (raw): 10 (flat)  2 (hierarchical)
+    Elapsed: 0.010s  Memory: 522.00M
+"polygons" in: sky130A_mr.drc:121
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 522.00M
+"polygons" in: sky130A_mr.drc:122
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 522.00M
+"polygons" in: sky130A_mr.drc:123
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 522.00M
+"polygons" in: sky130A_mr.drc:124
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 522.00M
+"polygons" in: sky130A_mr.drc:125
+    Polygons (raw): 202 (flat)  116 (hierarchical)
+    Elapsed: 0.010s  Memory: 522.00M
+"polygons" in: sky130A_mr.drc:126
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 522.00M
+"polygons" in: sky130A_mr.drc:127
+    Polygons (raw): 62 (flat)  43 (hierarchical)
+    Elapsed: 0.010s  Memory: 522.00M
+"polygons" in: sky130A_mr.drc:128
+    Polygons (raw): 52 (flat)  26 (hierarchical)
+    Elapsed: 0.000s  Memory: 522.00M
+"polygons" in: sky130A_mr.drc:129
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 522.00M
+"polygons" in: sky130A_mr.drc:130
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 522.00M
+"polygons" in: sky130A_mr.drc:131
+    Polygons (raw): 33 (flat)  24 (hierarchical)
+    Elapsed: 0.010s  Memory: 522.00M
+"polygons" in: sky130A_mr.drc:132
+    Polygons (raw): 907 (flat)  291 (hierarchical)
+    Elapsed: 0.000s  Memory: 522.00M
+"polygons" in: sky130A_mr.drc:134
+    Polygons (raw): 566 (flat)  394 (hierarchical)
+    Elapsed: 0.000s  Memory: 522.00M
+"polygons" in: sky130A_mr.drc:135
+    Polygons (raw): 1756 (flat)  1480 (hierarchical)
+    Elapsed: 0.010s  Memory: 522.00M
+"polygons" in: sky130A_mr.drc:137
+    Polygons (raw): 349 (flat)  299 (hierarchical)
+    Elapsed: 0.000s  Memory: 522.00M
+"polygons" in: sky130A_mr.drc:138
+    Polygons (raw): 2570 (flat)  2570 (hierarchical)
+    Elapsed: 0.010s  Memory: 522.00M
+"polygons" in: sky130A_mr.drc:140
+    Polygons (raw): 603 (flat)  603 (hierarchical)
+    Elapsed: 0.000s  Memory: 522.00M
+"polygons" in: sky130A_mr.drc:141
+    Polygons (raw): 1761 (flat)  1761 (hierarchical)
+    Elapsed: 0.010s  Memory: 522.00M
+"polygons" in: sky130A_mr.drc:143
+    Polygons (raw): 461 (flat)  461 (hierarchical)
+    Elapsed: 0.000s  Memory: 522.00M
+"polygons" in: sky130A_mr.drc:144
+    Polygons (raw): 25800 (flat)  25800 (hierarchical)
+    Elapsed: 0.020s  Memory: 523.00M
+"polygons" in: sky130A_mr.drc:146
+    Polygons (raw): 179 (flat)  179 (hierarchical)
+    Elapsed: 0.010s  Memory: 523.00M
+"polygons" in: sky130A_mr.drc:147
+    Polygons (raw): 552 (flat)  552 (hierarchical)
+    Elapsed: 0.000s  Memory: 523.00M
+"polygons" in: sky130A_mr.drc:149
+    Polygons (raw): 153 (flat)  153 (hierarchical)
+    Elapsed: 0.010s  Memory: 523.00M
+"polygons" in: sky130A_mr.drc:151
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 523.00M
+"polygons" in: sky130A_mr.drc:152
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 523.00M
+"polygons" in: sky130A_mr.drc:153
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 523.00M
+"polygons" in: sky130A_mr.drc:154
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 523.00M
+"polygons" in: sky130A_mr.drc:155
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 523.00M
+"polygons" in: sky130A_mr.drc:156
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 523.00M
+"polygons" in: sky130A_mr.drc:157
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 523.00M
+"polygons" in: sky130A_mr.drc:158
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 523.00M
+"polygons" in: sky130A_mr.drc:159
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 523.00M
+"polygons" in: sky130A_mr.drc:160
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 523.00M
+"polygons" in: sky130A_mr.drc:161
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 523.00M
+"polygons" in: sky130A_mr.drc:162
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 523.00M
+"polygons" in: sky130A_mr.drc:163
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 523.00M
+"polygons" in: sky130A_mr.drc:164
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 523.00M
+"polygons" in: sky130A_mr.drc:165
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 523.00M
+"polygons" in: sky130A_mr.drc:166
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 523.00M
+"polygons" in: sky130A_mr.drc:167
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 523.00M
+"polygons" in: sky130A_mr.drc:168
+    Polygons (raw): 10 (flat)  2 (hierarchical)
+    Elapsed: 0.000s  Memory: 523.00M
+"polygons" in: sky130A_mr.drc:169
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 523.00M
+"polygons" in: sky130A_mr.drc:170
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 523.00M
+"polygons" in: sky130A_mr.drc:171
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 523.00M
+"polygons" in: sky130A_mr.drc:172
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 523.00M
+"polygons" in: sky130A_mr.drc:173
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 523.00M
+"polygons" in: sky130A_mr.drc:174
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 523.00M
+"polygons" in: sky130A_mr.drc:175
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 523.00M
+"polygons" in: sky130A_mr.drc:176
+    Polygons (raw): 1 (flat)  1 (hierarchical)
+    Elapsed: 0.000s  Memory: 523.00M
+"polygons" in: sky130A_mr.drc:177
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 523.00M
+"polygons" in: sky130A_mr.drc:178
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 523.00M
+"polygons" in: sky130A_mr.drc:179
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 523.00M
+"polygons" in: sky130A_mr.drc:180
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 523.00M
+"polygons" in: sky130A_mr.drc:181
+    Polygons (raw): 4 (flat)  3 (hierarchical)
+    Elapsed: 0.010s  Memory: 523.00M
+"polygons" in: sky130A_mr.drc:182
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 523.00M
+"polygons" in: sky130A_mr.drc:183
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 523.00M
+"polygons" in: sky130A_mr.drc:184
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 523.00M
+"polygons" in: sky130A_mr.drc:185
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 523.00M
+"polygons" in: sky130A_mr.drc:186
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 523.00M
+"polygons" in: sky130A_mr.drc:187
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 523.00M
+"polygons" in: sky130A_mr.drc:188
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 523.00M
+"polygons" in: sky130A_mr.drc:189
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 523.00M
+"polygons" in: sky130A_mr.drc:190
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 523.00M
+"polygons" in: sky130A_mr.drc:191
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 523.00M
+"polygons" in: sky130A_mr.drc:192
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 523.00M
+"polygons" in: sky130A_mr.drc:193
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 523.00M
+"polygons" in: sky130A_mr.drc:194
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 523.00M
+"polygons" in: sky130A_mr.drc:195
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 523.00M
+"polygons" in: sky130A_mr.drc:196
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 523.00M
+"polygons" in: sky130A_mr.drc:197
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 523.00M
+"polygons" in: sky130A_mr.drc:198
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 523.00M
+"polygons" in: sky130A_mr.drc:199
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 523.00M
+"polygons" in: sky130A_mr.drc:200
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 523.00M
+"polygons" in: sky130A_mr.drc:201
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 523.00M
+"polygons" in: sky130A_mr.drc:202
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 523.00M
+"polygons" in: sky130A_mr.drc:203
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 523.00M
+DRC section
+OFFGRID-ANGLES section
+"ongrid" in: sky130A_mr.drc:690
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 523.00M
+"output" in: sky130A_mr.drc:690
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 523.00M
+"with_angle" in: sky130A_mr.drc:691
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 523.00M
+"output" in: sky130A_mr.drc:691
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 523.00M
+"ongrid" in: sky130A_mr.drc:692
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 523.00M
+"output" in: sky130A_mr.drc:692
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 523.00M
+"with_angle" in: sky130A_mr.drc:693
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 523.00M
+"output" in: sky130A_mr.drc:693
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 523.00M
+"ongrid" in: sky130A_mr.drc:694
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 523.00M
+"output" in: sky130A_mr.drc:694
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 523.00M
+"with_angle" in: sky130A_mr.drc:695
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 523.00M
+"output" in: sky130A_mr.drc:695
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 523.00M
+"ongrid" in: sky130A_mr.drc:696
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 523.00M
+"output" in: sky130A_mr.drc:696
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 523.00M
+"with_angle" in: sky130A_mr.drc:697
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 523.00M
+"output" in: sky130A_mr.drc:697
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 523.00M
+"ongrid" in: sky130A_mr.drc:698
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 523.00M
+"output" in: sky130A_mr.drc:698
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 523.00M
+"with_angle" in: sky130A_mr.drc:699
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 523.00M
+"output" in: sky130A_mr.drc:699
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 523.00M
+"ongrid" in: sky130A_mr.drc:700
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 523.00M
+"output" in: sky130A_mr.drc:700
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 523.00M
+"with_angle" in: sky130A_mr.drc:701
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 523.00M
+"output" in: sky130A_mr.drc:701
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 523.00M
+"ongrid" in: sky130A_mr.drc:702
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 523.00M
+"output" in: sky130A_mr.drc:702
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 523.00M
+"with_angle" in: sky130A_mr.drc:703
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 523.00M
+"output" in: sky130A_mr.drc:703
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 523.00M
+"ongrid" in: sky130A_mr.drc:704
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 523.00M
+"output" in: sky130A_mr.drc:704
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 523.00M
+"with_angle" in: sky130A_mr.drc:705
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 523.00M
+"output" in: sky130A_mr.drc:705
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 523.00M
+"ongrid" in: sky130A_mr.drc:706
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 523.00M
+"output" in: sky130A_mr.drc:706
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 523.00M
+"ongrid" in: sky130A_mr.drc:707
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 523.00M
+"output" in: sky130A_mr.drc:707
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 523.00M
+"and" in: sky130A_mr.drc:708
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 523.00M
+"not" in: sky130A_mr.drc:708
+    Polygons (raw): 62 (flat)  25 (hierarchical)
+    Elapsed: 0.000s  Memory: 523.00M
+"with_angle" in: sky130A_mr.drc:708
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 523.00M
+"output" in: sky130A_mr.drc:708
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 523.00M
+"and" in: sky130A_mr.drc:709
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 523.00M
+"and" in: sky130A_mr.drc:709
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 523.00M
+"with_angle" in: sky130A_mr.drc:709
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 523.00M
+"output" in: sky130A_mr.drc:709
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 523.00M
+"and" in: sky130A_mr.drc:710
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 523.00M
+"not" in: sky130A_mr.drc:710
+    Polygons (raw): 38 (flat)  30 (hierarchical)
+    Elapsed: 0.000s  Memory: 523.00M
+"with_angle" in: sky130A_mr.drc:710
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 523.00M
+"output" in: sky130A_mr.drc:710
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 523.00M
+"and" in: sky130A_mr.drc:711
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 523.00M
+"and" in: sky130A_mr.drc:711
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 523.00M
+"with_angle" in: sky130A_mr.drc:711
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 523.00M
+"output" in: sky130A_mr.drc:711
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 523.00M
+"ongrid" in: sky130A_mr.drc:712
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 523.00M
+"output" in: sky130A_mr.drc:712
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 523.00M
+"with_angle" in: sky130A_mr.drc:713
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 523.00M
+"output" in: sky130A_mr.drc:713
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 523.00M
+"ongrid" in: sky130A_mr.drc:714
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 523.00M
+"output" in: sky130A_mr.drc:714
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 523.00M
+"with_angle" in: sky130A_mr.drc:715
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 523.00M
+"output" in: sky130A_mr.drc:715
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 523.00M
+"ongrid" in: sky130A_mr.drc:716
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 523.00M
+"output" in: sky130A_mr.drc:716
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 523.00M
+"with_angle" in: sky130A_mr.drc:717
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 523.00M
+"output" in: sky130A_mr.drc:717
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 523.00M
+"ongrid" in: sky130A_mr.drc:718
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 523.00M
+"output" in: sky130A_mr.drc:718
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 523.00M
+"with_angle" in: sky130A_mr.drc:719
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 523.00M
+"output" in: sky130A_mr.drc:719
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 523.00M
+"ongrid" in: sky130A_mr.drc:720
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 523.00M
+"output" in: sky130A_mr.drc:720
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 523.00M
+"with_angle" in: sky130A_mr.drc:721
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 523.00M
+"output" in: sky130A_mr.drc:721
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 523.00M
+"ongrid" in: sky130A_mr.drc:722
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 523.00M
+"output" in: sky130A_mr.drc:722
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 523.00M
+"with_angle" in: sky130A_mr.drc:723
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 523.00M
+"output" in: sky130A_mr.drc:723
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 523.00M
+"ongrid" in: sky130A_mr.drc:724
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 523.00M
+"output" in: sky130A_mr.drc:724
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 523.00M
+"with_angle" in: sky130A_mr.drc:725
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 523.00M
+"output" in: sky130A_mr.drc:725
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 523.00M
+"ongrid" in: sky130A_mr.drc:726
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 523.00M
+"output" in: sky130A_mr.drc:726
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 523.00M
+"with_angle" in: sky130A_mr.drc:727
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 523.00M
+"output" in: sky130A_mr.drc:727
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 523.00M
+"ongrid" in: sky130A_mr.drc:728
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 523.00M
+"output" in: sky130A_mr.drc:728
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 523.00M
+"with_angle" in: sky130A_mr.drc:729
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 523.00M
+"output" in: sky130A_mr.drc:729
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 523.00M
+"ongrid" in: sky130A_mr.drc:730
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 523.00M
+"output" in: sky130A_mr.drc:730
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 523.00M
+"with_angle" in: sky130A_mr.drc:731
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 523.00M
+"output" in: sky130A_mr.drc:731
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 523.00M
+"ongrid" in: sky130A_mr.drc:732
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 523.00M
+"output" in: sky130A_mr.drc:732
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 523.00M
+"with_angle" in: sky130A_mr.drc:733
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 523.00M
+"output" in: sky130A_mr.drc:733
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 523.00M
+"ongrid" in: sky130A_mr.drc:734
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.020s  Memory: 524.00M
+"output" in: sky130A_mr.drc:734
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 524.00M
+"with_angle" in: sky130A_mr.drc:735
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 524.00M
+"output" in: sky130A_mr.drc:735
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 524.00M
+"ongrid" in: sky130A_mr.drc:736
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 524.00M
+"output" in: sky130A_mr.drc:736
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 524.00M
+"with_angle" in: sky130A_mr.drc:737
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 524.00M
+"output" in: sky130A_mr.drc:737
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 524.00M
+"ongrid" in: sky130A_mr.drc:738
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 524.00M
+"output" in: sky130A_mr.drc:738
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 524.00M
+"with_angle" in: sky130A_mr.drc:739
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 524.00M
+"output" in: sky130A_mr.drc:739
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 524.00M
+"ongrid" in: sky130A_mr.drc:740
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 524.00M
+"output" in: sky130A_mr.drc:740
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 524.00M
+"with_angle" in: sky130A_mr.drc:741
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 524.00M
+"output" in: sky130A_mr.drc:741
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 524.00M
+"ongrid" in: sky130A_mr.drc:742
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.130s  Memory: 530.00M
+"output" in: sky130A_mr.drc:742
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 530.00M
+"with_angle" in: sky130A_mr.drc:743
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.020s  Memory: 530.00M
+"output" in: sky130A_mr.drc:743
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 530.00M
+"ongrid" in: sky130A_mr.drc:744
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 530.00M
+"output" in: sky130A_mr.drc:744
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 530.00M
+"with_angle" in: sky130A_mr.drc:745
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 530.00M
+"output" in: sky130A_mr.drc:745
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 530.00M
+"ongrid" in: sky130A_mr.drc:746
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 530.00M
+"output" in: sky130A_mr.drc:746
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 530.00M
+"with_angle" in: sky130A_mr.drc:747
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 530.00M
+"output" in: sky130A_mr.drc:747
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 530.00M
+"ongrid" in: sky130A_mr.drc:748
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 530.00M
+"output" in: sky130A_mr.drc:748
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 530.00M
+"with_angle" in: sky130A_mr.drc:749
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 530.00M
+"output" in: sky130A_mr.drc:749
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 530.00M
+"ongrid" in: sky130A_mr.drc:750
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 530.00M
+"output" in: sky130A_mr.drc:750
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 530.00M
+"with_angle" in: sky130A_mr.drc:751
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 530.00M
+"output" in: sky130A_mr.drc:751
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 530.00M
+"ongrid" in: sky130A_mr.drc:752
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 530.00M
+"output" in: sky130A_mr.drc:752
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 530.00M
+"with_angle" in: sky130A_mr.drc:753
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 530.00M
+"output" in: sky130A_mr.drc:753
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 530.00M
+"ongrid" in: sky130A_mr.drc:754
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 530.00M
+"output" in: sky130A_mr.drc:754
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 530.00M
+"with_angle" in: sky130A_mr.drc:755
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 530.00M
+"output" in: sky130A_mr.drc:755
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 530.00M
+"ongrid" in: sky130A_mr.drc:756
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 530.00M
+"output" in: sky130A_mr.drc:756
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 530.00M
+"with_angle" in: sky130A_mr.drc:757
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 530.00M
+"output" in: sky130A_mr.drc:757
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 530.00M
+"ongrid" in: sky130A_mr.drc:758
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 530.00M
+"output" in: sky130A_mr.drc:758
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 530.00M
+"with_angle" in: sky130A_mr.drc:759
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 530.00M
+"output" in: sky130A_mr.drc:759
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 530.00M
+"ongrid" in: sky130A_mr.drc:760
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 530.00M
+"output" in: sky130A_mr.drc:760
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 530.00M
+"with_angle" in: sky130A_mr.drc:761
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 530.00M
+"output" in: sky130A_mr.drc:761
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 530.00M
+"ongrid" in: sky130A_mr.drc:762
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 530.00M
+"output" in: sky130A_mr.drc:762
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 530.00M
+"with_angle" in: sky130A_mr.drc:763
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 530.00M
+"output" in: sky130A_mr.drc:763
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 530.00M
+"ongrid" in: sky130A_mr.drc:764
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 530.00M
+"output" in: sky130A_mr.drc:764
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 530.00M
+"with_angle" in: sky130A_mr.drc:765
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 530.00M
+"output" in: sky130A_mr.drc:765
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 530.00M
+"ongrid" in: sky130A_mr.drc:766
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 530.00M
+"output" in: sky130A_mr.drc:766
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 530.00M
+Writing report database: /home/krishna/Comparator_MPW6/precheck_results/04_JUN_2022___08_01_30/outputs/reports/klayout_offgrid_check.xml ..
+Total elapsed: 1.450s  Memory: 530.00M
diff --git a/precheck_results/04_JUN_2022___08_01_30/logs/klayout_offgrid_check.total b/precheck_results/04_JUN_2022___08_01_30/logs/klayout_offgrid_check.total
new file mode 100644
index 0000000..c227083
--- /dev/null
+++ b/precheck_results/04_JUN_2022___08_01_30/logs/klayout_offgrid_check.total
@@ -0,0 +1 @@
+0
\ No newline at end of file
diff --git a/precheck_results/04_JUN_2022___08_01_30/logs/klayout_pin_label_purposes_overlapping_drawing_check.log b/precheck_results/04_JUN_2022___08_01_30/logs/klayout_pin_label_purposes_overlapping_drawing_check.log
new file mode 100644
index 0000000..66a6a75
--- /dev/null
+++ b/precheck_results/04_JUN_2022___08_01_30/logs/klayout_pin_label_purposes_overlapping_drawing_check.log
@@ -0,0 +1,30 @@
+Running pin_label_purposes_overlapping_drawing.rb.drc on file=/home/krishna/Comparator_MPW6/gds/user_analog_project_wrapper.gds, topcell=user_analog_project_wrapper, output to /home/krishna/Comparator_MPW6/precheck_results/04_JUN_2022___08_01_30/outputs/reports/klayout_pin_label_purposes_overlapping_drawing_check.xml
+  deep:true tiled:false threads:12
+--- #err|description, table for cell: user_analog_project_wrapper
+NO-Check ----        pwell:64/44/EMP   122/16/dat    64/59/EMP    44/16/EMP     44/5/EMP
+         ----        nwell:64/20/dat    64/16/dat     64/5/EMP
+         ----         diff:65/20/dat    65/16/EMP     65/6/EMP
+         ----          tap:65/44/dat    65/48/EMP     65/5/EMP
+         ----         poly:66/20/dat    66/16/EMP     66/5/EMP
+         ----       licon1:66/44/dat    66/58/EMP
+         ----          li1:67/20/dat    67/16/dat     67/5/EMP
+         ----         mcon:67/44/dat    67/48/EMP
+         ----         met1:68/20/dat    68/16/dat     68/5/EMP
+         ----          via:68/44/dat    68/58/EMP
+         ----         met2:69/20/dat    69/16/dat     69/5/EMP
+         ----         via2:69/44/dat    69/58/EMP
+       1 70/16: met3, pin/label not-over drawing:70/20
+         ----         met3:70/20/dat    70/16/dat     70/5/EMP
+         ----         via3:70/44/dat    70/48/EMP
+         ----         met4:71/20/dat    71/16/dat     71/5/EMP
+         ----         via4:71/44/dat    71/48/EMP
+         ----         met5:72/20/dat    72/16/dat     72/5/EMP
+         ----          pad:76/20/EMP     76/5/EMP    76/16/EMP
+         ----          pnp:82/44/EMP    82/59/EMP
+         ----          npn:82/20/EMP     82/5/EMP
+         ----          rdl:74/20/EMP    74/16/EMP     74/5/EMP
+         ----     inductor:82/24/EMP    82/25/EMP
+       1 total error(s) among 1 error type(s), 33 checks, cell: user_analog_project_wrapper
+Writing report...
+VmPeak:	 2369884 kB
+VmHWM:	  258112 kB
diff --git a/precheck_results/04_JUN_2022___08_01_30/logs/klayout_pin_label_purposes_overlapping_drawing_check.total b/precheck_results/04_JUN_2022___08_01_30/logs/klayout_pin_label_purposes_overlapping_drawing_check.total
new file mode 100644
index 0000000..56a6051
--- /dev/null
+++ b/precheck_results/04_JUN_2022___08_01_30/logs/klayout_pin_label_purposes_overlapping_drawing_check.total
@@ -0,0 +1 @@
+1
\ No newline at end of file
diff --git a/precheck_results/04_JUN_2022___08_01_30/logs/klayout_zeroarea_check.log b/precheck_results/04_JUN_2022___08_01_30/logs/klayout_zeroarea_check.log
new file mode 100644
index 0000000..fc91753
--- /dev/null
+++ b/precheck_results/04_JUN_2022___08_01_30/logs/klayout_zeroarea_check.log
@@ -0,0 +1,4 @@
+0 zero-area shapes
+writing to /home/krishna/Comparator_MPW6/precheck_results/04_JUN_2022___08_01_30/outputs/user_analog_project_wrapper_no_zero_areas.gds
+VmPeak:	  542076 kB
+VmHWM:	  261228 kB
diff --git a/precheck_results/04_JUN_2022___08_01_30/logs/klayout_zeroarea_check.total b/precheck_results/04_JUN_2022___08_01_30/logs/klayout_zeroarea_check.total
new file mode 100644
index 0000000..c227083
--- /dev/null
+++ b/precheck_results/04_JUN_2022___08_01_30/logs/klayout_zeroarea_check.total
@@ -0,0 +1 @@
+0
\ No newline at end of file
diff --git a/precheck_results/04_JUN_2022___08_01_30/logs/magic_drc_check.log b/precheck_results/04_JUN_2022___08_01_30/logs/magic_drc_check.log
new file mode 100644
index 0000000..fb77065
--- /dev/null
+++ b/precheck_results/04_JUN_2022___08_01_30/logs/magic_drc_check.log
@@ -0,0 +1,30 @@
+
+Magic 8.3 revision 274 - Compiled on Fri Mar  4 22:54:15 UTC 2022.
+Starting magic under Tcl interpreter
+Using the terminal as the console.
+Using NULL graphics device.
+Processing system .magicrc file
+Sourcing design .magicrc for technology sky130A ...
+2 Magic internal units = 1 Lambda
+Could not find file '/usr/local/share/pdk/sky130A/sky130A/libs.tech/magic/sky130A.tech' in any of these directories:
+         . $CAD_ROOT/magic/sys $CAD_ROOT/magic/sys/current
+Error parsing "/home/krishna/mpw_precheckmpw6/checks/tech-files/sky130A.magicrc": couldn't read file "/usr/local/share/pdk/sky130A/sky130A/libs.tech/magic/sky130A.tcl": no such file or directory
+Bad local startup file "/home/krishna/mpw_precheckmpw6/checks/tech-files/sky130A.magicrc", continuing without.
+Scaled tech values by 2 / 1 to match internal grid scaling
+Loading "/home/krishna/mpw_precheckmpw6/checks/drc_checks/magic/magic_drc_check.tcl" from command line.
+Don't know how to read GDS-II:
+Nothing in "cifinput" section of tech file.
+[INFO]: Loading user_analog_project_wrapper
+
+File user_analog_project_wrapper.mag couldn't be read
+No such file or directory
+Creating new cell
+"drc(full)" is not one of the DRC styles Magic knows.
+The current style is "default".
+The DRC styles are: default.
+No errors found.
+[INFO]: COUNT: 0
+[INFO]: Should be divided by 3 or 4
+[INFO]: DRC Checking DONE (/home/krishna/Comparator_MPW6/precheck_results/04_JUN_2022___08_01_30/outputs/reports/magic_drc_check.drc.report)
+[INFO]: Saving mag view with DRC errors(/home/krishna/Comparator_MPW6/precheck_results/04_JUN_2022___08_01_30/outputs/user_analog_project_wrapper.magic.drc.mag)
+[INFO]: Saved
diff --git a/precheck_results/04_JUN_2022___08_01_30/logs/magic_drc_check.total b/precheck_results/04_JUN_2022___08_01_30/logs/magic_drc_check.total
new file mode 100644
index 0000000..c227083
--- /dev/null
+++ b/precheck_results/04_JUN_2022___08_01_30/logs/magic_drc_check.total
@@ -0,0 +1 @@
+0
\ No newline at end of file
diff --git a/precheck_results/04_JUN_2022___08_01_30/logs/pdks.info b/precheck_results/04_JUN_2022___08_01_30/logs/pdks.info
new file mode 100644
index 0000000..3072015
--- /dev/null
+++ b/precheck_results/04_JUN_2022___08_01_30/logs/pdks.info
@@ -0,0 +1,2 @@
+Open PDKs a3d6ffea8022332b859daa454cef7ee7131c5181
+Skywater PDK f70d8ca46961ff92719d8870a18a076370b85f6c
\ No newline at end of file
diff --git a/precheck_results/04_JUN_2022___08_01_30/logs/precheck.log b/precheck_results/04_JUN_2022___08_01_30/logs/precheck.log
new file mode 100644
index 0000000..e4fed33
--- /dev/null
+++ b/precheck_results/04_JUN_2022___08_01_30/logs/precheck.log
@@ -0,0 +1,60 @@
+2022-06-04 08:01:30 - [INFO] - {{EXTRACTING FILES}} Extracting compressed files in: /home/krishna/Comparator_MPW6
+2022-06-04 08:01:30 - [INFO] - {{Project Type Info}} analog
+2022-06-04 08:01:30 - [INFO] - {{Project GDS Info}} user_analog_project_wrapper: 5900aa698faa7b9635452e07b582c861973edb55
+2022-06-04 08:01:30 - [INFO] - {{Tools Info}} KLayout: v0.27.8 | Magic: v8.3.274
+2022-06-04 08:01:30 - [INFO] - {{PDKs Info}} Open PDKs: a3d6ffea8022332b859daa454cef7ee7131c5181 | Skywater PDK: f70d8ca46961ff92719d8870a18a076370b85f6c
+2022-06-04 08:01:30 - [INFO] - {{START}} Precheck Started, the full log 'precheck.log' will be located in '/home/krishna/Comparator_MPW6/precheck_results/04_JUN_2022___08_01_30/logs'
+2022-06-04 08:01:30 - [INFO] - {{PRECHECK SEQUENCE}} Precheck will run the following checks: [License, Makefile, Default, Documentation, Consistency, XOR, Magic DRC, Klayout FEOL, Klayout BEOL, Klayout Offgrid, Klayout Metal Minimum Clear Area Density, Klayout Pin Label Purposes Overlapping Drawing, Klayout ZeroArea]
+2022-06-04 08:01:30 - [INFO] - {{STEP UPDATE}} Executing Check 1 of 13: License
+2022-06-04 08:01:31 - [INFO] - An approved LICENSE (Apache-2.0) was found in /home/krishna/Comparator_MPW6.
+2022-06-04 08:01:31 - [INFO] - {{MAIN LICENSE CHECK PASSED}} An approved LICENSE was found in project root.
+2022-06-04 08:01:32 - [INFO] - An approved LICENSE (Apache-2.0) was found in /home/krishna/Comparator_MPW6.
+2022-06-04 08:01:32 - [INFO] - {{SUBMODULES LICENSE CHECK PASSED}} No prohibited LICENSE file(s) was found in project submodules
+2022-06-04 08:01:32 - [WARNING] - {{SPDX COMPLIANCE CHECK FAILED}} Found 153 non-compliant file(s) with the SPDX Standard.
+2022-06-04 08:01:32 - [INFO] - SPDX COMPLIANCE: NON-COMPLIANT FILE(S) PREVIEW: ['/home/krishna/Comparator_MPW6/Makefile', '/home/krishna/Comparator_MPW6/.spiceinit', '/home/krishna/Comparator_MPW6/verilog/dv/Makefile', '/home/krishna/Comparator_MPW6/verilog/dv/mprj_por/mprj_por.c', '/home/krishna/Comparator_MPW6/verilog/dv/mprj_por/mprj_por_tb.v', '/home/krishna/Comparator_MPW6/verilog/dv/mprj_por/Makefile', '/home/krishna/Comparator_MPW6/verilog/rtl/uprj_analog_netlists.v', '/home/krishna/Comparator_MPW6/verilog/rtl/example_por.v', '/home/krishna/Comparator_MPW6/verilog/rtl/user_analog_proj_example.v', '/home/krishna/Comparator_MPW6/verilog/rtl/user_analog_project_wrapper.v', '/home/krishna/Comparator_MPW6/verilog/rtl/comparator.v', '/home/krishna/Comparator_MPW6/precheck_results/01_JUN_2022___10_26_55/outputs/reports/magic_drc_check.drc.report', '/home/krishna/Comparator_MPW6/precheck_results/01_JUN_2022___10_26_55/logs/klayout_zeroarea_check.total', '/home/krishna/Comparator_MPW6/precheck_results/01_JUN_2022___10_26_55/logs/tools.info', '/home/krishna/Comparator_MPW6/precheck_results/01_JUN_2022___10_26_55/logs/klayout_beol_check.total']
+2022-06-04 08:01:32 - [INFO] - For the full SPDX compliance report check: /home/krishna/Comparator_MPW6/precheck_results/04_JUN_2022___08_01_30/logs/spdx_compliance_report.log
+2022-06-04 08:01:32 - [INFO] - {{STEP UPDATE}} Executing Check 2 of 13: Makefile
+2022-06-04 08:01:32 - [INFO] - {{MAKEFILE CHECK PASSED}} Makefile valid.
+2022-06-04 08:01:32 - [INFO] - {{STEP UPDATE}} Executing Check 3 of 13: Default
+2022-06-04 08:01:32 - [INFO] - {{README DEFAULT CHECK PASSED}} Project 'README.md' was modified and is not identical to the default 'README.md'
+2022-06-04 08:01:32 - [INFO] - {{CONTENT DEFAULT CHECK PASSED}} Project 'gds' was modified and is not identical to the default 'gds'
+2022-06-04 08:01:32 - [INFO] - {{STEP UPDATE}} Executing Check 4 of 13: Documentation
+2022-06-04 08:01:32 - [INFO] - {{DOCUMENTATION CHECK PASSED}} Project documentation is appropriate.
+2022-06-04 08:01:32 - [INFO] - {{STEP UPDATE}} Executing Check 5 of 13: Consistency
+2022-06-04 08:01:34 - [INFO] - HIERARCHY CHECK PASSED: Module user_analog_project_wrapper is instantiated in caravan. 
+2022-06-04 08:01:34 - [INFO] - COMPLEXITY CHECK PASSED: Netlist caravan contains at least 8 instances (68 instances). 
+2022-06-04 08:01:34 - [INFO] - MODELING CHECK PASSED: Netlist caravan is structural.
+2022-06-04 08:01:34 - [INFO] - SUBMODULE HOOKS CHECK PASSED: All module ports for user_analog_project_wrapper are correctly connected in the top level netlist caravan.
+2022-06-04 08:01:34 - [INFO] - {{NETLIST CONSISTENCY CHECK PASSED}} caravan netlist passed all consistency checks.
+2022-06-04 08:01:34 - [INFO] - PORTS CHECK PASSED: Netlist user_analog_project_wrapper ports match the golden wrapper ports
+2022-06-04 08:01:34 - [INFO] - COMPLEXITY CHECK PASSED: Netlist user_analog_project_wrapper contains at least 1 instances (19 instances). 
+2022-06-04 08:01:34 - [INFO] - MODELING CHECK PASSED: Netlist user_analog_project_wrapper is structural.
+2022-06-04 08:01:34 - [INFO] - LAYOUT CHECK PASSED: The GDS layout for user_analog_project_wrapper matches the provided structural netlist.
+2022-06-04 08:01:34 - [INFO] - {{NETLIST CONSISTENCY CHECK PASSED}} user_analog_project_wrapper netlist passed all consistency checks.
+2022-06-04 08:01:34 - [INFO] - {{CONSISTENCY CHECK PASSED}} The user netlist and the top netlist are valid.
+2022-06-04 08:01:34 - [INFO] - {{STEP UPDATE}} Executing Check 6 of 13: XOR
+2022-06-04 08:01:35 - [ERROR] - XOR CHECK FILE NOT FOUND in /home/krishna/Comparator_MPW6/precheck_results/04_JUN_2022___08_01_30/logs/xor_check.total
+2022-06-04 08:01:35 - [WARNING] - {{XOR CHECK FAILED}} The GDS file has non-conforming geometries.
+2022-06-04 08:01:35 - [INFO] - {{STEP UPDATE}} Executing Check 7 of 13: Magic DRC
+2022-06-04 08:01:35 - [INFO] - 0 DRC violations
+2022-06-04 08:01:35 - [INFO] - {{MAGIC DRC CHECK PASSED}} The GDS file, user_analog_project_wrapper.gds, has no DRC violations.
+2022-06-04 08:01:35 - [INFO] - {{STEP UPDATE}} Executing Check 8 of 13: Klayout FEOL
+2022-06-04 08:01:38 - [INFO] - No DRC Violations found
+2022-06-04 08:01:38 - [INFO] - {{Klayout FEOL CHECK PASSED}} The GDS file, user_analog_project_wrapper.gds, has no DRC violations.
+2022-06-04 08:01:38 - [INFO] - {{STEP UPDATE}} Executing Check 9 of 13: Klayout BEOL
+2022-06-04 08:01:42 - [INFO] - No DRC Violations found
+2022-06-04 08:01:42 - [INFO] - {{Klayout BEOL CHECK PASSED}} The GDS file, user_analog_project_wrapper.gds, has no DRC violations.
+2022-06-04 08:01:42 - [INFO] - {{STEP UPDATE}} Executing Check 10 of 13: Klayout Offgrid
+2022-06-04 08:01:44 - [INFO] - No DRC Violations found
+2022-06-04 08:01:44 - [INFO] - {{Klayout Offgrid CHECK PASSED}} The GDS file, user_analog_project_wrapper.gds, has no DRC violations.
+2022-06-04 08:01:44 - [INFO] - {{STEP UPDATE}} Executing Check 11 of 13: Klayout Metal Minimum Clear Area Density
+2022-06-04 08:01:45 - [INFO] - No DRC Violations found
+2022-06-04 08:01:45 - [INFO] - {{Klayout Metal Minimum Clear Area Density CHECK PASSED}} The GDS file, user_analog_project_wrapper.gds, has no DRC violations.
+2022-06-04 08:01:45 - [INFO] - {{STEP UPDATE}} Executing Check 12 of 13: Klayout Pin Label Purposes Overlapping Drawing
+2022-06-04 08:01:46 - [ERROR] - Total # of DRC violations is 1 Please check /home/krishna/Comparator_MPW6/precheck_results/04_JUN_2022___08_01_30/outputs/reports/klayout_pin_label_purposes_overlapping_drawing_check.xml For more details
+2022-06-04 08:01:46 - [WARNING] - {{Klayout Pin Label Purposes Overlapping Drawing CHECK FAILED}} The GDS file, user_analog_project_wrapper.gds, has DRC violations.
+2022-06-04 08:01:46 - [INFO] - {{STEP UPDATE}} Executing Check 13 of 13: Klayout ZeroArea
+2022-06-04 08:01:47 - [INFO] - No DRC Violations found
+2022-06-04 08:01:47 - [INFO] - {{Klayout ZeroArea CHECK PASSED}} The GDS file, user_analog_project_wrapper.gds, has no DRC violations.
+2022-06-04 08:01:47 - [INFO] - {{FINISH}} Executing Finished, the full log 'precheck.log' can be found in '/home/krishna/Comparator_MPW6/precheck_results/04_JUN_2022___08_01_30/logs'
+2022-06-04 08:01:47 - [CRITICAL] - {{FAILURE}} 2 Check(s) Failed: ['XOR', 'Klayout Pin Label Purposes Overlapping Drawing'] !!!
diff --git a/precheck_results/04_JUN_2022___08_01_30/logs/spdx_compliance_report.log b/precheck_results/04_JUN_2022___08_01_30/logs/spdx_compliance_report.log
new file mode 100644
index 0000000..3376b70
--- /dev/null
+++ b/precheck_results/04_JUN_2022___08_01_30/logs/spdx_compliance_report.log
@@ -0,0 +1,153 @@
+/home/krishna/Comparator_MPW6/Makefile
+/home/krishna/Comparator_MPW6/.spiceinit
+/home/krishna/Comparator_MPW6/verilog/dv/Makefile
+/home/krishna/Comparator_MPW6/verilog/dv/mprj_por/mprj_por.c
+/home/krishna/Comparator_MPW6/verilog/dv/mprj_por/mprj_por_tb.v
+/home/krishna/Comparator_MPW6/verilog/dv/mprj_por/Makefile
+/home/krishna/Comparator_MPW6/verilog/rtl/uprj_analog_netlists.v
+/home/krishna/Comparator_MPW6/verilog/rtl/example_por.v
+/home/krishna/Comparator_MPW6/verilog/rtl/user_analog_proj_example.v
+/home/krishna/Comparator_MPW6/verilog/rtl/user_analog_project_wrapper.v
+/home/krishna/Comparator_MPW6/verilog/rtl/comparator.v
+/home/krishna/Comparator_MPW6/precheck_results/01_JUN_2022___10_26_55/outputs/reports/magic_drc_check.drc.report
+/home/krishna/Comparator_MPW6/precheck_results/01_JUN_2022___10_26_55/logs/klayout_zeroarea_check.total
+/home/krishna/Comparator_MPW6/precheck_results/01_JUN_2022___10_26_55/logs/tools.info
+/home/krishna/Comparator_MPW6/precheck_results/01_JUN_2022___10_26_55/logs/klayout_beol_check.total
+/home/krishna/Comparator_MPW6/precheck_results/01_JUN_2022___10_26_55/logs/klayout_pin_label_purposes_overlapping_drawing_check.total
+/home/krishna/Comparator_MPW6/precheck_results/01_JUN_2022___10_26_55/logs/klayout_feol_check.total
+/home/krishna/Comparator_MPW6/precheck_results/01_JUN_2022___10_26_55/logs/gds.info
+/home/krishna/Comparator_MPW6/precheck_results/01_JUN_2022___10_26_55/logs/pdks.info
+/home/krishna/Comparator_MPW6/precheck_results/01_JUN_2022___10_26_55/logs/magic_drc_check.total
+/home/krishna/Comparator_MPW6/precheck_results/01_JUN_2022___10_26_55/logs/klayout_offgrid_check.total
+/home/krishna/Comparator_MPW6/precheck_results/01_JUN_2022___10_26_55/logs/klayout_met_min_ca_density_check.total
+/home/krishna/Comparator_MPW6/precheck_results/04_JUN_2022___08_01_30/logs/tools.info
+/home/krishna/Comparator_MPW6/precheck_results/04_JUN_2022___08_01_30/logs/gds.info
+/home/krishna/Comparator_MPW6/precheck_results/04_JUN_2022___08_01_30/logs/pdks.info
+/home/krishna/Comparator_MPW6/precheck_results/04_JUN_2022___07_51_46/outputs/reports/magic_drc_check.drc.report
+/home/krishna/Comparator_MPW6/precheck_results/04_JUN_2022___07_51_46/logs/klayout_zeroarea_check.total
+/home/krishna/Comparator_MPW6/precheck_results/04_JUN_2022___07_51_46/logs/tools.info
+/home/krishna/Comparator_MPW6/precheck_results/04_JUN_2022___07_51_46/logs/klayout_beol_check.total
+/home/krishna/Comparator_MPW6/precheck_results/04_JUN_2022___07_51_46/logs/klayout_pin_label_purposes_overlapping_drawing_check.total
+/home/krishna/Comparator_MPW6/precheck_results/04_JUN_2022___07_51_46/logs/klayout_feol_check.total
+/home/krishna/Comparator_MPW6/precheck_results/04_JUN_2022___07_51_46/logs/gds.info
+/home/krishna/Comparator_MPW6/precheck_results/04_JUN_2022___07_51_46/logs/pdks.info
+/home/krishna/Comparator_MPW6/precheck_results/04_JUN_2022___07_51_46/logs/magic_drc_check.total
+/home/krishna/Comparator_MPW6/precheck_results/04_JUN_2022___07_51_46/logs/klayout_offgrid_check.total
+/home/krishna/Comparator_MPW6/precheck_results/04_JUN_2022___07_51_46/logs/klayout_met_min_ca_density_check.total
+/home/krishna/Comparator_MPW6/precheck_results/01_JUN_2022___10_22_16/outputs/reports/magic_drc_check.drc.report
+/home/krishna/Comparator_MPW6/precheck_results/01_JUN_2022___10_22_16/logs/klayout_zeroarea_check.total
+/home/krishna/Comparator_MPW6/precheck_results/01_JUN_2022___10_22_16/logs/tools.info
+/home/krishna/Comparator_MPW6/precheck_results/01_JUN_2022___10_22_16/logs/klayout_beol_check.total
+/home/krishna/Comparator_MPW6/precheck_results/01_JUN_2022___10_22_16/logs/klayout_pin_label_purposes_overlapping_drawing_check.total
+/home/krishna/Comparator_MPW6/precheck_results/01_JUN_2022___10_22_16/logs/klayout_feol_check.total
+/home/krishna/Comparator_MPW6/precheck_results/01_JUN_2022___10_22_16/logs/gds.info
+/home/krishna/Comparator_MPW6/precheck_results/01_JUN_2022___10_22_16/logs/pdks.info
+/home/krishna/Comparator_MPW6/precheck_results/01_JUN_2022___10_22_16/logs/magic_drc_check.total
+/home/krishna/Comparator_MPW6/precheck_results/01_JUN_2022___10_22_16/logs/klayout_offgrid_check.total
+/home/krishna/Comparator_MPW6/precheck_results/01_JUN_2022___10_22_16/logs/klayout_met_min_ca_density_check.total
+/home/krishna/Comparator_MPW6/precheck_results/04_JUN_2022___07_42_57/outputs/reports/magic_drc_check.drc.report
+/home/krishna/Comparator_MPW6/precheck_results/04_JUN_2022___07_42_57/logs/klayout_zeroarea_check.total
+/home/krishna/Comparator_MPW6/precheck_results/04_JUN_2022___07_42_57/logs/tools.info
+/home/krishna/Comparator_MPW6/precheck_results/04_JUN_2022___07_42_57/logs/klayout_beol_check.total
+/home/krishna/Comparator_MPW6/precheck_results/04_JUN_2022___07_42_57/logs/klayout_pin_label_purposes_overlapping_drawing_check.total
+/home/krishna/Comparator_MPW6/precheck_results/04_JUN_2022___07_42_57/logs/klayout_feol_check.total
+/home/krishna/Comparator_MPW6/precheck_results/04_JUN_2022___07_42_57/logs/gds.info
+/home/krishna/Comparator_MPW6/precheck_results/04_JUN_2022___07_42_57/logs/pdks.info
+/home/krishna/Comparator_MPW6/precheck_results/04_JUN_2022___07_42_57/logs/magic_drc_check.total
+/home/krishna/Comparator_MPW6/precheck_results/04_JUN_2022___07_42_57/logs/klayout_offgrid_check.total
+/home/krishna/Comparator_MPW6/precheck_results/04_JUN_2022___07_42_57/logs/klayout_met_min_ca_density_check.total
+/home/krishna/Comparator_MPW6/precheck_results/02_JUN_2022___13_34_14/outputs/reports/magic_drc_check.drc.report
+/home/krishna/Comparator_MPW6/precheck_results/02_JUN_2022___13_34_14/logs/klayout_zeroarea_check.total
+/home/krishna/Comparator_MPW6/precheck_results/02_JUN_2022___13_34_14/logs/tools.info
+/home/krishna/Comparator_MPW6/precheck_results/02_JUN_2022___13_34_14/logs/klayout_beol_check.total
+/home/krishna/Comparator_MPW6/precheck_results/02_JUN_2022___13_34_14/logs/klayout_pin_label_purposes_overlapping_drawing_check.total
+/home/krishna/Comparator_MPW6/precheck_results/02_JUN_2022___13_34_14/logs/klayout_feol_check.total
+/home/krishna/Comparator_MPW6/precheck_results/02_JUN_2022___13_34_14/logs/gds.info
+/home/krishna/Comparator_MPW6/precheck_results/02_JUN_2022___13_34_14/logs/pdks.info
+/home/krishna/Comparator_MPW6/precheck_results/02_JUN_2022___13_34_14/logs/magic_drc_check.total
+/home/krishna/Comparator_MPW6/precheck_results/02_JUN_2022___13_34_14/logs/klayout_offgrid_check.total
+/home/krishna/Comparator_MPW6/precheck_results/02_JUN_2022___13_34_14/logs/klayout_met_min_ca_density_check.total
+/home/krishna/Comparator_MPW6/precheck_results/04_JUN_2022___07_38_11/outputs/reports/magic_drc_check.drc.report
+/home/krishna/Comparator_MPW6/precheck_results/04_JUN_2022___07_38_11/logs/klayout_zeroarea_check.total
+/home/krishna/Comparator_MPW6/precheck_results/04_JUN_2022___07_38_11/logs/tools.info
+/home/krishna/Comparator_MPW6/precheck_results/04_JUN_2022___07_38_11/logs/klayout_beol_check.total
+/home/krishna/Comparator_MPW6/precheck_results/04_JUN_2022___07_38_11/logs/klayout_pin_label_purposes_overlapping_drawing_check.total
+/home/krishna/Comparator_MPW6/precheck_results/04_JUN_2022___07_38_11/logs/klayout_feol_check.total
+/home/krishna/Comparator_MPW6/precheck_results/04_JUN_2022___07_38_11/logs/gds.info
+/home/krishna/Comparator_MPW6/precheck_results/04_JUN_2022___07_38_11/logs/pdks.info
+/home/krishna/Comparator_MPW6/precheck_results/04_JUN_2022___07_38_11/logs/magic_drc_check.total
+/home/krishna/Comparator_MPW6/precheck_results/04_JUN_2022___07_38_11/logs/klayout_offgrid_check.total
+/home/krishna/Comparator_MPW6/precheck_results/04_JUN_2022___07_38_11/logs/klayout_met_min_ca_density_check.total
+/home/krishna/Comparator_MPW6/precheck_results/02_JUN_2022___13_44_02/outputs/reports/magic_drc_check.drc.report
+/home/krishna/Comparator_MPW6/precheck_results/02_JUN_2022___13_44_02/logs/klayout_zeroarea_check.total
+/home/krishna/Comparator_MPW6/precheck_results/02_JUN_2022___13_44_02/logs/tools.info
+/home/krishna/Comparator_MPW6/precheck_results/02_JUN_2022___13_44_02/logs/klayout_beol_check.total
+/home/krishna/Comparator_MPW6/precheck_results/02_JUN_2022___13_44_02/logs/klayout_pin_label_purposes_overlapping_drawing_check.total
+/home/krishna/Comparator_MPW6/precheck_results/02_JUN_2022___13_44_02/logs/klayout_feol_check.total
+/home/krishna/Comparator_MPW6/precheck_results/02_JUN_2022___13_44_02/logs/gds.info
+/home/krishna/Comparator_MPW6/precheck_results/02_JUN_2022___13_44_02/logs/pdks.info
+/home/krishna/Comparator_MPW6/precheck_results/02_JUN_2022___13_44_02/logs/magic_drc_check.total
+/home/krishna/Comparator_MPW6/precheck_results/02_JUN_2022___13_44_02/logs/klayout_offgrid_check.total
+/home/krishna/Comparator_MPW6/precheck_results/02_JUN_2022___13_44_02/logs/klayout_met_min_ca_density_check.total
+/home/krishna/Comparator_MPW6/mag/sky130_fd_pr__pfet_01v8_AC5Z8B#0.ext
+/home/krishna/Comparator_MPW6/mag/latch_3.ext
+/home/krishna/Comparator_MPW6/mag/inv_W2.ext
+/home/krishna/Comparator_MPW6/mag/sky130_fd_pr__diode_pw2nd_05v5_KLAK3C.ext
+/home/krishna/Comparator_MPW6/mag/sky130_fd_pr__diode_pw2nd_05v5_FT7GK8.ext
+/home/krishna/Comparator_MPW6/mag/sky130_fd_pr__diode_pw2nd_05v5_3P6M5Y.ext
+/home/krishna/Comparator_MPW6/mag/sky130_fd_pr__pfet_01v8_5SM9EE.ext
+/home/krishna/Comparator_MPW6/mag/latch_22.ext
+/home/krishna/Comparator_MPW6/mag/sky130_fd_pr__diode_pw2nd_05v5_GT7G3L.ext
+/home/krishna/Comparator_MPW6/mag/inv_W2#0.ext
+/home/krishna/Comparator_MPW6/mag/.magicrc
+/home/krishna/Comparator_MPW6/mag/sky130_fd_pr__diode_pd2nw_05v5_G4XDRY.ext
+/home/krishna/Comparator_MPW6/mag/sky130_fd_pr__nfet_01v8_XJTKXQ#1.ext
+/home/krishna/Comparator_MPW6/mag/sky130_fd_pr__nfet_01v8_XJTKXQ.ext
+/home/krishna/Comparator_MPW6/mag/sky130_fd_pr__pfet_01v8_AC5Z8B.ext
+/home/krishna/Comparator_MPW6/mag/buffer_2#1.ext
+/home/krishna/Comparator_MPW6/mag/comparator_v6.ext
+/home/krishna/Comparator_MPW6/mag/buffer_1#0.ext
+/home/krishna/Comparator_MPW6/mag/latch_2.ext
+/home/krishna/Comparator_MPW6/mag/user_analog_project_wrapper.ext
+/home/krishna/Comparator_MPW6/mag/buffer_2#0.ext
+/home/krishna/Comparator_MPW6/mag/sky130_fd_pr__diode_pd2nw_05v5_RT56W3.ext
+/home/krishna/Comparator_MPW6/mag/latch/SR_latch.ext
+/home/krishna/Comparator_MPW6/mag/latch/sky130_fd_pr__pfet_01v8_AC5Z8B#0.ext
+/home/krishna/Comparator_MPW6/mag/latch/sky130A.magicrc
+/home/krishna/Comparator_MPW6/mag/latch/latch_22.ext
+/home/krishna/Comparator_MPW6/mag/latch/sky130_fd_pr__pfet_01v8_AC5E9B.ext
+/home/krishna/Comparator_MPW6/mag/latch/.magicrc
+/home/krishna/Comparator_MPW6/mag/latch/sky130_fd_pr__nfet_01v8_XJTKXQ#1.ext
+/home/krishna/Comparator_MPW6/mag/latch/sky130_fd_pr__nfet_01v8_F5U58G.ext
+/home/krishna/Comparator_MPW6/mag/latch/sky130_fd_pr__pfet_01v8_GJYUB2.ext
+/home/krishna/Comparator_MPW6/mag/latch/latch_2.ext
+/home/krishna/Comparator_MPW6/mag/latch/sky130_fd_pr__pfet_01v8_5SVZDE.ext
+/home/krishna/Comparator_MPW6/mag/latch/latch.ext
+/home/krishna/Comparator_MPW6/mag/preamp/sky130_fd_pr__nfet_01v8_G6PLX8.ext
+/home/krishna/Comparator_MPW6/mag/preamp/preamp_part1.ext
+/home/krishna/Comparator_MPW6/mag/preamp/preamp_part2.ext
+/home/krishna/Comparator_MPW6/mag/preamp/sky130_fd_pr__nfet_01v8_XJTKXQ#0.ext
+/home/krishna/Comparator_MPW6/mag/preamp/sky130_fd_pr__nfet_01v8_F5U58G#1.ext
+/home/krishna/Comparator_MPW6/mag/preamp/.magicrc
+/home/krishna/Comparator_MPW6/mag/preamp/sky130_fd_pr__pfet_01v8_RFM3CD.ext
+/home/krishna/Comparator_MPW6/mag/preamp/sky130_fd_pr__nfet_01v8_8FHE5N.ext
+/home/krishna/Comparator_MPW6/mag/preamp/sky130_fd_pr__nfet_01v8_F5U58G#0.ext
+/home/krishna/Comparator_MPW6/xschem/xschemrc
+/home/krishna/Comparator_MPW6/xschem/buffer.sch
+/home/krishna/Comparator_MPW6/xschem/user_analog_project_wrapper.sym
+/home/krishna/Comparator_MPW6/xschem/SR_latch.sym
+/home/krishna/Comparator_MPW6/xschem/comparator.sch
+/home/krishna/Comparator_MPW6/xschem/comparator.sym
+/home/krishna/Comparator_MPW6/xschem/analog_wrapper_tb.sch
+/home/krishna/Comparator_MPW6/xschem/user_analog_project_wrapper.sch
+/home/krishna/Comparator_MPW6/xschem/.spiceinit
+/home/krishna/Comparator_MPW6/xschem/comparator_tb.sch
+/home/krishna/Comparator_MPW6/xschem/SR_latch.sch
+/home/krishna/Comparator_MPW6/xschem/buffer.sym
+/home/krishna/Comparator_MPW6/docs/Makefile
+/home/krishna/Comparator_MPW6/docs/environment.yml
+/home/krishna/Comparator_MPW6/docs/source/conf.py
+/home/krishna/Comparator_MPW6/docs/source/index.rst
+/home/krishna/Comparator_MPW6/netgen/run_lvs_wrapper_verilog.sh
+/home/krishna/Comparator_MPW6/netgen/run_lvs_por.sh
+/home/krishna/Comparator_MPW6/netgen/run_lvs_wrapper_xschem.sh
diff --git a/precheck_results/04_JUN_2022___08_01_30/logs/tools.info b/precheck_results/04_JUN_2022___08_01_30/logs/tools.info
new file mode 100644
index 0000000..9b2230a
--- /dev/null
+++ b/precheck_results/04_JUN_2022___08_01_30/logs/tools.info
@@ -0,0 +1,2 @@
+KLayout: 0.27.8
+Magic: 8.3.274
\ No newline at end of file
diff --git a/precheck_results/04_JUN_2022___08_01_30/logs/xor_check.log b/precheck_results/04_JUN_2022___08_01_30/logs/xor_check.log
new file mode 100644
index 0000000..1f62abe
--- /dev/null
+++ b/precheck_results/04_JUN_2022___08_01_30/logs/xor_check.log
@@ -0,0 +1,179 @@
+Reading file /home/krishna/Comparator_MPW6/gds/user_analog_project_wrapper.gds for cell user_analog_project_wrapper
+dbu=0.001
+cell user_analog_project_wrapper dbu-bbox(ll;ur)=(-4000,-4000;2924000,3524000)
+cell user_analog_project_wrapper dbu-bbox(left,bottom,right,top)=(-4000,-4000,2924000,3524000)
+cell user_analog_project_wrapper dbu-size(width,height)=(2928000,3528000)
+cell user_analog_project_wrapper micron-bbox(left,bottom,right,top)=(-4.0,-4.0,2924.0,3524.0)
+cell user_analog_project_wrapper micron-size(width,height)=(2928.0,3528.0)
+Done.
+
+Magic 8.3 revision 274 - Compiled on Fri Mar  4 22:54:15 UTC 2022.
+Starting magic under Tcl interpreter
+Using the terminal as the console.
+Using NULL graphics device.
+Processing system .magicrc file
+Loading "/home/krishna/mpw_precheckmpw6/checks/xor_check/erase_box.tcl" from command line.
+"sky130(vendor)" is not one of the CIF input styles Magic knows.
+Error: No style is set
+The CIF input styles are: .
+Don't know how to read GDS-II:
+Nothing in "cifinput" section of tech file.
+File user_analog_project_wrapper.mag couldn't be read
+No such file or directory
+Creating new cell
+Root cell box:
+           width x height  (   llx,  lly  ), (   urx,  ury  )  area (units^2)
+
+microns:  43.000 x 3520.000  (-43.000,  0.000), ( 0.000,  3520.000)  151360.000
+lambda:       43 x 3520    (   -43,  0    ), (     0,  3520 )  151360    
+Root cell box:
+           width x height  (   llx,  lly  ), (   urx,  ury  )  area (units^2)
+
+microns:  43.000 x 3520.000  ( 2920.000,  0.000), ( 2963.000,  3520.000)  151360.000
+lambda:       43 x 3520    (  2920,  0    ), (  2963,  3520 )  151360    
+Root cell box:
+           width x height  (   llx,  lly  ), (   urx,  ury  )  area (units^2)
+
+microns:  3006.000 x 38.000  (-43.000, -38.000), ( 2963.000,  0.000)  114228.000
+lambda:     3006 x 38      (   -43, -38   ), (  2963,  0    )  114228    
+Root cell box:
+           width x height  (   llx,  lly  ), (   urx,  ury  )  area (units^2)
+
+microns:  3006.000 x 37.000  (-43.000,  3520.000), ( 2963.000,  3557.000)  111222.000
+lambda:     3006 x 37      (   -43,  3520 ), (  2963,  3557 )  111222    
+Unrecognized layer: metal5
+Layer names are:
+    mag or magnet
+    f or fence
+    r or rotate
+    $
+    *
+    errors
+    labels
+    subcell
+    connect
+Unrecognized layer: metal4
+Layer names are:
+    mag or magnet
+    f or fence
+    r or rotate
+    $
+    *
+    errors
+    labels
+    subcell
+    connect
+Unrecognized layer: metal5
+Layer names are:
+    mag or magnet
+    f or fence
+    r or rotate
+    $
+    *
+    errors
+    labels
+    subcell
+    connect
+Unrecognized layer: metal4
+Layer names are:
+    mag or magnet
+    f or fence
+    r or rotate
+    $
+    *
+    errors
+    labels
+    subcell
+    connect
+No CIF/GDS output style set!
+I/O error in writing file /home/krishna/Comparator_MPW6/precheck_results/04_JUN_2022___08_01_30/outputs/user_analog_project_wrapper_erased.gds.
+File may be incompletely written.
+
+Magic 8.3 revision 274 - Compiled on Fri Mar  4 22:54:15 UTC 2022.
+Starting magic under Tcl interpreter
+Using the terminal as the console.
+Using NULL graphics device.
+Processing system .magicrc file
+Loading "/home/krishna/mpw_precheckmpw6/checks/xor_check/erase_box.tcl" from command line.
+"sky130(vendor)" is not one of the CIF input styles Magic knows.
+Error: No style is set
+The CIF input styles are: .
+Don't know how to read GDS-II:
+Nothing in "cifinput" section of tech file.
+File user_analog_project_wrapper.mag couldn't be read
+No such file or directory
+Creating new cell
+Root cell box:
+           width x height  (   llx,  lly  ), (   urx,  ury  )  area (units^2)
+
+microns:  43.000 x 3520.000  (-43.000,  0.000), ( 0.000,  3520.000)  151360.000
+lambda:       43 x 3520    (   -43,  0    ), (     0,  3520 )  151360    
+Root cell box:
+           width x height  (   llx,  lly  ), (   urx,  ury  )  area (units^2)
+
+microns:  43.000 x 3520.000  ( 2920.000,  0.000), ( 2963.000,  3520.000)  151360.000
+lambda:       43 x 3520    (  2920,  0    ), (  2963,  3520 )  151360    
+Root cell box:
+           width x height  (   llx,  lly  ), (   urx,  ury  )  area (units^2)
+
+microns:  3006.000 x 38.000  (-43.000, -38.000), ( 2963.000,  0.000)  114228.000
+lambda:     3006 x 38      (   -43, -38   ), (  2963,  0    )  114228    
+Root cell box:
+           width x height  (   llx,  lly  ), (   urx,  ury  )  area (units^2)
+
+microns:  3006.000 x 37.000  (-43.000,  3520.000), ( 2963.000,  3557.000)  111222.000
+lambda:     3006 x 37      (   -43,  3520 ), (  2963,  3557 )  111222    
+Unrecognized layer: metal5
+Layer names are:
+    mag or magnet
+    f or fence
+    r or rotate
+    $
+    *
+    errors
+    labels
+    subcell
+    connect
+Unrecognized layer: metal4
+Layer names are:
+    mag or magnet
+    f or fence
+    r or rotate
+    $
+    *
+    errors
+    labels
+    subcell
+    connect
+Unrecognized layer: metal5
+Layer names are:
+    mag or magnet
+    f or fence
+    r or rotate
+    $
+    *
+    errors
+    labels
+    subcell
+    connect
+Unrecognized layer: metal4
+Layer names are:
+    mag or magnet
+    f or fence
+    r or rotate
+    $
+    *
+    errors
+    labels
+    subcell
+    connect
+No CIF/GDS output style set!
+I/O error in writing file /home/krishna/Comparator_MPW6/precheck_results/04_JUN_2022___08_01_30/outputs/user_analog_project_wrapper_empty_erased.gds.
+File may be incompletely written.
+Reading /home/krishna/Comparator_MPW6/precheck_results/04_JUN_2022___08_01_30/outputs/user_analog_project_wrapper_erased.gds ..
+ERROR: In /home/krishna/mpw_precheckmpw6/checks/xor_check/xor.rb.drc: 'source': Stream has unknown format: /home/krishna/Comparator_MPW6/precheck_results/04_JUN_2022___08_01_30/outputs/user_analog_project_wrapper_erased.gds in Layout::read
+Total elapsed: 0.000s  Memory: 521.00M
+ERROR: 'source': Stream has unknown format: /home/krishna/Comparator_MPW6/precheck_results/04_JUN_2022___08_01_30/outputs/user_analog_project_wrapper_erased.gds in Layout::read in Executable::execute
+  /home/krishna/mpw_precheckmpw6/checks/xor_check/xor.rb.drc:15:in `execute'
+  :/built-in-macros/drc_interpreters.lym:27:in `instance_eval'
+  :/built-in-macros/drc_interpreters.lym:27:in `execute'
diff --git a/precheck_results/04_JUN_2022___08_01_30/outputs/reports/klayout_beol_check.xml b/precheck_results/04_JUN_2022___08_01_30/outputs/reports/klayout_beol_check.xml
new file mode 100644
index 0000000..9ad4ffd
--- /dev/null
+++ b/precheck_results/04_JUN_2022___08_01_30/outputs/reports/klayout_beol_check.xml
@@ -0,0 +1,447 @@
+<?xml version="1.0" encoding="utf-8"?>
+<report-database>
+ <description>SKY130 DRC runset</description>
+ <original-file/>
+ <generator>drc: script='/home/krishna/mpw_precheckmpw6/checks/tech-files/sky130A_mr.drc'</generator>
+ <top-cell>user_analog_project_wrapper</top-cell>
+ <tags>
+ </tags>
+ <categories>
+  <category>
+   <name>li.1</name>
+   <description>li.1 : min. li width : 0.17um</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>li.3</name>
+   <description>li.3 : min. li spacing : 0.17um</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>li.5</name>
+   <description>li.5 : min. li enclosure of licon of 2 adjacent edges : 0.08um</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>li.6</name>
+   <description>li.6 : min. li area : 0.0561um²</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>ct.1</name>
+   <description>ct.1: non-ring mcon should be rectangular</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>ct.1_a</name>
+   <description>ct.1_a : minimum width of mcon : 0.17um</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>ct.1_b</name>
+   <description>ct.1_b : maximum length of mcon : 0.17um</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>ct.2</name>
+   <description>ct.2 : min. mcon spacing : 0.19um</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>ct.4</name>
+   <description>ct.4 : mcon should covered by li</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>m1.1</name>
+   <description>m1.1 : min. m1 width : 0.14um</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>m1.2</name>
+   <description>m1.2 : min. m1 spacing : 0.14um</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>m1.3ab</name>
+   <description>m1.3ab : min. 3um.m1 spacing m1 : 0.28um</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>791_m1.4</name>
+   <description>791_m1.4 : min. m1 enclosure of mcon : 0.03um</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>m1.4</name>
+   <description>m1.4 : mcon periphery must be enclosed by m1</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>m1.4a</name>
+   <description>m1.4a : min. m1 enclosure of mcon for specific cells : 0.005um</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>m1.4a_a</name>
+   <description>m1.4a_a : mcon periph must be enclosed by met1 for specific cells</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>m1.6</name>
+   <description>m1.6 : min. m1 area : 0.083um²</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>m1.7</name>
+   <description>m1.7 : min. m1 with holes area : 0.14um²</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>m1.5</name>
+   <description>m1.5 : min. m1 enclosure of mcon of 2 adjacent edges : 0.06um</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>via.1a</name>
+   <description>via.1a : via outside of moduleCut should be rectangular</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>via.1a_a</name>
+   <description>via.1a_a : min. width of via outside of moduleCut : 0.15um</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>via.1a_b</name>
+   <description>via.1a_b : maximum length of via : 0.15um</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>via.2</name>
+   <description>via.2 : min. via spacing : 0.17um</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>via.4a</name>
+   <description>via.4a : min. m1 enclosure of 0.15um via : 0.055um</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>via.4a_a</name>
+   <description>via.4a_a : 0.15um via must be enclosed by met1</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>via.5a</name>
+   <description>via.5a : min. m1 enclosure of 0.15um via of 2 adjacent edges : 0.085um</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>m2.1</name>
+   <description>m2.1 : min. m2 width : 0.14um</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>m2.2</name>
+   <description>m2.2 : min. m2 spacing : 0.14um</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>m2.3ab</name>
+   <description>m2.3ab : min. 3um.m2 spacing m2 : 0.28um</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>m2.6</name>
+   <description>m2.6 : min. m2 area : 0.0676um²</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>m2.7</name>
+   <description>m2.7 : min. m2 holes area : 0.14um²</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>m2.4</name>
+   <description>m2.4 : min. m2 enclosure of via : 0.055um</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>m2.4_a</name>
+   <description>m2.4_a : via in periphery must be enclosed by met2</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>m2.5</name>
+   <description>m2.5 : min. m2 enclosure of via of 2 adjacent edges : 0.085um</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>via2.1a</name>
+   <description>via2.1a : via2 outside of moduleCut should be rectangular</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>via2.1a_a</name>
+   <description>via2.1a_a : min. width of via2 outside of moduleCut : 0.2um</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>via2.1a_b</name>
+   <description>via2.1a_b : maximum length of via2 : 0.2um</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>via2.2</name>
+   <description>via2.2 : min. via2 spacing : 0.2um</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>via2.4</name>
+   <description>via2.4 : min. m2 enclosure of via2 : 0.04um</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>via2.4_a</name>
+   <description>via2.4_a : via must be enclosed by met2</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>via2.5</name>
+   <description>via2.5 : min. m3 enclosure of via2 of 2 adjacent edges : 0.085um</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>m3.1</name>
+   <description>m3.1 : min. m3 width : 0.3um</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>m3.2</name>
+   <description>m3.2 : min. m3 spacing : 0.3um</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>m3.3cd</name>
+   <description>m3.3cd : min. 3um.m3 spacing m3 : 0.4um</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>m3.4</name>
+   <description>m3.4 : min. m3 enclosure of via2 : 0.065um</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>m3.4_a</name>
+   <description>m3.4_a : via2 must be enclosed by met3</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>via3.1</name>
+   <description>via3.1 : via3 outside of moduleCut should be rectangular</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>via3.1_a</name>
+   <description>via3.1_a : min. width of via3 outside of moduleCut : 0.2um</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>via3.1_b</name>
+   <description>via3.1_b : maximum length of via3 : 0.2um</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>via3.2</name>
+   <description>via3.2 : min. via3 spacing : 0.2um</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>via3.4</name>
+   <description>via3.4 : min. m3 enclosure of via3 : 0.06um</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>via3.4_a</name>
+   <description>via3.4_a : non-ring via3 must be enclosed by met3</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>via3.5</name>
+   <description>via3.5 : min. m3 enclosure of via3 of 2 adjacent edges : 0.09um</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>m4.1</name>
+   <description>m4.1 : min. m4 width : 0.3um</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>m4.2</name>
+   <description>m4.2 : min. m4 spacing : 0.3um</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>m4.4a</name>
+   <description>m4.4a : min. m4 area : 0.240um²</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>m4.5ab</name>
+   <description>m4.5ab : min. 3um.m4 spacing m4 : 0.4um</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>m4.3</name>
+   <description>m4.3 : min. m4 enclosure of via3 : 0.065um</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>m4.3_a</name>
+   <description>m4.3_a : via3 must be enclosed by met4</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>via4.1</name>
+   <description>via4.1 : via4 outside of moduleCut should be rectangular</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>via4.1_a</name>
+   <description>via4.1_a : min. width of via4 outside of moduleCut : 0.8um</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>via4.1_b</name>
+   <description>via4.1_b : maximum length of via4 : 0.8um</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>via4.2</name>
+   <description>via4.2 : min. via4 spacing : 0.8um</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>via4.4</name>
+   <description>via4.4 : min. m4 enclosure of via4 : 0.19um</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>via4.4_a</name>
+   <description>via4.4_a : m4 must enclose all via4</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>m5.1</name>
+   <description>m5.1 : min. m5 width : 1.6um</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>m5.2</name>
+   <description>m5.2 : min. m5 spacing : 1.6um</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>m5.3</name>
+   <description>m5.3 : min. m5 enclosure of via4 : 0.31um</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>m5.3_a</name>
+   <description>m5.3_a : via must be enclosed by m5</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>m5.4</name>
+   <description>m5.4 : min. m5 area : 4.0um²</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>pad.2</name>
+   <description>pad.2 : min. pad spacing : 1.27um</description>
+   <categories>
+   </categories>
+  </category>
+ </categories>
+ <cells>
+  <cell>
+   <name>user_analog_project_wrapper</name>
+   <variant/>
+   <references>
+   </references>
+  </cell>
+ </cells>
+ <items>
+ </items>
+</report-database>
diff --git a/precheck_results/04_JUN_2022___08_01_30/outputs/reports/klayout_feol_check.xml b/precheck_results/04_JUN_2022___08_01_30/outputs/reports/klayout_feol_check.xml
new file mode 100644
index 0000000..5c0c69c
--- /dev/null
+++ b/precheck_results/04_JUN_2022___08_01_30/outputs/reports/klayout_feol_check.xml
@@ -0,0 +1,363 @@
+<?xml version="1.0" encoding="utf-8"?>
+<report-database>
+ <description>SKY130 DRC runset</description>
+ <original-file/>
+ <generator>drc: script='/home/krishna/mpw_precheckmpw6/checks/tech-files/sky130A_mr.drc'</generator>
+ <top-cell>user_analog_project_wrapper</top-cell>
+ <tags>
+ </tags>
+ <categories>
+  <category>
+   <name>dnwell.2</name>
+   <description>dnwell.2 : min. dnwell width : 3.0um</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>nwell.1</name>
+   <description>nwell.1 : min. nwell width : 0.84um</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>nwell.2a</name>
+   <description>nwell.2a : min. nwell spacing (merged if less) : 1.27um</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>nwell.6</name>
+   <description>nwell.6 : min enclosure of nwellHole by dnwell : 1.03um</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>hvtp.1</name>
+   <description>hvtp.1 : min. hvtp width : 0.38um</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>hvtp.2</name>
+   <description>hvtp.2 : min. hvtp spacing : 0.38um</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>hvtr.1</name>
+   <description>hvtr.1 : min. hvtr width : 0.38um</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>hvtr.2</name>
+   <description>hvtr.2 : min. hvtr spacing : 0.38um</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>hvtr.2_a</name>
+   <description>hvtr.2_a : hvtr must not overlap hvtp</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>lvtn.1a</name>
+   <description>lvtn.1a : min. lvtn width : 0.38um</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>lvtn.2</name>
+   <description>lvtn.2 : min. lvtn spacing : 0.38um</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>ncm.1</name>
+   <description>ncm.1 : min. ncm width : 0.38um</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>ncm.2a</name>
+   <description>ncm.2a : min. ncm spacing : 0.38um</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>difftap.1</name>
+   <description>difftap.1 : min. diff width across areaid:ce : 0.15um</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>difftap.1_a</name>
+   <description>difftap.1_a : min. diff width in periphery : 0.15um</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>difftap.1_b</name>
+   <description>difftap.1_b : min. tap width across areaid:ce : 0.15um</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>difftap.1_c</name>
+   <description>difftap.1_c : min. tap width in periphery : 0.15um</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>difftap.3</name>
+   <description>difftap.3 : min. difftap spacing : 0.27um</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>tunm.1</name>
+   <description>tunm.1 : min. tunm width : 0.41um</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>tunm.2</name>
+   <description>tunm.2 : min. tunm spacing : 0.5um</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>poly.1a</name>
+   <description>poly.1a : min. poly width : 0.15um</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>poly.2</name>
+   <description>poly.2 : min. poly spacing : 0.21um</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>rpm.1a</name>
+   <description>rpm.1a : min. rpm width : 1.27um</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>rpm.2</name>
+   <description>rpm.2 : min. rpm spacing : 0.84um</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>urpm.1a</name>
+   <description>urpm.1a : min. rpm width : 1.27um</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>urpm.2</name>
+   <description>urpm.2 : min. rpm spacing : 0.84um</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>npc.1</name>
+   <description>npc.1 : min. npc width : 0.27um</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>npc.2</name>
+   <description>npc.2 : min. npc spacing, should be manually merged if less than : 0.27um</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>nsd.1</name>
+   <description>nsd.1 : min. nsdm width : 0.38um</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>nsd.2</name>
+   <description>nsd.2 : min. nsdm spacing, should be manually merged if less than : 0.38um</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>psd.1</name>
+   <description>psd.1 : min. psdm width : 0.38um</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>psd.2</name>
+   <description>psd.2 : min. psdm spacing, should be manually merged if less than : 0.38um</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>licon.1</name>
+   <description>licon.1 : licon should be rectangle</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>licon.1_a/b</name>
+   <description>licon.1_a/b : minimum/maximum width of licon : 0.17um</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>licon.13</name>
+   <description>licon.13 : min. difftap licon spacing to npc : 0.09um</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>licon.13_a</name>
+   <description>licon.13_a : licon of diffTap in periphery must not overlap npc</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>licon.17</name>
+   <description>licon.17 : Licons may not overlap both poly and (diff or tap)</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>capm.1</name>
+   <description>capm.1 : min. capm width : 1.0um</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>capm.2a</name>
+   <description>capm.2a : min. capm spacing : 0.84um</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>capm.2b</name>
+   <description>capm.2b : min. capm spacing : 1.2um</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>capm.2b_a</name>
+   <description>capm.2b_a : min. spacing of m3_bot_plate : 1.2um</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>capm.3</name>
+   <description>capm.3 : min. capm and m3 enclosure of m3 : 0.14um</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>capm.3_a</name>
+   <description>capm.3_a : min. m3 enclosure of capm : 0.14um</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>capm.4</name>
+   <description>capm.4 : min. capm enclosure of via3 : 0.14um</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>capm.5</name>
+   <description>capm.5 : min. capm spacing to via3 : 0.14um</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>cap2m.1</name>
+   <description>cap2m.1 : min. cap2m width : 1.0um</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>cap2m.2a</name>
+   <description>cap2m.2a : min. cap2m spacing : 0.84um</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>cap2m.2b</name>
+   <description>cap2m.2b : min. cap2m spacing : 1.2um</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>cap2m.2b_a</name>
+   <description>cap2m.2b_a : min. spacing of m4_bot_plate : 1.2um</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>cap2m.3</name>
+   <description>cap2m.3 : min. m4 enclosure of cap2m : 0.14um</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>cap2m.3_a</name>
+   <description>cap2m.3_a : min. m4 enclosure of cap2m : 0.14um</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>cap2m.4</name>
+   <description>cap2m.4 : min. cap2m enclosure of via4 : 0.14um</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>cap2m.5</name>
+   <description>cap2m.5 : min. cap2m spacing to via4 : 0.14um</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>hvi.1</name>
+   <description>hvi.1 : min. hvi width : 0.6um</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>hvi.2a</name>
+   <description>hvi.2a : min. hvi spacing : 0.7um</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>hvntm.1</name>
+   <description>hvntm.1 : min. hvntm width : 0.7um</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>hvntm.2</name>
+   <description>hvntm.2 : min. hvntm spacing : 0.7um</description>
+   <categories>
+   </categories>
+  </category>
+ </categories>
+ <cells>
+  <cell>
+   <name>user_analog_project_wrapper</name>
+   <variant/>
+   <references>
+   </references>
+  </cell>
+ </cells>
+ <items>
+ </items>
+</report-database>
diff --git a/precheck_results/04_JUN_2022___08_01_30/outputs/reports/klayout_met_min_ca_density_check.xml b/precheck_results/04_JUN_2022___08_01_30/outputs/reports/klayout_met_min_ca_density_check.xml
new file mode 100644
index 0000000..dc650ad
--- /dev/null
+++ b/precheck_results/04_JUN_2022___08_01_30/outputs/reports/klayout_met_min_ca_density_check.xml
@@ -0,0 +1,21 @@
+<?xml version="1.0" encoding="utf-8"?>
+<report-database>
+ <description>Density Checks</description>
+ <original-file/>
+ <generator>drc: script='/home/krishna/mpw_precheckmpw6/checks/drc_checks/klayout/met_min_ca_density.lydrc'</generator>
+ <top-cell>user_analog_project_wrapper</top-cell>
+ <tags>
+ </tags>
+ <categories>
+ </categories>
+ <cells>
+  <cell>
+   <name>user_analog_project_wrapper</name>
+   <variant/>
+   <references>
+   </references>
+  </cell>
+ </cells>
+ <items>
+ </items>
+</report-database>
diff --git a/precheck_results/04_JUN_2022___08_01_30/outputs/reports/klayout_offgrid_check.xml b/precheck_results/04_JUN_2022___08_01_30/outputs/reports/klayout_offgrid_check.xml
new file mode 100644
index 0000000..80a7de2
--- /dev/null
+++ b/precheck_results/04_JUN_2022___08_01_30/outputs/reports/klayout_offgrid_check.xml
@@ -0,0 +1,483 @@
+<?xml version="1.0" encoding="utf-8"?>
+<report-database>
+ <description>SKY130 DRC runset</description>
+ <original-file/>
+ <generator>drc: script='/home/krishna/mpw_precheckmpw6/checks/tech-files/sky130A_mr.drc'</generator>
+ <top-cell>user_analog_project_wrapper</top-cell>
+ <tags>
+ </tags>
+ <categories>
+  <category>
+   <name>dnwell_OFFGRID</name>
+   <description>x.1b : OFFGRID vertex on dnwell</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>dnwell_angle</name>
+   <description>x.3a : non 45 degree angle dnwell</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>nwell_OFFGRID</name>
+   <description>x.1b : OFFGRID vertex on nwell</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>nwell_angle</name>
+   <description>x.3a : non 45 degree angle nwell</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>pwbm_OFFGRID</name>
+   <description>x.1b : OFFGRID vertex on pwbm</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>pwbm_angle</name>
+   <description>x.3a : non 45 degree angle pwbm</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>pwde_OFFGRID</name>
+   <description>x.1b : OFFGRID vertex on pwde</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>pwde_angle</name>
+   <description>x.3a : non 45 degree angle pwde</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>hvtp_OFFGRID</name>
+   <description>x.1b : OFFGRID vertex on hvtp</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>hvtp_angle</name>
+   <description>x.3a : non 45 degree angle hvtp</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>hvtr_OFFGRID</name>
+   <description>x.1b : OFFGRID vertex on hvtr</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>hvtr_angle</name>
+   <description>x.3a : non 45 degree angle hvtr</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>lvtn_OFFGRID</name>
+   <description>x.1b : OFFGRID vertex on lvtn</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>lvtn_angle</name>
+   <description>x.3a : non 45 degree angle lvtn</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>ncm_OFFGRID</name>
+   <description>x.1b : OFFGRID vertex on ncm</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>ncm_angle</name>
+   <description>x.3a : non 45 degree angle ncm</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>diff_OFFGRID</name>
+   <description>x.1b : OFFGRID vertex on diff</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>tap_OFFGRID</name>
+   <description>x.1b : OFFGRID vertex on tap</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>diff_angle</name>
+   <description>x.2 : non 90 degree angle diff</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>diff_angle</name>
+   <description>x.2c : non 45 degree angle diff</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>tap_angle</name>
+   <description>x.2 : non 90 degree angle tap</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>tap_angle</name>
+   <description>x.2c : non 45 degree angle tap</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>tunm_OFFGRID</name>
+   <description>x.1b : OFFGRID vertex on tunm</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>tunm_angle</name>
+   <description>x.3a : non 45 degree angle tunm</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>poly_OFFGRID</name>
+   <description>x.1b : OFFGRID vertex on poly</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>poly_angle</name>
+   <description>x.2 : non 90 degree angle poly</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>rpm_OFFGRID</name>
+   <description>x.1b : OFFGRID vertex on rpm</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>rpm_angle</name>
+   <description>x.3a : non 45 degree angle rpm</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>npc_OFFGRID</name>
+   <description>x.1b : OFFGRID vertex on npc</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>npc_angle</name>
+   <description>x.3a : non 45 degree angle npc</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>nsdm_OFFGRID</name>
+   <description>x.1b : OFFGRID vertex on nsdm</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>nsdm_angle</name>
+   <description>x.3a : non 45 degree angle nsdm</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>psdm_OFFGRID</name>
+   <description>x.1b : OFFGRID vertex on psdm</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>psdm_angle</name>
+   <description>x.3a : non 45 degree angle psdm</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>licon_OFFGRID</name>
+   <description>x.1b : OFFGRID vertex on licon</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>licon_angle</name>
+   <description>x.2 : non 90 degree angle licon</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>li_OFFGRID</name>
+   <description>x.1b : OFFGRID vertex on li</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>li_angle</name>
+   <description>x.3a : non 45 degree angle li</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>ct_OFFGRID</name>
+   <description>x.1b : OFFGRID vertex on mcon</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>ct_angle</name>
+   <description>x.2 : non 90 degree angle mcon</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>vpp_OFFGRID</name>
+   <description>x.1b : OFFGRID vertex on vpp</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>vpp_angle</name>
+   <description>x.3a : non 45 degree angle vpp</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>m1_OFFGRID</name>
+   <description>x.1b : OFFGRID vertex on m1</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>m1_angle</name>
+   <description>x.3a : non 45 degree angle m1</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>via_OFFGRID</name>
+   <description>x.1b : OFFGRID vertex on via</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>via_angle</name>
+   <description>x.2 : non 90 degree angle via</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>m2_OFFGRID</name>
+   <description>x.1b : OFFGRID vertex on m2</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>m2_angle</name>
+   <description>x.3a : non 45 degree angle m2</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>via2_OFFGRID</name>
+   <description>x.1b : OFFGRID vertex on via2</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>via2_angle</name>
+   <description>x.2 : non 90 degree angle via2</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>m3_OFFGRID</name>
+   <description>x.1b : OFFGRID vertex on m3</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>m3_angle</name>
+   <description>x.3a : non 45 degree angle m3</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>via3_OFFGRID</name>
+   <description>x.1b : OFFGRID vertex on via3</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>via3_angle</name>
+   <description>x.2 : non 90 degree angle via3</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>nsm_OFFGRID</name>
+   <description>x.1b : OFFGRID vertex on nsm</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>nsm_angle</name>
+   <description>x.3a : non 45 degree angle nsm</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>m4_OFFGRID</name>
+   <description>x.1b : OFFGRID vertex on m4</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>m4_angle</name>
+   <description>x.3a : non 45 degree angle m4</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>via4_OFFGRID</name>
+   <description>x.1b : OFFGRID vertex on via4</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>via4_angle</name>
+   <description>x.2 : non 90 degree angle via4</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>m5_OFFGRID</name>
+   <description>x.1b : OFFGRID vertex on m5</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>m5_angle</name>
+   <description>x.3a : non 45 degree angle m5</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>pad_OFFGRID</name>
+   <description>x.1b : OFFGRID vertex on pad</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>pad_angle</name>
+   <description>x.3a : non 45 degree angle pad</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>mf_OFFGRID</name>
+   <description>x.1b : OFFGRID vertex on mf</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>mf_angle</name>
+   <description>x.2 : non 90 degree angle mf</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>hvi_OFFGRID</name>
+   <description>x.1b : OFFGRID vertex on hvi</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>hvi_angle</name>
+   <description>x.3a : non 45 degree angle hvi</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>hvntm_OFFGRID</name>
+   <description>x.1b : OFFGRID vertex on hvntm</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>hvntm_angle</name>
+   <description>x.3a : non 45 degree angle hvntm</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>vhvi_OFFGRID</name>
+   <description>x.1b : OFFGRID vertex on vhvi</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>vhvi_angle</name>
+   <description>x.3a : non 45 degree angle vhvi</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>uhvi_OFFGRID</name>
+   <description>x.1b : OFFGRID vertex on uhvi</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>uhvi_angle</name>
+   <description>x.3a : non 45 degree angle uhvi</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>pwell_rs_OFFGRID</name>
+   <description>x.1b : OFFGRID vertex on pwell_rs</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>pwell_rs_angle</name>
+   <description>x.3a : non 45 degree angle pwell_rs</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>areaid_re_OFFGRID</name>
+   <description>x.1b : OFFGRID vertex on areaid.re</description>
+   <categories>
+   </categories>
+  </category>
+ </categories>
+ <cells>
+  <cell>
+   <name>user_analog_project_wrapper</name>
+   <variant/>
+   <references>
+   </references>
+  </cell>
+ </cells>
+ <items>
+ </items>
+</report-database>
diff --git a/precheck_results/04_JUN_2022___08_01_30/outputs/reports/klayout_pin_label_purposes_overlapping_drawing_check.xml b/precheck_results/04_JUN_2022___08_01_30/outputs/reports/klayout_pin_label_purposes_overlapping_drawing_check.xml
new file mode 100644
index 0000000..e34e7e9
--- /dev/null
+++ b/precheck_results/04_JUN_2022___08_01_30/outputs/reports/klayout_pin_label_purposes_overlapping_drawing_check.xml
@@ -0,0 +1,38 @@
+<?xml version="1.0" encoding="utf-8"?>
+<report-database>
+ <description>pin_label_purposes_overlapping_drawing.rb.drc, input=/home/krishna/Comparator_MPW6/gds/user_analog_project_wrapper.gds, topcell=user_analog_project_wrapper</description>
+ <original-file/>
+ <generator>drc: script='/home/krishna/mpw_precheckmpw6/checks/drc_checks/klayout/pin_label_purposes_overlapping_drawing.rb.drc'</generator>
+ <top-cell>user_analog_project_wrapper</top-cell>
+ <tags>
+ </tags>
+ <categories>
+  <category>
+   <name>70/16: met3, pin/label not-over drawing:70/20</name>
+   <description/>
+   <categories>
+   </categories>
+  </category>
+ </categories>
+ <cells>
+  <cell>
+   <name>user_analog_project_wrapper</name>
+   <variant/>
+   <references>
+   </references>
+  </cell>
+ </cells>
+ <items>
+  <item>
+   <tags/>
+   <category>'70/16: met3, pin/label not-over drawing:70/20'</category>
+   <cell>user_analog_project_wrapper</cell>
+   <visited>false</visited>
+   <multiplicity>1</multiplicity>
+   <image/>
+   <values>
+    <value>polygon: (852.96,3511.5;852.96,3513.28;852.98,3513.28;852.98,3511.5)</value>
+   </values>
+  </item>
+ </items>
+</report-database>
diff --git a/precheck_results/04_JUN_2022___08_01_30/outputs/reports/klayout_zeroarea_check.xml b/precheck_results/04_JUN_2022___08_01_30/outputs/reports/klayout_zeroarea_check.xml
new file mode 100644
index 0000000..c90408a
--- /dev/null
+++ b/precheck_results/04_JUN_2022___08_01_30/outputs/reports/klayout_zeroarea_check.xml
@@ -0,0 +1,21 @@
+<?xml version="1.0" encoding="utf-8"?>
+<report-database>
+ <description>zero area check</description>
+ <original-file/>
+ <generator>drc: script='/home/krishna/mpw_precheckmpw6/checks/drc_checks/klayout/zeroarea.rb.drc'</generator>
+ <top-cell>user_analog_project_wrapper</top-cell>
+ <tags>
+ </tags>
+ <categories>
+ </categories>
+ <cells>
+  <cell>
+   <name>user_analog_project_wrapper</name>
+   <variant/>
+   <references>
+   </references>
+  </cell>
+ </cells>
+ <items>
+ </items>
+</report-database>
diff --git a/precheck_results/04_JUN_2022___08_01_30/outputs/reports/magic_drc_check.drc.report b/precheck_results/04_JUN_2022___08_01_30/outputs/reports/magic_drc_check.drc.report
new file mode 100644
index 0000000..829b9d5
--- /dev/null
+++ b/precheck_results/04_JUN_2022___08_01_30/outputs/reports/magic_drc_check.drc.report
@@ -0,0 +1,5 @@
+user_analog_project_wrapper
+----------------------------------------
+[INFO]: COUNT: 0
+[INFO]: Should be divided by 3 or 4
+
diff --git a/precheck_results/04_JUN_2022___08_01_30/outputs/reports/magic_drc_check.rdb b/precheck_results/04_JUN_2022___08_01_30/outputs/reports/magic_drc_check.rdb
new file mode 100644
index 0000000..8a882f3
--- /dev/null
+++ b/precheck_results/04_JUN_2022___08_01_30/outputs/reports/magic_drc_check.rdb
@@ -0,0 +1,2 @@
+$user_analog_project_wrapper
+ 100
diff --git a/precheck_results/04_JUN_2022___08_01_30/outputs/reports/magic_drc_check.tcl b/precheck_results/04_JUN_2022___08_01_30/outputs/reports/magic_drc_check.tcl
new file mode 100644
index 0000000..e69de29
--- /dev/null
+++ b/precheck_results/04_JUN_2022___08_01_30/outputs/reports/magic_drc_check.tcl
diff --git a/precheck_results/04_JUN_2022___08_01_30/outputs/reports/magic_drc_check.tr b/precheck_results/04_JUN_2022___08_01_30/outputs/reports/magic_drc_check.tr
new file mode 100644
index 0000000..e69de29
--- /dev/null
+++ b/precheck_results/04_JUN_2022___08_01_30/outputs/reports/magic_drc_check.tr
diff --git a/precheck_results/04_JUN_2022___08_01_30/outputs/reports/magic_drc_check.xml b/precheck_results/04_JUN_2022___08_01_30/outputs/reports/magic_drc_check.xml
new file mode 100644
index 0000000..7161d7c
--- /dev/null
+++ b/precheck_results/04_JUN_2022___08_01_30/outputs/reports/magic_drc_check.xml
@@ -0,0 +1,10 @@
+<?xml version="1.0" ?>
+<report-database>
+    <categories/>
+    <cells>
+        <cell>
+            <name>user_analog_project_wrapper</name>
+        </cell>
+    </cells>
+    <items/>
+</report-database>
diff --git a/precheck_results/04_JUN_2022___08_01_30/outputs/user_analog_project_wrapper.magic.drc.mag b/precheck_results/04_JUN_2022___08_01_30/outputs/user_analog_project_wrapper.magic.drc.mag
new file mode 100644
index 0000000..bc7865b
--- /dev/null
+++ b/precheck_results/04_JUN_2022___08_01_30/outputs/user_analog_project_wrapper.magic.drc.mag
@@ -0,0 +1,7 @@
+magic
+tech minimum
+magscale 1 2
+timestamp 0
+<< checkpaint >>
+rect 0 0 1 1
+<< end >>
diff --git a/precheck_results/04_JUN_2022___08_01_30/outputs/user_analog_project_wrapper_empty_erased.gds b/precheck_results/04_JUN_2022___08_01_30/outputs/user_analog_project_wrapper_empty_erased.gds
new file mode 100644
index 0000000..e69de29
--- /dev/null
+++ b/precheck_results/04_JUN_2022___08_01_30/outputs/user_analog_project_wrapper_empty_erased.gds
diff --git a/precheck_results/04_JUN_2022___08_01_30/outputs/user_analog_project_wrapper_erased.gds b/precheck_results/04_JUN_2022___08_01_30/outputs/user_analog_project_wrapper_erased.gds
new file mode 100644
index 0000000..e69de29
--- /dev/null
+++ b/precheck_results/04_JUN_2022___08_01_30/outputs/user_analog_project_wrapper_erased.gds
diff --git a/precheck_results/04_JUN_2022___08_01_30/outputs/user_analog_project_wrapper_no_zero_areas.gds b/precheck_results/04_JUN_2022___08_01_30/outputs/user_analog_project_wrapper_no_zero_areas.gds
new file mode 100644
index 0000000..f59e98c
--- /dev/null
+++ b/precheck_results/04_JUN_2022___08_01_30/outputs/user_analog_project_wrapper_no_zero_areas.gds
Binary files differ
diff --git a/precheck_results/04_JUN_2022___08_03_50/logs/gds.info b/precheck_results/04_JUN_2022___08_03_50/logs/gds.info
new file mode 100644
index 0000000..5637d97
--- /dev/null
+++ b/precheck_results/04_JUN_2022___08_03_50/logs/gds.info
@@ -0,0 +1 @@
+user_analog_project_wrapper.gds: 7de72c1ef580be420c1adf9526c27720009c9dfc
\ No newline at end of file
diff --git a/precheck_results/04_JUN_2022___08_03_50/logs/klayout_beol_check.log b/precheck_results/04_JUN_2022___08_03_50/logs/klayout_beol_check.log
new file mode 100644
index 0000000..4cd961f
--- /dev/null
+++ b/precheck_results/04_JUN_2022___08_03_50/logs/klayout_beol_check.log
@@ -0,0 +1,992 @@
+/home/krishna/mpw_precheckmpw6/checks/tech-files/sky130A_mr.drc:38: warning: already initialized constant DRC::DRCEngine::FEOL
+/home/krishna/mpw_precheckmpw6/checks/tech-files/sky130A_mr.drc:28: warning: previous definition of FEOL was here
+/home/krishna/mpw_precheckmpw6/checks/tech-files/sky130A_mr.drc:42: warning: already initialized constant DRC::DRCEngine::BEOL
+/home/krishna/mpw_precheckmpw6/checks/tech-files/sky130A_mr.drc:29: warning: previous definition of BEOL was here
+/home/krishna/mpw_precheckmpw6/checks/tech-files/sky130A_mr.drc:50: warning: already initialized constant DRC::DRCEngine::OFFGRID
+/home/krishna/mpw_precheckmpw6/checks/tech-files/sky130A_mr.drc:30: warning: previous definition of OFFGRID was here
+/home/krishna/mpw_precheckmpw6/checks/tech-files/sky130A_mr.drc:56: warning: already initialized constant DRC::DRCEngine::SEAL
+/home/krishna/mpw_precheckmpw6/checks/tech-files/sky130A_mr.drc:31: warning: previous definition of SEAL was here
+/home/krishna/mpw_precheckmpw6/checks/tech-files/sky130A_mr.drc:62: warning: already initialized constant DRC::DRCEngine::FLOATING_MET
+/home/krishna/mpw_precheckmpw6/checks/tech-files/sky130A_mr.drc:32: warning: previous definition of FLOATING_MET was here
+"input" in: sky130A_mr.drc:112
+    Polygons (raw): 62 (flat)  25 (hierarchical)
+    Elapsed: 0.000s  Memory: 522.00M
+"polygons" in: sky130A_mr.drc:113
+    Polygons (raw): 38 (flat)  30 (hierarchical)
+    Elapsed: 0.010s  Memory: 522.00M
+"polygons" in: sky130A_mr.drc:114
+    Polygons (raw): 89 (flat)  69 (hierarchical)
+    Elapsed: 0.000s  Memory: 522.00M
+"polygons" in: sky130A_mr.drc:115
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 522.00M
+"polygons" in: sky130A_mr.drc:116
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 522.00M
+"polygons" in: sky130A_mr.drc:117
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 522.00M
+"polygons" in: sky130A_mr.drc:118
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 522.00M
+"polygons" in: sky130A_mr.drc:119
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 522.00M
+"polygons" in: sky130A_mr.drc:120
+    Polygons (raw): 10 (flat)  2 (hierarchical)
+    Elapsed: 0.000s  Memory: 522.00M
+"polygons" in: sky130A_mr.drc:121
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 522.00M
+"polygons" in: sky130A_mr.drc:122
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 522.00M
+"polygons" in: sky130A_mr.drc:123
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 522.00M
+"polygons" in: sky130A_mr.drc:124
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 522.00M
+"polygons" in: sky130A_mr.drc:125
+    Polygons (raw): 202 (flat)  116 (hierarchical)
+    Elapsed: 0.010s  Memory: 522.00M
+"polygons" in: sky130A_mr.drc:126
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 522.00M
+"polygons" in: sky130A_mr.drc:127
+    Polygons (raw): 62 (flat)  43 (hierarchical)
+    Elapsed: 0.010s  Memory: 522.00M
+"polygons" in: sky130A_mr.drc:128
+    Polygons (raw): 52 (flat)  26 (hierarchical)
+    Elapsed: 0.000s  Memory: 522.00M
+"polygons" in: sky130A_mr.drc:129
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 522.00M
+"polygons" in: sky130A_mr.drc:130
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 522.00M
+"polygons" in: sky130A_mr.drc:131
+    Polygons (raw): 33 (flat)  24 (hierarchical)
+    Elapsed: 0.010s  Memory: 522.00M
+"polygons" in: sky130A_mr.drc:132
+    Polygons (raw): 907 (flat)  291 (hierarchical)
+    Elapsed: 0.000s  Memory: 522.00M
+"polygons" in: sky130A_mr.drc:134
+    Polygons (raw): 566 (flat)  394 (hierarchical)
+    Elapsed: 0.010s  Memory: 522.00M
+"polygons" in: sky130A_mr.drc:135
+    Polygons (raw): 1756 (flat)  1480 (hierarchical)
+    Elapsed: 0.000s  Memory: 522.00M
+"polygons" in: sky130A_mr.drc:137
+    Polygons (raw): 349 (flat)  299 (hierarchical)
+    Elapsed: 0.010s  Memory: 522.00M
+"polygons" in: sky130A_mr.drc:138
+    Polygons (raw): 2570 (flat)  2570 (hierarchical)
+    Elapsed: 0.010s  Memory: 522.00M
+"polygons" in: sky130A_mr.drc:140
+    Polygons (raw): 603 (flat)  603 (hierarchical)
+    Elapsed: 0.000s  Memory: 522.00M
+"polygons" in: sky130A_mr.drc:141
+    Polygons (raw): 1761 (flat)  1761 (hierarchical)
+    Elapsed: 0.010s  Memory: 522.00M
+"polygons" in: sky130A_mr.drc:143
+    Polygons (raw): 458 (flat)  458 (hierarchical)
+    Elapsed: 0.000s  Memory: 522.00M
+"polygons" in: sky130A_mr.drc:144
+    Polygons (raw): 25800 (flat)  25800 (hierarchical)
+    Elapsed: 0.020s  Memory: 523.00M
+"polygons" in: sky130A_mr.drc:146
+    Polygons (raw): 177 (flat)  177 (hierarchical)
+    Elapsed: 0.010s  Memory: 523.00M
+"polygons" in: sky130A_mr.drc:147
+    Polygons (raw): 552 (flat)  552 (hierarchical)
+    Elapsed: 0.000s  Memory: 523.00M
+"polygons" in: sky130A_mr.drc:149
+    Polygons (raw): 153 (flat)  153 (hierarchical)
+    Elapsed: 0.010s  Memory: 523.00M
+"polygons" in: sky130A_mr.drc:151
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 523.00M
+"polygons" in: sky130A_mr.drc:152
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 523.00M
+"polygons" in: sky130A_mr.drc:153
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 523.00M
+"polygons" in: sky130A_mr.drc:154
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 523.00M
+"polygons" in: sky130A_mr.drc:155
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 523.00M
+"polygons" in: sky130A_mr.drc:156
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 523.00M
+"polygons" in: sky130A_mr.drc:157
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 523.00M
+"polygons" in: sky130A_mr.drc:158
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 523.00M
+"polygons" in: sky130A_mr.drc:159
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 523.00M
+"polygons" in: sky130A_mr.drc:160
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 523.00M
+"polygons" in: sky130A_mr.drc:161
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 523.00M
+"polygons" in: sky130A_mr.drc:162
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 523.00M
+"polygons" in: sky130A_mr.drc:163
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 523.00M
+"polygons" in: sky130A_mr.drc:164
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 523.00M
+"polygons" in: sky130A_mr.drc:165
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 523.00M
+"polygons" in: sky130A_mr.drc:166
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 523.00M
+"polygons" in: sky130A_mr.drc:167
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 523.00M
+"polygons" in: sky130A_mr.drc:168
+    Polygons (raw): 10 (flat)  2 (hierarchical)
+    Elapsed: 0.010s  Memory: 523.00M
+"polygons" in: sky130A_mr.drc:169
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 523.00M
+"polygons" in: sky130A_mr.drc:170
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 523.00M
+"polygons" in: sky130A_mr.drc:171
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 523.00M
+"polygons" in: sky130A_mr.drc:172
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 523.00M
+"polygons" in: sky130A_mr.drc:173
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 523.00M
+"polygons" in: sky130A_mr.drc:174
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 523.00M
+"polygons" in: sky130A_mr.drc:175
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 523.00M
+"polygons" in: sky130A_mr.drc:176
+    Polygons (raw): 1 (flat)  1 (hierarchical)
+    Elapsed: 0.010s  Memory: 523.00M
+"polygons" in: sky130A_mr.drc:177
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 523.00M
+"polygons" in: sky130A_mr.drc:178
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 523.00M
+"polygons" in: sky130A_mr.drc:179
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 523.00M
+"polygons" in: sky130A_mr.drc:180
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 523.00M
+"polygons" in: sky130A_mr.drc:181
+    Polygons (raw): 4 (flat)  3 (hierarchical)
+    Elapsed: 0.000s  Memory: 523.00M
+"polygons" in: sky130A_mr.drc:182
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 523.00M
+"polygons" in: sky130A_mr.drc:183
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 523.00M
+"polygons" in: sky130A_mr.drc:184
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 523.00M
+"polygons" in: sky130A_mr.drc:185
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 523.00M
+"polygons" in: sky130A_mr.drc:186
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 523.00M
+"polygons" in: sky130A_mr.drc:187
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 523.00M
+"polygons" in: sky130A_mr.drc:188
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 523.00M
+"polygons" in: sky130A_mr.drc:189
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 523.00M
+"polygons" in: sky130A_mr.drc:190
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 523.00M
+"polygons" in: sky130A_mr.drc:191
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 523.00M
+"polygons" in: sky130A_mr.drc:192
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 523.00M
+"polygons" in: sky130A_mr.drc:193
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 523.00M
+"polygons" in: sky130A_mr.drc:194
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 523.00M
+"polygons" in: sky130A_mr.drc:195
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 523.00M
+"polygons" in: sky130A_mr.drc:196
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 523.00M
+"polygons" in: sky130A_mr.drc:197
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 523.00M
+"polygons" in: sky130A_mr.drc:198
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 523.00M
+"polygons" in: sky130A_mr.drc:199
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 523.00M
+"polygons" in: sky130A_mr.drc:200
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 523.00M
+"polygons" in: sky130A_mr.drc:201
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 523.00M
+"polygons" in: sky130A_mr.drc:202
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 523.00M
+"polygons" in: sky130A_mr.drc:203
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 523.00M
+DRC section
+BEOL section
+START: 67/20 (li)
+"not" in: sky130A_mr.drc:381
+    Polygons (raw): 566 (flat)  394 (hierarchical)
+    Elapsed: 0.010s  Memory: 523.00M
+"width" in: sky130A_mr.drc:382
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 523.00M
+"output" in: sky130A_mr.drc:382
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 523.00M
+"edges" in: sky130A_mr.drc:384
+    Edges: 2849 (flat)  1598 (hierarchical)
+    Elapsed: 0.020s  Memory: 1067.00M
+"space" in: sky130A_mr.drc:384
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.020s  Memory: 1067.00M
+"output" in: sky130A_mr.drc:384
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 1067.00M
+"not" in: sky130A_mr.drc:385
+    Polygons (raw): 907 (flat)  291 (hierarchical)
+    Elapsed: 0.000s  Memory: 1067.00M
+"enclosing" in: sky130A_mr.drc:386
+    Edge pairs: 1195 (flat)  859 (hierarchical)
+    Elapsed: 0.030s  Memory: 1067.00M
+"second_edges" in: sky130A_mr.drc:386
+    Edges: 1195 (flat)  859 (hierarchical)
+    Elapsed: 0.010s  Memory: 1067.00M
+"width" in: sky130A_mr.drc:387
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 1067.00M
+"polygons" in: sky130A_mr.drc:388
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 1067.00M
+"interacting" in: sky130A_mr.drc:388
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 1067.00M
+"output" in: sky130A_mr.drc:389
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 1067.00M
+"with_area" in: sky130A_mr.drc:390
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 1067.00M
+"output" in: sky130A_mr.drc:390
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 1067.00M
+END: 67/20 (li)
+START: 67/44 (mcon)
+"not" in: sky130A_mr.drc:395
+    Polygons (raw): 1756 (flat)  1480 (hierarchical)
+    Elapsed: 0.000s  Memory: 1067.00M
+"not" in: sky130A_mr.drc:402
+    Polygons (raw): 1756 (flat)  1480 (hierarchical)
+    Elapsed: 0.010s  Memory: 1067.00M
+"non_rectangles" in: sky130A_mr.drc:403
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 1068.00M
+"output" in: sky130A_mr.drc:403
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 1068.00M
+"drc" in: sky130A_mr.drc:405
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 1068.00M
+"output" in: sky130A_mr.drc:405
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 1068.00M
+"drc" in: sky130A_mr.drc:406
+    Edges: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 1068.00M
+"output" in: sky130A_mr.drc:406
+    Edges: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 1068.00M
+"space" in: sky130A_mr.drc:407
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 1068.00M
+"output" in: sky130A_mr.drc:407
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 1068.00M
+"not" in: sky130A_mr.drc:413
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 1068.00M
+"output" in: sky130A_mr.drc:413
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 1068.00M
+END: 67/44 (mcon)
+START: 68/20 (m1)
+"width" in: sky130A_mr.drc:418
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 1068.00M
+"output" in: sky130A_mr.drc:418
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 1068.00M
+"sized" in: sky130A_mr.drc:419
+    Polygons (raw): 17 (flat)  17 (hierarchical)
+    Elapsed: 0.000s  Memory: 1068.00M
+"sized" in: sky130A_mr.drc:419
+    Polygons (raw): 17 (flat)  17 (hierarchical)
+    Elapsed: 0.010s  Memory: 1068.00M
+"snap" in: sky130A_mr.drc:419
+    Polygons (raw): 17 (flat)  17 (hierarchical)
+    Elapsed: 0.000s  Memory: 1068.00M
+"&" in: sky130A_mr.drc:419
+    Polygons (raw): 17 (flat)  17 (hierarchical)
+    Elapsed: 0.000s  Memory: 1068.00M
+"edges" in: sky130A_mr.drc:420
+    Edges: 1235 (flat)  1093 (hierarchical)
+    Elapsed: 0.010s  Memory: 1068.00M
+"-" in: sky130A_mr.drc:420
+    Edges: 1100 (flat)  964 (hierarchical)
+    Elapsed: 0.010s  Memory: 1068.00M
+"edges" in: sky130A_mr.drc:421
+    Edges: 122 (flat)  122 (hierarchical)
+    Elapsed: 0.010s  Memory: 1068.00M
+"merged" in: sky130A_mr.drc:421
+    Polygons (raw): 123 (flat)  113 (hierarchical)
+    Elapsed: 0.010s  Memory: 1068.00M
+"outside_part" in: sky130A_mr.drc:421
+    Edges: 138 (flat)  138 (hierarchical)
+    Elapsed: 0.000s  Memory: 1068.00M
+"space" in: sky130A_mr.drc:423
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 1068.00M
+"output" in: sky130A_mr.drc:423
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 1068.00M
+"separation" in: sky130A_mr.drc:425
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 1068.00M
+"space" in: sky130A_mr.drc:425
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 1068.00M
+"+" in: sky130A_mr.drc:425
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 1068.00M
+"output" in: sky130A_mr.drc:425
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 1068.00M
+"input" in: sky130A_mr.drc:429
+    Polygons (raw): 349 (flat)  305 (hierarchical)
+    Elapsed: 0.000s  Memory: 1068.00M
+"enclosing" in: sky130A_mr.drc:431
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.020s  Memory: 1068.00M
+"output" in: sky130A_mr.drc:431
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 1068.00M
+"not" in: sky130A_mr.drc:432
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 1068.00M
+"output" in: sky130A_mr.drc:432
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 1068.00M
+"input" in: sky130A_mr.drc:434
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 1068.00M
+"enclosing" in: sky130A_mr.drc:435
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 1068.00M
+"output" in: sky130A_mr.drc:435
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 1068.00M
+"not" in: sky130A_mr.drc:437
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 1068.00M
+"output" in: sky130A_mr.drc:437
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 1068.00M
+"with_area" in: sky130A_mr.drc:439
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 1068.00M
+"output" in: sky130A_mr.drc:439
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 1068.00M
+"holes" in: sky130A_mr.drc:441
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 1068.00M
+"with_area" in: sky130A_mr.drc:441
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 1068.00M
+"output" in: sky130A_mr.drc:441
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 1068.00M
+"enclosing" in: sky130A_mr.drc:448
+    Edge pairs: 245 (flat)  205 (hierarchical)
+    Elapsed: 0.020s  Memory: 1068.00M
+"second_edges" in: sky130A_mr.drc:448
+    Edges: 245 (flat)  205 (hierarchical)
+    Elapsed: 0.010s  Memory: 1068.00M
+"width" in: sky130A_mr.drc:449
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 1068.00M
+"polygons" in: sky130A_mr.drc:450
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 1068.00M
+"interacting" in: sky130A_mr.drc:450
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.020s  Memory: 1068.00M
+"output" in: sky130A_mr.drc:451
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 1068.00M
+END: 68/20 (m1)
+START: 68/44 (via)
+"not" in: sky130A_mr.drc:465
+    Polygons (raw): 2570 (flat)  2570 (hierarchical)
+    Elapsed: 0.000s  Memory: 1068.00M
+"non_rectangles" in: sky130A_mr.drc:467
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.030s  Memory: 1068.00M
+"output" in: sky130A_mr.drc:467
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 1068.00M
+"width" in: sky130A_mr.drc:468
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 1068.00M
+"output" in: sky130A_mr.drc:468
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 1068.00M
+"drc" in: sky130A_mr.drc:470
+    Edges: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.020s  Memory: 1068.00M
+"output" in: sky130A_mr.drc:470
+    Edges: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 1068.00M
+"space" in: sky130A_mr.drc:472
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.030s  Memory: 1068.00M
+"output" in: sky130A_mr.drc:472
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 1068.00M
+"edges" in: sky130A_mr.drc:480
+    Edges: 1235 (flat)  1093 (hierarchical)
+    Elapsed: 0.020s  Memory: 1068.00M
+"drc" in: sky130A_mr.drc:480
+    Edges: 10280 (flat)  10280 (hierarchical)
+    Elapsed: 0.030s  Memory: 1068.00M
+"enclosing" in: sky130A_mr.drc:480
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.020s  Memory: 1068.00M
+"output" in: sky130A_mr.drc:480
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 1068.00M
+"squares" in: sky130A_mr.drc:481
+    Polygons (raw): 2570 (flat)  2570 (hierarchical)
+    Elapsed: 0.010s  Memory: 1068.00M
+"drc" in: sky130A_mr.drc:481
+    Edges: 10280 (flat)  10280 (hierarchical)
+    Elapsed: 0.030s  Memory: 1068.00M
+"not" in: sky130A_mr.drc:481
+    Edges: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.020s  Memory: 1068.00M
+"output" in: sky130A_mr.drc:481
+    Edges: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 1068.00M
+"edges" in: sky130A_mr.drc:483
+    Edges: 1235 (flat)  1093 (hierarchical)
+    Elapsed: 0.010s  Memory: 1068.00M
+"drc" in: sky130A_mr.drc:483
+    Edges: 10280 (flat)  10280 (hierarchical)
+    Elapsed: 0.040s  Memory: 1068.00M
+"enclosing" in: sky130A_mr.drc:483
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 1068.00M
+"second_edges" in: sky130A_mr.drc:483
+    Edges: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 1068.00M
+"width" in: sky130A_mr.drc:484
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 1068.00M
+"polygons" in: sky130A_mr.drc:485
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 1068.00M
+"interacting" in: sky130A_mr.drc:485
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 1068.00M
+"output" in: sky130A_mr.drc:486
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 1068.00M
+END: 68/44 (via)
+START: 69/20 (m2)
+"width" in: sky130A_mr.drc:493
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 1069.00M
+"output" in: sky130A_mr.drc:493
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 1069.00M
+"sized" in: sky130A_mr.drc:495
+    Polygons (raw): 13 (flat)  13 (hierarchical)
+    Elapsed: 0.000s  Memory: 1069.00M
+"sized" in: sky130A_mr.drc:495
+    Polygons (raw): 13 (flat)  13 (hierarchical)
+    Elapsed: 0.010s  Memory: 1069.00M
+"snap" in: sky130A_mr.drc:495
+    Polygons (raw): 13 (flat)  13 (hierarchical)
+    Elapsed: 0.010s  Memory: 1069.00M
+"&" in: sky130A_mr.drc:495
+    Polygons (raw): 13 (flat)  13 (hierarchical)
+    Elapsed: 0.000s  Memory: 1069.00M
+"edges" in: sky130A_mr.drc:496
+    Edges: 2367 (flat)  2367 (hierarchical)
+    Elapsed: 0.010s  Memory: 1069.00M
+"-" in: sky130A_mr.drc:496
+    Edges: 2296 (flat)  2296 (hierarchical)
+    Elapsed: 0.010s  Memory: 1069.00M
+"edges" in: sky130A_mr.drc:497
+    Edges: 64 (flat)  64 (hierarchical)
+    Elapsed: 0.010s  Memory: 1069.00M
+"merged" in: sky130A_mr.drc:497
+    Polygons (raw): 550 (flat)  550 (hierarchical)
+    Elapsed: 0.010s  Memory: 1069.00M
+"outside_part" in: sky130A_mr.drc:497
+    Edges: 65 (flat)  65 (hierarchical)
+    Elapsed: 0.000s  Memory: 1069.00M
+"not" in: sky130A_mr.drc:498
+    Polygons (raw): 2570 (flat)  2570 (hierarchical)
+    Elapsed: 0.010s  Memory: 1069.00M
+"space" in: sky130A_mr.drc:500
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.020s  Memory: 1069.00M
+"output" in: sky130A_mr.drc:500
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 1069.00M
+"separation" in: sky130A_mr.drc:502
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 1069.00M
+"space" in: sky130A_mr.drc:502
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 1069.00M
+"+" in: sky130A_mr.drc:502
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 1069.00M
+"output" in: sky130A_mr.drc:502
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 1069.00M
+"with_area" in: sky130A_mr.drc:504
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 1069.00M
+"output" in: sky130A_mr.drc:504
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 1069.00M
+"holes" in: sky130A_mr.drc:505
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 1069.00M
+"with_area" in: sky130A_mr.drc:505
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 1069.00M
+"output" in: sky130A_mr.drc:505
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 1069.00M
+"enclosing" in: sky130A_mr.drc:510
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.020s  Memory: 1069.00M
+"output" in: sky130A_mr.drc:510
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 1069.00M
+"not" in: sky130A_mr.drc:511
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 1069.00M
+"output" in: sky130A_mr.drc:511
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 1069.00M
+"enclosing" in: sky130A_mr.drc:512
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.020s  Memory: 1069.00M
+"second_edges" in: sky130A_mr.drc:512
+    Edges: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 1069.00M
+"width" in: sky130A_mr.drc:513
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 1069.00M
+"polygons" in: sky130A_mr.drc:514
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 1069.00M
+"interacting" in: sky130A_mr.drc:514
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 1069.00M
+"output" in: sky130A_mr.drc:515
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 1069.00M
+END: 69/20 (m2)
+START: 69/44 (via2)
+"not" in: sky130A_mr.drc:530
+    Polygons (raw): 1761 (flat)  1761 (hierarchical)
+    Elapsed: 0.000s  Memory: 1069.00M
+"non_rectangles" in: sky130A_mr.drc:531
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.020s  Memory: 1069.00M
+"output" in: sky130A_mr.drc:531
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 1069.00M
+"width" in: sky130A_mr.drc:532
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 1069.00M
+"output" in: sky130A_mr.drc:532
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 1069.00M
+"edges" in: sky130A_mr.drc:533
+    Edges: 7044 (flat)  7044 (hierarchical)
+    Elapsed: 0.020s  Memory: 1069.00M
+"without_length" in: sky130A_mr.drc:533
+    Edges: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.020s  Memory: 1071.00M
+"output" in: sky130A_mr.drc:533
+    Edges: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 1071.00M
+"space" in: sky130A_mr.drc:534
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.020s  Memory: 1071.00M
+"output" in: sky130A_mr.drc:534
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 1071.00M
+"enclosing" in: sky130A_mr.drc:542
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.020s  Memory: 1071.00M
+"output" in: sky130A_mr.drc:542
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 1071.00M
+"not" in: sky130A_mr.drc:543
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 1071.00M
+"output" in: sky130A_mr.drc:543
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 1071.00M
+"enclosing" in: sky130A_mr.drc:545
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.020s  Memory: 1071.00M
+"second_edges" in: sky130A_mr.drc:545
+    Edges: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 1071.00M
+"width" in: sky130A_mr.drc:546
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 1071.00M
+"polygons" in: sky130A_mr.drc:547
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 1071.00M
+"interacting" in: sky130A_mr.drc:547
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 1071.00M
+"output" in: sky130A_mr.drc:548
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 1071.00M
+END: 69/44 (via2)
+START: 70/20 (m3)
+"width" in: sky130A_mr.drc:554
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 1071.00M
+"output" in: sky130A_mr.drc:554
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 1071.00M
+"sized" in: sky130A_mr.drc:556
+    Polygons (raw): 53 (flat)  53 (hierarchical)
+    Elapsed: 0.010s  Memory: 1071.00M
+"sized" in: sky130A_mr.drc:556
+    Polygons (raw): 53 (flat)  53 (hierarchical)
+    Elapsed: 0.000s  Memory: 1071.00M
+"snap" in: sky130A_mr.drc:556
+    Polygons (raw): 53 (flat)  53 (hierarchical)
+    Elapsed: 0.010s  Memory: 1071.00M
+"&" in: sky130A_mr.drc:556
+    Polygons (raw): 98 (flat)  98 (hierarchical)
+    Elapsed: 0.010s  Memory: 1071.00M
+"edges" in: sky130A_mr.drc:557
+    Edges: 1658 (flat)  1658 (hierarchical)
+    Elapsed: 0.010s  Memory: 1071.00M
+"-" in: sky130A_mr.drc:557
+    Edges: 883 (flat)  883 (hierarchical)
+    Elapsed: 0.010s  Memory: 1071.00M
+"edges" in: sky130A_mr.drc:558
+    Edges: 671 (flat)  671 (hierarchical)
+    Elapsed: 0.010s  Memory: 1071.00M
+"merged" in: sky130A_mr.drc:558
+    Polygons (raw): 228 (flat)  228 (hierarchical)
+    Elapsed: 0.000s  Memory: 1071.00M
+"outside_part" in: sky130A_mr.drc:558
+    Edges: 676 (flat)  676 (hierarchical)
+    Elapsed: 0.010s  Memory: 1071.00M
+"space" in: sky130A_mr.drc:560
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 1071.00M
+"output" in: sky130A_mr.drc:560
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 1071.00M
+"separation" in: sky130A_mr.drc:562
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.020s  Memory: 1071.00M
+"space" in: sky130A_mr.drc:562
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 1071.00M
+"+" in: sky130A_mr.drc:562
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 1071.00M
+"output" in: sky130A_mr.drc:562
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 1071.00M
+"enclosing" in: sky130A_mr.drc:567
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 1071.00M
+"output" in: sky130A_mr.drc:567
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 1071.00M
+"not" in: sky130A_mr.drc:568
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 1071.00M
+"output" in: sky130A_mr.drc:568
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 1071.00M
+END: 70/20 (m3)
+START: 70/44 (via3)
+"not" in: sky130A_mr.drc:582
+    Polygons (raw): 25800 (flat)  25800 (hierarchical)
+    Elapsed: 0.010s  Memory: 1071.00M
+"non_rectangles" in: sky130A_mr.drc:583
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.130s  Memory: 1078.00M
+"output" in: sky130A_mr.drc:583
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 1078.00M
+"width" in: sky130A_mr.drc:584
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.020s  Memory: 1078.00M
+"output" in: sky130A_mr.drc:584
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 1078.00M
+"edges" in: sky130A_mr.drc:585
+    Edges: 103200 (flat)  103200 (hierarchical)
+    Elapsed: 0.100s  Memory: 1078.00M
+"without_length" in: sky130A_mr.drc:585
+    Edges: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.260s  Memory: 1105.00M
+"output" in: sky130A_mr.drc:585
+    Edges: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 1105.00M
+"space" in: sky130A_mr.drc:587
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.190s  Memory: 1105.00M
+"output" in: sky130A_mr.drc:587
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 1105.00M
+"enclosing" in: sky130A_mr.drc:588
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.230s  Memory: 1105.00M
+"output" in: sky130A_mr.drc:588
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 1105.00M
+"not" in: sky130A_mr.drc:589
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.050s  Memory: 1105.00M
+"output" in: sky130A_mr.drc:589
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 1105.00M
+"enclosing" in: sky130A_mr.drc:591
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.230s  Memory: 1105.00M
+"second_edges" in: sky130A_mr.drc:591
+    Edges: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 1105.00M
+"width" in: sky130A_mr.drc:592
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 1105.00M
+"polygons" in: sky130A_mr.drc:593
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 1105.00M
+"interacting" in: sky130A_mr.drc:593
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 1105.00M
+"output" in: sky130A_mr.drc:594
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 1105.00M
+END: 70/44 (via3)
+START: 71/20 (m4)
+"width" in: sky130A_mr.drc:600
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 1105.00M
+"output" in: sky130A_mr.drc:600
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 1105.00M
+"sized" in: sky130A_mr.drc:602
+    Polygons (raw): 20 (flat)  20 (hierarchical)
+    Elapsed: 0.010s  Memory: 1105.00M
+"sized" in: sky130A_mr.drc:602
+    Polygons (raw): 20 (flat)  20 (hierarchical)
+    Elapsed: 0.010s  Memory: 1105.00M
+"snap" in: sky130A_mr.drc:602
+    Polygons (raw): 20 (flat)  20 (hierarchical)
+    Elapsed: 0.000s  Memory: 1105.00M
+"&" in: sky130A_mr.drc:602
+    Polygons (raw): 46 (flat)  46 (hierarchical)
+    Elapsed: 0.010s  Memory: 1105.00M
+"edges" in: sky130A_mr.drc:603
+    Edges: 572 (flat)  572 (hierarchical)
+    Elapsed: 0.010s  Memory: 1105.00M
+"-" in: sky130A_mr.drc:603
+    Edges: 116 (flat)  116 (hierarchical)
+    Elapsed: 0.010s  Memory: 1105.00M
+"edges" in: sky130A_mr.drc:604
+    Edges: 366 (flat)  366 (hierarchical)
+    Elapsed: 0.010s  Memory: 1105.00M
+"merged" in: sky130A_mr.drc:604
+    Polygons (raw): 31 (flat)  31 (hierarchical)
+    Elapsed: 0.010s  Memory: 1105.00M
+"outside_part" in: sky130A_mr.drc:604
+    Edges: 371 (flat)  371 (hierarchical)
+    Elapsed: 0.010s  Memory: 1105.00M
+"space" in: sky130A_mr.drc:606
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 1105.00M
+"output" in: sky130A_mr.drc:606
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 1105.00M
+"with_area" in: sky130A_mr.drc:608
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 1105.00M
+"output" in: sky130A_mr.drc:608
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 1105.00M
+"separation" in: sky130A_mr.drc:610
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 1105.00M
+"space" in: sky130A_mr.drc:610
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 1105.00M
+"+" in: sky130A_mr.drc:610
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 1105.00M
+"output" in: sky130A_mr.drc:610
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 1105.00M
+"enclosing" in: sky130A_mr.drc:615
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.180s  Memory: 1105.00M
+"output" in: sky130A_mr.drc:615
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 1105.00M
+"not" in: sky130A_mr.drc:616
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.050s  Memory: 1105.00M
+"output" in: sky130A_mr.drc:616
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 1105.00M
+END: 71/20 (m4)
+START: 71/44 (via4)
+"not" in: sky130A_mr.drc:629
+    Polygons (raw): 552 (flat)  552 (hierarchical)
+    Elapsed: 0.000s  Memory: 1105.00M
+"non_rectangles" in: sky130A_mr.drc:630
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 1105.00M
+"output" in: sky130A_mr.drc:630
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 1105.00M
+"width" in: sky130A_mr.drc:631
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 1105.00M
+"output" in: sky130A_mr.drc:631
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 1105.00M
+"drc" in: sky130A_mr.drc:632
+    Edges: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 1105.00M
+"output" in: sky130A_mr.drc:632
+    Edges: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 1105.00M
+"space" in: sky130A_mr.drc:634
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 1105.00M
+"polygons" in: sky130A_mr.drc:634
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 1105.00M
+"output" in: sky130A_mr.drc:634
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 1105.00M
+"enclosing" in: sky130A_mr.drc:642
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 1105.00M
+"output" in: sky130A_mr.drc:642
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 1105.00M
+"not" in: sky130A_mr.drc:643
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 1105.00M
+"output" in: sky130A_mr.drc:643
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 1105.00M
+END: 71/44 (via4)
+START: 72/20 (m5)
+"width" in: sky130A_mr.drc:648
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 1105.00M
+"output" in: sky130A_mr.drc:648
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 1105.00M
+"space" in: sky130A_mr.drc:650
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 1105.00M
+"output" in: sky130A_mr.drc:650
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 1105.00M
+"enclosing" in: sky130A_mr.drc:652
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.020s  Memory: 1105.00M
+"output" in: sky130A_mr.drc:652
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 1105.00M
+"not" in: sky130A_mr.drc:653
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 1105.00M
+"output" in: sky130A_mr.drc:653
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 1105.00M
+"with_area" in: sky130A_mr.drc:657
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 1105.00M
+"output" in: sky130A_mr.drc:657
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 1105.00M
+END: 72/20 (m5)
+START: 76/20 (pad)
+"space" in: sky130A_mr.drc:662
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 1105.00M
+"output" in: sky130A_mr.drc:662
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 1105.00M
+END: 76/20 (pad)
+Writing report database: /home/krishna/Comparator_MPW6/precheck_results/04_JUN_2022___08_03_50/outputs/reports/klayout_beol_check.xml ..
+Total elapsed: 3.780s  Memory: 1105.00M
diff --git a/precheck_results/04_JUN_2022___08_03_50/logs/klayout_beol_check.total b/precheck_results/04_JUN_2022___08_03_50/logs/klayout_beol_check.total
new file mode 100644
index 0000000..c227083
--- /dev/null
+++ b/precheck_results/04_JUN_2022___08_03_50/logs/klayout_beol_check.total
@@ -0,0 +1 @@
+0
\ No newline at end of file
diff --git a/precheck_results/04_JUN_2022___08_03_50/logs/klayout_feol_check.log b/precheck_results/04_JUN_2022___08_03_50/logs/klayout_feol_check.log
new file mode 100644
index 0000000..eb7418c
--- /dev/null
+++ b/precheck_results/04_JUN_2022___08_03_50/logs/klayout_feol_check.log
@@ -0,0 +1,789 @@
+/home/krishna/mpw_precheckmpw6/checks/tech-files/sky130A_mr.drc:36: warning: already initialized constant DRC::DRCEngine::FEOL
+/home/krishna/mpw_precheckmpw6/checks/tech-files/sky130A_mr.drc:28: warning: previous definition of FEOL was here
+/home/krishna/mpw_precheckmpw6/checks/tech-files/sky130A_mr.drc:44: warning: already initialized constant DRC::DRCEngine::BEOL
+/home/krishna/mpw_precheckmpw6/checks/tech-files/sky130A_mr.drc:29: warning: previous definition of BEOL was here
+/home/krishna/mpw_precheckmpw6/checks/tech-files/sky130A_mr.drc:50: warning: already initialized constant DRC::DRCEngine::OFFGRID
+/home/krishna/mpw_precheckmpw6/checks/tech-files/sky130A_mr.drc:30: warning: previous definition of OFFGRID was here
+/home/krishna/mpw_precheckmpw6/checks/tech-files/sky130A_mr.drc:56: warning: already initialized constant DRC::DRCEngine::SEAL
+/home/krishna/mpw_precheckmpw6/checks/tech-files/sky130A_mr.drc:31: warning: previous definition of SEAL was here
+/home/krishna/mpw_precheckmpw6/checks/tech-files/sky130A_mr.drc:62: warning: already initialized constant DRC::DRCEngine::FLOATING_MET
+/home/krishna/mpw_precheckmpw6/checks/tech-files/sky130A_mr.drc:32: warning: previous definition of FLOATING_MET was here
+"input" in: sky130A_mr.drc:112
+    Polygons (raw): 62 (flat)  25 (hierarchical)
+    Elapsed: 0.010s  Memory: 522.00M
+"polygons" in: sky130A_mr.drc:113
+    Polygons (raw): 38 (flat)  30 (hierarchical)
+    Elapsed: 0.000s  Memory: 522.00M
+"polygons" in: sky130A_mr.drc:114
+    Polygons (raw): 89 (flat)  69 (hierarchical)
+    Elapsed: 0.010s  Memory: 522.00M
+"polygons" in: sky130A_mr.drc:115
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 522.00M
+"polygons" in: sky130A_mr.drc:116
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 522.00M
+"polygons" in: sky130A_mr.drc:117
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 522.00M
+"polygons" in: sky130A_mr.drc:118
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 522.00M
+"polygons" in: sky130A_mr.drc:119
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 522.00M
+"polygons" in: sky130A_mr.drc:120
+    Polygons (raw): 10 (flat)  2 (hierarchical)
+    Elapsed: 0.010s  Memory: 522.00M
+"polygons" in: sky130A_mr.drc:121
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 522.00M
+"polygons" in: sky130A_mr.drc:122
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 522.00M
+"polygons" in: sky130A_mr.drc:123
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 522.00M
+"polygons" in: sky130A_mr.drc:124
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 522.00M
+"polygons" in: sky130A_mr.drc:125
+    Polygons (raw): 202 (flat)  116 (hierarchical)
+    Elapsed: 0.000s  Memory: 522.00M
+"polygons" in: sky130A_mr.drc:126
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 522.00M
+"polygons" in: sky130A_mr.drc:127
+    Polygons (raw): 62 (flat)  43 (hierarchical)
+    Elapsed: 0.000s  Memory: 522.00M
+"polygons" in: sky130A_mr.drc:128
+    Polygons (raw): 52 (flat)  26 (hierarchical)
+    Elapsed: 0.010s  Memory: 522.00M
+"polygons" in: sky130A_mr.drc:129
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 522.00M
+"polygons" in: sky130A_mr.drc:130
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 522.00M
+"polygons" in: sky130A_mr.drc:131
+    Polygons (raw): 33 (flat)  24 (hierarchical)
+    Elapsed: 0.000s  Memory: 522.00M
+"polygons" in: sky130A_mr.drc:132
+    Polygons (raw): 907 (flat)  291 (hierarchical)
+    Elapsed: 0.010s  Memory: 522.00M
+"polygons" in: sky130A_mr.drc:134
+    Polygons (raw): 566 (flat)  394 (hierarchical)
+    Elapsed: 0.000s  Memory: 522.00M
+"polygons" in: sky130A_mr.drc:135
+    Polygons (raw): 1756 (flat)  1480 (hierarchical)
+    Elapsed: 0.010s  Memory: 522.00M
+"polygons" in: sky130A_mr.drc:137
+    Polygons (raw): 349 (flat)  299 (hierarchical)
+    Elapsed: 0.000s  Memory: 522.00M
+"polygons" in: sky130A_mr.drc:138
+    Polygons (raw): 2570 (flat)  2570 (hierarchical)
+    Elapsed: 0.010s  Memory: 522.00M
+"polygons" in: sky130A_mr.drc:140
+    Polygons (raw): 603 (flat)  603 (hierarchical)
+    Elapsed: 0.000s  Memory: 522.00M
+"polygons" in: sky130A_mr.drc:141
+    Polygons (raw): 1761 (flat)  1761 (hierarchical)
+    Elapsed: 0.000s  Memory: 522.00M
+"polygons" in: sky130A_mr.drc:143
+    Polygons (raw): 458 (flat)  458 (hierarchical)
+    Elapsed: 0.010s  Memory: 522.00M
+"polygons" in: sky130A_mr.drc:144
+    Polygons (raw): 25800 (flat)  25800 (hierarchical)
+    Elapsed: 0.020s  Memory: 523.00M
+"polygons" in: sky130A_mr.drc:146
+    Polygons (raw): 177 (flat)  177 (hierarchical)
+    Elapsed: 0.000s  Memory: 523.00M
+"polygons" in: sky130A_mr.drc:147
+    Polygons (raw): 552 (flat)  552 (hierarchical)
+    Elapsed: 0.010s  Memory: 523.00M
+"polygons" in: sky130A_mr.drc:149
+    Polygons (raw): 153 (flat)  153 (hierarchical)
+    Elapsed: 0.000s  Memory: 523.00M
+"polygons" in: sky130A_mr.drc:151
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 523.00M
+"polygons" in: sky130A_mr.drc:152
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 523.00M
+"polygons" in: sky130A_mr.drc:153
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 523.00M
+"polygons" in: sky130A_mr.drc:154
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 523.00M
+"polygons" in: sky130A_mr.drc:155
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 523.00M
+"polygons" in: sky130A_mr.drc:156
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 523.00M
+"polygons" in: sky130A_mr.drc:157
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 523.00M
+"polygons" in: sky130A_mr.drc:158
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 523.00M
+"polygons" in: sky130A_mr.drc:159
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 523.00M
+"polygons" in: sky130A_mr.drc:160
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 523.00M
+"polygons" in: sky130A_mr.drc:161
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 523.00M
+"polygons" in: sky130A_mr.drc:162
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 523.00M
+"polygons" in: sky130A_mr.drc:163
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 523.00M
+"polygons" in: sky130A_mr.drc:164
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 523.00M
+"polygons" in: sky130A_mr.drc:165
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 523.00M
+"polygons" in: sky130A_mr.drc:166
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 523.00M
+"polygons" in: sky130A_mr.drc:167
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 523.00M
+"polygons" in: sky130A_mr.drc:168
+    Polygons (raw): 10 (flat)  2 (hierarchical)
+    Elapsed: 0.000s  Memory: 523.00M
+"polygons" in: sky130A_mr.drc:169
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 523.00M
+"polygons" in: sky130A_mr.drc:170
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 523.00M
+"polygons" in: sky130A_mr.drc:171
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 523.00M
+"polygons" in: sky130A_mr.drc:172
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 523.00M
+"polygons" in: sky130A_mr.drc:173
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 523.00M
+"polygons" in: sky130A_mr.drc:174
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 523.00M
+"polygons" in: sky130A_mr.drc:175
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 523.00M
+"polygons" in: sky130A_mr.drc:176
+    Polygons (raw): 1 (flat)  1 (hierarchical)
+    Elapsed: 0.000s  Memory: 523.00M
+"polygons" in: sky130A_mr.drc:177
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 523.00M
+"polygons" in: sky130A_mr.drc:178
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 523.00M
+"polygons" in: sky130A_mr.drc:179
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 523.00M
+"polygons" in: sky130A_mr.drc:180
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 523.00M
+"polygons" in: sky130A_mr.drc:181
+    Polygons (raw): 4 (flat)  3 (hierarchical)
+    Elapsed: 0.010s  Memory: 523.00M
+"polygons" in: sky130A_mr.drc:182
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 523.00M
+"polygons" in: sky130A_mr.drc:183
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 523.00M
+"polygons" in: sky130A_mr.drc:184
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 523.00M
+"polygons" in: sky130A_mr.drc:185
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 523.00M
+"polygons" in: sky130A_mr.drc:186
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 523.00M
+"polygons" in: sky130A_mr.drc:187
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 523.00M
+"polygons" in: sky130A_mr.drc:188
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 523.00M
+"polygons" in: sky130A_mr.drc:189
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 523.00M
+"polygons" in: sky130A_mr.drc:190
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 523.00M
+"polygons" in: sky130A_mr.drc:191
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 523.00M
+"polygons" in: sky130A_mr.drc:192
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 523.00M
+"polygons" in: sky130A_mr.drc:193
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 523.00M
+"polygons" in: sky130A_mr.drc:194
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 523.00M
+"polygons" in: sky130A_mr.drc:195
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 523.00M
+"polygons" in: sky130A_mr.drc:196
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 523.00M
+"polygons" in: sky130A_mr.drc:197
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 523.00M
+"polygons" in: sky130A_mr.drc:198
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 523.00M
+"polygons" in: sky130A_mr.drc:199
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 523.00M
+"polygons" in: sky130A_mr.drc:200
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 523.00M
+"polygons" in: sky130A_mr.drc:201
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 523.00M
+"polygons" in: sky130A_mr.drc:202
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 523.00M
+"polygons" in: sky130A_mr.drc:203
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 523.00M
+DRC section
+FEOL section
+START: 64/18 (dnwell)
+"width" in: sky130A_mr.drc:229
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 523.00M
+"output" in: sky130A_mr.drc:229
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 523.00M
+END: 64/18 (dnwell)
+"input" in: sky130A_mr.drc:233
+    Polygons (raw): 62 (flat)  43 (hierarchical)
+    Elapsed: 0.010s  Memory: 523.00M
+"input" in: sky130A_mr.drc:234
+    Polygons (raw): 52 (flat)  26 (hierarchical)
+    Elapsed: 0.000s  Memory: 523.00M
+"input" in: sky130A_mr.drc:235
+    Polygons (raw): 89 (flat)  69 (hierarchical)
+    Elapsed: 0.010s  Memory: 523.00M
+START: 64/20 (nwell)
+"width" in: sky130A_mr.drc:239
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 523.00M
+"output" in: sky130A_mr.drc:239
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 523.00M
+"space" in: sky130A_mr.drc:240
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 1067.00M
+"output" in: sky130A_mr.drc:240
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 1067.00M
+"merge" in: sky130A_mr.drc:241
+    Polygons (raw): 15 (flat)  14 (hierarchical)
+    Elapsed: 0.010s  Memory: 1067.00M
+"holes" in: sky130A_mr.drc:242
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 1067.00M
+"enclosing" in: sky130A_mr.drc:242
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 1067.00M
+"output" in: sky130A_mr.drc:242
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 1067.00M
+END: 64/20 (nwell)
+START: 78/44 (hvtp)
+"width" in: sky130A_mr.drc:247
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 1067.00M
+"output" in: sky130A_mr.drc:247
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 1067.00M
+"space" in: sky130A_mr.drc:248
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 1067.00M
+"output" in: sky130A_mr.drc:248
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 1067.00M
+END: 78/44 (hvtp)
+START: 18/20 (htvr)
+"width" in: sky130A_mr.drc:253
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 1067.00M
+"output" in: sky130A_mr.drc:253
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 1067.00M
+"separation" in: sky130A_mr.drc:254
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 1067.00M
+"output" in: sky130A_mr.drc:254
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 1067.00M
+"and" in: sky130A_mr.drc:255
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 1067.00M
+"output" in: sky130A_mr.drc:255
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 1067.00M
+END: 18/20 (htvr)
+START: 25/44 (lvtn)
+"width" in: sky130A_mr.drc:260
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 1067.00M
+"output" in: sky130A_mr.drc:260
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 1067.00M
+"space" in: sky130A_mr.drc:261
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 1067.00M
+"output" in: sky130A_mr.drc:261
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 1067.00M
+END: 25/44 (lvtn)
+START: 92/44 (ncm)
+"width" in: sky130A_mr.drc:266
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 1067.00M
+"output" in: sky130A_mr.drc:266
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 1067.00M
+"space" in: sky130A_mr.drc:267
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 1067.00M
+"output" in: sky130A_mr.drc:267
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 1067.00M
+END: 92/44 (ncm)
+START: 65/20 (diff)
+"or" in: sky130A_mr.drc:272
+    Polygons (raw): 68 (flat)  35 (hierarchical)
+    Elapsed: 0.000s  Memory: 1067.00M
+"rectangles" in: sky130A_mr.drc:273
+    Polygons (raw): 31 (flat)  11 (hierarchical)
+    Elapsed: 0.010s  Memory: 1067.00M
+"width" in: sky130A_mr.drc:273
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 1067.00M
+"polygons" in: sky130A_mr.drc:273
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 1067.00M
+"edges" in: sky130A_mr.drc:274
+    Edges: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 1067.00M
+"outside_part" in: sky130A_mr.drc:274
+    Edges: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 1067.00M
+"outside" in: sky130A_mr.drc:274
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 1067.00M
+"edges" in: sky130A_mr.drc:274
+    Edges: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 1067.00M
+"not" in: sky130A_mr.drc:274
+    Edges: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 1067.00M
+"output" in: sky130A_mr.drc:275
+    Edges: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 1067.00M
+"outside" in: sky130A_mr.drc:276
+    Polygons (raw): 48 (flat)  17 (hierarchical)
+    Elapsed: 0.010s  Memory: 1067.00M
+"width" in: sky130A_mr.drc:276
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 1067.00M
+"output" in: sky130A_mr.drc:276
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 1067.00M
+END: 65/20 (diff)
+START: 65/44 (tap)
+"rectangles" in: sky130A_mr.drc:280
+    Polygons (raw): 14 (flat)  14 (hierarchical)
+    Elapsed: 0.010s  Memory: 1067.00M
+"width" in: sky130A_mr.drc:280
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 1067.00M
+"polygons" in: sky130A_mr.drc:280
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 1067.00M
+"edges" in: sky130A_mr.drc:281
+    Edges: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 1067.00M
+"outside_part" in: sky130A_mr.drc:281
+    Edges: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 1067.00M
+"outside" in: sky130A_mr.drc:281
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 1067.00M
+"edges" in: sky130A_mr.drc:281
+    Edges: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 1067.00M
+"not" in: sky130A_mr.drc:281
+    Edges: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 1067.00M
+"output" in: sky130A_mr.drc:282
+    Edges: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 1067.00M
+"not" in: sky130A_mr.drc:283
+    Polygons (raw): 38 (flat)  30 (hierarchical)
+    Elapsed: 0.010s  Memory: 1067.00M
+"width" in: sky130A_mr.drc:283
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 1067.00M
+"output" in: sky130A_mr.drc:283
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 1067.00M
+END: 65/44 (tap)
+"space" in: sky130A_mr.drc:286
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 1067.00M
+"output" in: sky130A_mr.drc:286
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 1067.00M
+START: 80/20 (tunm)
+"width" in: sky130A_mr.drc:290
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 1067.00M
+"output" in: sky130A_mr.drc:290
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 1067.00M
+"space" in: sky130A_mr.drc:291
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 1067.00M
+"output" in: sky130A_mr.drc:291
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 1067.00M
+END: 80/20 (tunm)
+START: 66/20 (poly)
+"width" in: sky130A_mr.drc:296
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 1067.00M
+"output" in: sky130A_mr.drc:296
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 1067.00M
+"not" in: sky130A_mr.drc:297
+    Polygons (raw): 202 (flat)  116 (hierarchical)
+    Elapsed: 0.000s  Memory: 1067.00M
+"space" in: sky130A_mr.drc:297
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 1067.00M
+"output" in: sky130A_mr.drc:297
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 1067.00M
+START: 86/20 (rpm)
+"width" in: sky130A_mr.drc:302
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 1067.00M
+"output" in: sky130A_mr.drc:302
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 1067.00M
+"space" in: sky130A_mr.drc:303
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 1067.00M
+"output" in: sky130A_mr.drc:303
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 1067.00M
+END: 86/20 (rpm)
+START: 79/20 (urpm)
+"width" in: sky130A_mr.drc:308
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 1067.00M
+"output" in: sky130A_mr.drc:308
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 1067.00M
+"space" in: sky130A_mr.drc:309
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 1067.00M
+"output" in: sky130A_mr.drc:309
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 1067.00M
+END: 79/20 (urpm)
+START: 95/20 (npc)
+"width" in: sky130A_mr.drc:314
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 1067.00M
+"output" in: sky130A_mr.drc:314
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 1067.00M
+"space" in: sky130A_mr.drc:315
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 1067.00M
+"output" in: sky130A_mr.drc:315
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 1067.00M
+END: 95/20 (npc)
+START: 93/44 (nsdm)
+"outside" in: sky130A_mr.drc:320
+    Polygons (raw): 34 (flat)  22 (hierarchical)
+    Elapsed: 0.010s  Memory: 1067.00M
+"width" in: sky130A_mr.drc:320
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 1067.00M
+"output" in: sky130A_mr.drc:320
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 1067.00M
+"not" in: sky130A_mr.drc:321
+    Polygons (raw): 62 (flat)  43 (hierarchical)
+    Elapsed: 0.010s  Memory: 1067.00M
+"space" in: sky130A_mr.drc:321
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 1067.00M
+"output" in: sky130A_mr.drc:321
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 1067.00M
+END: 93/44 (nsdm)
+START: 94/20 (psdm)
+"outside" in: sky130A_mr.drc:326
+    Polygons (raw): 28 (flat)  16 (hierarchical)
+    Elapsed: 0.010s  Memory: 1067.00M
+"width" in: sky130A_mr.drc:326
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 1067.00M
+"output" in: sky130A_mr.drc:326
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 1067.00M
+"not" in: sky130A_mr.drc:327
+    Polygons (raw): 52 (flat)  26 (hierarchical)
+    Elapsed: 0.000s  Memory: 1067.00M
+"space" in: sky130A_mr.drc:327
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 1067.00M
+"output" in: sky130A_mr.drc:327
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 1067.00M
+END: 94/20 (psdm)
+START: 66/44 (licon)
+"not" in: sky130A_mr.drc:338
+    Polygons (raw): 359 (flat)  106 (hierarchical)
+    Elapsed: 0.000s  Memory: 1067.00M
+"and" in: sky130A_mr.drc:339
+    Polygons (raw): 743 (flat)  236 (hierarchical)
+    Elapsed: 0.010s  Memory: 1067.00M
+"interacting" in: sky130A_mr.drc:339
+    Polygons (raw): 743 (flat)  236 (hierarchical)
+    Elapsed: 0.010s  Memory: 1067.00M
+"not" in: sky130A_mr.drc:340
+    Polygons (raw): 743 (flat)  236 (hierarchical)
+    Elapsed: 0.010s  Memory: 1067.00M
+"non_rectangles" in: sky130A_mr.drc:341
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 1067.00M
+"output" in: sky130A_mr.drc:341
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 1067.00M
+"or" in: sky130A_mr.drc:342
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 1067.00M
+"not" in: sky130A_mr.drc:342
+    Polygons (raw): 907 (flat)  291 (hierarchical)
+    Elapsed: 0.000s  Memory: 1067.00M
+"edges" in: sky130A_mr.drc:342
+    Edges: 3628 (flat)  1164 (hierarchical)
+    Elapsed: 0.010s  Memory: 1067.00M
+"without_length" in: sky130A_mr.drc:342
+    Edges: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 1067.00M
+"output" in: sky130A_mr.drc:342
+    Edges: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 1067.00M
+"separation" in: sky130A_mr.drc:343
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 1067.00M
+"output" in: sky130A_mr.drc:343
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 1067.00M
+"and" in: sky130A_mr.drc:344
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 1067.00M
+"output" in: sky130A_mr.drc:344
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 1067.00M
+"interacting" in: sky130A_mr.drc:345
+    Polygons (raw): 164 (flat)  55 (hierarchical)
+    Elapsed: 0.010s  Memory: 1067.00M
+"interacting" in: sky130A_mr.drc:345
+    Polygons (raw): 743 (flat)  236 (hierarchical)
+    Elapsed: 0.010s  Memory: 1067.00M
+"and" in: sky130A_mr.drc:345
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 1067.00M
+"output" in: sky130A_mr.drc:345
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 1067.00M
+END: 66/44 (licon)
+START: 89/44 (capm)
+"and" in: sky130A_mr.drc:350
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 1067.00M
+"sized" in: sky130A_mr.drc:350
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 1067.00M
+"width" in: sky130A_mr.drc:351
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 1067.00M
+"output" in: sky130A_mr.drc:351
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 1067.00M
+"space" in: sky130A_mr.drc:352
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 1067.00M
+"output" in: sky130A_mr.drc:352
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 1067.00M
+"interacting" in: sky130A_mr.drc:353
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 1067.00M
+"isolated" in: sky130A_mr.drc:353
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 1067.00M
+"output" in: sky130A_mr.drc:353
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 1067.00M
+"isolated" in: sky130A_mr.drc:354
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 1067.00M
+"output" in: sky130A_mr.drc:354
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 1067.00M
+"and" in: sky130A_mr.drc:355
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 1067.00M
+"enclosing" in: sky130A_mr.drc:355
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 1067.00M
+"output" in: sky130A_mr.drc:355
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 1067.00M
+"enclosing" in: sky130A_mr.drc:356
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 1067.00M
+"output" in: sky130A_mr.drc:356
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 1067.00M
+"enclosing" in: sky130A_mr.drc:357
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 1067.00M
+"output" in: sky130A_mr.drc:357
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 1067.00M
+"separation" in: sky130A_mr.drc:358
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 1067.00M
+"output" in: sky130A_mr.drc:358
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 1067.00M
+END: 89/44 (capm)
+START: 97/44 (cap2m)
+"and" in: sky130A_mr.drc:363
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 1067.00M
+"sized" in: sky130A_mr.drc:363
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 1067.00M
+"width" in: sky130A_mr.drc:364
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 1067.00M
+"output" in: sky130A_mr.drc:364
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 1067.00M
+"space" in: sky130A_mr.drc:365
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 1067.00M
+"output" in: sky130A_mr.drc:365
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 1067.00M
+"interacting" in: sky130A_mr.drc:366
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 1067.00M
+"isolated" in: sky130A_mr.drc:366
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 1067.00M
+"output" in: sky130A_mr.drc:366
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 1067.00M
+"isolated" in: sky130A_mr.drc:368
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 1067.00M
+"output" in: sky130A_mr.drc:368
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 1067.00M
+"and" in: sky130A_mr.drc:369
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 1067.00M
+"enclosing" in: sky130A_mr.drc:369
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 1067.00M
+"output" in: sky130A_mr.drc:369
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 1067.00M
+"enclosing" in: sky130A_mr.drc:370
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 1067.00M
+"output" in: sky130A_mr.drc:370
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 1067.00M
+"enclosing" in: sky130A_mr.drc:371
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 1067.00M
+"output" in: sky130A_mr.drc:371
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 1067.00M
+"separation" in: sky130A_mr.drc:372
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 1067.00M
+"output" in: sky130A_mr.drc:372
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 1067.00M
+END: 97/44 (cap2m)
+FEOL section
+START: 75/20 (hvi)
+"not" in: sky130A_mr.drc:672
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 1067.00M
+"width" in: sky130A_mr.drc:673
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 1067.00M
+"output" in: sky130A_mr.drc:673
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 1067.00M
+"space" in: sky130A_mr.drc:674
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 1067.00M
+"output" in: sky130A_mr.drc:674
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 1067.00M
+END: 75/20 (hvi)
+START: 125/20 (hvntm)
+"not" in: sky130A_mr.drc:679
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 1067.00M
+"width" in: sky130A_mr.drc:680
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 1067.00M
+"output" in: sky130A_mr.drc:680
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 1067.00M
+"space" in: sky130A_mr.drc:681
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 1067.00M
+"output" in: sky130A_mr.drc:681
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 1067.00M
+END: 125/20 (hvntm)
+Writing report database: /home/krishna/Comparator_MPW6/precheck_results/04_JUN_2022___08_03_50/outputs/reports/klayout_feol_check.xml ..
+Total elapsed: 1.410s  Memory: 1067.00M
diff --git a/precheck_results/04_JUN_2022___08_03_50/logs/klayout_feol_check.total b/precheck_results/04_JUN_2022___08_03_50/logs/klayout_feol_check.total
new file mode 100644
index 0000000..c227083
--- /dev/null
+++ b/precheck_results/04_JUN_2022___08_03_50/logs/klayout_feol_check.total
@@ -0,0 +1 @@
+0
\ No newline at end of file
diff --git a/precheck_results/04_JUN_2022___08_03_50/logs/klayout_met_min_ca_density_check.log b/precheck_results/04_JUN_2022___08_03_50/logs/klayout_met_min_ca_density_check.log
new file mode 100644
index 0000000..7930130
--- /dev/null
+++ b/precheck_results/04_JUN_2022___08_03_50/logs/klayout_met_min_ca_density_check.log
@@ -0,0 +1,79 @@
+"polygons" in: met_min_ca_density.lydrc:35
+    Polygons (raw): 616 (flat)  404 (hierarchical)
+    Elapsed: 0.010s  Memory: 522.00M
+"polygons" in: met_min_ca_density.lydrc:36
+    Polygons (raw): 1756 (flat)  1480 (hierarchical)
+    Elapsed: 0.010s  Memory: 522.00M
+"polygons" in: met_min_ca_density.lydrc:38
+    Polygons (raw): 369 (flat)  303 (hierarchical)
+    Elapsed: 0.000s  Memory: 522.00M
+"polygons" in: met_min_ca_density.lydrc:39
+    Polygons (raw): 2570 (flat)  2570 (hierarchical)
+    Elapsed: 0.010s  Memory: 522.00M
+"polygons" in: met_min_ca_density.lydrc:41
+    Polygons (raw): 1097 (flat)  1097 (hierarchical)
+    Elapsed: 0.000s  Memory: 522.00M
+"polygons" in: met_min_ca_density.lydrc:42
+    Polygons (raw): 1761 (flat)  1761 (hierarchical)
+    Elapsed: 0.010s  Memory: 522.00M
+"polygons" in: met_min_ca_density.lydrc:44
+    Polygons (raw): 642 (flat)  642 (hierarchical)
+    Elapsed: 0.000s  Memory: 522.00M
+"polygons" in: met_min_ca_density.lydrc:45
+    Polygons (raw): 25800 (flat)  25800 (hierarchical)
+    Elapsed: 0.020s  Memory: 523.00M
+"polygons" in: met_min_ca_density.lydrc:47
+    Polygons (raw): 181 (flat)  181 (hierarchical)
+    Elapsed: 0.000s  Memory: 523.00M
+"polygons" in: met_min_ca_density.lydrc:48
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 523.00M
+"polygons" in: met_min_ca_density.lydrc:49
+    Polygons (raw): 552 (flat)  552 (hierarchical)
+    Elapsed: 0.000s  Memory: 523.00M
+"polygons" in: met_min_ca_density.lydrc:51
+    Polygons (raw): 159 (flat)  159 (hierarchical)
+    Elapsed: 0.000s  Memory: 523.00M
+"input" in: met_min_ca_density.lydrc:53
+    Polygons (raw): 5 (flat)  4 (hierarchical)
+    Elapsed: 0.000s  Memory: 523.00M
+"area" in: met_min_ca_density.lydrc:55
+    Elapsed: 0.000s  Memory: 523.00M
+"polygons" in: met_min_ca_density.lydrc:59
+    Polygons (raw): 616 (flat)  404 (hierarchical)
+    Elapsed: 0.010s  Memory: 523.00M
+"area" in: met_min_ca_density.lydrc:59
+    Elapsed: 0.000s  Memory: 523.00M
+li1_ca_density is 0.9998144907840715
+"polygons" in: met_min_ca_density.lydrc:69
+    Polygons (raw): 369 (flat)  303 (hierarchical)
+    Elapsed: 0.010s  Memory: 523.00M
+"area" in: met_min_ca_density.lydrc:69
+    Elapsed: 0.010s  Memory: 523.00M
+m1_ca_density is 0.9997226470316392
+"polygons" in: met_min_ca_density.lydrc:79
+    Polygons (raw): 1097 (flat)  1097 (hierarchical)
+    Elapsed: 0.000s  Memory: 523.00M
+"area" in: met_min_ca_density.lydrc:79
+    Elapsed: 0.010s  Memory: 523.00M
+m2_ca_density is 0.999675358061566
+"polygons" in: met_min_ca_density.lydrc:89
+    Polygons (raw): 642 (flat)  642 (hierarchical)
+    Elapsed: 0.010s  Memory: 523.00M
+"area" in: met_min_ca_density.lydrc:89
+    Elapsed: 0.000s  Memory: 523.00M
+m3_ca_density is 0.9840555168265489
+"polygons" in: met_min_ca_density.lydrc:99
+    Polygons (raw): 181 (flat)  181 (hierarchical)
+    Elapsed: 0.010s  Memory: 523.00M
+"area" in: met_min_ca_density.lydrc:99
+    Elapsed: 0.000s  Memory: 523.00M
+m4_ca_density is 0.9791221846007161
+"polygons" in: met_min_ca_density.lydrc:109
+    Polygons (raw): 159 (flat)  159 (hierarchical)
+    Elapsed: 0.010s  Memory: 523.00M
+"area" in: met_min_ca_density.lydrc:109
+    Elapsed: 0.000s  Memory: 523.00M
+m5_ca_density is 0.9695933055825809
+Writing report database: /home/krishna/Comparator_MPW6/precheck_results/04_JUN_2022___08_03_50/outputs/reports/klayout_met_min_ca_density_check.xml ..
+Total elapsed: 0.170s  Memory: 522.00M
diff --git a/precheck_results/04_JUN_2022___08_03_50/logs/klayout_met_min_ca_density_check.total b/precheck_results/04_JUN_2022___08_03_50/logs/klayout_met_min_ca_density_check.total
new file mode 100644
index 0000000..c227083
--- /dev/null
+++ b/precheck_results/04_JUN_2022___08_03_50/logs/klayout_met_min_ca_density_check.total
@@ -0,0 +1 @@
+0
\ No newline at end of file
diff --git a/precheck_results/04_JUN_2022___08_03_50/logs/klayout_offgrid_check.log b/precheck_results/04_JUN_2022___08_03_50/logs/klayout_offgrid_check.log
new file mode 100644
index 0000000..1a61eb0
--- /dev/null
+++ b/precheck_results/04_JUN_2022___08_03_50/logs/klayout_offgrid_check.log
@@ -0,0 +1,755 @@
+/home/krishna/mpw_precheckmpw6/checks/tech-files/sky130A_mr.drc:38: warning: already initialized constant DRC::DRCEngine::FEOL
+/home/krishna/mpw_precheckmpw6/checks/tech-files/sky130A_mr.drc:28: warning: previous definition of FEOL was here
+/home/krishna/mpw_precheckmpw6/checks/tech-files/sky130A_mr.drc:44: warning: already initialized constant DRC::DRCEngine::BEOL
+/home/krishna/mpw_precheckmpw6/checks/tech-files/sky130A_mr.drc:29: warning: previous definition of BEOL was here
+/home/krishna/mpw_precheckmpw6/checks/tech-files/sky130A_mr.drc:48: warning: already initialized constant DRC::DRCEngine::OFFGRID
+/home/krishna/mpw_precheckmpw6/checks/tech-files/sky130A_mr.drc:30: warning: previous definition of OFFGRID was here
+/home/krishna/mpw_precheckmpw6/checks/tech-files/sky130A_mr.drc:56: warning: already initialized constant DRC::DRCEngine::SEAL
+/home/krishna/mpw_precheckmpw6/checks/tech-files/sky130A_mr.drc:31: warning: previous definition of SEAL was here
+/home/krishna/mpw_precheckmpw6/checks/tech-files/sky130A_mr.drc:62: warning: already initialized constant DRC::DRCEngine::FLOATING_MET
+/home/krishna/mpw_precheckmpw6/checks/tech-files/sky130A_mr.drc:32: warning: previous definition of FLOATING_MET was here
+"input" in: sky130A_mr.drc:112
+    Polygons (raw): 62 (flat)  25 (hierarchical)
+    Elapsed: 0.010s  Memory: 522.00M
+"polygons" in: sky130A_mr.drc:113
+    Polygons (raw): 38 (flat)  30 (hierarchical)
+    Elapsed: 0.000s  Memory: 522.00M
+"polygons" in: sky130A_mr.drc:114
+    Polygons (raw): 89 (flat)  69 (hierarchical)
+    Elapsed: 0.010s  Memory: 522.00M
+"polygons" in: sky130A_mr.drc:115
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 522.00M
+"polygons" in: sky130A_mr.drc:116
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 522.00M
+"polygons" in: sky130A_mr.drc:117
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 522.00M
+"polygons" in: sky130A_mr.drc:118
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 522.00M
+"polygons" in: sky130A_mr.drc:119
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 522.00M
+"polygons" in: sky130A_mr.drc:120
+    Polygons (raw): 10 (flat)  2 (hierarchical)
+    Elapsed: 0.010s  Memory: 522.00M
+"polygons" in: sky130A_mr.drc:121
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 522.00M
+"polygons" in: sky130A_mr.drc:122
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 522.00M
+"polygons" in: sky130A_mr.drc:123
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 522.00M
+"polygons" in: sky130A_mr.drc:124
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 522.00M
+"polygons" in: sky130A_mr.drc:125
+    Polygons (raw): 202 (flat)  116 (hierarchical)
+    Elapsed: 0.000s  Memory: 522.00M
+"polygons" in: sky130A_mr.drc:126
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 522.00M
+"polygons" in: sky130A_mr.drc:127
+    Polygons (raw): 62 (flat)  43 (hierarchical)
+    Elapsed: 0.000s  Memory: 522.00M
+"polygons" in: sky130A_mr.drc:128
+    Polygons (raw): 52 (flat)  26 (hierarchical)
+    Elapsed: 0.010s  Memory: 522.00M
+"polygons" in: sky130A_mr.drc:129
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 522.00M
+"polygons" in: sky130A_mr.drc:130
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 522.00M
+"polygons" in: sky130A_mr.drc:131
+    Polygons (raw): 33 (flat)  24 (hierarchical)
+    Elapsed: 0.000s  Memory: 522.00M
+"polygons" in: sky130A_mr.drc:132
+    Polygons (raw): 907 (flat)  291 (hierarchical)
+    Elapsed: 0.010s  Memory: 522.00M
+"polygons" in: sky130A_mr.drc:134
+    Polygons (raw): 566 (flat)  394 (hierarchical)
+    Elapsed: 0.000s  Memory: 522.00M
+"polygons" in: sky130A_mr.drc:135
+    Polygons (raw): 1756 (flat)  1480 (hierarchical)
+    Elapsed: 0.010s  Memory: 522.00M
+"polygons" in: sky130A_mr.drc:137
+    Polygons (raw): 349 (flat)  299 (hierarchical)
+    Elapsed: 0.000s  Memory: 522.00M
+"polygons" in: sky130A_mr.drc:138
+    Polygons (raw): 2570 (flat)  2570 (hierarchical)
+    Elapsed: 0.010s  Memory: 522.00M
+"polygons" in: sky130A_mr.drc:140
+    Polygons (raw): 603 (flat)  603 (hierarchical)
+    Elapsed: 0.000s  Memory: 522.00M
+"polygons" in: sky130A_mr.drc:141
+    Polygons (raw): 1761 (flat)  1761 (hierarchical)
+    Elapsed: 0.010s  Memory: 522.00M
+"polygons" in: sky130A_mr.drc:143
+    Polygons (raw): 458 (flat)  458 (hierarchical)
+    Elapsed: 0.010s  Memory: 522.00M
+"polygons" in: sky130A_mr.drc:144
+    Polygons (raw): 25800 (flat)  25800 (hierarchical)
+    Elapsed: 0.010s  Memory: 523.00M
+"polygons" in: sky130A_mr.drc:146
+    Polygons (raw): 177 (flat)  177 (hierarchical)
+    Elapsed: 0.010s  Memory: 523.00M
+"polygons" in: sky130A_mr.drc:147
+    Polygons (raw): 552 (flat)  552 (hierarchical)
+    Elapsed: 0.000s  Memory: 523.00M
+"polygons" in: sky130A_mr.drc:149
+    Polygons (raw): 153 (flat)  153 (hierarchical)
+    Elapsed: 0.010s  Memory: 523.00M
+"polygons" in: sky130A_mr.drc:151
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 523.00M
+"polygons" in: sky130A_mr.drc:152
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 523.00M
+"polygons" in: sky130A_mr.drc:153
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 523.00M
+"polygons" in: sky130A_mr.drc:154
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 523.00M
+"polygons" in: sky130A_mr.drc:155
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 523.00M
+"polygons" in: sky130A_mr.drc:156
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 523.00M
+"polygons" in: sky130A_mr.drc:157
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 523.00M
+"polygons" in: sky130A_mr.drc:158
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 523.00M
+"polygons" in: sky130A_mr.drc:159
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 523.00M
+"polygons" in: sky130A_mr.drc:160
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 523.00M
+"polygons" in: sky130A_mr.drc:161
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 523.00M
+"polygons" in: sky130A_mr.drc:162
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 523.00M
+"polygons" in: sky130A_mr.drc:163
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 523.00M
+"polygons" in: sky130A_mr.drc:164
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 523.00M
+"polygons" in: sky130A_mr.drc:165
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 523.00M
+"polygons" in: sky130A_mr.drc:166
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 523.00M
+"polygons" in: sky130A_mr.drc:167
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 523.00M
+"polygons" in: sky130A_mr.drc:168
+    Polygons (raw): 10 (flat)  2 (hierarchical)
+    Elapsed: 0.010s  Memory: 523.00M
+"polygons" in: sky130A_mr.drc:169
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 523.00M
+"polygons" in: sky130A_mr.drc:170
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 523.00M
+"polygons" in: sky130A_mr.drc:171
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 523.00M
+"polygons" in: sky130A_mr.drc:172
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 523.00M
+"polygons" in: sky130A_mr.drc:173
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 523.00M
+"polygons" in: sky130A_mr.drc:174
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 523.00M
+"polygons" in: sky130A_mr.drc:175
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 523.00M
+"polygons" in: sky130A_mr.drc:176
+    Polygons (raw): 1 (flat)  1 (hierarchical)
+    Elapsed: 0.000s  Memory: 523.00M
+"polygons" in: sky130A_mr.drc:177
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 523.00M
+"polygons" in: sky130A_mr.drc:178
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 523.00M
+"polygons" in: sky130A_mr.drc:179
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 523.00M
+"polygons" in: sky130A_mr.drc:180
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 523.00M
+"polygons" in: sky130A_mr.drc:181
+    Polygons (raw): 4 (flat)  3 (hierarchical)
+    Elapsed: 0.010s  Memory: 523.00M
+"polygons" in: sky130A_mr.drc:182
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 523.00M
+"polygons" in: sky130A_mr.drc:183
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 523.00M
+"polygons" in: sky130A_mr.drc:184
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 523.00M
+"polygons" in: sky130A_mr.drc:185
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 523.00M
+"polygons" in: sky130A_mr.drc:186
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 523.00M
+"polygons" in: sky130A_mr.drc:187
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 523.00M
+"polygons" in: sky130A_mr.drc:188
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 523.00M
+"polygons" in: sky130A_mr.drc:189
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 523.00M
+"polygons" in: sky130A_mr.drc:190
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 523.00M
+"polygons" in: sky130A_mr.drc:191
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 523.00M
+"polygons" in: sky130A_mr.drc:192
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 523.00M
+"polygons" in: sky130A_mr.drc:193
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 523.00M
+"polygons" in: sky130A_mr.drc:194
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 523.00M
+"polygons" in: sky130A_mr.drc:195
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 523.00M
+"polygons" in: sky130A_mr.drc:196
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 523.00M
+"polygons" in: sky130A_mr.drc:197
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 523.00M
+"polygons" in: sky130A_mr.drc:198
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 523.00M
+"polygons" in: sky130A_mr.drc:199
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 523.00M
+"polygons" in: sky130A_mr.drc:200
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 523.00M
+"polygons" in: sky130A_mr.drc:201
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 523.00M
+"polygons" in: sky130A_mr.drc:202
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 523.00M
+"polygons" in: sky130A_mr.drc:203
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 523.00M
+DRC section
+OFFGRID-ANGLES section
+"ongrid" in: sky130A_mr.drc:690
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 523.00M
+"output" in: sky130A_mr.drc:690
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 523.00M
+"with_angle" in: sky130A_mr.drc:691
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 523.00M
+"output" in: sky130A_mr.drc:691
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 523.00M
+"ongrid" in: sky130A_mr.drc:692
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 523.00M
+"output" in: sky130A_mr.drc:692
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 523.00M
+"with_angle" in: sky130A_mr.drc:693
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 523.00M
+"output" in: sky130A_mr.drc:693
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 523.00M
+"ongrid" in: sky130A_mr.drc:694
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 523.00M
+"output" in: sky130A_mr.drc:694
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 523.00M
+"with_angle" in: sky130A_mr.drc:695
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 523.00M
+"output" in: sky130A_mr.drc:695
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 523.00M
+"ongrid" in: sky130A_mr.drc:696
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 523.00M
+"output" in: sky130A_mr.drc:696
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 523.00M
+"with_angle" in: sky130A_mr.drc:697
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 523.00M
+"output" in: sky130A_mr.drc:697
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 523.00M
+"ongrid" in: sky130A_mr.drc:698
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 523.00M
+"output" in: sky130A_mr.drc:698
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 523.00M
+"with_angle" in: sky130A_mr.drc:699
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 523.00M
+"output" in: sky130A_mr.drc:699
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 523.00M
+"ongrid" in: sky130A_mr.drc:700
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 523.00M
+"output" in: sky130A_mr.drc:700
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 523.00M
+"with_angle" in: sky130A_mr.drc:701
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 523.00M
+"output" in: sky130A_mr.drc:701
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 523.00M
+"ongrid" in: sky130A_mr.drc:702
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 523.00M
+"output" in: sky130A_mr.drc:702
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 523.00M
+"with_angle" in: sky130A_mr.drc:703
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 523.00M
+"output" in: sky130A_mr.drc:703
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 523.00M
+"ongrid" in: sky130A_mr.drc:704
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 523.00M
+"output" in: sky130A_mr.drc:704
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 523.00M
+"with_angle" in: sky130A_mr.drc:705
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 523.00M
+"output" in: sky130A_mr.drc:705
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 523.00M
+"ongrid" in: sky130A_mr.drc:706
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 523.00M
+"output" in: sky130A_mr.drc:706
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 523.00M
+"ongrid" in: sky130A_mr.drc:707
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 523.00M
+"output" in: sky130A_mr.drc:707
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 523.00M
+"and" in: sky130A_mr.drc:708
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 523.00M
+"not" in: sky130A_mr.drc:708
+    Polygons (raw): 62 (flat)  25 (hierarchical)
+    Elapsed: 0.000s  Memory: 523.00M
+"with_angle" in: sky130A_mr.drc:708
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 523.00M
+"output" in: sky130A_mr.drc:708
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 523.00M
+"and" in: sky130A_mr.drc:709
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 523.00M
+"and" in: sky130A_mr.drc:709
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 523.00M
+"with_angle" in: sky130A_mr.drc:709
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 523.00M
+"output" in: sky130A_mr.drc:709
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 523.00M
+"and" in: sky130A_mr.drc:710
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 523.00M
+"not" in: sky130A_mr.drc:710
+    Polygons (raw): 38 (flat)  30 (hierarchical)
+    Elapsed: 0.000s  Memory: 523.00M
+"with_angle" in: sky130A_mr.drc:710
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 523.00M
+"output" in: sky130A_mr.drc:710
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 523.00M
+"and" in: sky130A_mr.drc:711
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 523.00M
+"and" in: sky130A_mr.drc:711
+    Polygons (raw): 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 523.00M
+"with_angle" in: sky130A_mr.drc:711
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 523.00M
+"output" in: sky130A_mr.drc:711
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 523.00M
+"ongrid" in: sky130A_mr.drc:712
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 523.00M
+"output" in: sky130A_mr.drc:712
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 523.00M
+"with_angle" in: sky130A_mr.drc:713
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 523.00M
+"output" in: sky130A_mr.drc:713
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 523.00M
+"ongrid" in: sky130A_mr.drc:714
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 523.00M
+"output" in: sky130A_mr.drc:714
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 523.00M
+"with_angle" in: sky130A_mr.drc:715
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 523.00M
+"output" in: sky130A_mr.drc:715
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 523.00M
+"ongrid" in: sky130A_mr.drc:716
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 523.00M
+"output" in: sky130A_mr.drc:716
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 523.00M
+"with_angle" in: sky130A_mr.drc:717
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 523.00M
+"output" in: sky130A_mr.drc:717
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 523.00M
+"ongrid" in: sky130A_mr.drc:718
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 523.00M
+"output" in: sky130A_mr.drc:718
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 523.00M
+"with_angle" in: sky130A_mr.drc:719
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 523.00M
+"output" in: sky130A_mr.drc:719
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 523.00M
+"ongrid" in: sky130A_mr.drc:720
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 523.00M
+"output" in: sky130A_mr.drc:720
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 523.00M
+"with_angle" in: sky130A_mr.drc:721
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 523.00M
+"output" in: sky130A_mr.drc:721
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 523.00M
+"ongrid" in: sky130A_mr.drc:722
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 523.00M
+"output" in: sky130A_mr.drc:722
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 523.00M
+"with_angle" in: sky130A_mr.drc:723
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 523.00M
+"output" in: sky130A_mr.drc:723
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 523.00M
+"ongrid" in: sky130A_mr.drc:724
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 523.00M
+"output" in: sky130A_mr.drc:724
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 523.00M
+"with_angle" in: sky130A_mr.drc:725
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 523.00M
+"output" in: sky130A_mr.drc:725
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 523.00M
+"ongrid" in: sky130A_mr.drc:726
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 523.00M
+"output" in: sky130A_mr.drc:726
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 523.00M
+"with_angle" in: sky130A_mr.drc:727
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 523.00M
+"output" in: sky130A_mr.drc:727
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 523.00M
+"ongrid" in: sky130A_mr.drc:728
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.020s  Memory: 523.00M
+"output" in: sky130A_mr.drc:728
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 523.00M
+"with_angle" in: sky130A_mr.drc:729
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 523.00M
+"output" in: sky130A_mr.drc:729
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 523.00M
+"ongrid" in: sky130A_mr.drc:730
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 523.00M
+"output" in: sky130A_mr.drc:730
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 523.00M
+"with_angle" in: sky130A_mr.drc:731
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 523.00M
+"output" in: sky130A_mr.drc:731
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 523.00M
+"ongrid" in: sky130A_mr.drc:732
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 523.00M
+"output" in: sky130A_mr.drc:732
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 523.00M
+"with_angle" in: sky130A_mr.drc:733
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 523.00M
+"output" in: sky130A_mr.drc:733
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 523.00M
+"ongrid" in: sky130A_mr.drc:734
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.020s  Memory: 524.00M
+"output" in: sky130A_mr.drc:734
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 524.00M
+"with_angle" in: sky130A_mr.drc:735
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 524.00M
+"output" in: sky130A_mr.drc:735
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 524.00M
+"ongrid" in: sky130A_mr.drc:736
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 524.00M
+"output" in: sky130A_mr.drc:736
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 524.00M
+"with_angle" in: sky130A_mr.drc:737
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 524.00M
+"output" in: sky130A_mr.drc:737
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 524.00M
+"ongrid" in: sky130A_mr.drc:738
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.020s  Memory: 524.00M
+"output" in: sky130A_mr.drc:738
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 524.00M
+"with_angle" in: sky130A_mr.drc:739
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 524.00M
+"output" in: sky130A_mr.drc:739
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 524.00M
+"ongrid" in: sky130A_mr.drc:740
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 524.00M
+"output" in: sky130A_mr.drc:740
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 524.00M
+"with_angle" in: sky130A_mr.drc:741
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 524.00M
+"output" in: sky130A_mr.drc:741
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 524.00M
+"ongrid" in: sky130A_mr.drc:742
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.140s  Memory: 530.00M
+"output" in: sky130A_mr.drc:742
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 530.00M
+"with_angle" in: sky130A_mr.drc:743
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.020s  Memory: 530.00M
+"output" in: sky130A_mr.drc:743
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 530.00M
+"ongrid" in: sky130A_mr.drc:744
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 530.00M
+"output" in: sky130A_mr.drc:744
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 530.00M
+"with_angle" in: sky130A_mr.drc:745
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 530.00M
+"output" in: sky130A_mr.drc:745
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 530.00M
+"ongrid" in: sky130A_mr.drc:746
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 530.00M
+"output" in: sky130A_mr.drc:746
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 530.00M
+"with_angle" in: sky130A_mr.drc:747
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 530.00M
+"output" in: sky130A_mr.drc:747
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 530.00M
+"ongrid" in: sky130A_mr.drc:748
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 530.00M
+"output" in: sky130A_mr.drc:748
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 530.00M
+"with_angle" in: sky130A_mr.drc:749
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 530.00M
+"output" in: sky130A_mr.drc:749
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 530.00M
+"ongrid" in: sky130A_mr.drc:750
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 530.00M
+"output" in: sky130A_mr.drc:750
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 530.00M
+"with_angle" in: sky130A_mr.drc:751
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 530.00M
+"output" in: sky130A_mr.drc:751
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 530.00M
+"ongrid" in: sky130A_mr.drc:752
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 530.00M
+"output" in: sky130A_mr.drc:752
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 530.00M
+"with_angle" in: sky130A_mr.drc:753
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 530.00M
+"output" in: sky130A_mr.drc:753
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 530.00M
+"ongrid" in: sky130A_mr.drc:754
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 530.00M
+"output" in: sky130A_mr.drc:754
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 530.00M
+"with_angle" in: sky130A_mr.drc:755
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 530.00M
+"output" in: sky130A_mr.drc:755
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 530.00M
+"ongrid" in: sky130A_mr.drc:756
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 530.00M
+"output" in: sky130A_mr.drc:756
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 530.00M
+"with_angle" in: sky130A_mr.drc:757
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 530.00M
+"output" in: sky130A_mr.drc:757
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 530.00M
+"ongrid" in: sky130A_mr.drc:758
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 530.00M
+"output" in: sky130A_mr.drc:758
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 530.00M
+"with_angle" in: sky130A_mr.drc:759
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 530.00M
+"output" in: sky130A_mr.drc:759
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 530.00M
+"ongrid" in: sky130A_mr.drc:760
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 530.00M
+"output" in: sky130A_mr.drc:760
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 530.00M
+"with_angle" in: sky130A_mr.drc:761
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 530.00M
+"output" in: sky130A_mr.drc:761
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 530.00M
+"ongrid" in: sky130A_mr.drc:762
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 530.00M
+"output" in: sky130A_mr.drc:762
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 530.00M
+"with_angle" in: sky130A_mr.drc:763
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 530.00M
+"output" in: sky130A_mr.drc:763
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 530.00M
+"ongrid" in: sky130A_mr.drc:764
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 530.00M
+"output" in: sky130A_mr.drc:764
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 530.00M
+"with_angle" in: sky130A_mr.drc:765
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 530.00M
+"output" in: sky130A_mr.drc:765
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 530.00M
+"ongrid" in: sky130A_mr.drc:766
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.000s  Memory: 530.00M
+"output" in: sky130A_mr.drc:766
+    Edge pairs: 0 (flat)  0 (hierarchical)
+    Elapsed: 0.010s  Memory: 530.00M
+Writing report database: /home/krishna/Comparator_MPW6/precheck_results/04_JUN_2022___08_03_50/outputs/reports/klayout_offgrid_check.xml ..
+Total elapsed: 1.440s  Memory: 530.00M
diff --git a/precheck_results/04_JUN_2022___08_03_50/logs/klayout_offgrid_check.total b/precheck_results/04_JUN_2022___08_03_50/logs/klayout_offgrid_check.total
new file mode 100644
index 0000000..c227083
--- /dev/null
+++ b/precheck_results/04_JUN_2022___08_03_50/logs/klayout_offgrid_check.total
@@ -0,0 +1 @@
+0
\ No newline at end of file
diff --git a/precheck_results/04_JUN_2022___08_03_50/logs/klayout_pin_label_purposes_overlapping_drawing_check.log b/precheck_results/04_JUN_2022___08_03_50/logs/klayout_pin_label_purposes_overlapping_drawing_check.log
new file mode 100644
index 0000000..558632c
--- /dev/null
+++ b/precheck_results/04_JUN_2022___08_03_50/logs/klayout_pin_label_purposes_overlapping_drawing_check.log
@@ -0,0 +1,29 @@
+Running pin_label_purposes_overlapping_drawing.rb.drc on file=/home/krishna/Comparator_MPW6/gds/user_analog_project_wrapper.gds, topcell=user_analog_project_wrapper, output to /home/krishna/Comparator_MPW6/precheck_results/04_JUN_2022___08_03_50/outputs/reports/klayout_pin_label_purposes_overlapping_drawing_check.xml
+  deep:true tiled:false threads:12
+--- #err|description, table for cell: user_analog_project_wrapper
+NO-Check ----        pwell:64/44/EMP   122/16/dat    64/59/EMP    44/16/EMP     44/5/EMP
+         ----        nwell:64/20/dat    64/16/dat     64/5/EMP
+         ----         diff:65/20/dat    65/16/EMP     65/6/EMP
+         ----          tap:65/44/dat    65/48/EMP     65/5/EMP
+         ----         poly:66/20/dat    66/16/EMP     66/5/EMP
+         ----       licon1:66/44/dat    66/58/EMP
+         ----          li1:67/20/dat    67/16/dat     67/5/EMP
+         ----         mcon:67/44/dat    67/48/EMP
+         ----         met1:68/20/dat    68/16/dat     68/5/EMP
+         ----          via:68/44/dat    68/58/EMP
+         ----         met2:69/20/dat    69/16/dat     69/5/EMP
+         ----         via2:69/44/dat    69/58/EMP
+         ----         met3:70/20/dat    70/16/dat     70/5/EMP
+         ----         via3:70/44/dat    70/48/EMP
+         ----         met4:71/20/dat    71/16/dat     71/5/EMP
+         ----         via4:71/44/dat    71/48/EMP
+         ----         met5:72/20/dat    72/16/dat     72/5/EMP
+         ----          pad:76/20/EMP     76/5/EMP    76/16/EMP
+         ----          pnp:82/44/EMP    82/59/EMP
+         ----          npn:82/20/EMP     82/5/EMP
+         ----          rdl:74/20/EMP    74/16/EMP     74/5/EMP
+         ----     inductor:82/24/EMP    82/25/EMP
+       0 total error(s) among 0 error type(s), 33 checks, cell: user_analog_project_wrapper
+Writing report...
+VmPeak:	 2500956 kB
+VmHWM:	  257608 kB
diff --git a/precheck_results/04_JUN_2022___08_03_50/logs/klayout_pin_label_purposes_overlapping_drawing_check.total b/precheck_results/04_JUN_2022___08_03_50/logs/klayout_pin_label_purposes_overlapping_drawing_check.total
new file mode 100644
index 0000000..c227083
--- /dev/null
+++ b/precheck_results/04_JUN_2022___08_03_50/logs/klayout_pin_label_purposes_overlapping_drawing_check.total
@@ -0,0 +1 @@
+0
\ No newline at end of file
diff --git a/precheck_results/04_JUN_2022___08_03_50/logs/klayout_zeroarea_check.log b/precheck_results/04_JUN_2022___08_03_50/logs/klayout_zeroarea_check.log
new file mode 100644
index 0000000..bdf7cab
--- /dev/null
+++ b/precheck_results/04_JUN_2022___08_03_50/logs/klayout_zeroarea_check.log
@@ -0,0 +1,4 @@
+0 zero-area shapes
+writing to /home/krishna/Comparator_MPW6/precheck_results/04_JUN_2022___08_03_50/outputs/user_analog_project_wrapper_no_zero_areas.gds
+VmPeak:	  542076 kB
+VmHWM:	  261256 kB
diff --git a/precheck_results/04_JUN_2022___08_03_50/logs/klayout_zeroarea_check.total b/precheck_results/04_JUN_2022___08_03_50/logs/klayout_zeroarea_check.total
new file mode 100644
index 0000000..c227083
--- /dev/null
+++ b/precheck_results/04_JUN_2022___08_03_50/logs/klayout_zeroarea_check.total
@@ -0,0 +1 @@
+0
\ No newline at end of file
diff --git a/precheck_results/04_JUN_2022___08_03_50/logs/magic_drc_check.log b/precheck_results/04_JUN_2022___08_03_50/logs/magic_drc_check.log
new file mode 100644
index 0000000..7852afa
--- /dev/null
+++ b/precheck_results/04_JUN_2022___08_03_50/logs/magic_drc_check.log
@@ -0,0 +1,30 @@
+
+Magic 8.3 revision 274 - Compiled on Fri Mar  4 22:54:15 UTC 2022.
+Starting magic under Tcl interpreter
+Using the terminal as the console.
+Using NULL graphics device.
+Processing system .magicrc file
+Sourcing design .magicrc for technology sky130A ...
+2 Magic internal units = 1 Lambda
+Could not find file '/usr/local/share/pdk/sky130A/sky130A/libs.tech/magic/sky130A.tech' in any of these directories:
+         . $CAD_ROOT/magic/sys $CAD_ROOT/magic/sys/current
+Error parsing "/home/krishna/mpw_precheckmpw6/checks/tech-files/sky130A.magicrc": couldn't read file "/usr/local/share/pdk/sky130A/sky130A/libs.tech/magic/sky130A.tcl": no such file or directory
+Bad local startup file "/home/krishna/mpw_precheckmpw6/checks/tech-files/sky130A.magicrc", continuing without.
+Scaled tech values by 2 / 1 to match internal grid scaling
+Loading "/home/krishna/mpw_precheckmpw6/checks/drc_checks/magic/magic_drc_check.tcl" from command line.
+Don't know how to read GDS-II:
+Nothing in "cifinput" section of tech file.
+[INFO]: Loading user_analog_project_wrapper
+
+File user_analog_project_wrapper.mag couldn't be read
+No such file or directory
+Creating new cell
+"drc(full)" is not one of the DRC styles Magic knows.
+The current style is "default".
+The DRC styles are: default.
+No errors found.
+[INFO]: COUNT: 0
+[INFO]: Should be divided by 3 or 4
+[INFO]: DRC Checking DONE (/home/krishna/Comparator_MPW6/precheck_results/04_JUN_2022___08_03_50/outputs/reports/magic_drc_check.drc.report)
+[INFO]: Saving mag view with DRC errors(/home/krishna/Comparator_MPW6/precheck_results/04_JUN_2022___08_03_50/outputs/user_analog_project_wrapper.magic.drc.mag)
+[INFO]: Saved
diff --git a/precheck_results/04_JUN_2022___08_03_50/logs/magic_drc_check.total b/precheck_results/04_JUN_2022___08_03_50/logs/magic_drc_check.total
new file mode 100644
index 0000000..c227083
--- /dev/null
+++ b/precheck_results/04_JUN_2022___08_03_50/logs/magic_drc_check.total
@@ -0,0 +1 @@
+0
\ No newline at end of file
diff --git a/precheck_results/04_JUN_2022___08_03_50/logs/pdks.info b/precheck_results/04_JUN_2022___08_03_50/logs/pdks.info
new file mode 100644
index 0000000..3072015
--- /dev/null
+++ b/precheck_results/04_JUN_2022___08_03_50/logs/pdks.info
@@ -0,0 +1,2 @@
+Open PDKs a3d6ffea8022332b859daa454cef7ee7131c5181
+Skywater PDK f70d8ca46961ff92719d8870a18a076370b85f6c
\ No newline at end of file
diff --git a/precheck_results/04_JUN_2022___08_03_50/logs/precheck.log b/precheck_results/04_JUN_2022___08_03_50/logs/precheck.log
new file mode 100644
index 0000000..8dc52ab
--- /dev/null
+++ b/precheck_results/04_JUN_2022___08_03_50/logs/precheck.log
@@ -0,0 +1,60 @@
+2022-06-04 08:03:50 - [INFO] - {{EXTRACTING FILES}} Extracting compressed files in: /home/krishna/Comparator_MPW6
+2022-06-04 08:03:50 - [INFO] - {{Project Type Info}} analog
+2022-06-04 08:03:50 - [INFO] - {{Project GDS Info}} user_analog_project_wrapper: 7de72c1ef580be420c1adf9526c27720009c9dfc
+2022-06-04 08:03:50 - [INFO] - {{Tools Info}} KLayout: v0.27.8 | Magic: v8.3.274
+2022-06-04 08:03:50 - [INFO] - {{PDKs Info}} Open PDKs: a3d6ffea8022332b859daa454cef7ee7131c5181 | Skywater PDK: f70d8ca46961ff92719d8870a18a076370b85f6c
+2022-06-04 08:03:50 - [INFO] - {{START}} Precheck Started, the full log 'precheck.log' will be located in '/home/krishna/Comparator_MPW6/precheck_results/04_JUN_2022___08_03_50/logs'
+2022-06-04 08:03:50 - [INFO] - {{PRECHECK SEQUENCE}} Precheck will run the following checks: [License, Makefile, Default, Documentation, Consistency, XOR, Magic DRC, Klayout FEOL, Klayout BEOL, Klayout Offgrid, Klayout Metal Minimum Clear Area Density, Klayout Pin Label Purposes Overlapping Drawing, Klayout ZeroArea]
+2022-06-04 08:03:50 - [INFO] - {{STEP UPDATE}} Executing Check 1 of 13: License
+2022-06-04 08:03:51 - [INFO] - An approved LICENSE (Apache-2.0) was found in /home/krishna/Comparator_MPW6.
+2022-06-04 08:03:51 - [INFO] - {{MAIN LICENSE CHECK PASSED}} An approved LICENSE was found in project root.
+2022-06-04 08:03:52 - [INFO] - An approved LICENSE (Apache-2.0) was found in /home/krishna/Comparator_MPW6.
+2022-06-04 08:03:52 - [INFO] - {{SUBMODULES LICENSE CHECK PASSED}} No prohibited LICENSE file(s) was found in project submodules
+2022-06-04 08:03:52 - [WARNING] - {{SPDX COMPLIANCE CHECK FAILED}} Found 164 non-compliant file(s) with the SPDX Standard.
+2022-06-04 08:03:52 - [INFO] - SPDX COMPLIANCE: NON-COMPLIANT FILE(S) PREVIEW: ['/home/krishna/Comparator_MPW6/Makefile', '/home/krishna/Comparator_MPW6/.spiceinit', '/home/krishna/Comparator_MPW6/verilog/dv/Makefile', '/home/krishna/Comparator_MPW6/verilog/dv/mprj_por/mprj_por.c', '/home/krishna/Comparator_MPW6/verilog/dv/mprj_por/mprj_por_tb.v', '/home/krishna/Comparator_MPW6/verilog/dv/mprj_por/Makefile', '/home/krishna/Comparator_MPW6/verilog/rtl/uprj_analog_netlists.v', '/home/krishna/Comparator_MPW6/verilog/rtl/example_por.v', '/home/krishna/Comparator_MPW6/verilog/rtl/user_analog_proj_example.v', '/home/krishna/Comparator_MPW6/verilog/rtl/user_analog_project_wrapper.v', '/home/krishna/Comparator_MPW6/verilog/rtl/comparator.v', '/home/krishna/Comparator_MPW6/precheck_results/01_JUN_2022___10_26_55/outputs/reports/magic_drc_check.drc.report', '/home/krishna/Comparator_MPW6/precheck_results/01_JUN_2022___10_26_55/logs/klayout_zeroarea_check.total', '/home/krishna/Comparator_MPW6/precheck_results/01_JUN_2022___10_26_55/logs/tools.info', '/home/krishna/Comparator_MPW6/precheck_results/01_JUN_2022___10_26_55/logs/klayout_beol_check.total']
+2022-06-04 08:03:52 - [INFO] - For the full SPDX compliance report check: /home/krishna/Comparator_MPW6/precheck_results/04_JUN_2022___08_03_50/logs/spdx_compliance_report.log
+2022-06-04 08:03:52 - [INFO] - {{STEP UPDATE}} Executing Check 2 of 13: Makefile
+2022-06-04 08:03:52 - [INFO] - {{MAKEFILE CHECK PASSED}} Makefile valid.
+2022-06-04 08:03:52 - [INFO] - {{STEP UPDATE}} Executing Check 3 of 13: Default
+2022-06-04 08:03:52 - [INFO] - {{README DEFAULT CHECK PASSED}} Project 'README.md' was modified and is not identical to the default 'README.md'
+2022-06-04 08:03:52 - [INFO] - {{CONTENT DEFAULT CHECK PASSED}} Project 'gds' was modified and is not identical to the default 'gds'
+2022-06-04 08:03:52 - [INFO] - {{STEP UPDATE}} Executing Check 4 of 13: Documentation
+2022-06-04 08:03:52 - [INFO] - {{DOCUMENTATION CHECK PASSED}} Project documentation is appropriate.
+2022-06-04 08:03:52 - [INFO] - {{STEP UPDATE}} Executing Check 5 of 13: Consistency
+2022-06-04 08:03:54 - [INFO] - HIERARCHY CHECK PASSED: Module user_analog_project_wrapper is instantiated in caravan. 
+2022-06-04 08:03:54 - [INFO] - COMPLEXITY CHECK PASSED: Netlist caravan contains at least 8 instances (68 instances). 
+2022-06-04 08:03:54 - [INFO] - MODELING CHECK PASSED: Netlist caravan is structural.
+2022-06-04 08:03:54 - [INFO] - SUBMODULE HOOKS CHECK PASSED: All module ports for user_analog_project_wrapper are correctly connected in the top level netlist caravan.
+2022-06-04 08:03:54 - [INFO] - {{NETLIST CONSISTENCY CHECK PASSED}} caravan netlist passed all consistency checks.
+2022-06-04 08:03:54 - [INFO] - PORTS CHECK PASSED: Netlist user_analog_project_wrapper ports match the golden wrapper ports
+2022-06-04 08:03:54 - [INFO] - COMPLEXITY CHECK PASSED: Netlist user_analog_project_wrapper contains at least 1 instances (19 instances). 
+2022-06-04 08:03:54 - [INFO] - MODELING CHECK PASSED: Netlist user_analog_project_wrapper is structural.
+2022-06-04 08:03:54 - [INFO] - LAYOUT CHECK PASSED: The GDS layout for user_analog_project_wrapper matches the provided structural netlist.
+2022-06-04 08:03:54 - [INFO] - {{NETLIST CONSISTENCY CHECK PASSED}} user_analog_project_wrapper netlist passed all consistency checks.
+2022-06-04 08:03:54 - [INFO] - {{CONSISTENCY CHECK PASSED}} The user netlist and the top netlist are valid.
+2022-06-04 08:03:54 - [INFO] - {{STEP UPDATE}} Executing Check 6 of 13: XOR
+2022-06-04 08:03:55 - [ERROR] - XOR CHECK FILE NOT FOUND in /home/krishna/Comparator_MPW6/precheck_results/04_JUN_2022___08_03_50/logs/xor_check.total
+2022-06-04 08:03:55 - [WARNING] - {{XOR CHECK FAILED}} The GDS file has non-conforming geometries.
+2022-06-04 08:03:55 - [INFO] - {{STEP UPDATE}} Executing Check 7 of 13: Magic DRC
+2022-06-04 08:03:55 - [INFO] - 0 DRC violations
+2022-06-04 08:03:55 - [INFO] - {{MAGIC DRC CHECK PASSED}} The GDS file, user_analog_project_wrapper.gds, has no DRC violations.
+2022-06-04 08:03:55 - [INFO] - {{STEP UPDATE}} Executing Check 8 of 13: Klayout FEOL
+2022-06-04 08:03:58 - [INFO] - No DRC Violations found
+2022-06-04 08:03:58 - [INFO] - {{Klayout FEOL CHECK PASSED}} The GDS file, user_analog_project_wrapper.gds, has no DRC violations.
+2022-06-04 08:03:58 - [INFO] - {{STEP UPDATE}} Executing Check 9 of 13: Klayout BEOL
+2022-06-04 08:04:02 - [INFO] - No DRC Violations found
+2022-06-04 08:04:02 - [INFO] - {{Klayout BEOL CHECK PASSED}} The GDS file, user_analog_project_wrapper.gds, has no DRC violations.
+2022-06-04 08:04:02 - [INFO] - {{STEP UPDATE}} Executing Check 10 of 13: Klayout Offgrid
+2022-06-04 08:04:04 - [INFO] - No DRC Violations found
+2022-06-04 08:04:04 - [INFO] - {{Klayout Offgrid CHECK PASSED}} The GDS file, user_analog_project_wrapper.gds, has no DRC violations.
+2022-06-04 08:04:04 - [INFO] - {{STEP UPDATE}} Executing Check 11 of 13: Klayout Metal Minimum Clear Area Density
+2022-06-04 08:04:05 - [INFO] - No DRC Violations found
+2022-06-04 08:04:05 - [INFO] - {{Klayout Metal Minimum Clear Area Density CHECK PASSED}} The GDS file, user_analog_project_wrapper.gds, has no DRC violations.
+2022-06-04 08:04:05 - [INFO] - {{STEP UPDATE}} Executing Check 12 of 13: Klayout Pin Label Purposes Overlapping Drawing
+2022-06-04 08:04:06 - [INFO] - No DRC Violations found
+2022-06-04 08:04:06 - [INFO] - {{Klayout Pin Label Purposes Overlapping Drawing CHECK PASSED}} The GDS file, user_analog_project_wrapper.gds, has no DRC violations.
+2022-06-04 08:04:06 - [INFO] - {{STEP UPDATE}} Executing Check 13 of 13: Klayout ZeroArea
+2022-06-04 08:04:07 - [INFO] - No DRC Violations found
+2022-06-04 08:04:07 - [INFO] - {{Klayout ZeroArea CHECK PASSED}} The GDS file, user_analog_project_wrapper.gds, has no DRC violations.
+2022-06-04 08:04:07 - [INFO] - {{FINISH}} Executing Finished, the full log 'precheck.log' can be found in '/home/krishna/Comparator_MPW6/precheck_results/04_JUN_2022___08_03_50/logs'
+2022-06-04 08:04:07 - [CRITICAL] - {{FAILURE}} 1 Check(s) Failed: ['XOR'] !!!
diff --git a/precheck_results/04_JUN_2022___08_03_50/logs/spdx_compliance_report.log b/precheck_results/04_JUN_2022___08_03_50/logs/spdx_compliance_report.log
new file mode 100644
index 0000000..88df766
--- /dev/null
+++ b/precheck_results/04_JUN_2022___08_03_50/logs/spdx_compliance_report.log
@@ -0,0 +1,164 @@
+/home/krishna/Comparator_MPW6/Makefile
+/home/krishna/Comparator_MPW6/.spiceinit
+/home/krishna/Comparator_MPW6/verilog/dv/Makefile
+/home/krishna/Comparator_MPW6/verilog/dv/mprj_por/mprj_por.c
+/home/krishna/Comparator_MPW6/verilog/dv/mprj_por/mprj_por_tb.v
+/home/krishna/Comparator_MPW6/verilog/dv/mprj_por/Makefile
+/home/krishna/Comparator_MPW6/verilog/rtl/uprj_analog_netlists.v
+/home/krishna/Comparator_MPW6/verilog/rtl/example_por.v
+/home/krishna/Comparator_MPW6/verilog/rtl/user_analog_proj_example.v
+/home/krishna/Comparator_MPW6/verilog/rtl/user_analog_project_wrapper.v
+/home/krishna/Comparator_MPW6/verilog/rtl/comparator.v
+/home/krishna/Comparator_MPW6/precheck_results/01_JUN_2022___10_26_55/outputs/reports/magic_drc_check.drc.report
+/home/krishna/Comparator_MPW6/precheck_results/01_JUN_2022___10_26_55/logs/klayout_zeroarea_check.total
+/home/krishna/Comparator_MPW6/precheck_results/01_JUN_2022___10_26_55/logs/tools.info
+/home/krishna/Comparator_MPW6/precheck_results/01_JUN_2022___10_26_55/logs/klayout_beol_check.total
+/home/krishna/Comparator_MPW6/precheck_results/01_JUN_2022___10_26_55/logs/klayout_pin_label_purposes_overlapping_drawing_check.total
+/home/krishna/Comparator_MPW6/precheck_results/01_JUN_2022___10_26_55/logs/klayout_feol_check.total
+/home/krishna/Comparator_MPW6/precheck_results/01_JUN_2022___10_26_55/logs/gds.info
+/home/krishna/Comparator_MPW6/precheck_results/01_JUN_2022___10_26_55/logs/pdks.info
+/home/krishna/Comparator_MPW6/precheck_results/01_JUN_2022___10_26_55/logs/magic_drc_check.total
+/home/krishna/Comparator_MPW6/precheck_results/01_JUN_2022___10_26_55/logs/klayout_offgrid_check.total
+/home/krishna/Comparator_MPW6/precheck_results/01_JUN_2022___10_26_55/logs/klayout_met_min_ca_density_check.total
+/home/krishna/Comparator_MPW6/precheck_results/04_JUN_2022___08_01_30/outputs/reports/magic_drc_check.drc.report
+/home/krishna/Comparator_MPW6/precheck_results/04_JUN_2022___08_01_30/logs/klayout_zeroarea_check.total
+/home/krishna/Comparator_MPW6/precheck_results/04_JUN_2022___08_01_30/logs/tools.info
+/home/krishna/Comparator_MPW6/precheck_results/04_JUN_2022___08_01_30/logs/klayout_beol_check.total
+/home/krishna/Comparator_MPW6/precheck_results/04_JUN_2022___08_01_30/logs/klayout_pin_label_purposes_overlapping_drawing_check.total
+/home/krishna/Comparator_MPW6/precheck_results/04_JUN_2022___08_01_30/logs/klayout_feol_check.total
+/home/krishna/Comparator_MPW6/precheck_results/04_JUN_2022___08_01_30/logs/gds.info
+/home/krishna/Comparator_MPW6/precheck_results/04_JUN_2022___08_01_30/logs/pdks.info
+/home/krishna/Comparator_MPW6/precheck_results/04_JUN_2022___08_01_30/logs/magic_drc_check.total
+/home/krishna/Comparator_MPW6/precheck_results/04_JUN_2022___08_01_30/logs/klayout_offgrid_check.total
+/home/krishna/Comparator_MPW6/precheck_results/04_JUN_2022___08_01_30/logs/klayout_met_min_ca_density_check.total
+/home/krishna/Comparator_MPW6/precheck_results/04_JUN_2022___07_51_46/outputs/reports/magic_drc_check.drc.report
+/home/krishna/Comparator_MPW6/precheck_results/04_JUN_2022___07_51_46/logs/klayout_zeroarea_check.total
+/home/krishna/Comparator_MPW6/precheck_results/04_JUN_2022___07_51_46/logs/tools.info
+/home/krishna/Comparator_MPW6/precheck_results/04_JUN_2022___07_51_46/logs/klayout_beol_check.total
+/home/krishna/Comparator_MPW6/precheck_results/04_JUN_2022___07_51_46/logs/klayout_pin_label_purposes_overlapping_drawing_check.total
+/home/krishna/Comparator_MPW6/precheck_results/04_JUN_2022___07_51_46/logs/klayout_feol_check.total
+/home/krishna/Comparator_MPW6/precheck_results/04_JUN_2022___07_51_46/logs/gds.info
+/home/krishna/Comparator_MPW6/precheck_results/04_JUN_2022___07_51_46/logs/pdks.info
+/home/krishna/Comparator_MPW6/precheck_results/04_JUN_2022___07_51_46/logs/magic_drc_check.total
+/home/krishna/Comparator_MPW6/precheck_results/04_JUN_2022___07_51_46/logs/klayout_offgrid_check.total
+/home/krishna/Comparator_MPW6/precheck_results/04_JUN_2022___07_51_46/logs/klayout_met_min_ca_density_check.total
+/home/krishna/Comparator_MPW6/precheck_results/01_JUN_2022___10_22_16/outputs/reports/magic_drc_check.drc.report
+/home/krishna/Comparator_MPW6/precheck_results/01_JUN_2022___10_22_16/logs/klayout_zeroarea_check.total
+/home/krishna/Comparator_MPW6/precheck_results/01_JUN_2022___10_22_16/logs/tools.info
+/home/krishna/Comparator_MPW6/precheck_results/01_JUN_2022___10_22_16/logs/klayout_beol_check.total
+/home/krishna/Comparator_MPW6/precheck_results/01_JUN_2022___10_22_16/logs/klayout_pin_label_purposes_overlapping_drawing_check.total
+/home/krishna/Comparator_MPW6/precheck_results/01_JUN_2022___10_22_16/logs/klayout_feol_check.total
+/home/krishna/Comparator_MPW6/precheck_results/01_JUN_2022___10_22_16/logs/gds.info
+/home/krishna/Comparator_MPW6/precheck_results/01_JUN_2022___10_22_16/logs/pdks.info
+/home/krishna/Comparator_MPW6/precheck_results/01_JUN_2022___10_22_16/logs/magic_drc_check.total
+/home/krishna/Comparator_MPW6/precheck_results/01_JUN_2022___10_22_16/logs/klayout_offgrid_check.total
+/home/krishna/Comparator_MPW6/precheck_results/01_JUN_2022___10_22_16/logs/klayout_met_min_ca_density_check.total
+/home/krishna/Comparator_MPW6/precheck_results/04_JUN_2022___07_42_57/outputs/reports/magic_drc_check.drc.report
+/home/krishna/Comparator_MPW6/precheck_results/04_JUN_2022___07_42_57/logs/klayout_zeroarea_check.total
+/home/krishna/Comparator_MPW6/precheck_results/04_JUN_2022___07_42_57/logs/tools.info
+/home/krishna/Comparator_MPW6/precheck_results/04_JUN_2022___07_42_57/logs/klayout_beol_check.total
+/home/krishna/Comparator_MPW6/precheck_results/04_JUN_2022___07_42_57/logs/klayout_pin_label_purposes_overlapping_drawing_check.total
+/home/krishna/Comparator_MPW6/precheck_results/04_JUN_2022___07_42_57/logs/klayout_feol_check.total
+/home/krishna/Comparator_MPW6/precheck_results/04_JUN_2022___07_42_57/logs/gds.info
+/home/krishna/Comparator_MPW6/precheck_results/04_JUN_2022___07_42_57/logs/pdks.info
+/home/krishna/Comparator_MPW6/precheck_results/04_JUN_2022___07_42_57/logs/magic_drc_check.total
+/home/krishna/Comparator_MPW6/precheck_results/04_JUN_2022___07_42_57/logs/klayout_offgrid_check.total
+/home/krishna/Comparator_MPW6/precheck_results/04_JUN_2022___07_42_57/logs/klayout_met_min_ca_density_check.total
+/home/krishna/Comparator_MPW6/precheck_results/04_JUN_2022___08_03_50/logs/tools.info
+/home/krishna/Comparator_MPW6/precheck_results/04_JUN_2022___08_03_50/logs/gds.info
+/home/krishna/Comparator_MPW6/precheck_results/04_JUN_2022___08_03_50/logs/pdks.info
+/home/krishna/Comparator_MPW6/precheck_results/02_JUN_2022___13_34_14/outputs/reports/magic_drc_check.drc.report
+/home/krishna/Comparator_MPW6/precheck_results/02_JUN_2022___13_34_14/logs/klayout_zeroarea_check.total
+/home/krishna/Comparator_MPW6/precheck_results/02_JUN_2022___13_34_14/logs/tools.info
+/home/krishna/Comparator_MPW6/precheck_results/02_JUN_2022___13_34_14/logs/klayout_beol_check.total
+/home/krishna/Comparator_MPW6/precheck_results/02_JUN_2022___13_34_14/logs/klayout_pin_label_purposes_overlapping_drawing_check.total
+/home/krishna/Comparator_MPW6/precheck_results/02_JUN_2022___13_34_14/logs/klayout_feol_check.total
+/home/krishna/Comparator_MPW6/precheck_results/02_JUN_2022___13_34_14/logs/gds.info
+/home/krishna/Comparator_MPW6/precheck_results/02_JUN_2022___13_34_14/logs/pdks.info
+/home/krishna/Comparator_MPW6/precheck_results/02_JUN_2022___13_34_14/logs/magic_drc_check.total
+/home/krishna/Comparator_MPW6/precheck_results/02_JUN_2022___13_34_14/logs/klayout_offgrid_check.total
+/home/krishna/Comparator_MPW6/precheck_results/02_JUN_2022___13_34_14/logs/klayout_met_min_ca_density_check.total
+/home/krishna/Comparator_MPW6/precheck_results/04_JUN_2022___07_38_11/outputs/reports/magic_drc_check.drc.report
+/home/krishna/Comparator_MPW6/precheck_results/04_JUN_2022___07_38_11/logs/klayout_zeroarea_check.total
+/home/krishna/Comparator_MPW6/precheck_results/04_JUN_2022___07_38_11/logs/tools.info
+/home/krishna/Comparator_MPW6/precheck_results/04_JUN_2022___07_38_11/logs/klayout_beol_check.total
+/home/krishna/Comparator_MPW6/precheck_results/04_JUN_2022___07_38_11/logs/klayout_pin_label_purposes_overlapping_drawing_check.total
+/home/krishna/Comparator_MPW6/precheck_results/04_JUN_2022___07_38_11/logs/klayout_feol_check.total
+/home/krishna/Comparator_MPW6/precheck_results/04_JUN_2022___07_38_11/logs/gds.info
+/home/krishna/Comparator_MPW6/precheck_results/04_JUN_2022___07_38_11/logs/pdks.info
+/home/krishna/Comparator_MPW6/precheck_results/04_JUN_2022___07_38_11/logs/magic_drc_check.total
+/home/krishna/Comparator_MPW6/precheck_results/04_JUN_2022___07_38_11/logs/klayout_offgrid_check.total
+/home/krishna/Comparator_MPW6/precheck_results/04_JUN_2022___07_38_11/logs/klayout_met_min_ca_density_check.total
+/home/krishna/Comparator_MPW6/precheck_results/02_JUN_2022___13_44_02/outputs/reports/magic_drc_check.drc.report
+/home/krishna/Comparator_MPW6/precheck_results/02_JUN_2022___13_44_02/logs/klayout_zeroarea_check.total
+/home/krishna/Comparator_MPW6/precheck_results/02_JUN_2022___13_44_02/logs/tools.info
+/home/krishna/Comparator_MPW6/precheck_results/02_JUN_2022___13_44_02/logs/klayout_beol_check.total
+/home/krishna/Comparator_MPW6/precheck_results/02_JUN_2022___13_44_02/logs/klayout_pin_label_purposes_overlapping_drawing_check.total
+/home/krishna/Comparator_MPW6/precheck_results/02_JUN_2022___13_44_02/logs/klayout_feol_check.total
+/home/krishna/Comparator_MPW6/precheck_results/02_JUN_2022___13_44_02/logs/gds.info
+/home/krishna/Comparator_MPW6/precheck_results/02_JUN_2022___13_44_02/logs/pdks.info
+/home/krishna/Comparator_MPW6/precheck_results/02_JUN_2022___13_44_02/logs/magic_drc_check.total
+/home/krishna/Comparator_MPW6/precheck_results/02_JUN_2022___13_44_02/logs/klayout_offgrid_check.total
+/home/krishna/Comparator_MPW6/precheck_results/02_JUN_2022___13_44_02/logs/klayout_met_min_ca_density_check.total
+/home/krishna/Comparator_MPW6/mag/sky130_fd_pr__pfet_01v8_AC5Z8B#0.ext
+/home/krishna/Comparator_MPW6/mag/latch_3.ext
+/home/krishna/Comparator_MPW6/mag/inv_W2.ext
+/home/krishna/Comparator_MPW6/mag/sky130_fd_pr__diode_pw2nd_05v5_KLAK3C.ext
+/home/krishna/Comparator_MPW6/mag/sky130_fd_pr__diode_pw2nd_05v5_FT7GK8.ext
+/home/krishna/Comparator_MPW6/mag/sky130_fd_pr__diode_pw2nd_05v5_3P6M5Y.ext
+/home/krishna/Comparator_MPW6/mag/sky130_fd_pr__pfet_01v8_5SM9EE.ext
+/home/krishna/Comparator_MPW6/mag/latch_22.ext
+/home/krishna/Comparator_MPW6/mag/sky130_fd_pr__diode_pw2nd_05v5_GT7G3L.ext
+/home/krishna/Comparator_MPW6/mag/inv_W2#0.ext
+/home/krishna/Comparator_MPW6/mag/.magicrc
+/home/krishna/Comparator_MPW6/mag/sky130_fd_pr__diode_pd2nw_05v5_G4XDRY.ext
+/home/krishna/Comparator_MPW6/mag/sky130_fd_pr__nfet_01v8_XJTKXQ#1.ext
+/home/krishna/Comparator_MPW6/mag/sky130_fd_pr__nfet_01v8_XJTKXQ.ext
+/home/krishna/Comparator_MPW6/mag/sky130_fd_pr__pfet_01v8_AC5Z8B.ext
+/home/krishna/Comparator_MPW6/mag/buffer_2#1.ext
+/home/krishna/Comparator_MPW6/mag/comparator_v6.ext
+/home/krishna/Comparator_MPW6/mag/buffer_1#0.ext
+/home/krishna/Comparator_MPW6/mag/latch_2.ext
+/home/krishna/Comparator_MPW6/mag/user_analog_project_wrapper.ext
+/home/krishna/Comparator_MPW6/mag/buffer_2#0.ext
+/home/krishna/Comparator_MPW6/mag/sky130_fd_pr__diode_pd2nw_05v5_RT56W3.ext
+/home/krishna/Comparator_MPW6/mag/latch/SR_latch.ext
+/home/krishna/Comparator_MPW6/mag/latch/sky130_fd_pr__pfet_01v8_AC5Z8B#0.ext
+/home/krishna/Comparator_MPW6/mag/latch/sky130A.magicrc
+/home/krishna/Comparator_MPW6/mag/latch/latch_22.ext
+/home/krishna/Comparator_MPW6/mag/latch/sky130_fd_pr__pfet_01v8_AC5E9B.ext
+/home/krishna/Comparator_MPW6/mag/latch/.magicrc
+/home/krishna/Comparator_MPW6/mag/latch/sky130_fd_pr__nfet_01v8_XJTKXQ#1.ext
+/home/krishna/Comparator_MPW6/mag/latch/sky130_fd_pr__nfet_01v8_F5U58G.ext
+/home/krishna/Comparator_MPW6/mag/latch/sky130_fd_pr__pfet_01v8_GJYUB2.ext
+/home/krishna/Comparator_MPW6/mag/latch/latch_2.ext
+/home/krishna/Comparator_MPW6/mag/latch/sky130_fd_pr__pfet_01v8_5SVZDE.ext
+/home/krishna/Comparator_MPW6/mag/latch/latch.ext
+/home/krishna/Comparator_MPW6/mag/preamp/sky130_fd_pr__nfet_01v8_G6PLX8.ext
+/home/krishna/Comparator_MPW6/mag/preamp/preamp_part1.ext
+/home/krishna/Comparator_MPW6/mag/preamp/preamp_part2.ext
+/home/krishna/Comparator_MPW6/mag/preamp/sky130_fd_pr__nfet_01v8_XJTKXQ#0.ext
+/home/krishna/Comparator_MPW6/mag/preamp/sky130_fd_pr__nfet_01v8_F5U58G#1.ext
+/home/krishna/Comparator_MPW6/mag/preamp/.magicrc
+/home/krishna/Comparator_MPW6/mag/preamp/sky130_fd_pr__pfet_01v8_RFM3CD.ext
+/home/krishna/Comparator_MPW6/mag/preamp/sky130_fd_pr__nfet_01v8_8FHE5N.ext
+/home/krishna/Comparator_MPW6/mag/preamp/sky130_fd_pr__nfet_01v8_F5U58G#0.ext
+/home/krishna/Comparator_MPW6/xschem/xschemrc
+/home/krishna/Comparator_MPW6/xschem/buffer.sch
+/home/krishna/Comparator_MPW6/xschem/user_analog_project_wrapper.sym
+/home/krishna/Comparator_MPW6/xschem/SR_latch.sym
+/home/krishna/Comparator_MPW6/xschem/comparator.sch
+/home/krishna/Comparator_MPW6/xschem/comparator.sym
+/home/krishna/Comparator_MPW6/xschem/analog_wrapper_tb.sch
+/home/krishna/Comparator_MPW6/xschem/user_analog_project_wrapper.sch
+/home/krishna/Comparator_MPW6/xschem/.spiceinit
+/home/krishna/Comparator_MPW6/xschem/comparator_tb.sch
+/home/krishna/Comparator_MPW6/xschem/SR_latch.sch
+/home/krishna/Comparator_MPW6/xschem/buffer.sym
+/home/krishna/Comparator_MPW6/docs/Makefile
+/home/krishna/Comparator_MPW6/docs/environment.yml
+/home/krishna/Comparator_MPW6/docs/source/conf.py
+/home/krishna/Comparator_MPW6/docs/source/index.rst
+/home/krishna/Comparator_MPW6/netgen/run_lvs_wrapper_verilog.sh
+/home/krishna/Comparator_MPW6/netgen/run_lvs_por.sh
+/home/krishna/Comparator_MPW6/netgen/run_lvs_wrapper_xschem.sh
diff --git a/precheck_results/04_JUN_2022___08_03_50/logs/tools.info b/precheck_results/04_JUN_2022___08_03_50/logs/tools.info
new file mode 100644
index 0000000..9b2230a
--- /dev/null
+++ b/precheck_results/04_JUN_2022___08_03_50/logs/tools.info
@@ -0,0 +1,2 @@
+KLayout: 0.27.8
+Magic: 8.3.274
\ No newline at end of file
diff --git a/precheck_results/04_JUN_2022___08_03_50/logs/xor_check.log b/precheck_results/04_JUN_2022___08_03_50/logs/xor_check.log
new file mode 100644
index 0000000..7ac8004
--- /dev/null
+++ b/precheck_results/04_JUN_2022___08_03_50/logs/xor_check.log
@@ -0,0 +1,179 @@
+Reading file /home/krishna/Comparator_MPW6/gds/user_analog_project_wrapper.gds for cell user_analog_project_wrapper
+dbu=0.001
+cell user_analog_project_wrapper dbu-bbox(ll;ur)=(-4000,-4000;2924000,3524000)
+cell user_analog_project_wrapper dbu-bbox(left,bottom,right,top)=(-4000,-4000,2924000,3524000)
+cell user_analog_project_wrapper dbu-size(width,height)=(2928000,3528000)
+cell user_analog_project_wrapper micron-bbox(left,bottom,right,top)=(-4.0,-4.0,2924.0,3524.0)
+cell user_analog_project_wrapper micron-size(width,height)=(2928.0,3528.0)
+Done.
+
+Magic 8.3 revision 274 - Compiled on Fri Mar  4 22:54:15 UTC 2022.
+Starting magic under Tcl interpreter
+Using the terminal as the console.
+Using NULL graphics device.
+Processing system .magicrc file
+Loading "/home/krishna/mpw_precheckmpw6/checks/xor_check/erase_box.tcl" from command line.
+"sky130(vendor)" is not one of the CIF input styles Magic knows.
+Error: No style is set
+The CIF input styles are: .
+Don't know how to read GDS-II:
+Nothing in "cifinput" section of tech file.
+File user_analog_project_wrapper.mag couldn't be read
+No such file or directory
+Creating new cell
+Root cell box:
+           width x height  (   llx,  lly  ), (   urx,  ury  )  area (units^2)
+
+microns:  43.000 x 3520.000  (-43.000,  0.000), ( 0.000,  3520.000)  151360.000
+lambda:       43 x 3520    (   -43,  0    ), (     0,  3520 )  151360    
+Root cell box:
+           width x height  (   llx,  lly  ), (   urx,  ury  )  area (units^2)
+
+microns:  43.000 x 3520.000  ( 2920.000,  0.000), ( 2963.000,  3520.000)  151360.000
+lambda:       43 x 3520    (  2920,  0    ), (  2963,  3520 )  151360    
+Root cell box:
+           width x height  (   llx,  lly  ), (   urx,  ury  )  area (units^2)
+
+microns:  3006.000 x 38.000  (-43.000, -38.000), ( 2963.000,  0.000)  114228.000
+lambda:     3006 x 38      (   -43, -38   ), (  2963,  0    )  114228    
+Root cell box:
+           width x height  (   llx,  lly  ), (   urx,  ury  )  area (units^2)
+
+microns:  3006.000 x 37.000  (-43.000,  3520.000), ( 2963.000,  3557.000)  111222.000
+lambda:     3006 x 37      (   -43,  3520 ), (  2963,  3557 )  111222    
+Unrecognized layer: metal5
+Layer names are:
+    mag or magnet
+    f or fence
+    r or rotate
+    $
+    *
+    errors
+    labels
+    subcell
+    connect
+Unrecognized layer: metal4
+Layer names are:
+    mag or magnet
+    f or fence
+    r or rotate
+    $
+    *
+    errors
+    labels
+    subcell
+    connect
+Unrecognized layer: metal5
+Layer names are:
+    mag or magnet
+    f or fence
+    r or rotate
+    $
+    *
+    errors
+    labels
+    subcell
+    connect
+Unrecognized layer: metal4
+Layer names are:
+    mag or magnet
+    f or fence
+    r or rotate
+    $
+    *
+    errors
+    labels
+    subcell
+    connect
+No CIF/GDS output style set!
+I/O error in writing file /home/krishna/Comparator_MPW6/precheck_results/04_JUN_2022___08_03_50/outputs/user_analog_project_wrapper_erased.gds.
+File may be incompletely written.
+
+Magic 8.3 revision 274 - Compiled on Fri Mar  4 22:54:15 UTC 2022.
+Starting magic under Tcl interpreter
+Using the terminal as the console.
+Using NULL graphics device.
+Processing system .magicrc file
+Loading "/home/krishna/mpw_precheckmpw6/checks/xor_check/erase_box.tcl" from command line.
+"sky130(vendor)" is not one of the CIF input styles Magic knows.
+Error: No style is set
+The CIF input styles are: .
+Don't know how to read GDS-II:
+Nothing in "cifinput" section of tech file.
+File user_analog_project_wrapper.mag couldn't be read
+No such file or directory
+Creating new cell
+Root cell box:
+           width x height  (   llx,  lly  ), (   urx,  ury  )  area (units^2)
+
+microns:  43.000 x 3520.000  (-43.000,  0.000), ( 0.000,  3520.000)  151360.000
+lambda:       43 x 3520    (   -43,  0    ), (     0,  3520 )  151360    
+Root cell box:
+           width x height  (   llx,  lly  ), (   urx,  ury  )  area (units^2)
+
+microns:  43.000 x 3520.000  ( 2920.000,  0.000), ( 2963.000,  3520.000)  151360.000
+lambda:       43 x 3520    (  2920,  0    ), (  2963,  3520 )  151360    
+Root cell box:
+           width x height  (   llx,  lly  ), (   urx,  ury  )  area (units^2)
+
+microns:  3006.000 x 38.000  (-43.000, -38.000), ( 2963.000,  0.000)  114228.000
+lambda:     3006 x 38      (   -43, -38   ), (  2963,  0    )  114228    
+Root cell box:
+           width x height  (   llx,  lly  ), (   urx,  ury  )  area (units^2)
+
+microns:  3006.000 x 37.000  (-43.000,  3520.000), ( 2963.000,  3557.000)  111222.000
+lambda:     3006 x 37      (   -43,  3520 ), (  2963,  3557 )  111222    
+Unrecognized layer: metal5
+Layer names are:
+    mag or magnet
+    f or fence
+    r or rotate
+    $
+    *
+    errors
+    labels
+    subcell
+    connect
+Unrecognized layer: metal4
+Layer names are:
+    mag or magnet
+    f or fence
+    r or rotate
+    $
+    *
+    errors
+    labels
+    subcell
+    connect
+Unrecognized layer: metal5
+Layer names are:
+    mag or magnet
+    f or fence
+    r or rotate
+    $
+    *
+    errors
+    labels
+    subcell
+    connect
+Unrecognized layer: metal4
+Layer names are:
+    mag or magnet
+    f or fence
+    r or rotate
+    $
+    *
+    errors
+    labels
+    subcell
+    connect
+No CIF/GDS output style set!
+I/O error in writing file /home/krishna/Comparator_MPW6/precheck_results/04_JUN_2022___08_03_50/outputs/user_analog_project_wrapper_empty_erased.gds.
+File may be incompletely written.
+Reading /home/krishna/Comparator_MPW6/precheck_results/04_JUN_2022___08_03_50/outputs/user_analog_project_wrapper_erased.gds ..
+ERROR: In /home/krishna/mpw_precheckmpw6/checks/xor_check/xor.rb.drc: 'source': Stream has unknown format: /home/krishna/Comparator_MPW6/precheck_results/04_JUN_2022___08_03_50/outputs/user_analog_project_wrapper_erased.gds in Layout::read
+Total elapsed: 0.000s  Memory: 521.00M
+ERROR: 'source': Stream has unknown format: /home/krishna/Comparator_MPW6/precheck_results/04_JUN_2022___08_03_50/outputs/user_analog_project_wrapper_erased.gds in Layout::read in Executable::execute
+  /home/krishna/mpw_precheckmpw6/checks/xor_check/xor.rb.drc:15:in `execute'
+  :/built-in-macros/drc_interpreters.lym:27:in `instance_eval'
+  :/built-in-macros/drc_interpreters.lym:27:in `execute'
diff --git a/precheck_results/04_JUN_2022___08_03_50/outputs/reports/klayout_beol_check.xml b/precheck_results/04_JUN_2022___08_03_50/outputs/reports/klayout_beol_check.xml
new file mode 100644
index 0000000..9ad4ffd
--- /dev/null
+++ b/precheck_results/04_JUN_2022___08_03_50/outputs/reports/klayout_beol_check.xml
@@ -0,0 +1,447 @@
+<?xml version="1.0" encoding="utf-8"?>
+<report-database>
+ <description>SKY130 DRC runset</description>
+ <original-file/>
+ <generator>drc: script='/home/krishna/mpw_precheckmpw6/checks/tech-files/sky130A_mr.drc'</generator>
+ <top-cell>user_analog_project_wrapper</top-cell>
+ <tags>
+ </tags>
+ <categories>
+  <category>
+   <name>li.1</name>
+   <description>li.1 : min. li width : 0.17um</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>li.3</name>
+   <description>li.3 : min. li spacing : 0.17um</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>li.5</name>
+   <description>li.5 : min. li enclosure of licon of 2 adjacent edges : 0.08um</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>li.6</name>
+   <description>li.6 : min. li area : 0.0561um²</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>ct.1</name>
+   <description>ct.1: non-ring mcon should be rectangular</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>ct.1_a</name>
+   <description>ct.1_a : minimum width of mcon : 0.17um</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>ct.1_b</name>
+   <description>ct.1_b : maximum length of mcon : 0.17um</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>ct.2</name>
+   <description>ct.2 : min. mcon spacing : 0.19um</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>ct.4</name>
+   <description>ct.4 : mcon should covered by li</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>m1.1</name>
+   <description>m1.1 : min. m1 width : 0.14um</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>m1.2</name>
+   <description>m1.2 : min. m1 spacing : 0.14um</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>m1.3ab</name>
+   <description>m1.3ab : min. 3um.m1 spacing m1 : 0.28um</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>791_m1.4</name>
+   <description>791_m1.4 : min. m1 enclosure of mcon : 0.03um</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>m1.4</name>
+   <description>m1.4 : mcon periphery must be enclosed by m1</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>m1.4a</name>
+   <description>m1.4a : min. m1 enclosure of mcon for specific cells : 0.005um</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>m1.4a_a</name>
+   <description>m1.4a_a : mcon periph must be enclosed by met1 for specific cells</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>m1.6</name>
+   <description>m1.6 : min. m1 area : 0.083um²</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>m1.7</name>
+   <description>m1.7 : min. m1 with holes area : 0.14um²</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>m1.5</name>
+   <description>m1.5 : min. m1 enclosure of mcon of 2 adjacent edges : 0.06um</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>via.1a</name>
+   <description>via.1a : via outside of moduleCut should be rectangular</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>via.1a_a</name>
+   <description>via.1a_a : min. width of via outside of moduleCut : 0.15um</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>via.1a_b</name>
+   <description>via.1a_b : maximum length of via : 0.15um</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>via.2</name>
+   <description>via.2 : min. via spacing : 0.17um</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>via.4a</name>
+   <description>via.4a : min. m1 enclosure of 0.15um via : 0.055um</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>via.4a_a</name>
+   <description>via.4a_a : 0.15um via must be enclosed by met1</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>via.5a</name>
+   <description>via.5a : min. m1 enclosure of 0.15um via of 2 adjacent edges : 0.085um</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>m2.1</name>
+   <description>m2.1 : min. m2 width : 0.14um</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>m2.2</name>
+   <description>m2.2 : min. m2 spacing : 0.14um</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>m2.3ab</name>
+   <description>m2.3ab : min. 3um.m2 spacing m2 : 0.28um</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>m2.6</name>
+   <description>m2.6 : min. m2 area : 0.0676um²</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>m2.7</name>
+   <description>m2.7 : min. m2 holes area : 0.14um²</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>m2.4</name>
+   <description>m2.4 : min. m2 enclosure of via : 0.055um</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>m2.4_a</name>
+   <description>m2.4_a : via in periphery must be enclosed by met2</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>m2.5</name>
+   <description>m2.5 : min. m2 enclosure of via of 2 adjacent edges : 0.085um</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>via2.1a</name>
+   <description>via2.1a : via2 outside of moduleCut should be rectangular</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>via2.1a_a</name>
+   <description>via2.1a_a : min. width of via2 outside of moduleCut : 0.2um</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>via2.1a_b</name>
+   <description>via2.1a_b : maximum length of via2 : 0.2um</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>via2.2</name>
+   <description>via2.2 : min. via2 spacing : 0.2um</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>via2.4</name>
+   <description>via2.4 : min. m2 enclosure of via2 : 0.04um</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>via2.4_a</name>
+   <description>via2.4_a : via must be enclosed by met2</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>via2.5</name>
+   <description>via2.5 : min. m3 enclosure of via2 of 2 adjacent edges : 0.085um</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>m3.1</name>
+   <description>m3.1 : min. m3 width : 0.3um</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>m3.2</name>
+   <description>m3.2 : min. m3 spacing : 0.3um</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>m3.3cd</name>
+   <description>m3.3cd : min. 3um.m3 spacing m3 : 0.4um</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>m3.4</name>
+   <description>m3.4 : min. m3 enclosure of via2 : 0.065um</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>m3.4_a</name>
+   <description>m3.4_a : via2 must be enclosed by met3</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>via3.1</name>
+   <description>via3.1 : via3 outside of moduleCut should be rectangular</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>via3.1_a</name>
+   <description>via3.1_a : min. width of via3 outside of moduleCut : 0.2um</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>via3.1_b</name>
+   <description>via3.1_b : maximum length of via3 : 0.2um</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>via3.2</name>
+   <description>via3.2 : min. via3 spacing : 0.2um</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>via3.4</name>
+   <description>via3.4 : min. m3 enclosure of via3 : 0.06um</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>via3.4_a</name>
+   <description>via3.4_a : non-ring via3 must be enclosed by met3</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>via3.5</name>
+   <description>via3.5 : min. m3 enclosure of via3 of 2 adjacent edges : 0.09um</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>m4.1</name>
+   <description>m4.1 : min. m4 width : 0.3um</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>m4.2</name>
+   <description>m4.2 : min. m4 spacing : 0.3um</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>m4.4a</name>
+   <description>m4.4a : min. m4 area : 0.240um²</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>m4.5ab</name>
+   <description>m4.5ab : min. 3um.m4 spacing m4 : 0.4um</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>m4.3</name>
+   <description>m4.3 : min. m4 enclosure of via3 : 0.065um</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>m4.3_a</name>
+   <description>m4.3_a : via3 must be enclosed by met4</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>via4.1</name>
+   <description>via4.1 : via4 outside of moduleCut should be rectangular</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>via4.1_a</name>
+   <description>via4.1_a : min. width of via4 outside of moduleCut : 0.8um</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>via4.1_b</name>
+   <description>via4.1_b : maximum length of via4 : 0.8um</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>via4.2</name>
+   <description>via4.2 : min. via4 spacing : 0.8um</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>via4.4</name>
+   <description>via4.4 : min. m4 enclosure of via4 : 0.19um</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>via4.4_a</name>
+   <description>via4.4_a : m4 must enclose all via4</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>m5.1</name>
+   <description>m5.1 : min. m5 width : 1.6um</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>m5.2</name>
+   <description>m5.2 : min. m5 spacing : 1.6um</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>m5.3</name>
+   <description>m5.3 : min. m5 enclosure of via4 : 0.31um</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>m5.3_a</name>
+   <description>m5.3_a : via must be enclosed by m5</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>m5.4</name>
+   <description>m5.4 : min. m5 area : 4.0um²</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>pad.2</name>
+   <description>pad.2 : min. pad spacing : 1.27um</description>
+   <categories>
+   </categories>
+  </category>
+ </categories>
+ <cells>
+  <cell>
+   <name>user_analog_project_wrapper</name>
+   <variant/>
+   <references>
+   </references>
+  </cell>
+ </cells>
+ <items>
+ </items>
+</report-database>
diff --git a/precheck_results/04_JUN_2022___08_03_50/outputs/reports/klayout_feol_check.xml b/precheck_results/04_JUN_2022___08_03_50/outputs/reports/klayout_feol_check.xml
new file mode 100644
index 0000000..5c0c69c
--- /dev/null
+++ b/precheck_results/04_JUN_2022___08_03_50/outputs/reports/klayout_feol_check.xml
@@ -0,0 +1,363 @@
+<?xml version="1.0" encoding="utf-8"?>
+<report-database>
+ <description>SKY130 DRC runset</description>
+ <original-file/>
+ <generator>drc: script='/home/krishna/mpw_precheckmpw6/checks/tech-files/sky130A_mr.drc'</generator>
+ <top-cell>user_analog_project_wrapper</top-cell>
+ <tags>
+ </tags>
+ <categories>
+  <category>
+   <name>dnwell.2</name>
+   <description>dnwell.2 : min. dnwell width : 3.0um</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>nwell.1</name>
+   <description>nwell.1 : min. nwell width : 0.84um</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>nwell.2a</name>
+   <description>nwell.2a : min. nwell spacing (merged if less) : 1.27um</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>nwell.6</name>
+   <description>nwell.6 : min enclosure of nwellHole by dnwell : 1.03um</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>hvtp.1</name>
+   <description>hvtp.1 : min. hvtp width : 0.38um</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>hvtp.2</name>
+   <description>hvtp.2 : min. hvtp spacing : 0.38um</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>hvtr.1</name>
+   <description>hvtr.1 : min. hvtr width : 0.38um</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>hvtr.2</name>
+   <description>hvtr.2 : min. hvtr spacing : 0.38um</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>hvtr.2_a</name>
+   <description>hvtr.2_a : hvtr must not overlap hvtp</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>lvtn.1a</name>
+   <description>lvtn.1a : min. lvtn width : 0.38um</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>lvtn.2</name>
+   <description>lvtn.2 : min. lvtn spacing : 0.38um</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>ncm.1</name>
+   <description>ncm.1 : min. ncm width : 0.38um</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>ncm.2a</name>
+   <description>ncm.2a : min. ncm spacing : 0.38um</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>difftap.1</name>
+   <description>difftap.1 : min. diff width across areaid:ce : 0.15um</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>difftap.1_a</name>
+   <description>difftap.1_a : min. diff width in periphery : 0.15um</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>difftap.1_b</name>
+   <description>difftap.1_b : min. tap width across areaid:ce : 0.15um</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>difftap.1_c</name>
+   <description>difftap.1_c : min. tap width in periphery : 0.15um</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>difftap.3</name>
+   <description>difftap.3 : min. difftap spacing : 0.27um</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>tunm.1</name>
+   <description>tunm.1 : min. tunm width : 0.41um</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>tunm.2</name>
+   <description>tunm.2 : min. tunm spacing : 0.5um</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>poly.1a</name>
+   <description>poly.1a : min. poly width : 0.15um</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>poly.2</name>
+   <description>poly.2 : min. poly spacing : 0.21um</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>rpm.1a</name>
+   <description>rpm.1a : min. rpm width : 1.27um</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>rpm.2</name>
+   <description>rpm.2 : min. rpm spacing : 0.84um</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>urpm.1a</name>
+   <description>urpm.1a : min. rpm width : 1.27um</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>urpm.2</name>
+   <description>urpm.2 : min. rpm spacing : 0.84um</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>npc.1</name>
+   <description>npc.1 : min. npc width : 0.27um</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>npc.2</name>
+   <description>npc.2 : min. npc spacing, should be manually merged if less than : 0.27um</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>nsd.1</name>
+   <description>nsd.1 : min. nsdm width : 0.38um</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>nsd.2</name>
+   <description>nsd.2 : min. nsdm spacing, should be manually merged if less than : 0.38um</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>psd.1</name>
+   <description>psd.1 : min. psdm width : 0.38um</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>psd.2</name>
+   <description>psd.2 : min. psdm spacing, should be manually merged if less than : 0.38um</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>licon.1</name>
+   <description>licon.1 : licon should be rectangle</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>licon.1_a/b</name>
+   <description>licon.1_a/b : minimum/maximum width of licon : 0.17um</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>licon.13</name>
+   <description>licon.13 : min. difftap licon spacing to npc : 0.09um</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>licon.13_a</name>
+   <description>licon.13_a : licon of diffTap in periphery must not overlap npc</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>licon.17</name>
+   <description>licon.17 : Licons may not overlap both poly and (diff or tap)</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>capm.1</name>
+   <description>capm.1 : min. capm width : 1.0um</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>capm.2a</name>
+   <description>capm.2a : min. capm spacing : 0.84um</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>capm.2b</name>
+   <description>capm.2b : min. capm spacing : 1.2um</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>capm.2b_a</name>
+   <description>capm.2b_a : min. spacing of m3_bot_plate : 1.2um</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>capm.3</name>
+   <description>capm.3 : min. capm and m3 enclosure of m3 : 0.14um</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>capm.3_a</name>
+   <description>capm.3_a : min. m3 enclosure of capm : 0.14um</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>capm.4</name>
+   <description>capm.4 : min. capm enclosure of via3 : 0.14um</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>capm.5</name>
+   <description>capm.5 : min. capm spacing to via3 : 0.14um</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>cap2m.1</name>
+   <description>cap2m.1 : min. cap2m width : 1.0um</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>cap2m.2a</name>
+   <description>cap2m.2a : min. cap2m spacing : 0.84um</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>cap2m.2b</name>
+   <description>cap2m.2b : min. cap2m spacing : 1.2um</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>cap2m.2b_a</name>
+   <description>cap2m.2b_a : min. spacing of m4_bot_plate : 1.2um</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>cap2m.3</name>
+   <description>cap2m.3 : min. m4 enclosure of cap2m : 0.14um</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>cap2m.3_a</name>
+   <description>cap2m.3_a : min. m4 enclosure of cap2m : 0.14um</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>cap2m.4</name>
+   <description>cap2m.4 : min. cap2m enclosure of via4 : 0.14um</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>cap2m.5</name>
+   <description>cap2m.5 : min. cap2m spacing to via4 : 0.14um</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>hvi.1</name>
+   <description>hvi.1 : min. hvi width : 0.6um</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>hvi.2a</name>
+   <description>hvi.2a : min. hvi spacing : 0.7um</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>hvntm.1</name>
+   <description>hvntm.1 : min. hvntm width : 0.7um</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>hvntm.2</name>
+   <description>hvntm.2 : min. hvntm spacing : 0.7um</description>
+   <categories>
+   </categories>
+  </category>
+ </categories>
+ <cells>
+  <cell>
+   <name>user_analog_project_wrapper</name>
+   <variant/>
+   <references>
+   </references>
+  </cell>
+ </cells>
+ <items>
+ </items>
+</report-database>
diff --git a/precheck_results/04_JUN_2022___08_03_50/outputs/reports/klayout_met_min_ca_density_check.xml b/precheck_results/04_JUN_2022___08_03_50/outputs/reports/klayout_met_min_ca_density_check.xml
new file mode 100644
index 0000000..dc650ad
--- /dev/null
+++ b/precheck_results/04_JUN_2022___08_03_50/outputs/reports/klayout_met_min_ca_density_check.xml
@@ -0,0 +1,21 @@
+<?xml version="1.0" encoding="utf-8"?>
+<report-database>
+ <description>Density Checks</description>
+ <original-file/>
+ <generator>drc: script='/home/krishna/mpw_precheckmpw6/checks/drc_checks/klayout/met_min_ca_density.lydrc'</generator>
+ <top-cell>user_analog_project_wrapper</top-cell>
+ <tags>
+ </tags>
+ <categories>
+ </categories>
+ <cells>
+  <cell>
+   <name>user_analog_project_wrapper</name>
+   <variant/>
+   <references>
+   </references>
+  </cell>
+ </cells>
+ <items>
+ </items>
+</report-database>
diff --git a/precheck_results/04_JUN_2022___08_03_50/outputs/reports/klayout_offgrid_check.xml b/precheck_results/04_JUN_2022___08_03_50/outputs/reports/klayout_offgrid_check.xml
new file mode 100644
index 0000000..80a7de2
--- /dev/null
+++ b/precheck_results/04_JUN_2022___08_03_50/outputs/reports/klayout_offgrid_check.xml
@@ -0,0 +1,483 @@
+<?xml version="1.0" encoding="utf-8"?>
+<report-database>
+ <description>SKY130 DRC runset</description>
+ <original-file/>
+ <generator>drc: script='/home/krishna/mpw_precheckmpw6/checks/tech-files/sky130A_mr.drc'</generator>
+ <top-cell>user_analog_project_wrapper</top-cell>
+ <tags>
+ </tags>
+ <categories>
+  <category>
+   <name>dnwell_OFFGRID</name>
+   <description>x.1b : OFFGRID vertex on dnwell</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>dnwell_angle</name>
+   <description>x.3a : non 45 degree angle dnwell</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>nwell_OFFGRID</name>
+   <description>x.1b : OFFGRID vertex on nwell</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>nwell_angle</name>
+   <description>x.3a : non 45 degree angle nwell</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>pwbm_OFFGRID</name>
+   <description>x.1b : OFFGRID vertex on pwbm</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>pwbm_angle</name>
+   <description>x.3a : non 45 degree angle pwbm</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>pwde_OFFGRID</name>
+   <description>x.1b : OFFGRID vertex on pwde</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>pwde_angle</name>
+   <description>x.3a : non 45 degree angle pwde</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>hvtp_OFFGRID</name>
+   <description>x.1b : OFFGRID vertex on hvtp</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>hvtp_angle</name>
+   <description>x.3a : non 45 degree angle hvtp</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>hvtr_OFFGRID</name>
+   <description>x.1b : OFFGRID vertex on hvtr</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>hvtr_angle</name>
+   <description>x.3a : non 45 degree angle hvtr</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>lvtn_OFFGRID</name>
+   <description>x.1b : OFFGRID vertex on lvtn</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>lvtn_angle</name>
+   <description>x.3a : non 45 degree angle lvtn</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>ncm_OFFGRID</name>
+   <description>x.1b : OFFGRID vertex on ncm</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>ncm_angle</name>
+   <description>x.3a : non 45 degree angle ncm</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>diff_OFFGRID</name>
+   <description>x.1b : OFFGRID vertex on diff</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>tap_OFFGRID</name>
+   <description>x.1b : OFFGRID vertex on tap</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>diff_angle</name>
+   <description>x.2 : non 90 degree angle diff</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>diff_angle</name>
+   <description>x.2c : non 45 degree angle diff</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>tap_angle</name>
+   <description>x.2 : non 90 degree angle tap</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>tap_angle</name>
+   <description>x.2c : non 45 degree angle tap</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>tunm_OFFGRID</name>
+   <description>x.1b : OFFGRID vertex on tunm</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>tunm_angle</name>
+   <description>x.3a : non 45 degree angle tunm</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>poly_OFFGRID</name>
+   <description>x.1b : OFFGRID vertex on poly</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>poly_angle</name>
+   <description>x.2 : non 90 degree angle poly</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>rpm_OFFGRID</name>
+   <description>x.1b : OFFGRID vertex on rpm</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>rpm_angle</name>
+   <description>x.3a : non 45 degree angle rpm</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>npc_OFFGRID</name>
+   <description>x.1b : OFFGRID vertex on npc</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>npc_angle</name>
+   <description>x.3a : non 45 degree angle npc</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>nsdm_OFFGRID</name>
+   <description>x.1b : OFFGRID vertex on nsdm</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>nsdm_angle</name>
+   <description>x.3a : non 45 degree angle nsdm</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>psdm_OFFGRID</name>
+   <description>x.1b : OFFGRID vertex on psdm</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>psdm_angle</name>
+   <description>x.3a : non 45 degree angle psdm</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>licon_OFFGRID</name>
+   <description>x.1b : OFFGRID vertex on licon</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>licon_angle</name>
+   <description>x.2 : non 90 degree angle licon</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>li_OFFGRID</name>
+   <description>x.1b : OFFGRID vertex on li</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>li_angle</name>
+   <description>x.3a : non 45 degree angle li</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>ct_OFFGRID</name>
+   <description>x.1b : OFFGRID vertex on mcon</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>ct_angle</name>
+   <description>x.2 : non 90 degree angle mcon</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>vpp_OFFGRID</name>
+   <description>x.1b : OFFGRID vertex on vpp</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>vpp_angle</name>
+   <description>x.3a : non 45 degree angle vpp</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>m1_OFFGRID</name>
+   <description>x.1b : OFFGRID vertex on m1</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>m1_angle</name>
+   <description>x.3a : non 45 degree angle m1</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>via_OFFGRID</name>
+   <description>x.1b : OFFGRID vertex on via</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>via_angle</name>
+   <description>x.2 : non 90 degree angle via</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>m2_OFFGRID</name>
+   <description>x.1b : OFFGRID vertex on m2</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>m2_angle</name>
+   <description>x.3a : non 45 degree angle m2</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>via2_OFFGRID</name>
+   <description>x.1b : OFFGRID vertex on via2</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>via2_angle</name>
+   <description>x.2 : non 90 degree angle via2</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>m3_OFFGRID</name>
+   <description>x.1b : OFFGRID vertex on m3</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>m3_angle</name>
+   <description>x.3a : non 45 degree angle m3</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>via3_OFFGRID</name>
+   <description>x.1b : OFFGRID vertex on via3</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>via3_angle</name>
+   <description>x.2 : non 90 degree angle via3</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>nsm_OFFGRID</name>
+   <description>x.1b : OFFGRID vertex on nsm</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>nsm_angle</name>
+   <description>x.3a : non 45 degree angle nsm</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>m4_OFFGRID</name>
+   <description>x.1b : OFFGRID vertex on m4</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>m4_angle</name>
+   <description>x.3a : non 45 degree angle m4</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>via4_OFFGRID</name>
+   <description>x.1b : OFFGRID vertex on via4</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>via4_angle</name>
+   <description>x.2 : non 90 degree angle via4</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>m5_OFFGRID</name>
+   <description>x.1b : OFFGRID vertex on m5</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>m5_angle</name>
+   <description>x.3a : non 45 degree angle m5</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>pad_OFFGRID</name>
+   <description>x.1b : OFFGRID vertex on pad</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>pad_angle</name>
+   <description>x.3a : non 45 degree angle pad</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>mf_OFFGRID</name>
+   <description>x.1b : OFFGRID vertex on mf</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>mf_angle</name>
+   <description>x.2 : non 90 degree angle mf</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>hvi_OFFGRID</name>
+   <description>x.1b : OFFGRID vertex on hvi</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>hvi_angle</name>
+   <description>x.3a : non 45 degree angle hvi</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>hvntm_OFFGRID</name>
+   <description>x.1b : OFFGRID vertex on hvntm</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>hvntm_angle</name>
+   <description>x.3a : non 45 degree angle hvntm</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>vhvi_OFFGRID</name>
+   <description>x.1b : OFFGRID vertex on vhvi</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>vhvi_angle</name>
+   <description>x.3a : non 45 degree angle vhvi</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>uhvi_OFFGRID</name>
+   <description>x.1b : OFFGRID vertex on uhvi</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>uhvi_angle</name>
+   <description>x.3a : non 45 degree angle uhvi</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>pwell_rs_OFFGRID</name>
+   <description>x.1b : OFFGRID vertex on pwell_rs</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>pwell_rs_angle</name>
+   <description>x.3a : non 45 degree angle pwell_rs</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>areaid_re_OFFGRID</name>
+   <description>x.1b : OFFGRID vertex on areaid.re</description>
+   <categories>
+   </categories>
+  </category>
+ </categories>
+ <cells>
+  <cell>
+   <name>user_analog_project_wrapper</name>
+   <variant/>
+   <references>
+   </references>
+  </cell>
+ </cells>
+ <items>
+ </items>
+</report-database>
diff --git a/precheck_results/04_JUN_2022___08_03_50/outputs/reports/klayout_pin_label_purposes_overlapping_drawing_check.xml b/precheck_results/04_JUN_2022___08_03_50/outputs/reports/klayout_pin_label_purposes_overlapping_drawing_check.xml
new file mode 100644
index 0000000..95d0489
--- /dev/null
+++ b/precheck_results/04_JUN_2022___08_03_50/outputs/reports/klayout_pin_label_purposes_overlapping_drawing_check.xml
@@ -0,0 +1,21 @@
+<?xml version="1.0" encoding="utf-8"?>
+<report-database>
+ <description>pin_label_purposes_overlapping_drawing.rb.drc, input=/home/krishna/Comparator_MPW6/gds/user_analog_project_wrapper.gds, topcell=user_analog_project_wrapper</description>
+ <original-file/>
+ <generator>drc: script='/home/krishna/mpw_precheckmpw6/checks/drc_checks/klayout/pin_label_purposes_overlapping_drawing.rb.drc'</generator>
+ <top-cell>user_analog_project_wrapper</top-cell>
+ <tags>
+ </tags>
+ <categories>
+ </categories>
+ <cells>
+  <cell>
+   <name>user_analog_project_wrapper</name>
+   <variant/>
+   <references>
+   </references>
+  </cell>
+ </cells>
+ <items>
+ </items>
+</report-database>
diff --git a/precheck_results/04_JUN_2022___08_03_50/outputs/reports/klayout_zeroarea_check.xml b/precheck_results/04_JUN_2022___08_03_50/outputs/reports/klayout_zeroarea_check.xml
new file mode 100644
index 0000000..c90408a
--- /dev/null
+++ b/precheck_results/04_JUN_2022___08_03_50/outputs/reports/klayout_zeroarea_check.xml
@@ -0,0 +1,21 @@
+<?xml version="1.0" encoding="utf-8"?>
+<report-database>
+ <description>zero area check</description>
+ <original-file/>
+ <generator>drc: script='/home/krishna/mpw_precheckmpw6/checks/drc_checks/klayout/zeroarea.rb.drc'</generator>
+ <top-cell>user_analog_project_wrapper</top-cell>
+ <tags>
+ </tags>
+ <categories>
+ </categories>
+ <cells>
+  <cell>
+   <name>user_analog_project_wrapper</name>
+   <variant/>
+   <references>
+   </references>
+  </cell>
+ </cells>
+ <items>
+ </items>
+</report-database>
diff --git a/precheck_results/04_JUN_2022___08_03_50/outputs/reports/magic_drc_check.drc.report b/precheck_results/04_JUN_2022___08_03_50/outputs/reports/magic_drc_check.drc.report
new file mode 100644
index 0000000..829b9d5
--- /dev/null
+++ b/precheck_results/04_JUN_2022___08_03_50/outputs/reports/magic_drc_check.drc.report
@@ -0,0 +1,5 @@
+user_analog_project_wrapper
+----------------------------------------
+[INFO]: COUNT: 0
+[INFO]: Should be divided by 3 or 4
+
diff --git a/precheck_results/04_JUN_2022___08_03_50/outputs/reports/magic_drc_check.rdb b/precheck_results/04_JUN_2022___08_03_50/outputs/reports/magic_drc_check.rdb
new file mode 100644
index 0000000..8a882f3
--- /dev/null
+++ b/precheck_results/04_JUN_2022___08_03_50/outputs/reports/magic_drc_check.rdb
@@ -0,0 +1,2 @@
+$user_analog_project_wrapper
+ 100
diff --git a/precheck_results/04_JUN_2022___08_03_50/outputs/reports/magic_drc_check.tcl b/precheck_results/04_JUN_2022___08_03_50/outputs/reports/magic_drc_check.tcl
new file mode 100644
index 0000000..e69de29
--- /dev/null
+++ b/precheck_results/04_JUN_2022___08_03_50/outputs/reports/magic_drc_check.tcl
diff --git a/precheck_results/04_JUN_2022___08_03_50/outputs/reports/magic_drc_check.tr b/precheck_results/04_JUN_2022___08_03_50/outputs/reports/magic_drc_check.tr
new file mode 100644
index 0000000..e69de29
--- /dev/null
+++ b/precheck_results/04_JUN_2022___08_03_50/outputs/reports/magic_drc_check.tr
diff --git a/precheck_results/04_JUN_2022___08_03_50/outputs/reports/magic_drc_check.xml b/precheck_results/04_JUN_2022___08_03_50/outputs/reports/magic_drc_check.xml
new file mode 100644
index 0000000..7161d7c
--- /dev/null
+++ b/precheck_results/04_JUN_2022___08_03_50/outputs/reports/magic_drc_check.xml
@@ -0,0 +1,10 @@
+<?xml version="1.0" ?>
+<report-database>
+    <categories/>
+    <cells>
+        <cell>
+            <name>user_analog_project_wrapper</name>
+        </cell>
+    </cells>
+    <items/>
+</report-database>
diff --git a/precheck_results/04_JUN_2022___08_03_50/outputs/user_analog_project_wrapper.magic.drc.mag b/precheck_results/04_JUN_2022___08_03_50/outputs/user_analog_project_wrapper.magic.drc.mag
new file mode 100644
index 0000000..bc7865b
--- /dev/null
+++ b/precheck_results/04_JUN_2022___08_03_50/outputs/user_analog_project_wrapper.magic.drc.mag
@@ -0,0 +1,7 @@
+magic
+tech minimum
+magscale 1 2
+timestamp 0
+<< checkpaint >>
+rect 0 0 1 1
+<< end >>
diff --git a/precheck_results/04_JUN_2022___08_03_50/outputs/user_analog_project_wrapper_empty_erased.gds b/precheck_results/04_JUN_2022___08_03_50/outputs/user_analog_project_wrapper_empty_erased.gds
new file mode 100644
index 0000000..e69de29
--- /dev/null
+++ b/precheck_results/04_JUN_2022___08_03_50/outputs/user_analog_project_wrapper_empty_erased.gds
diff --git a/precheck_results/04_JUN_2022___08_03_50/outputs/user_analog_project_wrapper_erased.gds b/precheck_results/04_JUN_2022___08_03_50/outputs/user_analog_project_wrapper_erased.gds
new file mode 100644
index 0000000..e69de29
--- /dev/null
+++ b/precheck_results/04_JUN_2022___08_03_50/outputs/user_analog_project_wrapper_erased.gds
diff --git a/precheck_results/04_JUN_2022___08_03_50/outputs/user_analog_project_wrapper_no_zero_areas.gds b/precheck_results/04_JUN_2022___08_03_50/outputs/user_analog_project_wrapper_no_zero_areas.gds
new file mode 100644
index 0000000..5836a4b
--- /dev/null
+++ b/precheck_results/04_JUN_2022___08_03_50/outputs/user_analog_project_wrapper_no_zero_areas.gds
Binary files differ
diff --git a/xschem/analog_wrapper_tb.sch b/xschem/analog_wrapper_tb.sch
index 8a6deea..9e12638 100644
--- a/xschem/analog_wrapper_tb.sch
+++ b/xschem/analog_wrapper_tb.sch
@@ -193,7 +193,6 @@
 C {devices/lab_pin.sym} 2030 -950 0 0 {name=l10 sig_type=std_logic lab=GND}
 C {devices/lab_pin.sym} 2130 -940 0 0 {name=l11 sig_type=std_logic lab=GND}
 C {devices/lab_pin.sym} 1490 -910 0 0 {name=l14 sig_type=std_logic lab=io_analog[10:0]}
-C {devices/lab_pin.sym} 3880 150 0 0 {name=l15 sig_type=std_logic lab=io_analog[5]}
 C {sky130_fd_pr/diode.sym} 4680 -310 0 0 {name=D9
 model=diode_pd2nw_05v5
 area=1e12
diff --git a/xschem/analog_wrapper_tb.spice b/xschem/analog_wrapper_tb.spice
index d40d69b..af60488 100644
--- a/xschem/analog_wrapper_tb.spice
+++ b/xschem/analog_wrapper_tb.spice
@@ -1,4 +1,4 @@
-** sch_path: /home/krishna/Documents/Comparator_MPW6/xschem/analog_wrapper_tb.sch
+** sch_path: /home/krishna/Comparator_MPW6/xschem/analog_wrapper_tb.sch
 **.subckt analog_wrapper_tb
 Vdd VDD GND 1.8
 Vn io_analog[6] io_analog[5] pulse(-10m 10m 1ps 1ps 1ps 4ns 8ns)
@@ -68,7 +68,14 @@
 + net14[0] net15[2] net15[1] net15[0] net29 net16[2] net16[1] net16[0] user_analog_project_wrapper
 C1 io_analog[3] GND 0.1p m=1
 C2 io_analog[2] GND 0.1p m=1
-
+D9 net32 net30 sky130_fd_pr__diode_pd2nw_05v5 area=1e12
+D10 net31 net32 sky130_fd_pr__diode_pw2nd_05v5 area=1e12
+D11 net30 vccd1 sky130_fd_pr__diode_pd2nw_05v5 area=1e12
+D12 vssa1 net31 sky130_fd_pr__diode_pw2nd_05v5 area=1e12
+D13 net34 net35 sky130_fd_pr__diode_pd2nw_05v5 area=1e12
+D14 net33 net34 sky130_fd_pr__diode_pw2nd_05v5 area=1e12
+D15 net35 vssa1 sky130_fd_pr__diode_pd2nw_05v5 area=1e12
+D16 vccd1 net33 sky130_fd_pr__diode_pw2nd_05v5 area=1e12
 **** begin user architecture code
 
 ** opencircuitdesign pdks install
@@ -100,8 +107,8 @@
 **.ends
 
 * expanding   symbol:  user_analog_project_wrapper.sym # of pins=32
-** sym_path: /home/krishna/Documents/Comparator_MPW6/xschem/user_analog_project_wrapper.sym
-** sch_path: /home/krishna/Documents/Comparator_MPW6/xschem/user_analog_project_wrapper.sch
+** sym_path: /home/krishna/Comparator_MPW6/xschem/user_analog_project_wrapper.sym
+** sch_path: /home/krishna/Comparator_MPW6/xschem/user_analog_project_wrapper.sch
 .subckt user_analog_project_wrapper  vdda1 vdda2 vssa1 vssa2 vccd1 vccd2 vssd1 vssd2 wb_clk_i
 + wb_rst_i wbs_stb_i wbs_cyc_i wbs_we_i wbs_sel_i[3] wbs_sel_i[2] wbs_sel_i[1] wbs_sel_i[0] wbs_dat_i[31]
 + wbs_dat_i[30] wbs_dat_i[29] wbs_dat_i[28] wbs_dat_i[27] wbs_dat_i[26] wbs_dat_i[25] wbs_dat_i[24] wbs_dat_i[23]
@@ -233,9 +240,9 @@
 *.ipin
 *+ la_oenb[127],la_oenb[126],la_oenb[125],la_oenb[124],la_oenb[123],la_oenb[122],la_oenb[121],la_oenb[120],la_oenb[119],la_oenb[118],la_oenb[117],la_oenb[116],la_oenb[115],la_oenb[114],la_oenb[113],la_oenb[112],la_oenb[111],la_oenb[110],la_oenb[109],la_oenb[108],la_oenb[107],la_oenb[106],la_oenb[105],la_oenb[104],la_oenb[103],la_oenb[102],la_oenb[101],la_oenb[100],la_oenb[99],la_oenb[98],la_oenb[97],la_oenb[96],la_oenb[95],la_oenb[94],la_oenb[93],la_oenb[92],la_oenb[91],la_oenb[90],la_oenb[89],la_oenb[88],la_oenb[87],la_oenb[86],la_oenb[85],la_oenb[84],la_oenb[83],la_oenb[82],la_oenb[81],la_oenb[80],la_oenb[79],la_oenb[78],la_oenb[77],la_oenb[76],la_oenb[75],la_oenb[74],la_oenb[73],la_oenb[72],la_oenb[71],la_oenb[70],la_oenb[69],la_oenb[68],la_oenb[67],la_oenb[66],la_oenb[65],la_oenb[64],la_oenb[63],la_oenb[62],la_oenb[61],la_oenb[60],la_oenb[59],la_oenb[58],la_oenb[57],la_oenb[56],la_oenb[55],la_oenb[54],la_oenb[53],la_oenb[52],la_oenb[51],la_oenb[50],la_oenb[49],la_oenb[48],la_oenb[47],la_oenb[46],la_oenb[45],la_oenb[44],la_oenb[43],la_oenb[42],la_oenb[41],la_oenb[40],la_oenb[39],la_oenb[38],la_oenb[37],la_oenb[36],la_oenb[35],la_oenb[34],la_oenb[33],la_oenb[32],la_oenb[31],la_oenb[30],la_oenb[29],la_oenb[28],la_oenb[27],la_oenb[26],la_oenb[25],la_oenb[24],la_oenb[23],la_oenb[22],la_oenb[21],la_oenb[20],la_oenb[19],la_oenb[18],la_oenb[17],la_oenb[16],la_oenb[15],la_oenb[14],la_oenb[13],la_oenb[12],la_oenb[11],la_oenb[10],la_oenb[9],la_oenb[8],la_oenb[7],la_oenb[6],la_oenb[5],la_oenb[4],la_oenb[3],la_oenb[2],la_oenb[1],la_oenb[0]
 x1 vccd1 vssa1 net2 net8 net9 io_analog[5] io_analog[6] net1 comparator
-D1 io_analog[8] vccd1 sky130_fd_pr__diode_pd2nw_05v5 pj=4e+06u area=1e+12p
-D2 vssa1 io_analog[8] sky130_fd_pr__diode_pw2nd_05v5 pj=4e+06u area=1e+12p
-D3 io_analog[7] vccd1 sky130_fd_pr__diode_pd2nw_05v5 pj=4e+06u area=1e+12p
+D1 io_analog[8] vccd1 sky130_fd_pr__diode_pd2nw_05v5 pj=1.8e+06u area=2.025e+11p
+D2 vssa1 io_analog[8] sky130_fd_pr__diode_pw2nd_05v5 pj=1.8e+06u area=2.025e+11p
+D3 io_analog[7] vccd1 sky130_fd_pr__diode_pd2nw_05v5 pj=1.8e+06u area=2.025e+11p
 D4 vssa1 io_analog[7] sky130_fd_pr__diode_pw2nd_05v5 pj=4e+06u area=1e+12p
 x2 net8 vssa1 vssa1 vccd1 vccd1 net4 sky130_fd_sc_hd__buf_2
 x3 net4 vssa1 vssa1 vccd1 vccd1 io_analog[3] sky130_fd_sc_hd__buf_16
@@ -245,10 +252,6 @@
 x7 net3 vssa1 vssa1 vccd1 vccd1 net2 sky130_fd_sc_hd__buf_16
 x8 io_analog[8] vssa1 vssa1 vccd1 vccd1 net6 sky130_fd_sc_hd__buf_2
 x9 net6 vssa1 vssa1 vccd1 vccd1 net1 sky130_fd_sc_hd__buf_16
-*D5 io_analog[5] vccd1 sky130_fd_pr__diode_pd2nw_05v5 pj=4e+06u area=1e+12p
-*D6 vssa1 io_analog[5] sky130_fd_pr__diode_pw2nd_05v5 pj=4e+06u area=1e+12p
-*D7 io_analog[6] vccd1 sky130_fd_pr__diode_pd2nw_05v5 pj=4e+06u area=1e+12p
-*D8 vssa1 io_analog[6] sky130_fd_pr__diode_pw2nd_05v5 pj=4e+06u area=1e+12p
 x10 io_analog[1] vssa1 vssa1 vccd1 vccd1 net7 sky130_fd_sc_hd__buf_2
 x11 net7 vssa1 vssa1 vccd1 vccd1 io_analog[0] sky130_fd_sc_hd__buf_16
 V0 vccd1 io_clamp_high[1] 0.0
@@ -259,8 +262,8 @@
 
 
 * expanding   symbol:  comparator.sym # of pins=8
-** sym_path: /home/krishna/Documents/Comparator_MPW6/xschem/comparator.sym
-** sch_path: /home/krishna/Documents/Comparator_MPW6/xschem/comparator.sch
+** sym_path: /home/krishna/Comparator_MPW6/xschem/comparator.sym
+** sch_path: /home/krishna/Comparator_MPW6/xschem/comparator.sch
 .subckt comparator  VDD GND CLKBAR Outn Outp Vp Vn CLK
 *.iopin VDD
 *.iopin GND
diff --git a/xschem/user_analog_project_wrapper.sch b/xschem/user_analog_project_wrapper.sch
index d431b9a..717d802 100644
--- a/xschem/user_analog_project_wrapper.sch
+++ b/xschem/user_analog_project_wrapper.sch
@@ -50,26 +50,12 @@
 lab=#net2}
 N 4690 50 4690 120 {
 lab=#net1}
-N 3940 130 3940 170 {
-lab=io_analog[5]}
-N 3940 30 3940 70 {
-lab=vccd1}
-N 3940 230 3940 270 {
-lab=vssa1}
 N 3880 150 3940 150 {
 lab=io_analog[5]}
 N 3940 150 4000 150 {
 lab=io_analog[5]}
-N 4180 310 4180 350 {
-lab=io_analog[6]}
-N 4180 210 4180 250 {
-lab=vccd1}
-N 4180 410 4180 450 {
-lab=vssa1}
 N 4120 330 4180 330 {
 lab=io_analog[6]}
-N 4180 330 4240 330 {
-lab=io_analog[6]}
 N 4560 150 4590 150 {
 lab=io_analog[5]}
 N 4560 220 4590 220 {
@@ -110,6 +96,7 @@
 lab=io_analog[1]}
 N 5070 -80 5120 -80 {
 lab=io_analog[0]}
+N 4180 330 4250 330 {}
 C {devices/iopin.sym} 3240 -470 0 0 {name=p1 lab=vdda1}
 C {devices/iopin.sym} 3240 -440 0 0 {name=p2 lab=vdda2}
 C {devices/iopin.sym} 3240 -410 0 0 {name=p3 lab=vssa1}
@@ -183,29 +170,7 @@
 C {sky130_stdcells/buf_16.sym} 4710 350 0 0 {name=x7 VGND=vssa1 VNB=vssa1 VPB=vccd1 VPWR=vccd1 prefix=sky130_fd_sc_hd__}
 C {sky130_stdcells/buf_2.sym} 4490 50 0 0 {name=x8 VGND=vssa1 VNB=vssa1 VPB=vccd1 VPWR=vccd1 prefix=sky130_fd_sc_hd__}
 C {sky130_stdcells/buf_16.sym} 4650 50 0 0 {name=x9 VGND=vssa1 VNB=vssa1 VPB=vccd1 VPWR=vccd1 prefix=sky130_fd_sc_hd__}
-C {sky130_fd_pr/diode.sym} 3940 100 0 0 {name=D5
-model=diode_pd2nw_05v5
-area=1e12
-}
-C {sky130_fd_pr/diode.sym} 3940 200 0 0 {name=D6
-model=diode_pw2nd_05v5
-area=1e12
-}
-C {devices/lab_pin.sym} 3940 270 3 0 {name=l23 sig_type=std_logic lab=vssa1
-}
-C {devices/lab_pin.sym} 3940 30 2 0 {name=l24 sig_type=std_logic lab=vccd1}
 C {devices/lab_pin.sym} 3880 150 0 0 {name=l25 sig_type=std_logic lab=io_analog[5]}
-C {sky130_fd_pr/diode.sym} 4180 280 0 0 {name=D7
-model=diode_pd2nw_05v5
-area=1e12
-}
-C {sky130_fd_pr/diode.sym} 4180 380 0 0 {name=D8
-model=diode_pw2nd_05v5
-area=1e12
-}
-C {devices/lab_pin.sym} 4180 450 3 0 {name=l26 sig_type=std_logic lab=vssa1
-}
-C {devices/lab_pin.sym} 4180 210 2 0 {name=l27 sig_type=std_logic lab=vccd1}
 C {devices/lab_pin.sym} 4120 330 0 0 {name=l28 sig_type=std_logic lab=io_analog[6]}
 C {sky130_stdcells/buf_2.sym} 4850 -80 0 0 {name=x10 VGND=vssa1 VNB=vssa1 VPB=vccd1 VPWR=vccd1 prefix=sky130_fd_sc_hd__}
 C {sky130_stdcells/buf_16.sym} 5030 -80 0 0 {name=x11 VGND=vssa1 VNB=vssa1 VPB=vccd1 VPWR=vccd1 prefix=sky130_fd_sc_hd__}