blob: 0331655e42ba5d37df2aff498c384bf470ae6ec1 [file] [log] [blame]
(DELAYFILE
(SDFVERSION "3.0")
(DESIGN "user_proj_example")
(DATE "Mon Mar 21 21:01:47 2022")
(VENDOR "Parallax")
(PROGRAM "STA")
(VERSION "2.3.1")
(DIVIDER .)
(VOLTAGE 1.800::1.800)
(PROCESS "1.000::1.000")
(TEMPERATURE 25.000::25.000)
(TIMESCALE 1ns)
(CELL
(CELLTYPE "user_proj_example")
(INSTANCE)
(DELAY
(ABSOLUTE
(INTERCONNECT io_in[10] input1.A (0.040:0.040:0.040) (0.018:0.018:0.018))
(INTERCONNECT io_in[10] ANTENNA_input1_A.DIODE (0.040:0.040:0.040) (0.018:0.018:0.018))
(INTERCONNECT io_in[11] input2.A (0.041:0.041:0.041) (0.018:0.018:0.018))
(INTERCONNECT io_in[11] ANTENNA_input2_A.DIODE (0.041:0.041:0.041) (0.018:0.018:0.018))
(INTERCONNECT io_in[12] input3.A (0.043:0.043:0.043) (0.019:0.019:0.019))
(INTERCONNECT io_in[12] ANTENNA_input3_A.DIODE (0.043:0.043:0.043) (0.019:0.019:0.019))
(INTERCONNECT io_in[13] input4.A (0.040:0.040:0.040) (0.018:0.018:0.018))
(INTERCONNECT io_in[13] ANTENNA_input4_A.DIODE (0.040:0.040:0.040) (0.018:0.018:0.018))
(INTERCONNECT io_in[14] input5.A (0.043:0.043:0.043) (0.019:0.019:0.019))
(INTERCONNECT io_in[14] ANTENNA_input5_A.DIODE (0.043:0.043:0.043) (0.019:0.019:0.019))
(INTERCONNECT io_in[15] input6.A (0.042:0.042:0.042) (0.019:0.019:0.019))
(INTERCONNECT io_in[15] ANTENNA_input6_A.DIODE (0.042:0.042:0.042) (0.018:0.018:0.018))
(INTERCONNECT io_in[19] input7.A (0.040:0.040:0.040) (0.018:0.018:0.018))
(INTERCONNECT io_in[19] ANTENNA_input7_A.DIODE (0.040:0.040:0.040) (0.018:0.018:0.018))
(INTERCONNECT io_in[8] input8.A (0.042:0.042:0.042) (0.019:0.019:0.019))
(INTERCONNECT io_in[8] ANTENNA_input8_A.DIODE (0.042:0.042:0.042) (0.019:0.019:0.019))
(INTERCONNECT io_in[9] input9.A (0.040:0.040:0.040) (0.018:0.018:0.018))
(INTERCONNECT io_in[9] ANTENNA_input9_A.DIODE (0.040:0.040:0.040) (0.018:0.018:0.018))
(INTERCONNECT la_data_in[0] input10.A (0.016:0.016:0.016) (0.007:0.007:0.007))
(INTERCONNECT la_data_in[0] ANTENNA_input10_A.DIODE (0.016:0.016:0.016) (0.007:0.007:0.007))
(INTERCONNECT la_data_in[100] input11.A (0.025:0.025:0.025) (0.012:0.012:0.012))
(INTERCONNECT la_data_in[100] ANTENNA_input11_A.DIODE (0.025:0.025:0.025) (0.012:0.012:0.012))
(INTERCONNECT la_data_in[101] input12.A (0.022:0.022:0.022) (0.010:0.010:0.010))
(INTERCONNECT la_data_in[101] ANTENNA_input12_A.DIODE (0.022:0.022:0.022) (0.010:0.010:0.010))
(INTERCONNECT la_data_in[102] input13.A (0.018:0.018:0.018) (0.008:0.008:0.008))
(INTERCONNECT la_data_in[102] ANTENNA_input13_A.DIODE (0.018:0.018:0.018) (0.008:0.008:0.008))
(INTERCONNECT la_data_in[103] input14.A (0.016:0.016:0.016) (0.007:0.007:0.007))
(INTERCONNECT la_data_in[103] ANTENNA_input14_A.DIODE (0.016:0.016:0.016) (0.007:0.007:0.007))
(INTERCONNECT la_data_in[104] input15.A (0.016:0.016:0.016) (0.007:0.007:0.007))
(INTERCONNECT la_data_in[104] ANTENNA_input15_A.DIODE (0.016:0.016:0.016) (0.007:0.007:0.007))
(INTERCONNECT la_data_in[105] input16.A (0.017:0.017:0.017) (0.008:0.008:0.008))
(INTERCONNECT la_data_in[105] ANTENNA_input16_A.DIODE (0.017:0.017:0.017) (0.008:0.008:0.008))
(INTERCONNECT la_data_in[106] input17.A (0.016:0.016:0.016) (0.007:0.007:0.007))
(INTERCONNECT la_data_in[106] ANTENNA_input17_A.DIODE (0.016:0.016:0.016) (0.007:0.007:0.007))
(INTERCONNECT la_data_in[107] input18.A (0.015:0.015:0.015) (0.006:0.006:0.006))
(INTERCONNECT la_data_in[107] ANTENNA_input18_A.DIODE (0.015:0.015:0.015) (0.006:0.006:0.006))
(INTERCONNECT la_data_in[108] input19.A (0.015:0.015:0.015) (0.006:0.006:0.006))
(INTERCONNECT la_data_in[108] ANTENNA_input19_A.DIODE (0.015:0.015:0.015) (0.006:0.006:0.006))
(INTERCONNECT la_data_in[109] input20.A (0.015:0.015:0.015) (0.006:0.006:0.006))
(INTERCONNECT la_data_in[109] ANTENNA_input20_A.DIODE (0.015:0.015:0.015) (0.006:0.006:0.006))
(INTERCONNECT la_data_in[10] input21.A (0.039:0.039:0.039) (0.018:0.018:0.018))
(INTERCONNECT la_data_in[10] ANTENNA_input21_A.DIODE (0.039:0.039:0.039) (0.018:0.018:0.018))
(INTERCONNECT la_data_in[110] input22.A (0.017:0.017:0.017) (0.007:0.007:0.007))
(INTERCONNECT la_data_in[110] ANTENNA_input22_A.DIODE (0.017:0.017:0.017) (0.007:0.007:0.007))
(INTERCONNECT la_data_in[111] input23.A (0.016:0.016:0.016) (0.007:0.007:0.007))
(INTERCONNECT la_data_in[111] ANTENNA_input23_A.DIODE (0.016:0.016:0.016) (0.007:0.007:0.007))
(INTERCONNECT la_data_in[112] input24.A (0.024:0.024:0.024) (0.010:0.010:0.010))
(INTERCONNECT la_data_in[112] ANTENNA_input24_A.DIODE (0.024:0.024:0.024) (0.010:0.010:0.010))
(INTERCONNECT la_data_in[11] input25.A (0.028:0.028:0.028) (0.013:0.013:0.013))
(INTERCONNECT la_data_in[11] ANTENNA_input25_A.DIODE (0.028:0.028:0.028) (0.013:0.013:0.013))
(INTERCONNECT la_data_in[12] input26.A (0.045:0.045:0.045) (0.021:0.021:0.021))
(INTERCONNECT la_data_in[12] ANTENNA_input26_A.DIODE (0.045:0.045:0.045) (0.021:0.021:0.021))
(INTERCONNECT la_data_in[13] input27.A (0.037:0.037:0.037) (0.017:0.017:0.017))
(INTERCONNECT la_data_in[13] ANTENNA_input27_A.DIODE (0.037:0.037:0.037) (0.017:0.017:0.017))
(INTERCONNECT la_data_in[14] input28.A (0.042:0.042:0.042) (0.020:0.020:0.020))
(INTERCONNECT la_data_in[14] ANTENNA_input28_A.DIODE (0.042:0.042:0.042) (0.020:0.020:0.020))
(INTERCONNECT la_data_in[15] input29.A (0.033:0.033:0.033) (0.015:0.015:0.015))
(INTERCONNECT la_data_in[15] ANTENNA_input29_A.DIODE (0.033:0.033:0.033) (0.015:0.015:0.015))
(INTERCONNECT la_data_in[16] input30.A (0.040:0.040:0.040) (0.019:0.019:0.019))
(INTERCONNECT la_data_in[16] ANTENNA_input30_A.DIODE (0.040:0.040:0.040) (0.019:0.019:0.019))
(INTERCONNECT la_data_in[17] input31.A (0.030:0.030:0.030) (0.014:0.014:0.014))
(INTERCONNECT la_data_in[17] ANTENNA_input31_A.DIODE (0.030:0.030:0.030) (0.014:0.014:0.014))
(INTERCONNECT la_data_in[18] input32.A (0.031:0.031:0.031) (0.015:0.015:0.015))
(INTERCONNECT la_data_in[18] ANTENNA_input32_A.DIODE (0.032:0.032:0.032) (0.015:0.015:0.015))
(INTERCONNECT la_data_in[19] input33.A (0.045:0.045:0.045) (0.021:0.021:0.021))
(INTERCONNECT la_data_in[19] ANTENNA_input33_A.DIODE (0.045:0.045:0.045) (0.021:0.021:0.021))
(INTERCONNECT la_data_in[1] input34.A (0.016:0.016:0.016) (0.006:0.006:0.006))
(INTERCONNECT la_data_in[1] ANTENNA_input34_A.DIODE (0.016:0.016:0.016) (0.006:0.006:0.006))
(INTERCONNECT la_data_in[20] input35.A (0.033:0.033:0.033) (0.016:0.016:0.016))
(INTERCONNECT la_data_in[20] ANTENNA_input35_A.DIODE (0.033:0.033:0.033) (0.016:0.016:0.016))
(INTERCONNECT la_data_in[21] input36.A (0.030:0.030:0.030) (0.014:0.014:0.014))
(INTERCONNECT la_data_in[21] ANTENNA_input36_A.DIODE (0.030:0.030:0.030) (0.014:0.014:0.014))
(INTERCONNECT la_data_in[22] input37.A (0.028:0.028:0.028) (0.013:0.013:0.013))
(INTERCONNECT la_data_in[22] ANTENNA_input37_A.DIODE (0.028:0.028:0.028) (0.013:0.013:0.013))
(INTERCONNECT la_data_in[2] input38.A (0.019:0.019:0.019) (0.008:0.008:0.008))
(INTERCONNECT la_data_in[2] ANTENNA_input38_A.DIODE (0.019:0.019:0.019) (0.008:0.008:0.008))
(INTERCONNECT la_data_in[32] input39.A (0.019:0.019:0.019) (0.009:0.009:0.009))
(INTERCONNECT la_data_in[32] ANTENNA_input39_A.DIODE (0.019:0.019:0.019) (0.009:0.009:0.009))
(INTERCONNECT la_data_in[33] input40.A (0.021:0.021:0.021) (0.009:0.009:0.009))
(INTERCONNECT la_data_in[33] ANTENNA_input40_A.DIODE (0.021:0.021:0.021) (0.009:0.009:0.009))
(INTERCONNECT la_data_in[34] input41.A (0.022:0.022:0.022) (0.010:0.010:0.010))
(INTERCONNECT la_data_in[34] ANTENNA_input41_A.DIODE (0.022:0.022:0.022) (0.010:0.010:0.010))
(INTERCONNECT la_data_in[35] input42.A (0.029:0.029:0.029) (0.014:0.014:0.014))
(INTERCONNECT la_data_in[35] ANTENNA_input42_A.DIODE (0.029:0.029:0.029) (0.014:0.014:0.014))
(INTERCONNECT la_data_in[36] input43.A (0.026:0.026:0.026) (0.012:0.012:0.012))
(INTERCONNECT la_data_in[36] ANTENNA_input43_A.DIODE (0.026:0.026:0.026) (0.012:0.012:0.012))
(INTERCONNECT la_data_in[37] input44.A (0.026:0.026:0.026) (0.012:0.012:0.012))
(INTERCONNECT la_data_in[37] ANTENNA_input44_A.DIODE (0.026:0.026:0.026) (0.012:0.012:0.012))
(INTERCONNECT la_data_in[38] input45.A (0.033:0.033:0.033) (0.016:0.016:0.016))
(INTERCONNECT la_data_in[38] ANTENNA_input45_A.DIODE (0.033:0.033:0.033) (0.016:0.016:0.016))
(INTERCONNECT la_data_in[39] input46.A (0.039:0.039:0.039) (0.019:0.019:0.019))
(INTERCONNECT la_data_in[39] ANTENNA_input46_A.DIODE (0.039:0.039:0.039) (0.019:0.019:0.019))
(INTERCONNECT la_data_in[3] input47.A (0.015:0.015:0.015) (0.006:0.006:0.006))
(INTERCONNECT la_data_in[3] ANTENNA_input47_A.DIODE (0.015:0.015:0.015) (0.006:0.006:0.006))
(INTERCONNECT la_data_in[40] input48.A (0.043:0.043:0.043) (0.021:0.021:0.021))
(INTERCONNECT la_data_in[40] ANTENNA_input48_A.DIODE (0.043:0.043:0.043) (0.021:0.021:0.021))
(INTERCONNECT la_data_in[41] input49.A (0.050:0.050:0.050) (0.024:0.024:0.024))
(INTERCONNECT la_data_in[41] ANTENNA_input49_A.DIODE (0.051:0.051:0.051) (0.024:0.024:0.024))
(INTERCONNECT la_data_in[42] input50.A (0.046:0.046:0.046) (0.022:0.022:0.022))
(INTERCONNECT la_data_in[42] ANTENNA_input50_A.DIODE (0.046:0.046:0.046) (0.022:0.022:0.022))
(INTERCONNECT la_data_in[43] input51.A (0.063:0.063:0.063) (0.030:0.030:0.030))
(INTERCONNECT la_data_in[43] ANTENNA_input51_A.DIODE (0.063:0.063:0.063) (0.031:0.031:0.031))
(INTERCONNECT la_data_in[44] input52.A (0.064:0.064:0.064) (0.031:0.031:0.031))
(INTERCONNECT la_data_in[44] ANTENNA_input52_A.DIODE (0.064:0.064:0.064) (0.031:0.031:0.031))
(INTERCONNECT la_data_in[45] input53.A (0.067:0.067:0.067) (0.032:0.032:0.032))
(INTERCONNECT la_data_in[45] ANTENNA_input53_A.DIODE (0.067:0.067:0.067) (0.033:0.033:0.033))
(INTERCONNECT la_data_in[46] input54.A (0.071:0.071:0.071) (0.035:0.035:0.035))
(INTERCONNECT la_data_in[46] ANTENNA_input54_A.DIODE (0.072:0.072:0.072) (0.035:0.035:0.035))
(INTERCONNECT la_data_in[47] input55.A (0.079:0.079:0.079) (0.039:0.039:0.039))
(INTERCONNECT la_data_in[47] ANTENNA_input55_A.DIODE (0.079:0.079:0.079) (0.039:0.039:0.039))
(INTERCONNECT la_data_in[48] input56.A (0.082:0.082:0.082) (0.041:0.041:0.041))
(INTERCONNECT la_data_in[48] ANTENNA_input56_A.DIODE (0.083:0.083:0.083) (0.041:0.041:0.041))
(INTERCONNECT la_data_in[49] input57.A (0.073:0.073:0.073) (0.036:0.036:0.036))
(INTERCONNECT la_data_in[49] ANTENNA_input57_A.DIODE (0.074:0.074:0.074) (0.036:0.036:0.036))
(INTERCONNECT la_data_in[4] input58.A (0.017:0.017:0.017) (0.008:0.008:0.008))
(INTERCONNECT la_data_in[4] ANTENNA_input58_A.DIODE (0.017:0.017:0.017) (0.008:0.008:0.008))
(INTERCONNECT la_data_in[50] input59.A (0.089:0.089:0.089) (0.044:0.044:0.044))
(INTERCONNECT la_data_in[50] ANTENNA_input59_A.DIODE (0.091:0.091:0.091) (0.045:0.045:0.045))
(INTERCONNECT la_data_in[51] input60.A (0.099:0.099:0.099) (0.049:0.049:0.049))
(INTERCONNECT la_data_in[51] ANTENNA_input60_A.DIODE (0.100:0.100:0.100) (0.050:0.050:0.050))
(INTERCONNECT la_data_in[52] input61.A (0.077:0.077:0.077) (0.038:0.038:0.038))
(INTERCONNECT la_data_in[52] ANTENNA_input61_A.DIODE (0.078:0.078:0.078) (0.038:0.038:0.038))
(INTERCONNECT la_data_in[53] input62.A (0.075:0.075:0.075) (0.037:0.037:0.037))
(INTERCONNECT la_data_in[53] ANTENNA_input62_A.DIODE (0.076:0.076:0.076) (0.037:0.037:0.037))
(INTERCONNECT la_data_in[54] input63.A (0.062:0.062:0.062) (0.030:0.030:0.030))
(INTERCONNECT la_data_in[54] ANTENNA_input63_A.DIODE (0.063:0.063:0.063) (0.031:0.031:0.031))
(INTERCONNECT la_data_in[55] input64.A (0.070:0.070:0.070) (0.034:0.034:0.034))
(INTERCONNECT la_data_in[55] ANTENNA_input64_A.DIODE (0.071:0.071:0.071) (0.035:0.035:0.035))
(INTERCONNECT la_data_in[56] input65.A (0.072:0.072:0.072) (0.035:0.035:0.035))
(INTERCONNECT la_data_in[56] ANTENNA_input65_A.DIODE (0.072:0.072:0.072) (0.036:0.036:0.036))
(INTERCONNECT la_data_in[57] input66.A (0.069:0.069:0.069) (0.034:0.034:0.034))
(INTERCONNECT la_data_in[57] ANTENNA_input66_A.DIODE (0.069:0.069:0.069) (0.034:0.034:0.034))
(INTERCONNECT la_data_in[58] input67.A (0.068:0.068:0.068) (0.033:0.033:0.033))
(INTERCONNECT la_data_in[58] ANTENNA_input67_A.DIODE (0.068:0.068:0.068) (0.034:0.034:0.034))
(INTERCONNECT la_data_in[59] input68.A (0.065:0.065:0.065) (0.032:0.032:0.032))
(INTERCONNECT la_data_in[59] ANTENNA_input68_A.DIODE (0.066:0.066:0.066) (0.032:0.032:0.032))
(INTERCONNECT la_data_in[5] input69.A (0.018:0.018:0.018) (0.008:0.008:0.008))
(INTERCONNECT la_data_in[5] ANTENNA_input69_A.DIODE (0.018:0.018:0.018) (0.008:0.008:0.008))
(INTERCONNECT la_data_in[60] input70.A (0.078:0.078:0.078) (0.038:0.038:0.038))
(INTERCONNECT la_data_in[60] ANTENNA_input70_A.DIODE (0.079:0.079:0.079) (0.039:0.039:0.039))
(INTERCONNECT la_data_in[61] input71.A (0.075:0.075:0.075) (0.037:0.037:0.037))
(INTERCONNECT la_data_in[61] ANTENNA_input71_A.DIODE (0.076:0.076:0.076) (0.037:0.037:0.037))
(INTERCONNECT la_data_in[62] input72.A (0.103:0.103:0.103) (0.052:0.052:0.052))
(INTERCONNECT la_data_in[62] ANTENNA_input72_A.DIODE (0.104:0.104:0.104) (0.052:0.052:0.052))
(INTERCONNECT la_data_in[63] input73.A (0.081:0.081:0.081) (0.040:0.040:0.040))
(INTERCONNECT la_data_in[63] ANTENNA_input73_A.DIODE (0.081:0.081:0.081) (0.040:0.040:0.040))
(INTERCONNECT la_data_in[64] input74.A (0.086:0.086:0.086) (0.043:0.043:0.043))
(INTERCONNECT la_data_in[64] ANTENNA_input74_A.DIODE (0.087:0.087:0.087) (0.043:0.043:0.043))
(INTERCONNECT la_data_in[65] input75.A (0.096:0.096:0.096) (0.047:0.047:0.047))
(INTERCONNECT la_data_in[65] ANTENNA_input75_A.DIODE (0.097:0.097:0.097) (0.048:0.048:0.048))
(INTERCONNECT la_data_in[66] input76.A (0.091:0.091:0.091) (0.045:0.045:0.045))
(INTERCONNECT la_data_in[66] ANTENNA_input76_A.DIODE (0.091:0.091:0.091) (0.045:0.045:0.045))
(INTERCONNECT la_data_in[67] input77.A (0.228:0.228:0.228) (0.117:0.117:0.117))
(INTERCONNECT la_data_in[67] ANTENNA_input77_A.DIODE (0.228:0.228:0.228) (0.117:0.117:0.117))
(INTERCONNECT la_data_in[68] input78.A (0.225:0.225:0.225) (0.115:0.115:0.115))
(INTERCONNECT la_data_in[68] ANTENNA_input78_A.DIODE (0.225:0.225:0.225) (0.115:0.115:0.115))
(INTERCONNECT la_data_in[69] input79.A (0.194:0.194:0.194) (0.099:0.099:0.099))
(INTERCONNECT la_data_in[69] ANTENNA_input79_A.DIODE (0.194:0.194:0.194) (0.099:0.099:0.099))
(INTERCONNECT la_data_in[6] input80.A (0.030:0.030:0.030) (0.014:0.014:0.014))
(INTERCONNECT la_data_in[6] ANTENNA_input80_A.DIODE (0.030:0.030:0.030) (0.014:0.014:0.014))
(INTERCONNECT la_data_in[70] input81.A (0.160:0.160:0.160) (0.081:0.081:0.081))
(INTERCONNECT la_data_in[70] ANTENNA_input81_A.DIODE (0.160:0.160:0.160) (0.081:0.081:0.081))
(INTERCONNECT la_data_in[71] input82.A (0.214:0.214:0.214) (0.107:0.107:0.107))
(INTERCONNECT la_data_in[71] ANTENNA_input82_A.DIODE (0.216:0.216:0.216) (0.110:0.110:0.110))
(INTERCONNECT la_data_in[72] input83.A (0.113:0.113:0.113) (0.056:0.056:0.056))
(INTERCONNECT la_data_in[72] ANTENNA_input83_A.DIODE (0.114:0.114:0.114) (0.057:0.057:0.057))
(INTERCONNECT la_data_in[73] input84.A (0.184:0.184:0.184) (0.093:0.093:0.093))
(INTERCONNECT la_data_in[73] ANTENNA_input84_A.DIODE (0.184:0.184:0.184) (0.093:0.093:0.093))
(INTERCONNECT la_data_in[74] input85.A (0.160:0.160:0.160) (0.081:0.081:0.081))
(INTERCONNECT la_data_in[74] ANTENNA_input85_A.DIODE (0.160:0.160:0.160) (0.081:0.081:0.081))
(INTERCONNECT la_data_in[75] input86.A (0.135:0.135:0.135) (0.067:0.067:0.067))
(INTERCONNECT la_data_in[75] ANTENNA_input86_A.DIODE (0.135:0.135:0.135) (0.068:0.068:0.068))
(INTERCONNECT la_data_in[76] input87.A (0.152:0.152:0.152) (0.076:0.076:0.076))
(INTERCONNECT la_data_in[76] ANTENNA_input87_A.DIODE (0.152:0.152:0.152) (0.076:0.076:0.076))
(INTERCONNECT la_data_in[77] input88.A (0.157:0.157:0.157) (0.079:0.079:0.079))
(INTERCONNECT la_data_in[77] ANTENNA_input88_A.DIODE (0.157:0.157:0.157) (0.079:0.079:0.079))
(INTERCONNECT la_data_in[78] input89.A (0.151:0.151:0.151) (0.076:0.076:0.076))
(INTERCONNECT la_data_in[78] ANTENNA_input89_A.DIODE (0.151:0.151:0.151) (0.076:0.076:0.076))
(INTERCONNECT la_data_in[79] input90.A (0.145:0.145:0.145) (0.073:0.073:0.073))
(INTERCONNECT la_data_in[79] ANTENNA_input90_A.DIODE (0.145:0.145:0.145) (0.073:0.073:0.073))
(INTERCONNECT la_data_in[7] input91.A (0.026:0.026:0.026) (0.012:0.012:0.012))
(INTERCONNECT la_data_in[7] ANTENNA_input91_A.DIODE (0.026:0.026:0.026) (0.012:0.012:0.012))
(INTERCONNECT la_data_in[80] input92.A (0.134:0.134:0.134) (0.067:0.067:0.067))
(INTERCONNECT la_data_in[80] ANTENNA_input92_A.DIODE (0.134:0.134:0.134) (0.067:0.067:0.067))
(INTERCONNECT la_data_in[81] input93.A (0.104:0.104:0.104) (0.052:0.052:0.052))
(INTERCONNECT la_data_in[81] ANTENNA_input93_A.DIODE (0.104:0.104:0.104) (0.052:0.052:0.052))
(INTERCONNECT la_data_in[82] input94.A (0.134:0.134:0.134) (0.067:0.067:0.067))
(INTERCONNECT la_data_in[82] ANTENNA_input94_A.DIODE (0.134:0.134:0.134) (0.067:0.067:0.067))
(INTERCONNECT la_data_in[83] input95.A (0.094:0.094:0.094) (0.047:0.047:0.047))
(INTERCONNECT la_data_in[83] ANTENNA_input95_A.DIODE (0.094:0.094:0.094) (0.047:0.047:0.047))
(INTERCONNECT la_data_in[84] input96.A (0.098:0.098:0.098) (0.049:0.049:0.049))
(INTERCONNECT la_data_in[84] ANTENNA_input96_A.DIODE (0.098:0.098:0.098) (0.049:0.049:0.049))
(INTERCONNECT la_data_in[85] input97.A (0.108:0.108:0.108) (0.052:0.052:0.052))
(INTERCONNECT la_data_in[85] ANTENNA_input97_A.DIODE (0.113:0.113:0.113) (0.056:0.056:0.056))
(INTERCONNECT la_data_in[86] input98.A (0.079:0.079:0.079) (0.039:0.039:0.039))
(INTERCONNECT la_data_in[86] ANTENNA_input98_A.DIODE (0.079:0.079:0.079) (0.039:0.039:0.039))
(INTERCONNECT la_data_in[87] input99.A (0.119:0.119:0.119) (0.058:0.058:0.058))
(INTERCONNECT la_data_in[87] ANTENNA_input99_A.DIODE (0.122:0.122:0.122) (0.061:0.061:0.061))
(INTERCONNECT la_data_in[88] input100.A (0.074:0.074:0.074) (0.036:0.036:0.036))
(INTERCONNECT la_data_in[88] ANTENNA_input100_A.DIODE (0.074:0.074:0.074) (0.036:0.036:0.036))
(INTERCONNECT la_data_in[89] input101.A (0.072:0.072:0.072) (0.036:0.036:0.036))
(INTERCONNECT la_data_in[89] ANTENNA_input101_A.DIODE (0.073:0.073:0.073) (0.036:0.036:0.036))
(INTERCONNECT la_data_in[8] input102.A (0.049:0.049:0.049) (0.023:0.023:0.023))
(INTERCONNECT la_data_in[8] ANTENNA_input102_A.DIODE (0.049:0.049:0.049) (0.023:0.023:0.023))
(INTERCONNECT la_data_in[90] input103.A (0.071:0.071:0.071) (0.035:0.035:0.035))
(INTERCONNECT la_data_in[90] ANTENNA_input103_A.DIODE (0.071:0.071:0.071) (0.035:0.035:0.035))
(INTERCONNECT la_data_in[91] input104.A (0.061:0.061:0.061) (0.030:0.030:0.030))
(INTERCONNECT la_data_in[91] ANTENNA_input104_A.DIODE (0.061:0.061:0.061) (0.030:0.030:0.030))
(INTERCONNECT la_data_in[92] input105.A (0.062:0.062:0.062) (0.030:0.030:0.030))
(INTERCONNECT la_data_in[92] ANTENNA_input105_A.DIODE (0.062:0.062:0.062) (0.031:0.031:0.031))
(INTERCONNECT la_data_in[93] input106.A (0.054:0.054:0.054) (0.026:0.026:0.026))
(INTERCONNECT la_data_in[93] ANTENNA_input106_A.DIODE (0.054:0.054:0.054) (0.026:0.026:0.026))
(INTERCONNECT la_data_in[94] input107.A (0.054:0.054:0.054) (0.026:0.026:0.026))
(INTERCONNECT la_data_in[94] ANTENNA_input107_A.DIODE (0.054:0.054:0.054) (0.026:0.026:0.026))
(INTERCONNECT la_data_in[95] input108.A (0.045:0.045:0.045) (0.022:0.022:0.022))
(INTERCONNECT la_data_in[95] ANTENNA_input108_A.DIODE (0.045:0.045:0.045) (0.022:0.022:0.022))
(INTERCONNECT la_data_in[96] input109.A (0.045:0.045:0.045) (0.021:0.021:0.021))
(INTERCONNECT la_data_in[96] ANTENNA_input109_A.DIODE (0.045:0.045:0.045) (0.021:0.021:0.021))
(INTERCONNECT la_data_in[97] input110.A (0.045:0.045:0.045) (0.022:0.022:0.022))
(INTERCONNECT la_data_in[97] ANTENNA_input110_A.DIODE (0.045:0.045:0.045) (0.022:0.022:0.022))
(INTERCONNECT la_data_in[98] input111.A (0.031:0.031:0.031) (0.014:0.014:0.014))
(INTERCONNECT la_data_in[98] ANTENNA_input111_A.DIODE (0.031:0.031:0.031) (0.015:0.015:0.015))
(INTERCONNECT la_data_in[99] input112.A (0.026:0.026:0.026) (0.012:0.012:0.012))
(INTERCONNECT la_data_in[99] ANTENNA_input112_A.DIODE (0.026:0.026:0.026) (0.012:0.012:0.012))
(INTERCONNECT la_data_in[9] input113.A (0.036:0.036:0.036) (0.017:0.017:0.017))
(INTERCONNECT la_data_in[9] ANTENNA_input113_A.DIODE (0.036:0.036:0.036) (0.017:0.017:0.017))
(INTERCONNECT la_oenb[64] input114.A (0.095:0.095:0.095) (0.047:0.047:0.047))
(INTERCONNECT la_oenb[64] ANTENNA_input114_A.DIODE (0.096:0.096:0.096) (0.048:0.048:0.048))
(INTERCONNECT la_oenb[65] input115.A (0.093:0.093:0.093) (0.046:0.046:0.046))
(INTERCONNECT la_oenb[65] ANTENNA_input115_A.DIODE (0.094:0.094:0.094) (0.047:0.047:0.047))
(INTERCONNECT wb_clk_i _3227_.A1 (0.298:0.298:0.298) (0.154:0.154:0.154))
(INTERCONNECT wb_clk_i ANTENNA__3227__A1.DIODE (0.298:0.298:0.298) (0.154:0.154:0.154))
(INTERCONNECT wb_rst_i input116.A (0.036:0.036:0.036) (0.015:0.015:0.015))
(INTERCONNECT wb_rst_i ANTENNA_input116_A.DIODE (0.036:0.036:0.036) (0.015:0.015:0.015))
(INTERCONNECT _2560_.X _2561_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _2561_.X _2562_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _2562_.X _5478_.D (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _2563_.X _2564_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _2564_.X _2565_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _2565_.X _5479_.D (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _2566_.X _2567_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _2566_.X _2584_.A (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT _2566_.X _2602_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _2566_.X _2619_.A (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT _2566_.X _2636_.A (0.002:0.002:0.002) (0.002:0.002:0.002))
(INTERCONNECT _2567_.X _2569_.A (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT _2567_.X _2572_.A (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT _2567_.X _2575_.A (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT _2567_.X _2579_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _2567_.X _2582_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _2568_.X _2569_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _2569_.X _2570_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _2570_.X _5480_.D (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _2571_.X _2572_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _2572_.X _2573_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _2573_.X _5481_.D (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _2574_.X _2575_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _2575_.X _2576_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _2576_.X _5482_.D (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _2577_.X _2578_.S (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT _2577_.X _2581_.S (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT _2577_.X _2585_.S (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT _2577_.X _2588_.S (0.001:0.001:0.001) (0.000:0.000:0.000))
(INTERCONNECT _2577_.X _2591_.S (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _2578_.X _2579_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _2579_.X _2580_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _2580_.X _5483_.D (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _2581_.X _2582_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _2582_.X _2583_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _2583_.X _5484_.D (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _2584_.X _2586_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _2584_.X _2589_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _2584_.X _2592_.A (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT _2584_.X _2597_.A (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT _2584_.X _2600_.A (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT _2585_.X _2586_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _2586_.X _2587_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _2587_.X _5485_.D (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _2588_.X _2589_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _2589_.X _2590_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _2590_.X _5486_.D (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _2591_.X _2592_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _2592_.X _2593_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _2593_.X _5487_.D (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _2594_.X _2595_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _2594_.X _2612_.A (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT _2594_.X _2629_.A (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT _2594_.X _2646_.A (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT _2594_.X _2664_.A (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT _2595_.X _2596_.S (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT _2595_.X _2599_.S (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT _2595_.X _2603_.S (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT _2595_.X _2606_.S (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT _2595_.X _2609_.S (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT _2596_.X _2597_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _2597_.X _2598_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _2598_.X _5488_.D (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _2599_.X _2600_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _2600_.X _2601_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _2601_.X _5489_.D (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _2602_.X _2604_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _2602_.X _2607_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _2602_.X _2610_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _2602_.X _2614_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _2602_.X _2617_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _2603_.X _2604_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _2604_.X _2605_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _2605_.X hold48.A (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _2606_.X _2607_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _2607_.X _2608_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _2608_.X _5491_.D (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _2609_.X _2610_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _2610_.X _2611_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _2611_.X _5492_.D (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _2612_.X _2613_.S (0.003:0.003:0.003) (0.003:0.003:0.003))
(INTERCONNECT _2612_.X _2616_.S (0.003:0.003:0.003) (0.003:0.003:0.003))
(INTERCONNECT _2612_.X _2620_.S (0.002:0.002:0.002) (0.002:0.002:0.002))
(INTERCONNECT _2612_.X _2623_.S (0.003:0.003:0.003) (0.003:0.003:0.003))
(INTERCONNECT _2612_.X _2626_.S (0.003:0.003:0.003) (0.002:0.002:0.002))
(INTERCONNECT _2613_.X _2614_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _2614_.X _2615_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _2615_.X _5493_.D (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _2616_.X _2617_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _2617_.X _2618_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _2618_.X _5494_.D (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _2619_.X _2621_.A (0.002:0.002:0.002) (0.002:0.002:0.002))
(INTERCONNECT _2619_.X _2624_.A (0.002:0.002:0.002) (0.002:0.002:0.002))
(INTERCONNECT _2619_.X _2627_.A (0.002:0.002:0.002) (0.002:0.002:0.002))
(INTERCONNECT _2619_.X _2631_.A (0.002:0.002:0.002) (0.002:0.002:0.002))
(INTERCONNECT _2619_.X _2634_.A (0.002:0.002:0.002) (0.002:0.002:0.002))
(INTERCONNECT _2619_.X ANTENNA__2634__A.DIODE (0.002:0.002:0.002) (0.002:0.002:0.002))
(INTERCONNECT _2619_.X ANTENNA__2631__A.DIODE (0.002:0.002:0.002) (0.002:0.002:0.002))
(INTERCONNECT _2619_.X ANTENNA__2627__A.DIODE (0.002:0.002:0.002) (0.002:0.002:0.002))
(INTERCONNECT _2619_.X ANTENNA__2624__A.DIODE (0.002:0.002:0.002) (0.002:0.002:0.002))
(INTERCONNECT _2619_.X ANTENNA__2621__A.DIODE (0.002:0.002:0.002) (0.002:0.002:0.002))
(INTERCONNECT _2620_.X _2621_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _2621_.X _2622_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _2622_.X _5495_.D (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _2623_.X _2624_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _2624_.X _2625_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _2625_.X _5496_.D (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _2626_.X _2627_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _2627_.X _2628_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _2628_.X _5497_.D (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _2629_.X _2630_.S (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT _2629_.X _2633_.S (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT _2629_.X _2637_.S (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT _2629_.X _2640_.S (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT _2629_.X _2643_.S (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT _2630_.X _2631_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _2631_.X _2632_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _2632_.X _5498_.D (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _2633_.X _2634_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _2634_.X _2635_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _2635_.X _5499_.D (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _2636_.X _2638_.A (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT _2636_.X _2641_.A (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT _2636_.X _2644_.A (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT _2636_.X _2648_.A (0.001:0.001:0.001) (0.000:0.000:0.000))
(INTERCONNECT _2636_.X _2651_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _2637_.X _2638_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _2638_.X _2639_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _2639_.X _5500_.D (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _2640_.X _2641_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _2641_.X _2642_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _2642_.X _5501_.D (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _2643_.X _2644_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _2644_.X _2645_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _2645_.X _5502_.D (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _2646_.X _2647_.S (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _2646_.X _2650_.S (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _2646_.X _2655_.S (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _2646_.X _2658_.S (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT _2646_.X _2661_.S (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT _2647_.X _2648_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _2648_.X _2649_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _2649_.X _5503_.D (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _2650_.X _2651_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _2651_.X _2652_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _2652_.X _5504_.D (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _2653_.X _2654_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _2653_.X _2671_.A (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT _2653_.X _2689_.A (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT _2653_.X _2706_.A (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT _2653_.X _2723_.A (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT _2654_.X _2656_.A (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT _2654_.X _2659_.A (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT _2654_.X _2662_.A (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT _2654_.X _2666_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _2654_.X _2669_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _2655_.X _2656_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _2656_.X _2657_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _2657_.X _5505_.D (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _2658_.X _2659_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _2659_.X _2660_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _2660_.X _5506_.D (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _2661_.X _2662_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _2662_.X _2663_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _2663_.X _5507_.D (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _2664_.X _2665_.S (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT _2664_.X _2668_.S (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT _2664_.X _2672_.S (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT _2664_.X _2675_.S (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT _2664_.X _2678_.S (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT _2665_.X _2666_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _2666_.X _2667_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _2667_.X _5508_.D (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _2668_.X _2669_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _2669_.X _2670_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _2670_.X _5509_.D (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _2671_.X _2673_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _2671_.X _2676_.A (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT _2671_.X _2679_.A (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT _2671_.X _2684_.A (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT _2671_.X _2687_.A (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT _2672_.X _2673_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _2673_.X _2674_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _2674_.X _5510_.D (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _2675_.X _2676_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _2676_.X _2677_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _2677_.X _5511_.D (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _2678_.X _2679_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _2679_.X _2680_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _2680_.X _5512_.D (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _2681_.X _2682_.A (0.002:0.002:0.002) (0.002:0.002:0.002))
(INTERCONNECT _2681_.X _2699_.A (0.002:0.002:0.002) (0.002:0.002:0.002))
(INTERCONNECT _2681_.X _2716_.A (0.002:0.002:0.002) (0.002:0.002:0.002))
(INTERCONNECT _2681_.X _2733_.A (0.002:0.002:0.002) (0.002:0.002:0.002))
(INTERCONNECT _2681_.X _2751_.A (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT _2682_.X _2683_.S (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT _2682_.X _2686_.S (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT _2682_.X _2690_.S (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT _2682_.X _2693_.S (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _2682_.X _2696_.S (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _2683_.X _2684_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _2684_.X _2685_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _2685_.X _5513_.D (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _2686_.X _2687_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _2687_.X _2688_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _2688_.X _5514_.D (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _2689_.X _2691_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _2689_.X _2694_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _2689_.X _2697_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _2689_.X _2701_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _2689_.X _2704_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _2690_.X _2691_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _2691_.X _2692_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _2692_.X _5515_.D (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _2693_.X _2694_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _2694_.X _2695_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _2695_.X _5516_.D (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _2696_.X _2697_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _2697_.X _2698_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _2698_.X _5517_.D (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _2699_.X _2700_.S (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT _2699_.X _2703_.S (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT _2699_.X _2707_.S (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT _2699_.X _2710_.S (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT _2699_.X _2713_.S (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT _2700_.X _2701_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _2701_.X _2702_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _2702_.X _5518_.D (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _2703_.X _2704_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _2704_.X _2705_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _2705_.X _5519_.D (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _2706_.X _2708_.A (0.001:0.001:0.001) (0.000:0.000:0.000))
(INTERCONNECT _2706_.X _2711_.A (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT _2706_.X _2714_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _2706_.X _2718_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _2706_.X _2721_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _2707_.X _2708_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _2708_.X _2709_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _2709_.X _5520_.D (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _2710_.X _2711_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _2711_.X _2712_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _2712_.X _5521_.D (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _2713_.X _2714_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _2714_.X _2715_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _2715_.X _5522_.D (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _2716_.X _2717_.S (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT _2716_.X _2720_.S (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT _2716_.X _2724_.S (0.002:0.002:0.002) (0.002:0.002:0.002))
(INTERCONNECT _2716_.X _2727_.S (0.002:0.002:0.002) (0.002:0.002:0.002))
(INTERCONNECT _2716_.X _2730_.S (0.002:0.002:0.002) (0.001:0.001:0.001))
(INTERCONNECT _2717_.X _2718_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _2718_.X _2719_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _2719_.X _5523_.D (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _2720_.X _2721_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _2721_.X _2722_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _2722_.X _5524_.D (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _2723_.X _2725_.A (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT _2723_.X _2728_.A (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT _2723_.X _2731_.A (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT _2723_.X _2735_.A (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT _2723_.X _2738_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _2724_.X _2725_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _2725_.X _2726_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _2726_.X _5525_.D (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _2727_.X _2728_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _2728_.X _2729_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _2729_.X _5526_.D (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _2730_.X _2731_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _2731_.X _2732_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _2732_.X _5527_.D (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _2733_.X _2734_.S (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT _2733_.X _2737_.S (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT _2733_.X _2742_.S (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT _2733_.X _2745_.S (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT _2733_.X _2748_.S (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT _2734_.X _2735_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _2735_.X _2736_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _2736_.X _5528_.D (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _2737_.X _2738_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _2738_.X _2739_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _2739_.X _5529_.D (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _2740_.X _2741_.A (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT _2740_.X _2758_.A (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT _2740_.X _2776_.A (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT _2740_.X _2793_.A (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT _2740_.X _2810_.A (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT _2741_.X _2743_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _2741_.X _2746_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _2741_.X _2749_.A (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT _2741_.X _2753_.A (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT _2741_.X _2756_.A (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT _2742_.X _2743_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _2743_.X _2744_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _2744_.X _5530_.D (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _2745_.X _2746_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _2746_.X _2747_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _2747_.X _5531_.D (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _2748_.X _2749_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _2749_.X _2750_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _2750_.X _5532_.D (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _2751_.X _2752_.S (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT _2751_.X _2755_.S (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT _2751_.X _2759_.S (0.002:0.002:0.002) (0.002:0.002:0.002))
(INTERCONNECT _2751_.X _2762_.S (0.002:0.002:0.002) (0.002:0.002:0.002))
(INTERCONNECT _2751_.X _2765_.S (0.002:0.002:0.002) (0.002:0.002:0.002))
(INTERCONNECT _2752_.X _2753_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _2753_.X _2754_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _2754_.X _5533_.D (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _2755_.X _2756_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _2756_.X _2757_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _2757_.X _5534_.D (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _2758_.X _2760_.A (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT _2758_.X _2763_.A (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT _2758_.X _2766_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _2758_.X _2771_.A (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT _2758_.X _2774_.A (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT _2759_.X _2760_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _2760_.X _2761_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _2761_.X _5535_.D (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _2762_.X _2763_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _2763_.X _2764_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _2764_.X _5536_.D (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _2765_.X _2766_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _2766_.X _2767_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _2767_.X _5537_.D (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _2768_.X _2769_.A (0.002:0.002:0.002) (0.001:0.001:0.001))
(INTERCONNECT _2768_.X _2786_.A (0.002:0.002:0.002) (0.001:0.001:0.001))
(INTERCONNECT _2768_.X _2803_.A (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT _2768_.X _2820_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _2768_.X _2838_.A (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT _2769_.X _2770_.S (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT _2769_.X _2773_.S (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT _2769_.X _2777_.S (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT _2769_.X _2780_.S (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT _2769_.X _2783_.S (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT _2770_.X _2771_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _2771_.X _2772_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _2772_.X _5538_.D (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _2773_.X _2774_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _2774_.X _2775_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _2775_.X _5539_.D (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _2776_.X _2778_.A (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT _2776_.X _2781_.A (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT _2776_.X _2784_.A (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT _2776_.X _2788_.A (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT _2776_.X _2791_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _2777_.X _2778_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _2778_.X _2779_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _2779_.X _5540_.D (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _2780_.X _2781_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _2781_.X _2782_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _2782_.X _5541_.D (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _2783_.X _2784_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _2784_.X _2785_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _2785_.X _5542_.D (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _2786_.X _2787_.S (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT _2786_.X _2790_.S (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT _2786_.X _2794_.S (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT _2786_.X _2797_.S (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT _2786_.X _2800_.S (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT _2787_.X _2788_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _2788_.X _2789_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _2789_.X _5543_.D (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _2790_.X _2791_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _2791_.X _2792_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _2792_.X _5544_.D (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _2793_.X _2795_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _2793_.X _2798_.A (0.003:0.003:0.003) (0.002:0.002:0.002))
(INTERCONNECT _2793_.X _2801_.A (0.002:0.002:0.002) (0.002:0.002:0.002))
(INTERCONNECT _2793_.X _2805_.A (0.002:0.002:0.002) (0.002:0.002:0.002))
(INTERCONNECT _2793_.X _2808_.A (0.002:0.002:0.002) (0.002:0.002:0.002))
(INTERCONNECT _2793_.X ANTENNA__2808__A.DIODE (0.002:0.002:0.002) (0.002:0.002:0.002))
(INTERCONNECT _2793_.X ANTENNA__2805__A.DIODE (0.002:0.002:0.002) (0.002:0.002:0.002))
(INTERCONNECT _2793_.X ANTENNA__2801__A.DIODE (0.002:0.002:0.002) (0.002:0.002:0.002))
(INTERCONNECT _2793_.X ANTENNA__2798__A.DIODE (0.003:0.003:0.003) (0.002:0.002:0.002))
(INTERCONNECT _2793_.X ANTENNA__2795__A.DIODE (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _2794_.X _2795_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _2795_.X _2796_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _2796_.X _5545_.D (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _2797_.X _2798_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _2798_.X _2799_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _2799_.X _5546_.D (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _2800_.X _2801_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _2801_.X _2802_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _2802_.X _5547_.D (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _2803_.X _2804_.S (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT _2803_.X _2807_.S (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT _2803_.X _2811_.S (0.001:0.001:0.001) (0.000:0.000:0.000))
(INTERCONNECT _2803_.X _2814_.S (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT _2803_.X _2817_.S (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT _2804_.X _2805_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _2805_.X _2806_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _2806_.X _5548_.D (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _2807_.X _2808_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _2808_.X _2809_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _2809_.X _5549_.D (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _2810_.X _2812_.A (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT _2810_.X _2815_.A (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT _2810_.X _2818_.A (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT _2810_.X _2822_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _2810_.X _2825_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _2811_.X _2812_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _2812_.X _2813_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _2813_.X _5550_.D (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _2814_.X _2815_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _2815_.X _2816_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _2816_.X _5551_.D (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _2817_.X _2818_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _2818_.X _2819_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _2819_.X _5552_.D (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _2820_.X _2821_.S (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT _2820_.X _2824_.S (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT _2820_.X _2829_.S (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT _2820_.X _2832_.S (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT _2820_.X _2835_.S (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT _2821_.X _2822_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _2822_.X _2823_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _2823_.X _5553_.D (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _2824_.X _2825_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _2825_.X _2826_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _2826_.X _5554_.D (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _2827_.X _2828_.A (0.002:0.002:0.002) (0.002:0.002:0.002))
(INTERCONNECT _2827_.X _2845_.A (0.002:0.002:0.002) (0.002:0.002:0.002))
(INTERCONNECT _2827_.X _2863_.A (0.002:0.002:0.002) (0.002:0.002:0.002))
(INTERCONNECT _2827_.X _2880_.A (0.002:0.002:0.002) (0.002:0.002:0.002))
(INTERCONNECT _2827_.X _2897_.A (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT _2828_.X _2830_.A (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT _2828_.X _2833_.A (0.002:0.002:0.002) (0.002:0.002:0.002))
(INTERCONNECT _2828_.X _2836_.A (0.002:0.002:0.002) (0.002:0.002:0.002))
(INTERCONNECT _2828_.X _2840_.A (0.002:0.002:0.002) (0.002:0.002:0.002))
(INTERCONNECT _2828_.X _2843_.A (0.002:0.002:0.002) (0.002:0.002:0.002))
(INTERCONNECT _2828_.X ANTENNA__2843__A.DIODE (0.002:0.002:0.002) (0.002:0.002:0.002))
(INTERCONNECT _2828_.X ANTENNA__2840__A.DIODE (0.002:0.002:0.002) (0.002:0.002:0.002))
(INTERCONNECT _2828_.X ANTENNA__2836__A.DIODE (0.002:0.002:0.002) (0.002:0.002:0.002))
(INTERCONNECT _2828_.X ANTENNA__2833__A.DIODE (0.002:0.002:0.002) (0.002:0.002:0.002))
(INTERCONNECT _2828_.X ANTENNA__2830__A.DIODE (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT _2829_.X _2830_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _2830_.X _2831_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _2831_.X _5555_.D (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _2832_.X _2833_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _2833_.X _2834_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _2834_.X hold2.A (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _2835_.X _2836_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _2836_.X _2837_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _2837_.X _5557_.D (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _2838_.X _2839_.S (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT _2838_.X _2842_.S (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT _2838_.X _2846_.S (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT _2838_.X _2849_.S (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT _2838_.X _2852_.S (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT _2839_.X _2840_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _2840_.X _2841_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _2841_.X _5558_.D (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _2842_.X _2843_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _2843_.X _2844_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _2844_.X _5559_.D (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _2845_.X _2847_.A (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT _2845_.X _2850_.A (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT _2845_.X _2853_.A (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT _2845_.X _2858_.A (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT _2845_.X _2861_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _2846_.X _2847_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _2847_.X _2848_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _2848_.X _5560_.D (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _2849_.X _2850_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _2850_.X _2851_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _2851_.X _5561_.D (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _2852_.X _2853_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _2853_.X _2854_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _2854_.X _5562_.D (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _2855_.X _2856_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _2855_.X _2873_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _2855_.X _2890_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _2855_.X _2907_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _2855_.X _2925_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _2856_.X _2857_.S (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT _2856_.X _2860_.S (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT _2856_.X _2864_.S (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT _2856_.X _2867_.S (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT _2856_.X _2870_.S (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT _2857_.X _2858_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _2858_.X _2859_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _2859_.X _5563_.D (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _2860_.X _2861_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _2861_.X _2862_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _2862_.X _5564_.D (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _2863_.X _2865_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _2863_.X _2868_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _2863_.X _2871_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _2863_.X _2875_.A (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT _2863_.X _2878_.A (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT _2864_.X _2865_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _2865_.X _2866_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _2866_.X _5565_.D (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _2867_.X _2868_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _2868_.X _2869_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _2869_.X _5566_.D (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _2870_.X _2871_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _2871_.X _2872_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _2872_.X _5567_.D (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _2873_.X _2874_.S (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT _2873_.X _2877_.S (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT _2873_.X _2881_.S (0.002:0.002:0.002) (0.002:0.002:0.002))
(INTERCONNECT _2873_.X _2884_.S (0.002:0.002:0.002) (0.002:0.002:0.002))
(INTERCONNECT _2873_.X _2887_.S (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT _2874_.X _2875_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _2875_.X _2876_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _2876_.X _5568_.D (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _2877_.X _2878_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _2878_.X _2879_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _2879_.X _5569_.D (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _2880_.X _2882_.A (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT _2880_.X _2885_.A (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT _2880_.X _2888_.A (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT _2880_.X _2892_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _2880_.X _2895_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _2881_.X _2882_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _2882_.X _2883_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _2883_.X _5570_.D (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _2884_.X _2885_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _2885_.X hold21.A (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _2886_.X hold20.A (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _2887_.X _2888_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _2888_.X _2889_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _2889_.X _5572_.D (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _2890_.X _2891_.S (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT _2890_.X _2894_.S (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _2890_.X _2898_.S (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT _2890_.X _2901_.S (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT _2890_.X _2904_.S (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT _2891_.X _2892_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _2892_.X _2893_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _2893_.X _5573_.D (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _2894_.X _2895_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _2895_.X _2896_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _2896_.X _5574_.D (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _2897_.X _2899_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _2897_.X _2902_.A (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT _2897_.X _2905_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _2897_.X _2909_.A (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT _2897_.X _2912_.A (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT _2898_.X _2899_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _2899_.X _2900_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _2900_.X hold73.A (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _2901_.X _2902_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _2902_.X _2903_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _2903_.X _5576_.D (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _2904_.X _2905_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _2905_.X _2906_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _2906_.X _5577_.D (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _2907_.X _2908_.S (0.003:0.003:0.003) (0.003:0.003:0.003))
(INTERCONNECT _2907_.X _2911_.S (0.003:0.003:0.003) (0.003:0.003:0.003))
(INTERCONNECT _2907_.X _2916_.S (0.003:0.003:0.003) (0.003:0.003:0.003))
(INTERCONNECT _2907_.X _2919_.S (0.003:0.003:0.003) (0.003:0.003:0.003))
(INTERCONNECT _2907_.X _2922_.S (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT _2907_.X ANTENNA__2922__S.DIODE (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT _2907_.X ANTENNA__2919__S.DIODE (0.003:0.003:0.003) (0.003:0.003:0.003))
(INTERCONNECT _2907_.X ANTENNA__2916__S.DIODE (0.003:0.003:0.003) (0.003:0.003:0.003))
(INTERCONNECT _2907_.X ANTENNA__2911__S.DIODE (0.002:0.002:0.002) (0.002:0.002:0.002))
(INTERCONNECT _2907_.X ANTENNA__2908__S.DIODE (0.002:0.002:0.002) (0.002:0.002:0.002))
(INTERCONNECT _2908_.X _2909_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _2909_.X _2910_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _2910_.X _5578_.D (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _2911_.X _2912_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _2912_.X _2913_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _2913_.X _5579_.D (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _2914_.X _2915_.A (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT _2914_.X _2932_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _2914_.X _2950_.A (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT _2914_.X _2967_.A (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT _2914_.X _2984_.A (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT _2915_.X _2917_.A (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT _2915_.X _2920_.A (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT _2915_.X _2923_.A (0.002:0.002:0.002) (0.002:0.002:0.002))
(INTERCONNECT _2915_.X _2927_.A (0.003:0.003:0.003) (0.003:0.003:0.003))
(INTERCONNECT _2915_.X _2930_.A (0.002:0.002:0.002) (0.002:0.002:0.002))
(INTERCONNECT _2915_.X ANTENNA__2930__A.DIODE (0.002:0.002:0.002) (0.002:0.002:0.002))
(INTERCONNECT _2915_.X ANTENNA__2927__A.DIODE (0.003:0.003:0.003) (0.003:0.003:0.003))
(INTERCONNECT _2915_.X ANTENNA__2923__A.DIODE (0.002:0.002:0.002) (0.002:0.002:0.002))
(INTERCONNECT _2915_.X ANTENNA__2920__A.DIODE (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT _2915_.X ANTENNA__2917__A.DIODE (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _2916_.X _2917_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _2917_.X _2918_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _2918_.X _5580_.D (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _2919_.X _2920_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _2920_.X _2921_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _2921_.X _5581_.D (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _2922_.X _2923_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _2923_.X _2924_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _2924_.X _5582_.D (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _2925_.X _2926_.S (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT _2925_.X _2929_.S (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT _2925_.X _2933_.S (0.002:0.002:0.002) (0.002:0.002:0.002))
(INTERCONNECT _2925_.X _2936_.S (0.002:0.002:0.002) (0.002:0.002:0.002))
(INTERCONNECT _2925_.X _2939_.S (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT _2926_.X _2927_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _2927_.X _2928_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _2928_.X _5583_.D (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _2929_.X _2930_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _2930_.X _2931_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _2931_.X _5584_.D (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _2932_.X _2934_.A (0.002:0.002:0.002) (0.002:0.002:0.002))
(INTERCONNECT _2932_.X _2937_.A (0.002:0.002:0.002) (0.002:0.002:0.002))
(INTERCONNECT _2932_.X _2940_.A (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT _2932_.X _2945_.A (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT _2932_.X _2948_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _2933_.X _2934_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _2934_.X _2935_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _2935_.X _5585_.D (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _2936_.X _2937_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _2937_.X _2938_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _2938_.X hold7.A (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _2939_.X _2940_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _2940_.X _2941_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _2941_.X hold57.A (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _2942_.X _2943_.A (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT _2942_.X _2960_.A (0.003:0.003:0.003) (0.003:0.003:0.003))
(INTERCONNECT _2942_.X _2977_.A (0.002:0.002:0.002) (0.002:0.002:0.002))
(INTERCONNECT _2942_.X _2994_.A (0.004:0.004:0.004) (0.004:0.004:0.004))
(INTERCONNECT _2942_.X _3011_.A (0.005:0.005:0.005) (0.005:0.005:0.005))
(INTERCONNECT _2942_.X ANTENNA__3011__A.DIODE (0.005:0.005:0.005) (0.004:0.004:0.004))
(INTERCONNECT _2942_.X ANTENNA__2994__A.DIODE (0.004:0.004:0.004) (0.004:0.004:0.004))
(INTERCONNECT _2942_.X ANTENNA__2977__A.DIODE (0.003:0.003:0.003) (0.003:0.003:0.003))
(INTERCONNECT _2942_.X ANTENNA__2960__A.DIODE (0.002:0.002:0.002) (0.001:0.001:0.001))
(INTERCONNECT _2942_.X ANTENNA__2943__A.DIODE (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT _2943_.X _2944_.S (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT _2943_.X _2947_.S (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT _2943_.X _2951_.S (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT _2943_.X _2954_.S (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT _2943_.X _2957_.S (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT _2944_.X _2945_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _2945_.X _2946_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _2946_.X _5588_.D (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _2947_.X _2948_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _2948_.X _2949_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _2949_.X _5589_.D (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _2950_.X _2952_.A (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT _2950_.X _2955_.A (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT _2950_.X _2958_.A (0.002:0.002:0.002) (0.002:0.002:0.002))
(INTERCONNECT _2950_.X _2962_.A (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT _2950_.X _2965_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _2951_.X _2952_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _2952_.X _2953_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _2953_.X _5590_.D (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _2954_.X _2955_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _2955_.X _2956_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _2956_.X _5591_.D (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _2957_.X _2958_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _2958_.X _2959_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _2959_.X _5592_.D (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _2960_.X _2961_.S (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT _2960_.X _2964_.S (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT _2960_.X _2968_.S (0.002:0.002:0.002) (0.002:0.002:0.002))
(INTERCONNECT _2960_.X _2971_.S (0.003:0.003:0.003) (0.003:0.003:0.003))
(INTERCONNECT _2960_.X _2974_.S (0.003:0.003:0.003) (0.002:0.002:0.002))
(INTERCONNECT _2961_.X _2962_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _2962_.X _2963_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _2963_.X hold9.A (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _2964_.X _2965_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _2965_.X _2966_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _2966_.X _5594_.D (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _2967_.X _2969_.A (0.002:0.002:0.002) (0.002:0.002:0.002))
(INTERCONNECT _2967_.X _2972_.A (0.002:0.002:0.002) (0.002:0.002:0.002))
(INTERCONNECT _2967_.X _2975_.A (0.002:0.002:0.002) (0.002:0.002:0.002))
(INTERCONNECT _2967_.X _2979_.A (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT _2967_.X _2982_.A (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT _2968_.X _2969_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _2969_.X _2970_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _2970_.X _5595_.D (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _2971_.X _2972_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _2972_.X _2973_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _2973_.X _5596_.D (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _2974_.X _2975_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _2975_.X _2976_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _2976_.X _5597_.D (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _2977_.X _2978_.S (0.002:0.002:0.002) (0.002:0.002:0.002))
(INTERCONNECT _2977_.X _2981_.S (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT _2977_.X _2985_.S (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT _2977_.X _2988_.S (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT _2977_.X _2991_.S (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _2978_.X _2979_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _2979_.X _2980_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _2980_.X _5598_.D (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _2981_.X _2982_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _2982_.X _2983_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _2983_.X _5599_.D (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _2984_.X _2986_.A (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT _2984_.X _2989_.A (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT _2984_.X _2992_.A (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT _2984_.X _2996_.A (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT _2984_.X _2999_.A (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT _2985_.X _2986_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _2986_.X _2987_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _2987_.X _5600_.D (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _2988_.X _2989_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _2989_.X _2990_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _2990_.X _5601_.D (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _2991_.X _2992_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _2992_.X _2993_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _2993_.X _5602_.D (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _2994_.X _2995_.S (0.003:0.003:0.003) (0.003:0.003:0.003))
(INTERCONNECT _2994_.X _2998_.S (0.003:0.003:0.003) (0.003:0.003:0.003))
(INTERCONNECT _2994_.X _3002_.S (0.002:0.002:0.002) (0.002:0.002:0.002))
(INTERCONNECT _2994_.X _3005_.S (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT _2994_.X _3008_.S (0.002:0.002:0.002) (0.002:0.002:0.002))
(INTERCONNECT _2994_.X ANTENNA__3008__S.DIODE (0.002:0.002:0.002) (0.001:0.001:0.001))
(INTERCONNECT _2994_.X ANTENNA__3005__S.DIODE (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT _2994_.X ANTENNA__3002__S.DIODE (0.002:0.002:0.002) (0.002:0.002:0.002))
(INTERCONNECT _2994_.X ANTENNA__2998__S.DIODE (0.003:0.003:0.003) (0.003:0.003:0.003))
(INTERCONNECT _2994_.X ANTENNA__2995__S.DIODE (0.003:0.003:0.003) (0.003:0.003:0.003))
(INTERCONNECT _2995_.X _2996_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _2996_.X _2997_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _2997_.X _5603_.D (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _2998_.X _2999_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _2999_.X _3000_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _3000_.X _5604_.D (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _3001_.X _3003_.A (0.002:0.002:0.002) (0.002:0.002:0.002))
(INTERCONNECT _3001_.X _3006_.A (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT _3001_.X _3009_.A (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT _3001_.X _3013_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _3001_.X _3016_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _3002_.X _3003_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _3003_.X _3004_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _3004_.X _5605_.D (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _3005_.X _3006_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _3006_.X _3007_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _3007_.X _5606_.D (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _3008_.X _3009_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _3009_.X _3010_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _3010_.X _5607_.D (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _3011_.X _3012_.S (0.002:0.002:0.002) (0.002:0.002:0.002))
(INTERCONNECT _3011_.X _3015_.S (0.002:0.002:0.002) (0.002:0.002:0.002))
(INTERCONNECT _3011_.X _3019_.S (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT _3011_.X _3022_.S (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT _3011_.X _3025_.S (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT _3012_.X _3013_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _3013_.X _3014_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _3014_.X _5608_.D (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _3015_.X _3016_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _3016_.X _3017_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _3017_.X _5609_.D (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _3018_.X _3020_.A (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT _3018_.X _3023_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _3018_.X _3026_.A (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT _3018_.X _3030_.A (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT _3018_.X _3033_.A (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT _3019_.X _3020_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _3020_.X _3021_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _3021_.X _5610_.D (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _3022_.X _3023_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _3023_.X _3024_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _3024_.X _5611_.D (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _3025_.X _3026_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _3026_.X _3027_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _3027_.X _5612_.D (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _3028_.X _3029_.S (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT _3028_.X _3032_.S (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT _3028_.X _3035_.S (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT _3028_.X _3038_.S (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT _3028_.X _3041_.S (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT _3029_.X _3030_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _3030_.X _3031_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _3031_.X _5613_.D (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _3032_.X _3033_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _3033_.X _3034_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _3034_.X hold59.A (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _3035_.X _3036_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _3036_.X _3037_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _3037_.X _5615_.D (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _3038_.X _3039_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _3039_.X _3040_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _3040_.X _5616_.D (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _3041_.X _3042_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _3042_.X _3043_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _3043_.X _5617_.D (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _3044_.X _3045_.A (0.005:0.005:0.005) (0.005:0.005:0.005))
(INTERCONNECT _3044_.X _3057_.A (0.005:0.005:0.005) (0.005:0.005:0.005))
(INTERCONNECT _3044_.X _3071_.A (0.006:0.006:0.006) (0.006:0.006:0.006))
(INTERCONNECT _3044_.X _3091_.B1_N (0.006:0.006:0.006) (0.006:0.006:0.006))
(INTERCONNECT _3044_.X _3097_.B_N (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT _3044_.X ANTENNA__3097__B_N.DIODE (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT _3044_.X ANTENNA__3091__B1_N.DIODE (0.006:0.006:0.006) (0.006:0.006:0.006))
(INTERCONNECT _3044_.X ANTENNA__3071__A.DIODE (0.006:0.006:0.006) (0.006:0.006:0.006))
(INTERCONNECT _3044_.X ANTENNA__3057__A.DIODE (0.005:0.005:0.005) (0.005:0.005:0.005))
(INTERCONNECT _3044_.X ANTENNA__3045__A.DIODE (0.004:0.004:0.004) (0.003:0.003:0.003))
(INTERCONNECT _3045_.X _3046_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _3045_.X _3051_.B1_N (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _3045_.X _3089_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _3045_.X _3092_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _3045_.X _3095_.B1_N (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _3046_.X _3052_.A1 (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT _3046_.X _3059_.A1 (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT _3046_.X _3062_.A1 (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT _3046_.X _3065_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _3046_.X _3096_.A1 (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT _3047_.X _3049_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _3047_.X _3050_.C_N (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _3047_.X _3054_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _3047_.X _3076_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _3047_.X _3093_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _3048_.X _3049_.C_N (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _3048_.X _3053_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _3048_.X _3056_.A (0.001:0.001:0.001) (0.000:0.000:0.000))
(INTERCONNECT _3048_.X _3075_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _3048_.X _3078_.A (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT _3049_.X _3051_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _3050_.X _3051_.A2 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _3051_.X _3052_.C1 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _3052_.X _5618_.D (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _3053_.X _3055_.A1 (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT _3053_.X _3060_.A1 (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT _3053_.X _3063_.A1 (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT _3053_.X _3067_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _3053_.X _3070_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _3054_.X _3055_.B1 (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT _3054_.X _3060_.B1 (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT _3054_.X _3063_.B1 (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT _3054_.X _3067_.B1 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _3054_.X _3070_.B1 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _3055_.X _3059_.B1 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _3056_.X _3058_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _3056_.X _3061_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _3056_.X _3064_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _3056_.X _3068_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _3056_.X _3072_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _3057_.X _3058_.B1_N (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _3057_.X _3061_.B1_N (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _3057_.X _3064_.B1_N (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _3057_.X _3066_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _3057_.X _3068_.B1_N (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _3058_.X _3059_.B2 (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT _3059_.X _5619_.D (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _3060_.X _3062_.B1 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _3061_.X _3062_.B2 (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT _3062_.X _5620_.D (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _3063_.X _3065_.B1 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _3064_.X _3065_.B2 (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT _3065_.X _5621_.D (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _3066_.X _3069_.A1 (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT _3066_.X _3074_.A1 (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT _3066_.X _3080_.A1 (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT _3066_.X _3083_.A1 (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT _3066_.X _3086_.A1 (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT _3067_.X _3069_.B1 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _3068_.X _3069_.B2 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _3069_.X _5622_.D (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _3070_.X _3074_.B1 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _3071_.X _3072_.B1_N (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _3071_.X _3079_.B1_N (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _3071_.X _3082_.B1_N (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _3071_.X _3085_.B1_N (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _3071_.X _3088_.B1_N (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _3072_.X _3074_.B2 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _3073_.X _3074_.C1 (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT _3073_.X _3080_.C1 (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT _3073_.X _3083_.C1 (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT _3073_.X _3086_.C1 (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT _3073_.X _3089_.C1 (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT _3074_.X _5623_.D (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _3075_.X _3077_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _3075_.X _3081_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _3075_.X _3084_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _3075_.X _3087_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _3075_.X _3090_.A1 (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT _3076_.X _3077_.B1 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _3076_.X _3081_.B1 (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT _3076_.X _3084_.B1 (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT _3076_.X _3087_.B1 (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT _3076_.X _3090_.B1 (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT _3077_.X _3080_.B1 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _3078_.X _3079_.A1 (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT _3078_.X _3082_.A1 (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT _3078_.X _3085_.A1 (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT _3078_.X _3088_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _3078_.X _3091_.A1 (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT _3079_.X _3080_.B2 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _3080_.X _5624_.D (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _3081_.X _3083_.B1 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _3082_.X _3083_.B2 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _3083_.X _5625_.D (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _3084_.X _3086_.B1 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _3085_.X _3086_.B2 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _3086_.X _5626_.D (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _3087_.X _3089_.B1 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _3088_.X _3089_.B2 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _3089_.X _5627_.D (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _3090_.X _3092_.B1 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _3091_.X _3092_.B2 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _3092_.X _5628_.D (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _3093_.X _3095_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _3094_.X _3095_.A2 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _3095_.X _3096_.C1 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _3096_.X _5629_.D (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _3097_.X _3098_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _3098_.X _3099_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _3099_.X _5630_.D (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _3100_.X _3101_.C (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _3101_.X _3102_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _3102_.X _5631_.D (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _3103_.X _3104_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _3103_.X _3105_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _3103_.X _3113_.C (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _3104_.Y _3106_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _3105_.X _3106_.C (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _3106_.X _3107_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _3107_.X _5632_.D (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _3108_.X _3109_.B (0.002:0.002:0.002) (0.002:0.002:0.002))
(INTERCONNECT _3108_.X _3112_.A2 (0.002:0.002:0.002) (0.002:0.002:0.002))
(INTERCONNECT _3108_.X ANTENNA__3112__A2.DIODE (0.002:0.002:0.002) (0.002:0.002:0.002))
(INTERCONNECT _3108_.X ANTENNA__3109__B.DIODE (0.002:0.002:0.002) (0.002:0.002:0.002))
(INTERCONNECT _3109_.Y _3110_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _3109_.Y _3123_.A (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT _3109_.Y _3137_.A2 (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT _3109_.Y _3139_.A2 (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT _3109_.Y _3141_.A2 (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT _3110_.X _3112_.B1 (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT _3110_.X _3116_.A2 (0.002:0.002:0.002) (0.002:0.002:0.002))
(INTERCONNECT _3110_.X _3118_.A2 (0.002:0.002:0.002) (0.002:0.002:0.002))
(INTERCONNECT _3110_.X _3120_.A2 (0.002:0.002:0.002) (0.002:0.002:0.002))
(INTERCONNECT _3110_.X _3122_.A2 (0.002:0.002:0.002) (0.002:0.002:0.002))
(INTERCONNECT _3111_.X _3112_.C1 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _3111_.X _3116_.C1 (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT _3111_.X _3118_.C1 (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT _3111_.X _3120_.C1 (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT _3111_.X _3122_.C1 (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT _3112_.X _5633_.D (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT _3113_.X _3114_.A (0.003:0.003:0.003) (0.003:0.003:0.003))
(INTERCONNECT _3113_.X _3127_.A (0.003:0.003:0.003) (0.003:0.003:0.003))
(INTERCONNECT _3113_.X _3138_.B (0.003:0.003:0.003) (0.003:0.003:0.003))
(INTERCONNECT _3113_.X _3140_.B (0.003:0.003:0.003) (0.003:0.003:0.003))
(INTERCONNECT _3113_.X ANTENNA__3140__B.DIODE (0.002:0.002:0.002) (0.002:0.002:0.002))
(INTERCONNECT _3113_.X ANTENNA__3138__B.DIODE (0.003:0.003:0.003) (0.002:0.002:0.002))
(INTERCONNECT _3113_.X ANTENNA__3127__A.DIODE (0.003:0.003:0.003) (0.003:0.003:0.003))
(INTERCONNECT _3113_.X ANTENNA__3114__A.DIODE (0.003:0.003:0.003) (0.003:0.003:0.003))
(INTERCONNECT _3114_.X _3115_.B (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT _3114_.X _3117_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _3114_.X _3119_.B (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT _3114_.X _3121_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _3114_.X _3124_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _3115_.X _3116_.B1 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _3116_.X _5634_.D (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _3117_.X _3118_.B1 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _3118_.X _5635_.D (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _3119_.X _3120_.B1 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _3120_.X _5636_.D (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _3121_.X _3122_.B1 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _3122_.X _5637_.D (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _3123_.X _3126_.A2 (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT _3123_.X _3129_.A2 (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT _3123_.X _3131_.A2 (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT _3123_.X _3133_.A2 (0.001:0.001:0.001) (0.000:0.000:0.000))
(INTERCONNECT _3123_.X _3135_.A2 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _3124_.X _3126_.B1 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _3125_.X _3126_.C1 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _3125_.X _3129_.C1 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _3125_.X _3131_.C1 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _3125_.X _3133_.C1 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _3125_.X _3135_.C1 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _3126_.X _5638_.D (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _3127_.X _3128_.B (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT _3127_.X _3130_.B (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT _3127_.X _3132_.B (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT _3127_.X _3134_.B (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT _3127_.X _3136_.B (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT _3128_.X _3129_.B1 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _3129_.X _5639_.D (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _3130_.X _3131_.B1 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _3131_.X _5640_.D (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _3132_.X _3133_.B1 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _3133_.X _5641_.D (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _3134_.X _3135_.B1 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _3135_.X _5642_.D (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _3136_.X _3137_.B1 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _3137_.X _5643_.D (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _3138_.X _3139_.B1 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _3139_.X _5644_.D (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _3140_.X _3141_.B1 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _3141_.X _5645_.D (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _3142_.X _3144_.A (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT _3142_.X _3156_.A (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT _3142_.X _3159_.A (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT _3142_.X _3163_.A (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT _3142_.X _3210_.B (0.002:0.002:0.002) (0.001:0.001:0.001))
(INTERCONNECT _3143_.X _3144_.B (0.003:0.003:0.003) (0.003:0.003:0.003))
(INTERCONNECT _3143_.X _3155_.A (0.003:0.003:0.003) (0.003:0.003:0.003))
(INTERCONNECT _3143_.X _3159_.B (0.003:0.003:0.003) (0.003:0.003:0.003))
(INTERCONNECT _3143_.X _3164_.B (0.003:0.003:0.003) (0.003:0.003:0.003))
(INTERCONNECT _3143_.X _3454_.A1 (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT _3144_.X _3148_.A (0.008:0.008:0.008) (0.008:0.008:0.008))
(INTERCONNECT _3144_.X _3182_.A (0.005:0.005:0.005) (0.005:0.005:0.005))
(INTERCONNECT _3144_.X _3290_.A (0.008:0.008:0.008) (0.008:0.008:0.008))
(INTERCONNECT _3144_.X _3292_.A (0.008:0.008:0.008) (0.008:0.008:0.008))
(INTERCONNECT _3144_.X _3450_.A2 (0.004:0.004:0.004) (0.004:0.004:0.004))
(INTERCONNECT _3144_.X ANTENNA__3450__A2.DIODE (0.004:0.004:0.004) (0.004:0.004:0.004))
(INTERCONNECT _3144_.X ANTENNA__3292__A.DIODE (0.008:0.008:0.008) (0.008:0.008:0.008))
(INTERCONNECT _3144_.X ANTENNA__3290__A.DIODE (0.008:0.008:0.008) (0.008:0.008:0.008))
(INTERCONNECT _3144_.X ANTENNA__3182__A.DIODE (0.006:0.006:0.006) (0.005:0.005:0.005))
(INTERCONNECT _3144_.X ANTENNA__3148__A.DIODE (0.007:0.007:0.007) (0.007:0.007:0.007))
(INTERCONNECT _3145_.X _3146_.C (0.002:0.002:0.002) (0.002:0.002:0.002))
(INTERCONNECT _3145_.X _3153_.B (0.003:0.003:0.003) (0.003:0.003:0.003))
(INTERCONNECT _3145_.X _3178_.A (0.003:0.003:0.003) (0.003:0.003:0.003))
(INTERCONNECT _3146_.X _3147_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _3146_.X _3450_.A3 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _3147_.X _3148_.B (0.007:0.007:0.007) (0.007:0.007:0.007))
(INTERCONNECT _3147_.X _3171_.A (0.005:0.005:0.005) (0.004:0.004:0.004))
(INTERCONNECT _3147_.X _3180_.A (0.007:0.007:0.007) (0.007:0.007:0.007))
(INTERCONNECT _3147_.X _3259_.A1 (0.007:0.007:0.007) (0.007:0.007:0.007))
(INTERCONNECT _3147_.X _3459_.B1_N (0.004:0.004:0.004) (0.004:0.004:0.004))
(INTERCONNECT _3147_.X ANTENNA__3459__B1_N.DIODE (0.005:0.005:0.005) (0.005:0.005:0.005))
(INTERCONNECT _3147_.X ANTENNA__3259__A1.DIODE (0.007:0.007:0.007) (0.007:0.007:0.007))
(INTERCONNECT _3147_.X ANTENNA__3180__A.DIODE (0.007:0.007:0.007) (0.007:0.007:0.007))
(INTERCONNECT _3147_.X ANTENNA__3171__A.DIODE (0.004:0.004:0.004) (0.004:0.004:0.004))
(INTERCONNECT _3147_.X ANTENNA__3148__B.DIODE (0.007:0.007:0.007) (0.007:0.007:0.007))
(INTERCONNECT _3148_.Y _5837_.A (0.002:0.002:0.002) (0.002:0.002:0.002))
(INTERCONNECT _3148_.Y _3336_.B (0.104:0.104:0.104) (0.094:0.094:0.094))
(INTERCONNECT _3148_.Y output127.A (0.104:0.104:0.104) (0.094:0.094:0.094))
(INTERCONNECT _3148_.Y ANTENNA_output127_A.DIODE (0.104:0.104:0.104) (0.094:0.094:0.094))
(INTERCONNECT _3148_.Y ANTENNA__3336__B.DIODE (0.104:0.104:0.104) (0.094:0.094:0.094))
(INTERCONNECT _3148_.Y ANTENNA__5837__A.DIODE (0.002:0.002:0.002) (0.002:0.002:0.002))
(INTERCONNECT _3149_.Y _5237_.D (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _3150_.Y output126.A (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _3151_.X _3153_.A (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT _3151_.X _3211_.A1_N (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _3151_.X _3339_.B (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT _3151_.X _3461_.A1 (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT _3151_.X _3467_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _3152_.X _3153_.C_N (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT _3152_.X _3210_.A (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT _3152_.X _3339_.A (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT _3152_.X _3464_.B2 (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT _3152_.X _3467_.B1 (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT _3153_.X _3154_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _3153_.X _3165_.A (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT _3154_.X _3182_.B (0.024:0.024:0.024) (0.022:0.022:0.022))
(INTERCONNECT _3154_.X _3168_.B (0.006:0.006:0.006) (0.006:0.006:0.006))
(INTERCONNECT _3154_.X _3161_.A (0.022:0.022:0.022) (0.021:0.021:0.021))
(INTERCONNECT _3154_.X _3157_.A (0.024:0.024:0.024) (0.023:0.023:0.023))
(INTERCONNECT _3154_.X output117.A (0.036:0.036:0.036) (0.034:0.034:0.034))
(INTERCONNECT _3154_.X ANTENNA_output117_A.DIODE (0.036:0.036:0.036) (0.034:0.034:0.034))
(INTERCONNECT _3154_.X ANTENNA__3157__A.DIODE (0.021:0.021:0.021) (0.020:0.020:0.020))
(INTERCONNECT _3154_.X ANTENNA__3161__A.DIODE (0.025:0.025:0.025) (0.024:0.024:0.024))
(INTERCONNECT _3154_.X ANTENNA__3168__B.DIODE (0.013:0.013:0.013) (0.012:0.012:0.012))
(INTERCONNECT _3154_.X ANTENNA__3182__B.DIODE (0.026:0.026:0.026) (0.024:0.024:0.024))
(INTERCONNECT _3155_.Y _3156_.B (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT _3155_.Y _3449_.B (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT _3155_.Y _3453_.A (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT _3156_.Y _3157_.B (0.008:0.008:0.008) (0.008:0.008:0.008))
(INTERCONNECT _3156_.Y _3178_.B (0.003:0.003:0.003) (0.003:0.003:0.003))
(INTERCONNECT _3156_.Y _3180_.B (0.011:0.011:0.011) (0.010:0.010:0.010))
(INTERCONNECT _3156_.Y _3337_.A (0.011:0.011:0.011) (0.011:0.011:0.011))
(INTERCONNECT _3156_.Y ANTENNA__3337__A.DIODE (0.011:0.011:0.011) (0.011:0.011:0.011))
(INTERCONNECT _3156_.Y ANTENNA__3180__B.DIODE (0.010:0.010:0.010) (0.010:0.010:0.010))
(INTERCONNECT _3156_.Y ANTENNA__3178__B.DIODE (0.003:0.003:0.003) (0.003:0.003:0.003))
(INTERCONNECT _3156_.Y ANTENNA__3157__B.DIODE (0.009:0.009:0.009) (0.009:0.009:0.009))
(INTERCONNECT _3157_.Y _3158_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _3157_.Y _3184_.A2 (0.002:0.002:0.002) (0.002:0.002:0.002))
(INTERCONNECT _3157_.Y _3190_.A2 (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT _3157_.Y _3196_.A2 (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT _3157_.Y _3202_.A2 (0.002:0.002:0.002) (0.002:0.002:0.002))
(INTERCONNECT _3158_.X _3170_.A2 (0.002:0.002:0.002) (0.002:0.002:0.002))
(INTERCONNECT _3158_.X _3207_.A2 (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT _3158_.X _3215_.A2 (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT _3158_.X _3220_.A2 (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT _3158_.X _3223_.A2 (0.002:0.002:0.002) (0.002:0.002:0.002))
(INTERCONNECT _3159_.Y _3160_.A (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT _3159_.Y _3171_.B (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT _3159_.Y _3211_.B2 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _3160_.X _3161_.B (0.007:0.007:0.007) (0.007:0.007:0.007))
(INTERCONNECT _3160_.X _3315_.A (0.008:0.008:0.008) (0.008:0.008:0.008))
(INTERCONNECT _3160_.X _3317_.A (0.008:0.008:0.008) (0.008:0.008:0.008))
(INTERCONNECT _3160_.X _3455_.A (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT _3160_.X _3459_.A2 (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT _3160_.X ANTENNA__3459__A2.DIODE (0.003:0.003:0.003) (0.003:0.003:0.003))
(INTERCONNECT _3160_.X ANTENNA__3455__A.DIODE (0.003:0.003:0.003) (0.003:0.003:0.003))
(INTERCONNECT _3160_.X ANTENNA__3317__A.DIODE (0.008:0.008:0.008) (0.008:0.008:0.008))
(INTERCONNECT _3160_.X ANTENNA__3315__A.DIODE (0.008:0.008:0.008) (0.008:0.008:0.008))
(INTERCONNECT _3160_.X ANTENNA__3161__B.DIODE (0.006:0.006:0.006) (0.006:0.006:0.006))
(INTERCONNECT _3161_.Y _3162_.A (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT _3161_.Y _3184_.B1 (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT _3161_.Y _3190_.B1 (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT _3161_.Y _3196_.B1 (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT _3161_.Y _3220_.B1 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _3162_.X _3170_.B1 (0.003:0.003:0.003) (0.003:0.003:0.003))
(INTERCONNECT _3162_.X _3204_.A2 (0.005:0.005:0.005) (0.005:0.005:0.005))
(INTERCONNECT _3162_.X _3207_.B1 (0.003:0.003:0.003) (0.003:0.003:0.003))
(INTERCONNECT _3162_.X _3215_.B1 (0.003:0.003:0.003) (0.003:0.003:0.003))
(INTERCONNECT _3162_.X _3223_.B1 (0.003:0.003:0.003) (0.003:0.003:0.003))
(INTERCONNECT _3162_.X ANTENNA__3223__B1.DIODE (0.004:0.004:0.004) (0.004:0.004:0.004))
(INTERCONNECT _3162_.X ANTENNA__3215__B1.DIODE (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT _3162_.X ANTENNA__3207__B1.DIODE (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT _3162_.X ANTENNA__3204__A2.DIODE (0.005:0.005:0.005) (0.005:0.005:0.005))
(INTERCONNECT _3162_.X ANTENNA__3170__B1.DIODE (0.004:0.004:0.004) (0.004:0.004:0.004))
(INTERCONNECT _3163_.Y _3164_.A (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT _3163_.Y _3449_.C (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT _3163_.Y _3457_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _3164_.Y _3165_.B (0.006:0.006:0.006) (0.006:0.006:0.006))
(INTERCONNECT _3164_.Y _3259_.A2 (0.018:0.018:0.018) (0.017:0.017:0.017))
(INTERCONNECT _3164_.Y _3262_.A (0.019:0.019:0.019) (0.018:0.018:0.018))
(INTERCONNECT _3164_.Y _3264_.A (0.019:0.019:0.019) (0.018:0.018:0.018))
(INTERCONNECT _3164_.Y ANTENNA__3264__A.DIODE (0.019:0.019:0.019) (0.018:0.018:0.018))
(INTERCONNECT _3164_.Y ANTENNA__3262__A.DIODE (0.019:0.019:0.019) (0.018:0.018:0.018))
(INTERCONNECT _3164_.Y ANTENNA__3259__A2.DIODE (0.018:0.018:0.018) (0.017:0.017:0.017))
(INTERCONNECT _3164_.Y ANTENNA__3165__B.DIODE (0.006:0.006:0.006) (0.006:0.006:0.006))
(INTERCONNECT _3165_.Y _3166_.A (0.002:0.002:0.002) (0.002:0.002:0.002))
(INTERCONNECT _3165_.Y _3183_.A2 (0.009:0.009:0.009) (0.008:0.008:0.008))
(INTERCONNECT _3165_.Y _3189_.A2 (0.008:0.008:0.008) (0.007:0.007:0.007))
(INTERCONNECT _3165_.Y _3195_.A2 (0.007:0.007:0.007) (0.007:0.007:0.007))
(INTERCONNECT _3165_.Y _3224_.A2 (0.008:0.008:0.008) (0.008:0.008:0.008))
(INTERCONNECT _3165_.Y ANTENNA__3224__A2.DIODE (0.009:0.009:0.009) (0.009:0.009:0.009))
(INTERCONNECT _3165_.Y ANTENNA__3195__A2.DIODE (0.009:0.009:0.009) (0.009:0.009:0.009))
(INTERCONNECT _3165_.Y ANTENNA__3189__A2.DIODE (0.009:0.009:0.009) (0.009:0.009:0.009))
(INTERCONNECT _3165_.Y ANTENNA__3183__A2.DIODE (0.009:0.009:0.009) (0.009:0.009:0.009))
(INTERCONNECT _3165_.Y ANTENNA__3166__A.DIODE (0.003:0.003:0.003) (0.003:0.003:0.003))
(INTERCONNECT _3166_.X _3169_.A2 (0.002:0.002:0.002) (0.002:0.002:0.002))
(INTERCONNECT _3166_.X _3203_.A2 (0.010:0.010:0.010) (0.009:0.009:0.009))
(INTERCONNECT _3166_.X _3205_.A2 (0.008:0.008:0.008) (0.008:0.008:0.008))
(INTERCONNECT _3166_.X _3214_.A2 (0.009:0.009:0.009) (0.009:0.009:0.009))
(INTERCONNECT _3166_.X _3218_.A2 (0.009:0.009:0.009) (0.009:0.009:0.009))
(INTERCONNECT _3166_.X ANTENNA__3218__A2.DIODE (0.009:0.009:0.009) (0.009:0.009:0.009))
(INTERCONNECT _3166_.X ANTENNA__3214__A2.DIODE (0.009:0.009:0.009) (0.009:0.009:0.009))
(INTERCONNECT _3166_.X ANTENNA__3205__A2.DIODE (0.009:0.009:0.009) (0.009:0.009:0.009))
(INTERCONNECT _3166_.X ANTENNA__3203__A2.DIODE (0.010:0.010:0.010) (0.009:0.009:0.009))
(INTERCONNECT _3166_.X ANTENNA__3169__A2.DIODE (0.002:0.002:0.002) (0.002:0.002:0.002))
(INTERCONNECT _3167_.Y _3168_.A (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT _3167_.Y _3175_.A (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT _3167_.Y _3369_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _3168_.X _3169_.B1 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _3169_.X _3170_.C1 (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT _3170_.X output128.A (0.018:0.018:0.018) (0.018:0.018:0.018))
(INTERCONNECT _3170_.X ANTENNA_output128_A.DIODE (0.018:0.018:0.018) (0.018:0.018:0.018))
(INTERCONNECT _3171_.Y _3177_.A2 (0.013:0.013:0.013) (0.013:0.013:0.013))
(INTERCONNECT _3171_.Y _3187_.A2 (0.013:0.013:0.013) (0.012:0.012:0.013))
(INTERCONNECT _3171_.Y _3193_.A2 (0.013:0.013:0.013) (0.013:0.013:0.013))
(INTERCONNECT _3171_.Y _3199_.A (0.003:0.003:0.003) (0.003:0.003:0.003))
(INTERCONNECT _3171_.Y _3213_.A2 (0.006:0.006:0.006) (0.006:0.006:0.006))
(INTERCONNECT _3171_.Y ANTENNA__3213__A2.DIODE (0.006:0.006:0.006) (0.006:0.006:0.006))
(INTERCONNECT _3171_.Y ANTENNA__3199__A.DIODE (0.003:0.003:0.003) (0.003:0.003:0.003))
(INTERCONNECT _3171_.Y ANTENNA__3193__A2.DIODE (0.013:0.013:0.013) (0.013:0.013:0.013))
(INTERCONNECT _3171_.Y ANTENNA__3187__A2.DIODE (0.013:0.013:0.013) (0.013:0.013:0.013))
(INTERCONNECT _3171_.Y ANTENNA__3177__A2.DIODE (0.013:0.013:0.013) (0.012:0.013:0.013))
(INTERCONNECT _3172_.Y _3173_.A (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT _3172_.Y _3260_.C (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT _3172_.Y _3420_.C (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _3173_.X _3175_.B (0.002:0.002:0.002) (0.002:0.002:0.002))
(INTERCONNECT _3173_.X _3212_.A (0.002:0.002:0.002) (0.002:0.002:0.002))
(INTERCONNECT _3173_.X _3450_.B2 (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT _3173_.X _3459_.A1 (0.002:0.002:0.002) (0.002:0.002:0.002))
(INTERCONNECT _3173_.X _3467_.A2 (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT _3174_.X _3175_.C (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT _3174_.X _3179_.A (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT _3174_.X _3211_.B1 (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT _3174_.X _3420_.D (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _3175_.X _3176_.A (0.006:0.006:0.006) (0.006:0.006:0.006))
(INTERCONNECT _3175_.X _3187_.B1 (0.006:0.006:0.006) (0.006:0.006:0.006))
(INTERCONNECT _3175_.X _3193_.B1 (0.007:0.007:0.007) (0.006:0.006:0.006))
(INTERCONNECT _3175_.X _3213_.B1 (0.005:0.005:0.005) (0.004:0.004:0.004))
(INTERCONNECT _3175_.X ANTENNA__3213__B1.DIODE (0.005:0.005:0.005) (0.005:0.005:0.005))
(INTERCONNECT _3175_.X ANTENNA__3193__B1.DIODE (0.006:0.006:0.006) (0.006:0.006:0.006))
(INTERCONNECT _3175_.X ANTENNA__3187__B1.DIODE (0.006:0.006:0.006) (0.006:0.006:0.006))
(INTERCONNECT _3175_.X ANTENNA__3176__A.DIODE (0.006:0.006:0.006) (0.006:0.006:0.006))
(INTERCONNECT _3176_.X _3177_.B1 (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT _3176_.X _3200_.B1 (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT _3176_.X _3206_.B1 (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT _3176_.X _3219_.B1 (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT _3176_.X _3225_.B1 (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT _3177_.X _3185_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _3178_.Y _3181_.A2 (0.006:0.006:0.006) (0.006:0.006:0.006))
(INTERCONNECT _3178_.Y _3188_.A2 (0.006:0.006:0.006) (0.005:0.005:0.005))
(INTERCONNECT _3178_.Y _3194_.A2 (0.006:0.006:0.006) (0.006:0.006:0.006))
(INTERCONNECT _3178_.Y _3339_.C (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT _3178_.Y ANTENNA__3339__C.DIODE (0.002:0.002:0.002) (0.002:0.002:0.002))
(INTERCONNECT _3178_.Y ANTENNA__3194__A2.DIODE (0.006:0.006:0.006) (0.006:0.006:0.006))
(INTERCONNECT _3178_.Y ANTENNA__3188__A2.DIODE (0.004:0.004:0.004) (0.004:0.004:0.004))
(INTERCONNECT _3178_.Y ANTENNA__3181__A2.DIODE (0.006:0.006:0.006) (0.006:0.006:0.006))
(INTERCONNECT _3179_.X _3181_.A3 (0.007:0.007:0.007) (0.007:0.007:0.007))
(INTERCONNECT _3179_.X _3188_.A3 (0.007:0.007:0.007) (0.007:0.007:0.007))
(INTERCONNECT _3179_.X _3194_.A3 (0.007:0.007:0.007) (0.007:0.007:0.007))
(INTERCONNECT _3179_.X _3458_.B (0.003:0.003:0.003) (0.003:0.003:0.003))
(INTERCONNECT _3179_.X _3464_.A1 (0.003:0.003:0.003) (0.002:0.002:0.002))
(INTERCONNECT _3179_.X ANTENNA__3464__A1.DIODE (0.003:0.003:0.003) (0.003:0.003:0.003))
(INTERCONNECT _3179_.X ANTENNA__3458__B.DIODE (0.004:0.004:0.004) (0.004:0.004:0.004))
(INTERCONNECT _3179_.X ANTENNA__3194__A3.DIODE (0.007:0.007:0.007) (0.007:0.007:0.007))
(INTERCONNECT _3179_.X ANTENNA__3188__A3.DIODE (0.007:0.007:0.007) (0.007:0.007:0.007))
(INTERCONNECT _3179_.X ANTENNA__3181__A3.DIODE (0.007:0.007:0.007) (0.007:0.007:0.007))
(INTERCONNECT _3180_.Y _3181_.B1 (0.006:0.006:0.006) (0.006:0.006:0.006))
(INTERCONNECT _3180_.Y _3188_.B1 (0.006:0.006:0.006) (0.006:0.006:0.006))
(INTERCONNECT _3180_.Y _3194_.B1 (0.006:0.006:0.006) (0.006:0.006:0.006))
(INTERCONNECT _3180_.Y _3203_.B1 (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT _3180_.Y ANTENNA__3203__B1.DIODE (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT _3180_.Y ANTENNA__3194__B1.DIODE (0.006:0.006:0.006) (0.006:0.006:0.006))
(INTERCONNECT _3180_.Y ANTENNA__3188__B1.DIODE (0.006:0.006:0.006) (0.005:0.005:0.005))
(INTERCONNECT _3180_.Y ANTENNA__3181__B1.DIODE (0.006:0.006:0.006) (0.006:0.006:0.006))
(INTERCONNECT _3181_.X _3185_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _3182_.Y _3183_.B1 (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT _3182_.Y _3189_.B1 (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT _3182_.Y _3195_.B1 (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT _3182_.Y _3201_.A (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT _3183_.X _3184_.C1 (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT _3184_.X _3185_.C (0.003:0.003:0.003) (0.003:0.003:0.003))
(INTERCONNECT _3184_.X ANTENNA__3185__C.DIODE (0.003:0.003:0.003) (0.003:0.003:0.003))
(INTERCONNECT _3185_.X _3186_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _3186_.X output129.A (0.096:0.096:0.096) (0.089:0.089:0.089))
(INTERCONNECT _3186_.X ANTENNA_output129_A.DIODE (0.096:0.096:0.096) (0.089:0.089:0.089))
(INTERCONNECT _3187_.X _3191_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _3188_.X _3191_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _3189_.X _3190_.C1 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _3190_.X _3191_.C (0.015:0.015:0.015) (0.015:0.015:0.015))
(INTERCONNECT _3190_.X ANTENNA__3191__C.DIODE (0.015:0.015:0.015) (0.015:0.015:0.015))
(INTERCONNECT _3191_.X _3192_.A (0.002:0.002:0.002) (0.002:0.002:0.002))
(INTERCONNECT _3192_.X output130.A (0.074:0.074:0.074) (0.068:0.068:0.068))
(INTERCONNECT _3192_.X ANTENNA_output130_A.DIODE (0.074:0.074:0.074) (0.068:0.068:0.068))
(INTERCONNECT _3193_.X _3197_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _3194_.X _3197_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _3195_.X _3196_.C1 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _3196_.X _3197_.C (0.010:0.010:0.010) (0.010:0.010:0.010))
(INTERCONNECT _3196_.X ANTENNA__3197__C.DIODE (0.010:0.010:0.010) (0.010:0.010:0.010))
(INTERCONNECT _3197_.X _3198_.A (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT _3198_.X output119.A (0.082:0.082:0.082) (0.076:0.076:0.076))
(INTERCONNECT _3198_.X ANTENNA_output119_A.DIODE (0.082:0.082:0.082) (0.076:0.076:0.076))
(INTERCONNECT _3199_.X _3200_.A2 (0.025:0.025:0.025) (0.024:0.024:0.024))
(INTERCONNECT _3199_.X _3206_.A2 (0.025:0.025:0.025) (0.024:0.024:0.024))
(INTERCONNECT _3199_.X _3219_.A2 (0.025:0.025:0.025) (0.024:0.024:0.024))
(INTERCONNECT _3199_.X _3225_.A2 (0.025:0.025:0.025) (0.024:0.024:0.024))
(INTERCONNECT _3199_.X _3461_.B1 (0.002:0.002:0.002) (0.002:0.002:0.002))
(INTERCONNECT _3199_.X ANTENNA__3461__B1.DIODE (0.003:0.003:0.003) (0.003:0.003:0.003))
(INTERCONNECT _3199_.X ANTENNA__3225__A2.DIODE (0.025:0.025:0.025) (0.024:0.024:0.024))
(INTERCONNECT _3199_.X ANTENNA__3219__A2.DIODE (0.025:0.025:0.025) (0.024:0.024:0.024))
(INTERCONNECT _3199_.X ANTENNA__3206__A2.DIODE (0.025:0.025:0.025) (0.024:0.024:0.024))
(INTERCONNECT _3199_.X ANTENNA__3200__A2.DIODE (0.025:0.025:0.025) (0.024:0.024:0.024))
(INTERCONNECT _3200_.X _3204_.B1 (0.002:0.002:0.002) (0.001:0.001:0.001))
(INTERCONNECT _3200_.X ANTENNA__3204__B1.DIODE (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT _3201_.X _3202_.B1 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _3201_.X _3205_.B1 (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT _3201_.X _3214_.B1 (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT _3201_.X _3218_.B1 (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT _3201_.X _3224_.B1 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _3202_.X _3203_.C1 (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT _3203_.X _3204_.C1 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _3204_.X output120.A (0.109:0.109:0.109) (0.104:0.104:0.105))
(INTERCONNECT _3204_.X ANTENNA_output120_A.DIODE (0.109:0.109:0.109) (0.104:0.104:0.104))
(INTERCONNECT _3205_.X _3208_.A (0.003:0.003:0.003) (0.003:0.003:0.003))
(INTERCONNECT _3205_.X ANTENNA__3208__A.DIODE (0.003:0.003:0.003) (0.003:0.003:0.003))
(INTERCONNECT _3206_.X _3208_.B (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT _3207_.X _3208_.C (0.014:0.014:0.014) (0.014:0.014:0.014))
(INTERCONNECT _3207_.X ANTENNA__3208__C.DIODE (0.014:0.014:0.014) (0.014:0.014:0.014))
(INTERCONNECT _3208_.X _3209_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _3209_.X output121.A (0.081:0.081:0.081) (0.074:0.074:0.074))
(INTERCONNECT _3209_.X ANTENNA_output121_A.DIODE (0.081:0.081:0.081) (0.074:0.074:0.074))
(INTERCONNECT _3210_.Y _3211_.A2_N (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _3211_.X _3212_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _3212_.Y _3213_.C1 (0.007:0.007:0.007) (0.007:0.007:0.007))
(INTERCONNECT _3212_.Y _3226_.A2 (0.005:0.005:0.005) (0.005:0.005:0.005))
(INTERCONNECT _3212_.Y _3259_.B1 (0.007:0.007:0.007) (0.007:0.007:0.007))
(INTERCONNECT _3212_.Y ANTENNA__3259__B1.DIODE (0.007:0.007:0.007) (0.007:0.007:0.007))
(INTERCONNECT _3212_.Y ANTENNA__3226__A2.DIODE (0.006:0.006:0.006) (0.006:0.006:0.006))
(INTERCONNECT _3212_.Y ANTENNA__3213__C1.DIODE (0.007:0.007:0.007) (0.007:0.007:0.007))
(INTERCONNECT _3213_.X _3214_.C1 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _3214_.X _3216_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _3215_.X _3216_.B (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT _3215_.X ANTENNA__3216__B.DIODE (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT _3216_.X _3217_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _3217_.X output122.A (0.105:0.105:0.105) (0.097:0.097:0.097))
(INTERCONNECT _3217_.X ANTENNA_output122_A.DIODE (0.105:0.105:0.105) (0.097:0.097:0.097))
(INTERCONNECT _3218_.X _3221_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _3219_.X _3221_.B (0.003:0.003:0.003) (0.003:0.003:0.003))
(INTERCONNECT _3219_.X ANTENNA__3221__B.DIODE (0.003:0.003:0.003) (0.003:0.003:0.003))
(INTERCONNECT _3220_.X _3221_.C (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT _3220_.X ANTENNA__3221__C.DIODE (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT _3221_.X _3222_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _3222_.X output123.A (0.083:0.083:0.083) (0.076:0.076:0.076))
(INTERCONNECT _3222_.X ANTENNA_output123_A.DIODE (0.083:0.083:0.083) (0.076:0.076:0.076))
(INTERCONNECT _3223_.X _3226_.B1 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _3224_.X _3225_.C1 (0.005:0.005:0.005) (0.005:0.005:0.005))
(INTERCONNECT _3224_.X ANTENNA__3225__C1.DIODE (0.005:0.005:0.005) (0.005:0.005:0.005))
(INTERCONNECT _3225_.X _3226_.C1 (0.005:0.005:0.005) (0.005:0.005:0.005))
(INTERCONNECT _3225_.X ANTENNA__3226__C1.DIODE (0.006:0.006:0.006) (0.006:0.006:0.006))
(INTERCONNECT _3226_.X output124.A (0.020:0.020:0.020) (0.020:0.020:0.020))
(INTERCONNECT _3226_.X ANTENNA_output124_A.DIODE (0.020:0.020:0.020) (0.020:0.020:0.020))
(INTERCONNECT _3227_.X _3228_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _3228_.X clkbuf_0_acorn_prng\.clk.A (0.009:0.009:0.009) (0.009:0.009:0.009))
(INTERCONNECT _3228_.X ANTENNA_clkbuf_0_acorn_prng\.clk_A.DIODE (0.009:0.009:0.009) (0.009:0.009:0.009))
(INTERCONNECT _3229_.X _3230_.B1 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _3230_.Y _3231_.A (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT _3230_.Y _3267_.A (0.002:0.002:0.002) (0.002:0.002:0.002))
(INTERCONNECT _3230_.Y _3308_.A (0.002:0.002:0.002) (0.002:0.002:0.002))
(INTERCONNECT _3231_.Y _3456_.B (0.003:0.003:0.003) (0.003:0.003:0.003))
(INTERCONNECT _3231_.Y _3447_.B1 (0.007:0.007:0.007) (0.007:0.007:0.007))
(INTERCONNECT _3231_.Y _3425_.A (0.011:0.011:0.011) (0.010:0.010:0.010))
(INTERCONNECT _3231_.Y _3402_.B1 (0.019:0.019:0.019) (0.018:0.018:0.018))
(INTERCONNECT _3231_.Y output136.A (0.022:0.022:0.022) (0.022:0.022:0.022))
(INTERCONNECT _3231_.Y ANTENNA_output136_A.DIODE (0.022:0.022:0.022) (0.022:0.022:0.022))
(INTERCONNECT _3231_.Y ANTENNA__3402__B1.DIODE (0.020:0.020:0.020) (0.020:0.020:0.020))
(INTERCONNECT _3231_.Y ANTENNA__3425__A.DIODE (0.009:0.009:0.009) (0.009:0.009:0.009))
(INTERCONNECT _3231_.Y ANTENNA__3447__B1.DIODE (0.010:0.010:0.010) (0.010:0.010:0.010))
(INTERCONNECT _3231_.Y ANTENNA__3456__B.DIODE (0.009:0.009:0.009) (0.009:0.009:0.009))
(INTERCONNECT _3232_.X _3233_.S (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT _3232_.X _3235_.S (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT _3232_.X _3237_.S (0.001:0.001:0.001) (0.000:0.000:0.000))
(INTERCONNECT _3232_.X _3239_.S (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT _3232_.X _3241_.S (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT _3233_.X _3234_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _3234_.X _5646_.D (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _3235_.X _3236_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _3236_.X _5647_.D (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _3237_.X _3238_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _3238_.X _5648_.D (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _3239_.X _3240_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _3240_.X _5649_.D (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _3241_.X _3242_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _3242_.X _5650_.D (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _3243_.X _3244_.S (0.002:0.002:0.002) (0.002:0.002:0.002))
(INTERCONNECT _3243_.X _3246_.S (0.002:0.002:0.002) (0.002:0.002:0.002))
(INTERCONNECT _3243_.X _3248_.S (0.002:0.002:0.002) (0.002:0.002:0.002))
(INTERCONNECT _3243_.X _3250_.S (0.002:0.002:0.002) (0.002:0.002:0.002))
(INTERCONNECT _3243_.X _3252_.S (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT _3244_.X _3245_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _3245_.X _5651_.D (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _3246_.X _3247_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _3247_.X _5148_.D (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _3248_.X _3249_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _3249_.X _5149_.D (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _3250_.X _3251_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _3251_.X _5150_.D (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _3252_.X _3253_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _3253_.X _5151_.D (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _3254_.X _3255_.S (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT _3254_.X _3257_.S (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT _3254_.X _3475_.A (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT _3254_.X _3486_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _3254_.X _3497_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _3255_.X _3256_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _3256_.X _5152_.D (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _3257_.X _3258_.A (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT _3258_.X _5153_.D (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _3259_.X output118.A (0.146:0.146:0.146) (0.140:0.140:0.140))
(INTERCONNECT _3259_.X ANTENNA_output118_A.DIODE (0.146:0.146:0.146) (0.140:0.140:0.140))
(INTERCONNECT _3260_.Y _3261_.A (0.020:0.020:0.020) (0.020:0.020:0.020))
(INTERCONNECT _3260_.Y _3264_.B (0.020:0.020:0.020) (0.020:0.020:0.020))
(INTERCONNECT _3260_.Y _3317_.B (0.020:0.020:0.020) (0.020:0.020:0.020))
(INTERCONNECT _3260_.Y _3449_.A_N (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT _3260_.Y ANTENNA__3449__A_N.DIODE (0.002:0.002:0.002) (0.002:0.002:0.002))
(INTERCONNECT _3260_.Y ANTENNA__3317__B.DIODE (0.020:0.020:0.020) (0.020:0.020:0.020))
(INTERCONNECT _3260_.Y ANTENNA__3264__B.DIODE (0.020:0.020:0.020) (0.020:0.020:0.020))
(INTERCONNECT _3260_.Y ANTENNA__3261__A.DIODE (0.020:0.020:0.020) (0.020:0.020:0.020))
(INTERCONNECT _3261_.X _3262_.B (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT _3261_.X _3290_.C (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT _3261_.X _3292_.C (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT _3261_.X _3315_.B (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT _3261_.X _3337_.B (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT _3262_.Y _3263_.A (0.007:0.007:0.007) (0.007:0.007:0.007))
(INTERCONNECT _3262_.Y _3284_.A2 (0.007:0.007:0.007) (0.007:0.007:0.007))
(INTERCONNECT _3262_.Y _3286_.A2 (0.007:0.007:0.007) (0.006:0.006:0.006))
(INTERCONNECT _3262_.Y _3288_.A2 (0.007:0.007:0.007) (0.007:0.007:0.007))
(INTERCONNECT _3262_.Y ANTENNA__3288__A2.DIODE (0.006:0.006:0.006) (0.006:0.006:0.006))
(INTERCONNECT _3262_.Y ANTENNA__3286__A2.DIODE (0.006:0.006:0.006) (0.006:0.006:0.006))
(INTERCONNECT _3262_.Y ANTENNA__3284__A2.DIODE (0.006:0.006:0.006) (0.006:0.006:0.006))
(INTERCONNECT _3262_.Y ANTENNA__3263__A.DIODE (0.007:0.007:0.007) (0.007:0.007:0.007))
(INTERCONNECT _3263_.X _3271_.A2 (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT _3263_.X _3273_.A2 (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT _3263_.X _3275_.A2 (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT _3263_.X _3277_.A2 (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT _3263_.X _3282_.A2 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _3264_.X _3265_.A (0.006:0.006:0.006) (0.006:0.006:0.006))
(INTERCONNECT _3264_.X _3283_.B (0.006:0.006:0.006) (0.006:0.006:0.006))
(INTERCONNECT _3264_.X _3285_.B (0.006:0.006:0.006) (0.005:0.005:0.005))
(INTERCONNECT _3264_.X _3287_.B (0.006:0.006:0.006) (0.005:0.005:0.005))
(INTERCONNECT _3264_.X ANTENNA__3287__B.DIODE (0.006:0.006:0.006) (0.006:0.006:0.006))
(INTERCONNECT _3264_.X ANTENNA__3285__B.DIODE (0.006:0.006:0.006) (0.005:0.005:0.005))
(INTERCONNECT _3264_.X ANTENNA__3283__B.DIODE (0.006:0.006:0.006) (0.006:0.006:0.006))
(INTERCONNECT _3264_.X ANTENNA__3265__A.DIODE (0.006:0.006:0.006) (0.006:0.006:0.006))
(INTERCONNECT _3265_.X _3266_.B (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT _3265_.X _3272_.B (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT _3265_.X _3274_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _3265_.X _3276_.B (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT _3265_.X _3278_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _3266_.X _3271_.B1 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _3267_.X _2827_.A (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT _3267_.X _2914_.A (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT _3267_.X _3268_.A (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT _3267_.X _3279_.A (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT _3267_.X _3621_.A (0.001:0.001:0.001) (0.000:0.000:0.000))
(INTERCONNECT _3268_.X _3269_.A (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT _3268_.X _3463_.A (0.007:0.007:0.007) (0.007:0.007:0.007))
(INTERCONNECT _3268_.X _4218_.A (0.007:0.007:0.007) (0.007:0.007:0.007))
(INTERCONNECT _3268_.X _4470_.A (0.006:0.006:0.006) (0.006:0.006:0.006))
(INTERCONNECT _3268_.X _4719_.A (0.003:0.003:0.003) (0.003:0.003:0.003))
(INTERCONNECT _3268_.X ANTENNA__4719__A.DIODE (0.005:0.005:0.005) (0.005:0.005:0.005))
(INTERCONNECT _3268_.X ANTENNA__4470__A.DIODE (0.006:0.006:0.006) (0.006:0.006:0.006))
(INTERCONNECT _3268_.X ANTENNA__4218__A.DIODE (0.007:0.007:0.007) (0.007:0.007:0.007))
(INTERCONNECT _3268_.X ANTENNA__3463__A.DIODE (0.007:0.007:0.007) (0.007:0.007:0.007))
(INTERCONNECT _3268_.X ANTENNA__3269__A.DIODE (0.004:0.004:0.004) (0.004:0.004:0.004))
(INTERCONNECT _3269_.X _3111_.A (0.004:0.004:0.004) (0.004:0.004:0.004))
(INTERCONNECT _3269_.X _3125_.A (0.005:0.005:0.005) (0.005:0.005:0.005))
(INTERCONNECT _3269_.X _3270_.A (0.003:0.003:0.003) (0.003:0.003:0.003))
(INTERCONNECT _3269_.X _3341_.A (0.005:0.005:0.005) (0.005:0.005:0.005))
(INTERCONNECT _3269_.X _4962_.A (0.004:0.004:0.004) (0.004:0.004:0.004))
(INTERCONNECT _3269_.X ANTENNA__4962__A.DIODE (0.005:0.005:0.005) (0.005:0.005:0.005))
(INTERCONNECT _3269_.X ANTENNA__3341__A.DIODE (0.005:0.005:0.005) (0.005:0.005:0.005))
(INTERCONNECT _3269_.X ANTENNA__3270__A.DIODE (0.003:0.003:0.003) (0.003:0.003:0.003))
(INTERCONNECT _3269_.X ANTENNA__3125__A.DIODE (0.005:0.005:0.005) (0.005:0.005:0.005))
(INTERCONNECT _3269_.X ANTENNA__3111__A.DIODE (0.004:0.004:0.004) (0.004:0.004:0.004))
(INTERCONNECT _3270_.X _3271_.C1 (0.006:0.006:0.006) (0.006:0.006:0.006))
(INTERCONNECT _3270_.X _3273_.C1 (0.006:0.006:0.006) (0.006:0.006:0.006))
(INTERCONNECT _3270_.X _3275_.C1 (0.006:0.006:0.006) (0.006:0.006:0.006))
(INTERCONNECT _3270_.X _3277_.C1 (0.006:0.006:0.006) (0.006:0.006:0.006))
(INTERCONNECT _3270_.X _3462_.B1 (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT _3270_.X ANTENNA__3462__B1.DIODE (0.002:0.002:0.002) (0.002:0.002:0.002))
(INTERCONNECT _3270_.X ANTENNA__3277__C1.DIODE (0.005:0.005:0.005) (0.005:0.005:0.005))
(INTERCONNECT _3270_.X ANTENNA__3275__C1.DIODE (0.005:0.005:0.005) (0.005:0.005:0.005))
(INTERCONNECT _3270_.X ANTENNA__3273__C1.DIODE (0.006:0.006:0.006) (0.006:0.006:0.006))
(INTERCONNECT _3270_.X ANTENNA__3271__C1.DIODE (0.006:0.006:0.006) (0.006:0.006:0.006))
(INTERCONNECT _3271_.X _5154_.D (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _3272_.X _3273_.B1 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _3273_.X _5155_.D (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _3274_.X _3275_.B1 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _3275_.X _5156_.D (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _3276_.X _3277_.B1 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _3277_.X _5157_.D (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _3278_.X _3282_.B1 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _3279_.X _3280_.A (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT _3279_.X _3344_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _3279_.X _3372_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _3279_.X _3381_.A (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT _3279_.X _4006_.A (0.001:0.001:0.001) (0.000:0.000:0.000))
(INTERCONNECT _3280_.X _3036_.A (0.003:0.003:0.003) (0.003:0.003:0.003))
(INTERCONNECT _3280_.X _3039_.A (0.003:0.003:0.003) (0.003:0.003:0.003))
(INTERCONNECT _3280_.X _3042_.A (0.003:0.003:0.003) (0.003:0.003:0.003))
(INTERCONNECT _3280_.X _3281_.A (0.009:0.009:0.009) (0.009:0.009:0.009))
(INTERCONNECT _3280_.X _3297_.A (0.010:0.010:0.010) (0.010:0.010:0.010))
(INTERCONNECT _3280_.X ANTENNA__3297__A.DIODE (0.010:0.010:0.010) (0.010:0.010:0.010))
(INTERCONNECT _3280_.X ANTENNA__3281__A.DIODE (0.009:0.009:0.009) (0.009:0.009:0.009))
(INTERCONNECT _3280_.X ANTENNA__3042__A.DIODE (0.004:0.004:0.004) (0.004:0.004:0.004))
(INTERCONNECT _3280_.X ANTENNA__3039__A.DIODE (0.003:0.003:0.003) (0.003:0.003:0.003))
(INTERCONNECT _3280_.X ANTENNA__3036__A.DIODE (0.004:0.004:0.004) (0.004:0.004:0.004))
(INTERCONNECT _3281_.X _3282_.C1 (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT _3281_.X _3284_.C1 (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT _3281_.X _3286_.C1 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _3281_.X _3288_.C1 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _3281_.X _3295_.C1 (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT _3282_.X _5158_.D (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _3283_.X _3284_.B1 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _3284_.X _5159_.D (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _3285_.X _3286_.B1 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _3286_.X _5160_.D (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _3287_.X _3288_.B1 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _3288_.X _5161_.D (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _3289_.Y _3290_.B (0.003:0.003:0.003) (0.002:0.002:0.002))
(INTERCONNECT _3289_.Y _3292_.B (0.003:0.003:0.003) (0.003:0.003:0.003))
(INTERCONNECT _3289_.Y _3449_.D (0.002:0.002:0.002) (0.002:0.002:0.002))
(INTERCONNECT _3289_.Y ANTENNA__3449__D.DIODE (0.003:0.003:0.003) (0.003:0.003:0.003))
(INTERCONNECT _3289_.Y ANTENNA__3292__B.DIODE (0.002:0.002:0.002) (0.002:0.002:0.002))
(INTERCONNECT _3289_.Y ANTENNA__3290__B.DIODE (0.002:0.002:0.002) (0.002:0.002:0.002))
(INTERCONNECT _3290_.X _3291_.A (0.003:0.003:0.003) (0.003:0.003:0.003))
(INTERCONNECT _3290_.X _3306_.A2 (0.003:0.003:0.003) (0.003:0.003:0.003))
(INTERCONNECT _3290_.X _3312_.A2 (0.002:0.002:0.002) (0.002:0.002:0.002))
(INTERCONNECT _3290_.X _3314_.A2 (0.002:0.002:0.002) (0.002:0.002:0.002))
(INTERCONNECT _3290_.X ANTENNA__3314__A2.DIODE (0.003:0.003:0.003) (0.003:0.003:0.003))
(INTERCONNECT _3290_.X ANTENNA__3312__A2.DIODE (0.003:0.003:0.003) (0.003:0.003:0.003))
(INTERCONNECT _3290_.X ANTENNA__3306__A2.DIODE (0.003:0.003:0.003) (0.003:0.003:0.003))
(INTERCONNECT _3290_.X ANTENNA__3291__A.DIODE (0.003:0.003:0.003) (0.003:0.003:0.003))
(INTERCONNECT _3291_.X _3295_.A2 (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT _3291_.X _3298_.A2 (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT _3291_.X _3300_.A2 (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT _3291_.X _3302_.A2 (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT _3291_.X _3304_.A2 (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT _3292_.Y _3293_.A (0.004:0.004:0.004) (0.004:0.004:0.004))
(INTERCONNECT _3292_.Y _3305_.B (0.004:0.004:0.004) (0.004:0.004:0.004))
(INTERCONNECT _3292_.Y _3307_.B (0.002:0.002:0.002) (0.002:0.002:0.002))
(INTERCONNECT _3292_.Y _3313_.B (0.002:0.002:0.002) (0.002:0.002:0.002))
(INTERCONNECT _3292_.Y ANTENNA__3313__B.DIODE (0.003:0.003:0.003) (0.003:0.003:0.003))
(INTERCONNECT _3292_.Y ANTENNA__3307__B.DIODE (0.003:0.003:0.003) (0.003:0.003:0.003))
(INTERCONNECT _3292_.Y ANTENNA__3305__B.DIODE (0.004:0.004:0.004) (0.004:0.004:0.004))
(INTERCONNECT _3292_.Y ANTENNA__3293__A.DIODE (0.004:0.004:0.004) (0.004:0.004:0.004))
(INTERCONNECT _3293_.X _3294_.B (0.001:0.001:0.001) (0.000:0.000:0.000))
(INTERCONNECT _3293_.X _3296_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _3293_.X _3299_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _3293_.X _3301_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _3293_.X _3303_.B (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT _3294_.X _3295_.B1 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _3295_.X _5162_.D (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _3296_.X _3298_.B1 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _3297_.X _3298_.C1 (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT _3297_.X _3300_.C1 (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT _3297_.X _3302_.C1 (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT _3297_.X _3304_.C1 (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT _3297_.X _3306_.C1 (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT _3298_.X _5163_.D (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _3299_.X _3300_.B1 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _3300_.X _5164_.D (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _3301_.X _3302_.B1 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _3302_.X _5165_.D (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _3303_.X _3304_.B1 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _3304_.X _5166_.D (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _3305_.X _3306_.B1 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _3306_.X _5167_.D (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _3307_.X _3312_.B1 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _3308_.X _2566_.A (0.004:0.004:0.004) (0.004:0.004:0.004))
(INTERCONNECT _3308_.X _2653_.A (0.009:0.009:0.009) (0.009:0.009:0.009))
(INTERCONNECT _3308_.X _2740_.A (0.009:0.009:0.009) (0.009:0.009:0.009))
(INTERCONNECT _3308_.X _3309_.A (0.006:0.006:0.006) (0.006:0.006:0.006))
(INTERCONNECT _3308_.X _5067_.A (0.004:0.004:0.004) (0.004:0.004:0.004))
(INTERCONNECT _3308_.X ANTENNA__5067__A.DIODE (0.005:0.005:0.005) (0.005:0.005:0.005))
(INTERCONNECT _3308_.X ANTENNA__3309__A.DIODE (0.007:0.007:0.007) (0.007:0.007:0.007))
(INTERCONNECT _3308_.X ANTENNA__2740__A.DIODE (0.009:0.009:0.009) (0.009:0.009:0.009))
(INTERCONNECT _3308_.X ANTENNA__2653__A.DIODE (0.009:0.009:0.009) (0.009:0.009:0.009))
(INTERCONNECT _3308_.X ANTENNA__2566__A.DIODE (0.004:0.004:0.004) (0.004:0.004:0.004))
(INTERCONNECT _3309_.X _3001_.A (0.003:0.003:0.003) (0.003:0.003:0.003))
(INTERCONNECT _3309_.X _3018_.A (0.003:0.003:0.003) (0.003:0.003:0.003))
(INTERCONNECT _3309_.X _3310_.A (0.012:0.012:0.012) (0.012:0.012:0.012))
(INTERCONNECT _3309_.X _3685_.A (0.012:0.012:0.012) (0.012:0.012:0.012))
(INTERCONNECT _3309_.X _3945_.A (0.005:0.005:0.005) (0.005:0.005:0.005))
(INTERCONNECT _3309_.X ANTENNA__3945__A.DIODE (0.010:0.010:0.010) (0.010:0.010:0.010))
(INTERCONNECT _3309_.X ANTENNA__3685__A.DIODE (0.011:0.011:0.011) (0.011:0.011:0.011))
(INTERCONNECT _3309_.X ANTENNA__3310__A.DIODE (0.012:0.012:0.012) (0.012:0.012:0.012))
(INTERCONNECT _3309_.X ANTENNA__3018__A.DIODE (0.003:0.003:0.003) (0.003:0.003:0.003))
(INTERCONNECT _3309_.X ANTENNA__3001__A.DIODE (0.003:0.003:0.003) (0.003:0.003:0.003))
(INTERCONNECT _3310_.X _3311_.A (0.007:0.007:0.007) (0.007:0.007:0.007))
(INTERCONNECT _3310_.X _3326_.A (0.007:0.007:0.007) (0.007:0.007:0.007))
(INTERCONNECT _3310_.X _3535_.A (0.002:0.002:0.002) (0.002:0.002:0.002))
(INTERCONNECT _3310_.X _3581_.A (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT _3310_.X _3637_.A (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT _3310_.X ANTENNA__3637__A.DIODE (0.003:0.003:0.003) (0.003:0.003:0.003))
(INTERCONNECT _3310_.X ANTENNA__3581__A.DIODE (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT _3310_.X ANTENNA__3535__A.DIODE (0.002:0.002:0.002) (0.002:0.002:0.002))
(INTERCONNECT _3310_.X ANTENNA__3326__A.DIODE (0.007:0.007:0.007) (0.006:0.006:0.006))
(INTERCONNECT _3310_.X ANTENNA__3311__A.DIODE (0.007:0.007:0.007) (0.007:0.007:0.007))
(INTERCONNECT _3311_.X _3312_.C1 (0.005:0.005:0.005) (0.005:0.005:0.005))
(INTERCONNECT _3311_.X _3314_.C1 (0.005:0.005:0.005) (0.005:0.005:0.005))
(INTERCONNECT _3311_.X _3320_.C1 (0.002:0.002:0.002) (0.001:0.001:0.001))
(INTERCONNECT _3311_.X _3322_.C1 (0.002:0.002:0.002) (0.002:0.002:0.002))
(INTERCONNECT _3311_.X _3324_.C1 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _3311_.X ANTENNA__3324__C1.DIODE (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT _3311_.X ANTENNA__3322__C1.DIODE (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT _3311_.X ANTENNA__3320__C1.DIODE (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT _3311_.X ANTENNA__3314__C1.DIODE (0.005:0.005:0.005) (0.005:0.005:0.005))
(INTERCONNECT _3311_.X ANTENNA__3312__C1.DIODE (0.005:0.005:0.005) (0.005:0.005:0.005))
(INTERCONNECT _3312_.X _5168_.D (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _3313_.X _3314_.B1 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _3314_.X _5169_.D (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _3315_.Y _3316_.A (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT _3315_.Y _3331_.A2 (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT _3315_.Y _3333_.A2 (0.001:0.001:0.001) (0.000:0.000:0.000))
(INTERCONNECT _3315_.Y _3335_.A2 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _3316_.X _3320_.A2 (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT _3316_.X _3322_.A2 (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT _3316_.X _3324_.A2 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _3316_.X _3327_.A2 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _3316_.X _3329_.A2 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _3317_.X _3318_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _3317_.X _3330_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _3317_.X _3332_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _3317_.X _3334_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _3318_.X _3319_.B (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT _3318_.X _3321_.B (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT _3318_.X _3323_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _3318_.X _3325_.B (0.001:0.001:0.001) (0.000:0.000:0.000))
(INTERCONNECT _3318_.X _3328_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _3319_.X _3320_.B1 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _3320_.X _5170_.D (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _3321_.X _3322_.B1 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _3322_.X _5171_.D (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _3323_.X _3324_.B1 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _3324_.X _5172_.D (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _3325_.X _3327_.B1 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _3326_.X _3327_.C1 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _3326_.X _3329_.C1 (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT _3326_.X _3331_.C1 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _3326_.X _3333_.C1 (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT _3326_.X _3335_.C1 (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT _3327_.X _5173_.D (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _3328_.X _3329_.B1 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _3329_.X _5174_.D (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _3330_.X _3331_.B1 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _3331_.X _5175_.D (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _3332_.X _3333_.B1 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _3333_.X _5176_.D (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _3334_.X _3335_.B1 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _3335_.X _5177_.D (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _3336_.Y _5178_.D (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _3337_.X _3338_.A (0.002:0.002:0.002) (0.002:0.002:0.002))
(INTERCONNECT _3337_.X _3349_.A2 (0.002:0.002:0.002) (0.002:0.002:0.002))
(INTERCONNECT _3337_.X _3350_.A2 (0.002:0.002:0.002) (0.002:0.002:0.002))
(INTERCONNECT _3337_.X _3352_.A2 (0.002:0.002:0.002) (0.002:0.002:0.002))
(INTERCONNECT _3338_.X _3342_.A2 (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT _3338_.X _3343_.A2 (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT _3338_.X _3346_.A2 (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT _3338_.X _3347_.A2 (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT _3338_.X _3348_.A2 (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT _3339_.X _3340_.A (0.005:0.005:0.005) (0.005:0.005:0.005))
(INTERCONNECT _3339_.X _3349_.B1 (0.005:0.005:0.005) (0.005:0.005:0.005))
(INTERCONNECT _3339_.X _3350_.B1 (0.005:0.005:0.005) (0.005:0.005:0.005))
(INTERCONNECT _3339_.X _3352_.B1 (0.005:0.005:0.005) (0.005:0.005:0.005))
(INTERCONNECT _3339_.X ANTENNA__3352__B1.DIODE (0.005:0.005:0.005) (0.005:0.005:0.005))
(INTERCONNECT _3339_.X ANTENNA__3350__B1.DIODE (0.005:0.005:0.005) (0.005:0.005:0.005))
(INTERCONNECT _3339_.X ANTENNA__3349__B1.DIODE (0.005:0.005:0.005) (0.005:0.005:0.005))
(INTERCONNECT _3339_.X ANTENNA__3340__A.DIODE (0.005:0.005:0.005) (0.005:0.005:0.005))
(INTERCONNECT _3340_.X _3342_.B1 (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT _3340_.X _3343_.B1 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _3340_.X _3346_.B1 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _3340_.X _3347_.B1 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _3340_.X _3348_.B1 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _3341_.X _3137_.C1 (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT _3341_.X _3139_.C1 (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT _3341_.X _3141_.C1 (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT _3341_.X _3342_.C1 (0.005:0.005:0.005) (0.005:0.005:0.005))
(INTERCONNECT _3341_.X _3343_.C1 (0.005:0.005:0.005) (0.005:0.005:0.005))
(INTERCONNECT _3341_.X ANTENNA__3343__C1.DIODE (0.005:0.005:0.005) (0.005:0.005:0.005))
(INTERCONNECT _3341_.X ANTENNA__3342__C1.DIODE (0.005:0.005:0.005) (0.005:0.005:0.005))
(INTERCONNECT _3341_.X ANTENNA__3141__C1.DIODE (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT _3341_.X ANTENNA__3139__C1.DIODE (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT _3341_.X ANTENNA__3137__C1.DIODE (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT _3342_.X _5179_.D (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _3343_.X _5180_.D (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _3344_.X _3098_.C (0.005:0.005:0.005) (0.005:0.005:0.005))
(INTERCONNECT _3344_.X _3106_.A (0.008:0.008:0.008) (0.008:0.008:0.008))
(INTERCONNECT _3344_.X _3345_.A (0.023:0.023:0.023) (0.022:0.022:0.022))
(INTERCONNECT _3344_.X _3351_.A (0.023:0.023:0.023) (0.022:0.022:0.022))
(INTERCONNECT _3344_.X _3750_.A (0.019:0.019:0.019) (0.018:0.018:0.018))
(INTERCONNECT _3344_.X ANTENNA__3750__A.DIODE (0.019:0.019:0.019) (0.019:0.019:0.019))
(INTERCONNECT _3344_.X ANTENNA__3351__A.DIODE (0.023:0.023:0.023) (0.022:0.022:0.022))
(INTERCONNECT _3344_.X ANTENNA__3345__A.DIODE (0.023:0.023:0.023) (0.022:0.022:0.022))
(INTERCONNECT _3344_.X ANTENNA__3106__A.DIODE (0.014:0.014:0.014) (0.014:0.014:0.014))
(INTERCONNECT _3344_.X ANTENNA__3098__C.DIODE (0.004:0.004:0.004) (0.004:0.004:0.004))
(INTERCONNECT _3345_.X _3346_.C1 (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT _3345_.X _3347_.C1 (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT _3345_.X _3348_.C1 (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT _3345_.X _3349_.C1 (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT _3345_.X _3350_.C1 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _3346_.X _5181_.D (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _3347_.X _5182_.D (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _3348_.X _5183_.D (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _3349_.X _5184_.D (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _3350_.X _5185_.D (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _3351_.X _3352_.C1 (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT _3351_.X _3557_.C1 (0.012:0.012:0.012) (0.012:0.012:0.012))
(INTERCONNECT _3351_.X _3609_.C1 (0.011:0.011:0.011) (0.011:0.011:0.011))
(INTERCONNECT _3351_.X _3654_.C1 (0.012:0.012:0.012) (0.012:0.012:0.012))
(INTERCONNECT _3351_.X _3707_.C1 (0.011:0.011:0.011) (0.011:0.011:0.011))
(INTERCONNECT _3351_.X ANTENNA__3707__C1.DIODE (0.010:0.010:0.010) (0.010:0.010:0.010))
(INTERCONNECT _3351_.X ANTENNA__3654__C1.DIODE (0.012:0.012:0.012) (0.012:0.012:0.012))
(INTERCONNECT _3351_.X ANTENNA__3609__C1.DIODE (0.010:0.010:0.010) (0.010:0.010:0.010))
(INTERCONNECT _3351_.X ANTENNA__3557__C1.DIODE (0.012:0.012:0.012) (0.012:0.012:0.012))
(INTERCONNECT _3351_.X ANTENNA__3352__C1.DIODE (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT _3352_.X _5186_.D (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _3353_.X _3356_.B_N (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _3353_.X _3374_.A (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT _3353_.X _3375_.A1 (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT _3353_.X _3380_.C (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT _3353_.X _3382_.A2 (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT _3354_.Y _3357_.A2 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _3354_.Y _3366_.A2 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _3355_.Y _3357_.B2 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _3355_.Y _3362_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _3356_.X _3357_.C1 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _3357_.X _3371_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _3357_.X _3377_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _3358_.Y _3367_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _3359_.X _3367_.A2 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _3360_.X _3362_.B1 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _3361_.X _3362_.C1 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _3362_.X _3367_.B1 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _3363_.X _3366_.B1 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _3364_.X _3366_.C1 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _3365_.X _3366_.D1 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _3366_.X _3367_.C1 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _3367_.Y _3371_.A2 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _3367_.Y _3377_.C (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _3368_.Y _3369_.C (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _3369_.X _3370_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _3369_.X _3380_.D (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT _3370_.X _3371_.B1_N (0.006:0.006:0.006) (0.006:0.006:0.006))
(INTERCONNECT _3370_.X _3374_.B (0.005:0.005:0.005) (0.005:0.005:0.005))
(INTERCONNECT _3370_.X _3377_.A (0.006:0.006:0.006) (0.006:0.006:0.006))
(INTERCONNECT _3370_.X _3382_.A3 (0.006:0.006:0.006) (0.006:0.006:0.006))
(INTERCONNECT _3370_.X _3450_.B1 (0.002:0.002:0.002) (0.002:0.002:0.002))
(INTERCONNECT _3370_.X ANTENNA__3450__B1.DIODE (0.002:0.002:0.002) (0.002:0.002:0.002))
(INTERCONNECT _3370_.X ANTENNA__3382__A3.DIODE (0.011:0.011:0.011) (0.011:0.011:0.011))
(INTERCONNECT _3370_.X ANTENNA__3377__A.DIODE (0.010:0.010:0.010) (0.010:0.010:0.010))
(INTERCONNECT _3370_.X ANTENNA__3374__B.DIODE (0.011:0.011:0.011) (0.011:0.011:0.011))
(INTERCONNECT _3370_.X ANTENNA__3371__B1_N.DIODE (0.011:0.011:0.011) (0.011:0.011:0.011))
(INTERCONNECT _3371_.Y _3375_.A2 (0.002:0.002:0.002) (0.002:0.002:0.002))
(INTERCONNECT _3371_.Y _3451_.B (0.003:0.003:0.003) (0.003:0.003:0.003))
(INTERCONNECT _3371_.Y ANTENNA__3451__B.DIODE (0.004:0.004:0.004) (0.004:0.004:0.004))
(INTERCONNECT _3371_.Y ANTENNA__3375__A2.DIODE (0.005:0.005:0.005) (0.005:0.005:0.005))
(INTERCONNECT _3372_.X _3101_.A (0.002:0.002:0.002) (0.002:0.002:0.002))
(INTERCONNECT _3372_.X _3373_.A (0.002:0.002:0.002) (0.002:0.002:0.002))
(INTERCONNECT _3372_.X _3378_.A (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT _3372_.X _3471_.B (0.002:0.002:0.002) (0.002:0.002:0.002))
(INTERCONNECT _3372_.X _3473_.B (0.002:0.002:0.002) (0.002:0.002:0.002))
(INTERCONNECT _3373_.X _3052_.B1 (0.012:0.012:0.012) (0.012:0.012:0.012))
(INTERCONNECT _3373_.X _3092_.C1 (0.013:0.013:0.013) (0.013:0.013:0.013))
(INTERCONNECT _3373_.X _3375_.B1 (0.006:0.006:0.006) (0.006:0.006:0.006))
(INTERCONNECT _3373_.X _3423_.B1 (0.003:0.003:0.003) (0.003:0.003:0.003))
(INTERCONNECT _3373_.X _3454_.B1 (0.002:0.002:0.002) (0.002:0.002:0.002))
(INTERCONNECT _3373_.X ANTENNA__3454__B1.DIODE (0.005:0.005:0.005) (0.005:0.005:0.005))
(INTERCONNECT _3373_.X ANTENNA__3423__B1.DIODE (0.006:0.006:0.006) (0.006:0.006:0.006))
(INTERCONNECT _3373_.X ANTENNA__3375__B1.DIODE (0.013:0.013:0.013) (0.013:0.013:0.013))
(INTERCONNECT _3373_.X ANTENNA__3092__C1.DIODE (0.013:0.013:0.013) (0.013:0.013:0.013))
(INTERCONNECT _3373_.X ANTENNA__3052__B1.DIODE (0.012:0.012:0.012) (0.012:0.012:0.012))
(INTERCONNECT _3374_.Y _3375_.C1 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _3374_.Y _3376_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _3375_.X _5187_.D (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _3376_.Y _3378_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _3377_.Y _3378_.C (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _3377_.Y _3381_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _3378_.X _3379_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _3379_.X _5188_.D (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _3380_.X _3383_.A_N (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _3380_.X _3385_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _3380_.X _3386_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _3380_.X _3389_.C (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _3381_.X _3383_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _3381_.X _3387_.B (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT _3381_.X _3391_.B (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT _3381_.X _3395_.B (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT _3381_.X _3399_.A (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT _3382_.X _3383_.C (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _3383_.X _3384_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _3384_.X _5189_.D (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _3385_.X _3387_.A_N (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _3385_.X hold55.A (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _3386_.X _3387_.C (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _3387_.X _3388_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _3388_.X _5190_.D (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _3389_.X hold39.A (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _3390_.X hold54.A (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _3391_.X _3392_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _3392_.X _5191_.D (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _3393_.X _3395_.A_N (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _3393_.X _3397_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _3393_.X _3398_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _3393_.X _3402_.A3 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _3394_.X _3395_.C (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _3395_.X _3396_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _3396_.X _5192_.D (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _3397_.X _3399_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _3398_.Y _3399_.C (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _3398_.Y _3403_.A2 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _3399_.X _3400_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _3400_.X _5193_.D (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _3401_.Y _3403_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _3402_.X _3403_.B1 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _3403_.Y _5194_.D (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _3404_.X _3408_.A1 (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT _3404_.X _3431_.A1 (0.001:0.001:0.001) (0.000:0.000:0.000))
(INTERCONNECT _3404_.X _3432_.A1 (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT _3404_.X _3433_.B (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT _3404_.X _3434_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _3405_.Y _3408_.A2 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _3405_.Y _3418_.A2 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _3406_.Y _3408_.B2 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _3406_.Y _3413_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _3407_.X _3408_.C1 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _3408_.X _3421_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _3408_.X _3424_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _3409_.Y _3419_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _3410_.X _3419_.A2 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _3411_.X _3413_.B1 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _3412_.X _3413_.C1 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _3413_.X _3419_.B1 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _3414_.X _3418_.B1 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _3415_.X _3418_.C1 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _3416_.X _3417_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _3416_.X _3441_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _3416_.X _3442_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _3416_.X _3443_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _3416_.X _3444_.A1 (0.001:0.001:0.001) (0.000:0.000:0.000))
(INTERCONNECT _3417_.X _3418_.D1 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _3418_.X _3419_.C1 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _3419_.Y _3421_.A2 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _3419_.Y _3424_.C (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _3420_.X _3421_.B1_N (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _3420_.X _3422_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _3420_.X _3424_.A (0.001:0.001:0.001) (0.000:0.000:0.000))
(INTERCONNECT _3420_.X _3430_.C (0.001:0.001:0.001) (0.000:0.000:0.000))
(INTERCONNECT _3421_.Y _3423_.A2 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _3421_.Y _3451_.C (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT _3422_.Y _3423_.C1 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _3422_.Y _3427_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _3423_.X _5195_.D (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _3424_.X _3425_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _3425_.Y _3426_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _3425_.Y _3445_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _3426_.X _3428_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _3426_.X _3431_.B1_N (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT _3426_.X _3435_.B (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT _3426_.X _3439_.B (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT _3426_.X _3441_.B1_N (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT _3427_.Y _3428_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _3428_.X _3429_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _3429_.X _5196_.D (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _3430_.X _3431_.A2 (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT _3430_.X _3432_.A2 (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT _3430_.X _3433_.C (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _3430_.X _3434_.A2 (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT _3431_.Y _3432_.B1 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _3432_.X _5197_.D (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _3433_.X _3435_.A_N (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _3433_.X _3437_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _3433_.X _3438_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _3433_.X _3444_.A3 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _3434_.X _3435_.C (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _3435_.X _3436_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _3436_.X _5198_.D (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _3437_.X _3439_.A_N (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _3437_.X _3441_.A2 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _3437_.X _3442_.A2 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _3437_.X _3443_.C (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _3438_.X _3439_.C (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _3439_.X _3440_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _3440_.X _5199_.D (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _3441_.Y _3442_.B1 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _3442_.X _5200_.D (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _3443_.X _3445_.A_N (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _3443_.X _3447_.A2 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _3443_.X _3448_.A2 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _3444_.X _3445_.C (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _3445_.X _3446_.A (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT _3446_.X _5201_.D (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _3447_.Y _3448_.B1 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _3448_.X _5202_.D (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _3449_.X _3451_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _3450_.X _3451_.D_N (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _3451_.X _3452_.B (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT _3451_.X _3453_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _3451_.X _3455_.B (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT _3451_.X _3460_.A (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT _3451_.X _3468_.A2 (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT _3452_.Y _3454_.A2 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _3453_.X _3454_.C1 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _3453_.X _3457_.A2 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _3454_.X _5203_.D (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _3455_.Y _3456_.A (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT _3455_.Y _3458_.C (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _3455_.Y _3464_.A2 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _3455_.Y _3468_.B1 (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT _3456_.X _3457_.B1 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _3457_.Y _5204_.D (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _3458_.X _3462_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _3459_.X _3460_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _3460_.X _3461_.A2 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _3460_.X _3464_.B1 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _3461_.X _3462_.A2 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _3462_.X _5205_.D (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _3463_.X _3465_.A (0.007:0.007:0.007) (0.007:0.007:0.007))
(INTERCONNECT _3463_.X _3469_.A (0.007:0.007:0.007) (0.007:0.007:0.007))
(INTERCONNECT _3463_.X _4319_.B1 (0.003:0.003:0.003) (0.003:0.003:0.003))
(INTERCONNECT _3463_.X _5011_.A (0.014:0.014:0.014) (0.013:0.013:0.013))
(INTERCONNECT _3463_.X _5014_.A (0.014:0.014:0.014) (0.013:0.013:0.013))
(INTERCONNECT _3463_.X ANTENNA__5014__A.DIODE (0.014:0.014:0.014) (0.013:0.013:0.013))
(INTERCONNECT _3463_.X ANTENNA__5011__A.DIODE (0.014:0.014:0.014) (0.013:0.013:0.013))
(INTERCONNECT _3463_.X ANTENNA__4319__B1.DIODE (0.004:0.004:0.004) (0.003:0.003:0.003))
(INTERCONNECT _3463_.X ANTENNA__3469__A.DIODE (0.006:0.006:0.006) (0.006:0.006:0.006))
(INTERCONNECT _3463_.X ANTENNA__3465__A.DIODE (0.009:0.009:0.009) (0.009:0.009:0.009))
(INTERCONNECT _3464_.X _3465_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _3465_.X _3466_.A (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT _3466_.X _5206_.D (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _3467_.X _3468_.B2 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _3468_.X _3469_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _3469_.X _3470_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _3470_.X _5207_.D (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _3471_.X _3472_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _3472_.X _5208_.D (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _3473_.X _3474_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _3474_.X _5209_.D (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _3475_.X _3476_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _3475_.X _3478_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _3475_.X _3480_.A (0.001:0.001:0.001) (0.000:0.000:0.000))
(INTERCONNECT _3475_.X _3482_.A (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT _3475_.X _3484_.A (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT _3476_.X _3477_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _3477_.X _5238_.D (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _3478_.X _3479_.A (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT _3479_.X _5239_.D (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _3480_.X _3481_.A (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT _3481_.X _5240_.D (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _3482_.X _3483_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _3483_.X _5241_.D (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _3484_.X _3485_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _3485_.X _5242_.D (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _3486_.X _3487_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _3486_.X _3489_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _3486_.X _3491_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _3486_.X _3493_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _3486_.X _3495_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _3487_.X _3488_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _3488_.X _5243_.D (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _3489_.X _3490_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _3490_.X _5244_.D (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _3491_.X _3492_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _3492_.X _5245_.D (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _3493_.X _3494_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _3494_.X _5246_.D (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _3495_.X _3496_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _3496_.X _5247_.D (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _3497_.X _3498_.A (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT _3497_.X _3500_.A (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT _3497_.X _3502_.A (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT _3497_.X _3504_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _3497_.X _3506_.A (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT _3498_.X _3499_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _3499_.X _5248_.D (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _3500_.X _3501_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _3501_.X _5249_.D (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _3502_.X _3503_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _3503_.X _5250_.D (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _3504_.X _3505_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _3505_.X _5251_.D (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _3506_.X _3507_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _3507_.X _5252_.D (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _3508_.X _3509_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _3508_.X _3511_.A (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT _3508_.X _3513_.A (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT _3508_.X _3515_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _3508_.X _3517_.A (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT _3509_.X _3510_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _3510_.X _5253_.D (0.003:0.003:0.003) (0.003:0.003:0.003))
(INTERCONNECT _3510_.X ANTENNA__5253__D.DIODE (0.004:0.004:0.004) (0.004:0.004:0.004))
(INTERCONNECT _3511_.X _3512_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _3512_.X _5254_.D (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _3513_.X _3514_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _3514_.X _5255_.D (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _3515_.X _3516_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _3516_.X _5256_.D (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _3517_.X _3518_.A (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT _3518_.X _5257_.D (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _3519_.X _3520_.A (0.002:0.002:0.002) (0.002:0.002:0.002))
(INTERCONNECT _3519_.X _3532_.A (0.002:0.002:0.002) (0.002:0.002:0.002))
(INTERCONNECT _3519_.X _3726_.B (0.002:0.002:0.002) (0.002:0.002:0.002))
(INTERCONNECT _3519_.X _4023_.C_N (0.002:0.002:0.002) (0.002:0.002:0.002))
(INTERCONNECT _3519_.X _4123_.C (0.002:0.002:0.002) (0.002:0.002:0.002))
(INTERCONNECT _3519_.X ANTENNA__4123__C.DIODE (0.002:0.002:0.002) (0.002:0.002:0.002))
(INTERCONNECT _3519_.X ANTENNA__4023__C_N.DIODE (0.002:0.002:0.002) (0.002:0.002:0.002))
(INTERCONNECT _3519_.X ANTENNA__3726__B.DIODE (0.003:0.003:0.003) (0.003:0.003:0.003))
(INTERCONNECT _3519_.X ANTENNA__3532__A.DIODE (0.003:0.003:0.003) (0.002:0.002:0.002))
(INTERCONNECT _3519_.X ANTENNA__3520__A.DIODE (0.002:0.002:0.002) (0.002:0.002:0.002))
(INTERCONNECT _3520_.X _3097_.A (0.003:0.003:0.003) (0.003:0.003:0.003))
(INTERCONNECT _3520_.X _3528_.A (0.005:0.005:0.005) (0.005:0.005:0.005))
(INTERCONNECT _3520_.X _3625_.C_N (0.005:0.005:0.005) (0.005:0.005:0.005))
(INTERCONNECT _3520_.X _3629_.C_N (0.005:0.005:0.005) (0.005:0.005:0.005))
(INTERCONNECT _3520_.X _3721_.B (0.005:0.005:0.005) (0.005:0.005:0.005))
(INTERCONNECT _3520_.X ANTENNA__3721__B.DIODE (0.006:0.006:0.006) (0.006:0.006:0.006))
(INTERCONNECT _3520_.X ANTENNA__3629__C_N.DIODE (0.006:0.006:0.006) (0.006:0.006:0.006))
(INTERCONNECT _3520_.X ANTENNA__3625__C_N.DIODE (0.006:0.006:0.006) (0.006:0.006:0.006))
(INTERCONNECT _3520_.X ANTENNA__3528__A.DIODE (0.006:0.006:0.006) (0.006:0.006:0.006))
(INTERCONNECT _3520_.X ANTENNA__3097__A.DIODE (0.002:0.002:0.002) (0.002:0.002:0.002))
(INTERCONNECT _3521_.X _3524_.A_N (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _3521_.X _3920_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _3521_.X _4023_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _3521_.X _4123_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _3521_.X _5006_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _3522_.X _3104_.A (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT _3522_.X _3105_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _3522_.X _3108_.A (0.001:0.001:0.001) (0.000:0.000:0.000))
(INTERCONNECT _3522_.X _3113_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _3522_.X _3524_.B (0.001:0.001:0.001) (0.000:0.000:0.000))
(INTERCONNECT _3523_.X _3109_.A (0.005:0.005:0.005) (0.005:0.005:0.005))
(INTERCONNECT _3523_.X _3112_.A1 (0.005:0.005:0.005) (0.005:0.005:0.005))
(INTERCONNECT _3523_.X _3113_.A (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT _3523_.X _3524_.C (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT _3523_.X _3823_.B_N (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT _3523_.X ANTENNA__3823__B_N.DIODE (0.003:0.003:0.003) (0.003:0.003:0.003))
(INTERCONNECT _3523_.X ANTENNA__3524__C.DIODE (0.003:0.003:0.003) (0.003:0.003:0.003))
(INTERCONNECT _3523_.X ANTENNA__3113__A.DIODE (0.002:0.002:0.002) (0.001:0.001:0.001))
(INTERCONNECT _3523_.X ANTENNA__3112__A1.DIODE (0.005:0.005:0.005) (0.005:0.005:0.005))
(INTERCONNECT _3523_.X ANTENNA__3109__A.DIODE (0.005:0.005:0.005) (0.004:0.004:0.004))
(INTERCONNECT _3524_.Y _3525_.A (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT _3524_.Y _3532_.B (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT _3524_.Y ANTENNA__3532__B.DIODE (0.002:0.002:0.002) (0.002:0.002:0.002))
(INTERCONNECT _3524_.Y ANTENNA__3525__A.DIODE (0.002:0.002:0.002) (0.002:0.002:0.002))
(INTERCONNECT _3525_.X _3528_.B (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT _3525_.X _3625_.A (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT _3525_.X _3629_.A (0.001:0.001:0.001) (0.000:0.000:0.000))
(INTERCONNECT _3525_.X _3721_.C (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT _3525_.X _3726_.C (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _3526_.X _3103_.A (0.006:0.006:0.006) (0.005:0.005:0.005))
(INTERCONNECT _3526_.X _3527_.A (0.006:0.006:0.006) (0.005:0.005:0.005))
(INTERCONNECT _3526_.X _3532_.C_N (0.007:0.007:0.007) (0.007:0.007:0.007))
(INTERCONNECT _3526_.X _3629_.B (0.007:0.007:0.007) (0.007:0.007:0.007))
(INTERCONNECT _3526_.X _3726_.A (0.007:0.007:0.007) (0.007:0.007:0.007))
(INTERCONNECT _3526_.X ANTENNA__3726__A.DIODE (0.008:0.008:0.008) (0.008:0.008:0.008))
(INTERCONNECT _3526_.X ANTENNA__3629__B.DIODE (0.008:0.008:0.008) (0.008:0.008:0.008))
(INTERCONNECT _3526_.X ANTENNA__3532__C_N.DIODE (0.008:0.008:0.008) (0.008:0.008:0.008))
(INTERCONNECT _3526_.X ANTENNA__3527__A.DIODE (0.007:0.007:0.007) (0.007:0.007:0.007))
(INTERCONNECT _3526_.X ANTENNA__3103__A.DIODE (0.006:0.006:0.006) (0.006:0.006:0.006))
(INTERCONNECT _3527_.X _3100_.A (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT _3527_.X _3108_.B (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT _3527_.X _3528_.C_N (0.004:0.004:0.004) (0.004:0.004:0.004))
(INTERCONNECT _3527_.X _3625_.B (0.004:0.004:0.004) (0.004:0.004:0.004))
(INTERCONNECT _3527_.X _3721_.A (0.004:0.004:0.004) (0.003:0.003:0.003))
(INTERCONNECT _3527_.X ANTENNA__3721__A.DIODE (0.005:0.005:0.005) (0.005:0.005:0.005))
(INTERCONNECT _3527_.X ANTENNA__3625__B.DIODE (0.005:0.005:0.005) (0.005:0.005:0.005))
(INTERCONNECT _3527_.X ANTENNA__3528__C_N.DIODE (0.005:0.005:0.005) (0.005:0.005:0.005))
(INTERCONNECT _3527_.X ANTENNA__3108__B.DIODE (0.003:0.003:0.003) (0.003:0.003:0.003))
(INTERCONNECT _3527_.X ANTENNA__3100__A.DIODE (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT _3528_.Y _3529_.A (0.004:0.004:0.004) (0.004:0.004:0.004))
(INTERCONNECT _3528_.Y _3551_.A (0.005:0.005:0.005) (0.005:0.005:0.005))
(INTERCONNECT _3528_.Y _3573_.B (0.005:0.005:0.005) (0.004:0.004:0.004))
(INTERCONNECT _3528_.Y _3615_.B (0.005:0.005:0.005) (0.005:0.005:0.005))
(INTERCONNECT _3528_.Y _3623_.A2 (0.003:0.003:0.003) (0.003:0.003:0.003))
(INTERCONNECT _3528_.Y ANTENNA__3623__A2.DIODE (0.004:0.004:0.004) (0.004:0.004:0.004))
(INTERCONNECT _3528_.Y ANTENNA__3615__B.DIODE (0.005:0.005:0.005) (0.005:0.005:0.005))
(INTERCONNECT _3528_.Y ANTENNA__3573__B.DIODE (0.005:0.005:0.005) (0.005:0.005:0.005))
(INTERCONNECT _3528_.Y ANTENNA__3551__A.DIODE (0.005:0.005:0.005) (0.005:0.005:0.005))
(INTERCONNECT _3528_.Y ANTENNA__3529__A.DIODE (0.004:0.004:0.004) (0.004:0.004:0.004))
(INTERCONNECT _3529_.X _3536_.A2 (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT _3529_.X _3541_.A2 (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT _3529_.X _3550_.A2 (0.001:0.001:0.001) (0.000:0.000:0.000))
(INTERCONNECT _3529_.X _3566_.A2 (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT _3529_.X _3582_.A2 (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT _3530_.Y _3534_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _3530_.Y _3539_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _3531_.X _3534_.A2 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _3532_.X _3533_.A (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT _3532_.X _3537_.A (0.002:0.002:0.002) (0.002:0.002:0.002))
(INTERCONNECT _3532_.X _3588_.B (0.002:0.002:0.002) (0.002:0.002:0.002))
(INTERCONNECT _3533_.X _3534_.B1 (0.002:0.002:0.002) (0.002:0.002:0.002))
(INTERCONNECT _3533_.X _3549_.B1 (0.002:0.002:0.002) (0.002:0.002:0.002))
(INTERCONNECT _3533_.X _3580_.B1 (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT _3533_.X _3616_.A1 (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT _3533_.X _3620_.B1 (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT _3534_.X _3536_.B1 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _3535_.X _3536_.C1 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _3535_.X _3541_.C1 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _3535_.X _3550_.C1 (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT _3535_.X _3566_.C1 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _3535_.X _3574_.C1 (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT _3536_.X _5258_.D (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _3537_.X _3540_.A (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT _3537_.X _3556_.B1 (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT _3537_.X _3572_.B1 (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT _3537_.X _3602_.B1 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _3537_.X _3608_.B1 (0.001:0.001:0.001) (0.000:0.000:0.000))
(INTERCONNECT _3538_.X _3539_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _3538_.X _3543_.A3 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _3539_.Y _3540_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _3540_.X _3541_.B1 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _3541_.X _5259_.D (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _3542_.X _3543_.B1 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _3543_.X _3547_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _3543_.X _3548_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _3543_.X _3563_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _3544_.Y _3546_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _3544_.Y _3555_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _3544_.Y _3556_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _3545_.X _3546_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _3546_.X _3547_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _3546_.X _3548_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _3546_.X _3563_.A2 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _3547_.Y _3549_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _3547_.Y _3555_.A2 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _3547_.Y _3556_.A2 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _3548_.X _3549_.A2 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _3549_.X _3550_.B1 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _3550_.X _5260_.D (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _3551_.X _3557_.A2 (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT _3551_.X _3565_.A (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT _3551_.X _3589_.A2 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _3551_.X _3603_.A2 (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT _3551_.X _3609_.A2 (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT _3552_.Y _3554_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _3553_.X _3554_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _3553_.X _3562_.B1 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _3554_.Y _3555_.B1 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _3554_.Y _3556_.A3 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _3554_.Y _3563_.A3 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _3555_.Y _3557_.B1 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _3556_.X _3557_.B2 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _3557_.X _5261_.D (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _3558_.X _3560_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _3558_.X _3567_.B1 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _3559_.Y _3560_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _3560_.Y _3564_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _3560_.Y _3567_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _3560_.Y _3591_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _3561_.X _3562_.A3 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _3562_.X _3563_.B1 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _3563_.X _3564_.B (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT _3563_.X _3567_.A2 (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT _3563_.X _3596_.A1 (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT _3564_.Y _3565_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _3565_.Y _3566_.B1 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _3566_.X _5262_.D (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _3567_.Y _3571_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _3567_.Y _3572_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _3567_.Y _3577_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _3567_.Y _3578_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _3568_.Y _3570_.A_N (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _3568_.Y _3577_.C1 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _3568_.Y _3578_.B1 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _3568_.Y _3593_.B1 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _3569_.Y _3570_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _3569_.Y _3577_.A2 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _3569_.Y _3578_.A2 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _3569_.Y _3593_.A2 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _3570_.X _3571_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _3570_.X _3572_.A2 (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT _3570_.X _3591_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _3571_.Y _3574_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _3572_.X _3574_.A2 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _3573_.X _3574_.B1 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _3574_.X _5263_.D (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _3575_.X _3576_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _3575_.X _3590_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _3576_.Y _3577_.B1 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _3576_.Y _3579_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _3577_.X _3580_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _3577_.X _3586_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _3577_.X _3587_.A2 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _3578_.X _3579_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _3579_.Y _3580_.A2 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _3580_.X _3582_.B1 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _3581_.X _3582_.C1 (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT _3581_.X _3589_.C1 (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT _3581_.X _3603_.C1 (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT _3581_.X _3616_.C1 (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT _3581_.X _3632_.C1 (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT _3582_.X _5264_.D (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _3583_.Y _3586_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _3583_.Y _3587_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _3584_.Y _3586_.C (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _3584_.Y _3587_.B1 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _3584_.Y _3590_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _3585_.X _3586_.D (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _3585_.X _3587_.B2 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _3585_.X _3590_.C (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _3585_.X _3594_.C (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _3586_.X _3588_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _3587_.X _3588_.C_N (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _3588_.X _3589_.B1 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _3589_.X _5265_.D (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _3590_.X _3591_.C (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _3590_.X _3595_.B2 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _3591_.X _3596_.A2 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _3592_.Y _3593_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _3593_.Y _3595_.B1 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _3594_.X _3595_.C1 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _3595_.X _3596_.B1 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _3596_.X _3600_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _3596_.X _3601_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _3597_.Y _3599_.A (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT _3597_.Y _3607_.A1 (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT _3597_.Y _3608_.A1 (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT _3597_.Y _3613_.A1 (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT _3597_.Y _3617_.A1 (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT _3598_.X _3599_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _3599_.X _3600_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _3599_.X _3601_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _3600_.Y _3602_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _3600_.Y _3607_.A2 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _3600_.Y _3608_.A2 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _3600_.Y _3613_.A2 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _3600_.Y _3617_.A2 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _3601_.X _3602_.A2 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _3602_.X _3603_.B1 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _3603_.X _5266_.D (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _3604_.Y _3606_.A_N (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _3604_.Y _3613_.B1 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _3604_.Y _3617_.C1 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _3605_.Y _3606_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _3605_.Y _3613_.A3 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _3605_.Y _3617_.A3 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _3606_.X _3607_.B1 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _3606_.X _3608_.A3 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _3607_.Y _3609_.B1 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _3608_.X _3609_.B2 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _3609_.X _5267_.D (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _3610_.Y _3612_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _3610_.Y _3619_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _3610_.Y _3620_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _3611_.X _3612_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _3612_.Y _3614_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _3612_.Y _3617_.B1 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _3613_.X _3614_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _3614_.X _3616_.A2 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _3615_.X _3616_.B1 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _3616_.X _5268_.D (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _3617_.X _3619_.A2 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _3617_.X _3620_.A2 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _3618_.X _3619_.B1 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _3618_.X _3620_.A3 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _3619_.X _3624_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _3620_.Y _3624_.A2 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _3621_.X _3073_.A (0.008:0.008:0.008) (0.008:0.008:0.008))
(INTERCONNECT _3621_.X _3622_.A (0.007:0.007:0.007) (0.007:0.007:0.007))
(INTERCONNECT _3621_.X _5016_.A (0.005:0.005:0.005) (0.005:0.005:0.005))
(INTERCONNECT _3621_.X _5033_.A (0.004:0.004:0.004) (0.004:0.004:0.004))
(INTERCONNECT _3621_.X _5050_.A (0.005:0.005:0.005) (0.005:0.005:0.005))
(INTERCONNECT _3621_.X ANTENNA__5050__A.DIODE (0.005:0.005:0.005) (0.005:0.005:0.005))
(INTERCONNECT _3621_.X ANTENNA__5033__A.DIODE (0.005:0.005:0.005) (0.004:0.004:0.004))
(INTERCONNECT _3621_.X ANTENNA__5016__A.DIODE (0.005:0.005:0.005) (0.005:0.005:0.005))
(INTERCONNECT _3621_.X ANTENNA__3622__A.DIODE (0.007:0.007:0.007) (0.007:0.007:0.007))
(INTERCONNECT _3621_.X ANTENNA__3073__A.DIODE (0.009:0.009:0.009) (0.008:0.008:0.008))
(INTERCONNECT _3622_.X _3096_.B1 (0.003:0.003:0.003) (0.003:0.003:0.003))
(INTERCONNECT _3622_.X _3623_.B1 (0.007:0.007:0.007) (0.007:0.007:0.007))
(INTERCONNECT _3622_.X _3918_.B1 (0.005:0.005:0.005) (0.005:0.005:0.005))
(INTERCONNECT _3622_.X _4021_.B1 (0.004:0.004:0.004) (0.004:0.004:0.004))
(INTERCONNECT _3622_.X _4121_.B1 (0.004:0.004:0.004) (0.004:0.004:0.004))
(INTERCONNECT _3622_.X ANTENNA__4121__B1.DIODE (0.003:0.003:0.003) (0.003:0.003:0.003))
(INTERCONNECT _3622_.X ANTENNA__4021__B1.DIODE (0.003:0.003:0.003) (0.003:0.003:0.003))
(INTERCONNECT _3622_.X ANTENNA__3918__B1.DIODE (0.006:0.006:0.006) (0.006:0.006:0.006))
(INTERCONNECT _3622_.X ANTENNA__3623__B1.DIODE (0.007:0.007:0.007) (0.007:0.007:0.007))
(INTERCONNECT _3622_.X ANTENNA__3096__B1.DIODE (0.003:0.003:0.003) (0.003:0.003:0.003))
(INTERCONNECT _3623_.Y _3624_.B1 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _3624_.Y _5269_.D (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _3625_.Y _3626_.A (0.002:0.002:0.002) (0.001:0.001:0.001))
(INTERCONNECT _3625_.Y _3648_.A (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT _3625_.Y _3670_.B (0.002:0.002:0.002) (0.002:0.002:0.002))
(INTERCONNECT _3625_.Y _3713_.B (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT _3625_.Y _3719_.B (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT _3626_.X _3632_.A2 (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT _3626_.X _3638_.A2 (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT _3626_.X _3647_.A2 (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT _3626_.X _3663_.A2 (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT _3626_.X _3678_.A2 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _3627_.Y _3631_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _3627_.Y _3635_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _3628_.X _3631_.A2 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _3629_.X _3630_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _3629_.X _3633_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _3629_.X _3684_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _3630_.X _3631_.B1 (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT _3630_.X _3646_.B1 (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT _3630_.X _3677_.B1 (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT _3630_.X _3700_.B1 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _3630_.X _3714_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _3631_.X _3632_.B1 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _3632_.X _5270_.D (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _3633_.X _3636_.A (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT _3633_.X _3653_.B1 (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT _3633_.X _3669_.B1 (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT _3633_.X _3706_.B1 (0.001:0.001:0.001) (0.000:0.000:0.000))
(INTERCONNECT _3633_.X _3718_.B1 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _3634_.X _3635_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _3634_.X _3640_.A3 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _3635_.Y _3636_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _3636_.X _3638_.B1 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _3637_.X _3638_.C1 (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT _3637_.X _3647_.C1 (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT _3637_.X _3663_.C1 (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT _3637_.X _3671_.C1 (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT _3637_.X _3678_.C1 (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT _3638_.X _5271_.D (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _3639_.X _3640_.B1 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _3640_.X _3644_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _3640_.X _3645_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _3640_.X _3660_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _3641_.Y _3643_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _3641_.Y _3652_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _3641_.Y _3653_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _3642_.X _3643_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _3643_.X _3644_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _3643_.X _3645_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _3643_.X _3660_.A2 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _3644_.Y _3646_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _3644_.Y _3652_.A2 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _3644_.Y _3653_.A2 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _3645_.X _3646_.A2 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _3646_.X _3647_.B1 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _3647_.X _5272_.D (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _3648_.X _3654_.A2 (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT _3648_.X _3662_.A (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT _3648_.X _3687_.A2 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _3648_.X _3701_.A2 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _3648_.X _3707_.A2 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _3649_.Y _3651_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _3650_.X _3651_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _3650_.X _3659_.B1 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _3651_.Y _3652_.B1 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _3651_.Y _3653_.A3 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _3651_.Y _3660_.A3 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _3652_.Y hold84.A (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _3653_.X _3654_.B2 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _3654_.X hold85.A (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _3655_.X _3657_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _3655_.X _3664_.B1 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _3656_.Y _3657_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _3657_.Y _3661_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _3657_.Y _3664_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _3657_.Y _3689_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _3658_.X _3659_.A3 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _3659_.X _3660_.B1 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _3660_.X _3661_.B (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT _3660_.X _3664_.A2 (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT _3660_.X _3694_.A1 (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT _3661_.Y _3662_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _3662_.Y _3663_.B1 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _3663_.X _5274_.D (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _3664_.Y _3668_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _3664_.Y _3669_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _3664_.Y _3674_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _3664_.Y _3675_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _3665_.Y _3667_.A_N (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _3665_.Y _3674_.C1 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _3665_.Y _3675_.B1 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _3665_.Y _3691_.B1 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _3666_.Y _3667_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _3666_.Y _3674_.A2 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _3666_.Y _3675_.A2 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _3666_.Y _3691_.A2 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _3667_.X _3668_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _3667_.X _3669_.A2 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _3667_.X _3689_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _3668_.Y _3671_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _3669_.X _3671_.A2 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _3670_.X _3671_.B1 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _3671_.X _5275_.D (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _3672_.X _3673_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _3672_.X _3688_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _3673_.Y _3674_.B1 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _3673_.Y _3676_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _3674_.X _3677_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _3674_.X _3682_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _3674_.X _3683_.A2 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _3675_.X _3676_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _3676_.Y _3677_.A2 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _3677_.X _3678_.B1 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _3678_.X _5276_.D (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _3679_.Y _3683_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _3679_.Y hold128.A (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _3680_.Y _3682_.C (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _3680_.Y _3683_.B1 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _3680_.Y _3688_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _3681_.X _3682_.D (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _3681_.X _3683_.B2 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _3681_.X _3688_.C (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _3681_.X _3692_.C (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _3682_.X _3684_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _3683_.X _3684_.C_N (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _3684_.X _3687_.B1 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _3685_.X _3686_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _3685_.X _3734_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _3685_.X _3782_.A (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT _3685_.X _3838_.A (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT _3685_.X _3884_.A (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT _3686_.X _3687_.C1 (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT _3686_.X _3701_.C1 (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT _3686_.X _3714_.C1 (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT _3686_.X _3720_.C1 (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT _3686_.X _3729_.C1 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _3687_.X _5277_.D (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _3688_.X _3689_.C (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _3688_.X _3693_.B2 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _3689_.X _3694_.A2 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _3690_.Y _3691_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _3691_.Y _3693_.B1 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _3692_.X _3693_.C1 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _3693_.X _3694_.B1 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _3694_.X _3698_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _3694_.X _3699_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _3695_.Y _3697_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _3695_.Y _3705_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _3695_.Y _3706_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _3695_.Y _3711_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _3695_.Y _3715_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _3696_.X _3697_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _3697_.X _3698_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _3697_.X _3699_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _3698_.Y _3700_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _3698_.Y _3705_.A2 (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT _3698_.Y _3706_.A2 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _3698_.Y _3711_.A2 (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT _3698_.Y _3715_.A2 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _3699_.X _3700_.A2 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _3700_.X _3701_.B1 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _3701_.X _5278_.D (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _3702_.Y _3704_.A_N (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _3702_.Y _3711_.B1 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _3702_.Y _3715_.C1 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _3703_.Y _3704_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _3703_.Y _3711_.A3 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _3703_.Y _3715_.A3 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _3704_.X _3705_.B1 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _3704_.X _3706_.A3 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _3705_.Y _3707_.B1 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _3706_.X _3707_.B2 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _3707_.X _5279_.D (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _3708_.Y _3710_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _3708_.Y _3717_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _3708_.Y _3718_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _3709_.X _3710_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _3710_.Y _3712_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _3710_.Y _3715_.B1 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _3711_.X _3712_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _3712_.X _3714_.A2 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _3713_.X _3714_.B1 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _3714_.X _5280_.D (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _3715_.X _3717_.A2 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _3715_.X _3718_.A2 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _3716_.X _3717_.B1 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _3716_.X _3718_.A3 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _3717_.Y _3720_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _3718_.X _3720_.A2 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _3719_.X _3720_.B1 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _3720_.X _5281_.D (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _3721_.Y _3722_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _3721_.Y _3765_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _3722_.X _3723_.A (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT _3722_.X _3751_.A2 (0.002:0.002:0.002) (0.001:0.001:0.001))
(INTERCONNECT _3722_.X _3759_.A (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT _3722_.X _3774_.B (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT _3722_.X _3804_.A2 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _3723_.X _3729_.A2 (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT _3723_.X _3735_.A2 (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT _3723_.X _3744_.A2 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _3723_.X _3760_.A2 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _3723_.X _3768_.A2 (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT _3724_.Y _3728_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _3724_.Y _3731_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _3724_.Y _3732_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _3724_.Y _3737_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _3725_.X _3728_.A2 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _3726_.X _3727_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _3726_.X _3803_.B1 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _3727_.X _3728_.B1 (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT _3727_.X _3733_.B1 (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT _3727_.X _3743_.B1 (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT _3727_.X _3749_.B1 (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT _3727_.X _3769_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _3728_.X _3729_.B1 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _3729_.X _5282_.D (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _3730_.Y _3731_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _3730_.Y _3732_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _3730_.Y _3737_.A2 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _3731_.Y _3733_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _3732_.X _3733_.A2 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _3733_.X _3735_.B1 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _3734_.X _3735_.C1 (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT _3734_.X _3744_.C1 (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT _3734_.X _3760_.C1 (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT _3734_.X _3768_.C1 (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT _3734_.X _3775_.C1 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _3735_.X _5283_.D (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _3736_.Y _3737_.B1 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _3737_.Y _3741_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _3737_.Y _3742_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _3737_.Y _3757_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _3738_.Y _3740_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _3738_.Y _3748_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _3738_.Y _3749_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _3739_.X _3740_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _3740_.X _3741_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _3740_.X _3742_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _3740_.X _3757_.A2 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _3741_.Y _3743_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _3741_.Y _3748_.A2 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _3741_.Y _3749_.A2 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _3742_.X _3743_.A2 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _3743_.X _3744_.B1 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _3744_.X _5284_.D (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _3745_.Y _3747_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _3746_.X _3747_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _3746_.X _3756_.B1 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _3747_.Y _3748_.B1 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _3747_.Y _3749_.A3 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _3747_.Y _3757_.A3 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _3748_.Y _3751_.B1 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _3749_.X _3751_.B2 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _3750_.X _3751_.C1 (0.005:0.005:0.005) (0.004:0.004:0.004))
(INTERCONNECT _3750_.X _3804_.C1 (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT _3750_.X _3854_.C1 (0.004:0.004:0.004) (0.003:0.003:0.003))
(INTERCONNECT _3750_.X _3906_.C1 (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT _3750_.X _3953_.C1 (0.004:0.004:0.004) (0.004:0.004:0.004))
(INTERCONNECT _3750_.X ANTENNA__3953__C1.DIODE (0.004:0.004:0.004) (0.004:0.004:0.004))
(INTERCONNECT _3750_.X ANTENNA__3906__C1.DIODE (0.004:0.004:0.004) (0.004:0.004:0.004))
(INTERCONNECT _3750_.X ANTENNA__3854__C1.DIODE (0.004:0.004:0.004) (0.004:0.004:0.004))
(INTERCONNECT _3750_.X ANTENNA__3804__C1.DIODE (0.004:0.004:0.004) (0.004:0.004:0.004))
(INTERCONNECT _3750_.X ANTENNA__3751__C1.DIODE (0.004:0.004:0.004) (0.004:0.004:0.004))
(INTERCONNECT _3751_.X _5285_.D (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _3752_.X _3754_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _3752_.X _3761_.B1 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _3752_.X _3786_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _3753_.Y _3754_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _3754_.Y _3758_.A (0.001:0.001:0.001) (0.000:0.000:0.000))
(INTERCONNECT _3754_.Y _3761_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _3754_.Y _3785_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _3755_.X _3756_.A3 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _3756_.X _3757_.B1 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _3757_.X _3758_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _3757_.X _3761_.A2 (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT _3757_.X _3790_.A1 (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT _3758_.Y _3759_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _3759_.Y _3760_.B1 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _3760_.X _5286_.D (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _3761_.Y _3766_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _3761_.Y _3767_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _3761_.Y _3771_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _3762_.X _3764_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _3762_.X _3772_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _3762_.X _3779_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _3762_.X _3789_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _3763_.Y _3764_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _3763_.Y _3771_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _3763_.Y _3786_.B_N (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _3764_.X _3766_.A2 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _3764_.X _3767_.A2 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _3764_.X _3785_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _3765_.X _3766_.B1 (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT _3765_.X _3781_.B (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT _3765_.X _3797_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _3765_.X _3812_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _3765_.X _3818_.B (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT _3766_.Y _3767_.B1 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _3767_.X _3768_.B1 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _3768_.X _5287_.D (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _3769_.X _3775_.A1 (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT _3769_.X _3783_.A1 (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT _3769_.X _3798_.A1 (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT _3769_.X _3813_.A1 (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT _3769_.X _3819_.A1 (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT _3770_.X _3773_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _3770_.X _3779_.A3 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _3770_.X _3784_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _3771_.Y _3772_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _3771_.Y _3779_.A2 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _3772_.Y _3773_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _3773_.Y _3775_.A2 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _3774_.X _3775_.B1 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _3775_.X _5288_.D (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _3776_.Y _3778_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _3776_.Y _3784_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _3777_.X _3778_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _3777_.X _3784_.C (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _3777_.X _3788_.A3 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _3778_.Y _3780_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _3779_.X _3780_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _3780_.Y _3783_.A2 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _3781_.X _3783_.B1 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _3782_.X _3783_.C1 (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT _3782_.X _3798_.C1 (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT _3782_.X _3813_.C1 (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT _3782_.X _3819_.C1 (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT _3782_.X _3833_.C1 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _3783_.X _5289_.D (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _3784_.X _3785_.C (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _3784_.X _3789_.A3 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _3785_.X _3790_.A2 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _3786_.X _3789_.A2 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _3787_.X _3788_.B1 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _3788_.X _3789_.B1 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _3789_.X _3790_.B1 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _3790_.X _3794_.A (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT _3790_.X _3795_.A (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT _3790_.X _3809_.A1 (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT _3791_.Y _3793_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _3791_.Y _3802_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _3791_.Y _3803_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _3792_.X _3793_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _3793_.X _3794_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _3793_.X _3795_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _3793_.X _3809_.A2 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _3794_.Y _3796_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _3794_.Y _3802_.A2 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _3794_.Y _3803_.A2 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _3795_.X _3796_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _3796_.X _3798_.A2 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _3797_.X _3798_.B1 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _3798_.X _5290_.D (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _3799_.Y _3801_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _3799_.Y _3810_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _3799_.Y _3815_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _3800_.X _3801_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _3800_.X _3808_.B1 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _3801_.Y _3802_.B1 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _3801_.Y _3803_.A3 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _3802_.Y _3804_.B1 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _3803_.X _3804_.B2 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _3804_.X _5291_.D (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _3805_.X _3807_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _3806_.Y _3807_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _3806_.Y _3815_.A3 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _3807_.Y _3811_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _3808_.X _3809_.B1 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _3809_.Y _3810_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _3809_.Y _3815_.A2 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _3810_.X _3811_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _3811_.Y _3813_.A2 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _3812_.X _3813_.B1 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _3813_.X _5292_.D (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _3814_.Y _3815_.B1 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _3815_.X _3817_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _3816_.X _3817_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _3817_.Y _3819_.A2 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _3818_.X _3819_.B1 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _3819_.X _5293_.D (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _3820_.X _3098_.A_N (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _3820_.X _3100_.B (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT _3820_.X _3103_.B (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT _3820_.X _3108_.C (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT _3820_.X _3821_.B (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT _3821_.Y _3822_.A (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT _3821_.Y _3830_.A (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT _3821_.Y _4619_.A (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT _3822_.X _3101_.B (0.003:0.003:0.003) (0.003:0.003:0.003))
(INTERCONNECT _3822_.X _3825_.A (0.004:0.004:0.004) (0.004:0.004:0.004))
(INTERCONNECT _3822_.X _4223_.A (0.008:0.008:0.008) (0.008:0.008:0.008))
(INTERCONNECT _3822_.X _4227_.A (0.008:0.008:0.008) (0.008:0.008:0.008))
(INTERCONNECT _3822_.X _4614_.A (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT _3822_.X ANTENNA__4614__A.DIODE (0.002:0.002:0.002) (0.002:0.002:0.002))
(INTERCONNECT _3822_.X ANTENNA__4227__A.DIODE (0.008:0.008:0.008) (0.008:0.008:0.008))
(INTERCONNECT _3822_.X ANTENNA__4223__A.DIODE (0.008:0.008:0.008) (0.008:0.008:0.008))
(INTERCONNECT _3822_.X ANTENNA__3825__A.DIODE (0.007:0.007:0.007) (0.007:0.007:0.007))
(INTERCONNECT _3822_.X ANTENNA__3101__B.DIODE (0.005:0.005:0.005) (0.004:0.004:0.004))
(INTERCONNECT _3823_.X _3824_.A (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT _3823_.X _3830_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _3823_.X _3927_.A (0.001:0.001:0.001) (0.000:0.000:0.000))
(INTERCONNECT _3823_.X _4030_.A (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT _3824_.X _3825_.B (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT _3824_.X _3922_.A (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT _3824_.X _4025_.A (0.002:0.002:0.002) (0.002:0.002:0.002))
(INTERCONNECT _3824_.X _4125_.A (0.003:0.003:0.003) (0.002:0.002:0.002))
(INTERCONNECT _3824_.X _4130_.A (0.003:0.003:0.003) (0.002:0.002:0.002))
(INTERCONNECT _3825_.Y _3826_.A (0.002:0.002:0.002) (0.002:0.002:0.002))
(INTERCONNECT _3825_.Y _3883_.B (0.002:0.002:0.002) (0.002:0.002:0.002))
(INTERCONNECT _3825_.Y _3899_.B (0.002:0.002:0.002) (0.002:0.002:0.002))
(INTERCONNECT _3825_.Y _3912_.B (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT _3826_.X _3827_.A (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT _3826_.X _3863_.B (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT _3826_.X _3871_.B (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT _3826_.X _3876_.B (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT _3826_.X _3918_.A2 (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT _3827_.X _3833_.A2 (0.004:0.004:0.004) (0.003:0.003:0.003))
(INTERCONNECT _3827_.X _3839_.A2 (0.003:0.003:0.003) (0.003:0.003:0.003))
(INTERCONNECT _3827_.X _3848_.A2 (0.003:0.003:0.003) (0.003:0.003:0.003))
(INTERCONNECT _3827_.X _3854_.A2 (0.003:0.003:0.003) (0.003:0.003:0.003))
(INTERCONNECT _3827_.X _3906_.A2 (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT _3827_.X ANTENNA__3906__A2.DIODE (0.004:0.004:0.004) (0.003:0.003:0.003))
(INTERCONNECT _3827_.X ANTENNA__3854__A2.DIODE (0.004:0.004:0.004) (0.004:0.004:0.004))
(INTERCONNECT _3827_.X ANTENNA__3848__A2.DIODE (0.004:0.004:0.004) (0.003:0.003:0.003))
(INTERCONNECT _3827_.X ANTENNA__3839__A2.DIODE (0.004:0.004:0.004) (0.003:0.003:0.003))
(INTERCONNECT _3827_.X ANTENNA__3833__A2.DIODE (0.004:0.004:0.004) (0.003:0.003:0.003))
(INTERCONNECT _3828_.Y _3832_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _3828_.Y _3835_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _3828_.Y _3836_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _3828_.Y _3841_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _3829_.X _3832_.A2 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _3830_.X _3831_.A (0.004:0.004:0.004) (0.004:0.004:0.004))
(INTERCONNECT _3830_.X _3853_.B1 (0.004:0.004:0.004) (0.004:0.004:0.004))
(INTERCONNECT _3830_.X _3855_.A (0.003:0.003:0.003) (0.003:0.003:0.003))
(INTERCONNECT _3830_.X _3905_.B1 (0.003:0.003:0.003) (0.003:0.003:0.003))
(INTERCONNECT _3830_.X ANTENNA__3905__B1.DIODE (0.005:0.005:0.005) (0.005:0.005:0.005))
(INTERCONNECT _3830_.X ANTENNA__3855__A.DIODE (0.005:0.005:0.005) (0.005:0.005:0.005))
(INTERCONNECT _3830_.X ANTENNA__3853__B1.DIODE (0.005:0.005:0.005) (0.005:0.005:0.005))
(INTERCONNECT _3830_.X ANTENNA__3831__A.DIODE (0.005:0.005:0.005) (0.005:0.005:0.005))
(INTERCONNECT _3831_.X _3832_.B1 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _3831_.X _3837_.B1 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _3831_.X _3847_.B1 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _3831_.X _3870_.B1 (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT _3831_.X _3882_.B1 (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT _3832_.X _3833_.B1 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _3833_.X _5294_.D (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _3834_.Y _3835_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _3834_.Y _3836_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _3834_.Y _3841_.A2 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _3835_.Y _3837_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _3836_.X _3837_.A2 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _3837_.X _3839_.B1 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _3838_.X _3839_.C1 (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT _3838_.X _3848_.C1 (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT _3838_.X _3864_.C1 (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT _3838_.X _3872_.C1 (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT _3838_.X _3877_.C1 (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT _3839_.X _5295_.D (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _3840_.Y _3841_.B1 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _3841_.Y _3845_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _3841_.Y _3846_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _3841_.Y _3861_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _3842_.Y _3844_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _3842_.Y _3852_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _3842_.Y _3853_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _3843_.X _3844_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _3844_.X _3845_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _3844_.X _3846_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _3844_.X _3861_.A2 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _3845_.Y _3847_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _3845_.Y _3852_.A2 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _3845_.Y _3853_.A2 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _3846_.X _3847_.A2 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _3847_.X _3848_.B1 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _3848_.X _5296_.D (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _3849_.Y _3851_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _3850_.X _3851_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _3850_.X _3860_.B1 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _3851_.Y _3852_.B1 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _3851_.Y _3853_.A3 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _3851_.Y _3861_.A3 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _3852_.Y _3854_.B1 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _3853_.X _3854_.B2 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _3854_.X _5297_.D (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _3855_.X _3864_.A1 (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT _3855_.X _3877_.A1 (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT _3855_.X _3900_.A1 (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT _3855_.X _3913_.A1 (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT _3855_.X _3917_.B1 (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT _3856_.X _3858_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _3856_.X _3865_.B1 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _3857_.Y _3858_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _3858_.Y _3862_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _3858_.Y _3865_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _3858_.Y _3887_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _3859_.X _3860_.A3 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _3860_.X _3861_.B1 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _3861_.X _3862_.B (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT _3861_.X _3865_.A2 (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT _3861_.X _3892_.A1 (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT _3862_.X _3864_.A2 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _3863_.X _3864_.B1 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _3864_.X _5298_.D (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _3865_.Y _3869_.A (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT _3865_.Y _3870_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _3865_.Y _3874_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _3866_.Y _3868_.A_N (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _3866_.Y _3874_.B1 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _3866_.Y _3889_.B1 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _3867_.Y _3868_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _3867_.Y _3889_.A2 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _3867_.Y hold127.A (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _3868_.X _3869_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _3868_.X _3870_.A2 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _3868_.X _3887_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _3869_.Y _3872_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _3870_.X _3872_.A2 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _3871_.X _3872_.B1 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _3872_.X _5299_.D (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _3873_.X _3875_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _3873_.X _3880_.B1 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _3873_.X _3886_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _3874_.Y _3875_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _3874_.Y _3880_.B2 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _3875_.X _3877_.A2 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _3876_.X _3877_.B1 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _3877_.X _5300_.D (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _3878_.Y _3881_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _3878_.Y _3882_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _3878_.Y _3886_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _3879_.X _3881_.A2 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _3879_.X _3882_.A2 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _3879_.X _3886_.C (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _3879_.X _3891_.B2 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _3880_.Y _3881_.B1 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _3880_.Y _3882_.A3 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _3881_.Y _3885_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _3882_.X _3885_.A2 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _3883_.X _3885_.B1 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _3884_.X _3885_.C1 (0.002:0.002:0.002) (0.002:0.002:0.002))
(INTERCONNECT _3884_.X _3900_.C1 (0.002:0.002:0.002) (0.002:0.002:0.002))
(INTERCONNECT _3884_.X _3913_.C1 (0.002:0.002:0.002) (0.002:0.002:0.002))
(INTERCONNECT _3884_.X _3930_.C1 (0.002:0.002:0.002) (0.002:0.002:0.002))
(INTERCONNECT _3884_.X _3936_.C1 (0.002:0.002:0.002) (0.002:0.002:0.002))
(INTERCONNECT _3885_.X _5301_.D (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _3886_.X _3887_.C (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _3886_.X _3891_.A2 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _3887_.X _3892_.A2 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _3888_.Y _3889_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _3889_.Y _3891_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _3890_.X _3891_.B1 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _3891_.X _3892_.B1 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _3892_.X _3896_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _3892_.X _3897_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _3893_.Y _3895_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _3893_.Y _3904_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _3893_.Y _3905_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _3893_.Y _3910_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _3893_.Y _3914_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _3894_.X _3895_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _3895_.X _3896_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _3895_.X _3897_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _3896_.Y _3898_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _3896_.Y _3904_.A2 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _3896_.Y _3905_.A2 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _3896_.Y _3910_.A2 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _3896_.Y _3914_.A2 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _3897_.X _3898_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _3898_.X _3900_.A2 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _3899_.X _3900_.B1 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _3900_.X _5302_.D (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _3901_.Y _3903_.A_N (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _3901_.Y _3910_.B1 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _3901_.Y _3914_.C1 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _3902_.Y _3903_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _3902_.Y _3910_.A3 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _3902_.Y _3914_.A3 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _3903_.X _3904_.B1 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _3903_.X _3905_.A3 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _3904_.Y _3906_.B1 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _3905_.X _3906_.B2 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _3906_.X _5303_.D (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _3907_.Y hold87.A (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _3908_.X _3909_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _3909_.Y _3914_.B1 (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT _3909_.Y hold88.A (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _3910_.X _3911_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _3911_.X hold89.A (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _3912_.X _3913_.B1 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _3913_.X _5304_.D (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _3914_.X _3916_.A2 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _3914_.X _3917_.A2 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _3915_.X _3916_.B1 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _3915_.X _3917_.A3 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _3916_.X _3919_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _3917_.Y _3919_.A2 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _3918_.Y _3919_.B1 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _3919_.Y _5305_.D (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _3920_.X _3921_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _3920_.X _4716_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _3921_.X _3922_.B (0.002:0.002:0.002) (0.002:0.002:0.002))
(INTERCONNECT _3921_.X _3927_.B (0.002:0.002:0.002) (0.002:0.002:0.002))
(INTERCONNECT _3921_.X _4321_.A (0.002:0.002:0.002) (0.002:0.002:0.002))
(INTERCONNECT _3921_.X _4326_.A (0.002:0.002:0.002) (0.002:0.002:0.002))
(INTERCONNECT _3921_.X _4711_.A (0.003:0.003:0.003) (0.003:0.003:0.003))
(INTERCONNECT _3921_.X ANTENNA__4711__A.DIODE (0.004:0.004:0.004) (0.004:0.004:0.004))
(INTERCONNECT _3921_.X ANTENNA__4326__A.DIODE (0.003:0.003:0.003) (0.003:0.003:0.003))
(INTERCONNECT _3921_.X ANTENNA__4321__A.DIODE (0.003:0.003:0.003) (0.003:0.003:0.003))
(INTERCONNECT _3921_.X ANTENNA__3927__B.DIODE (0.004:0.004:0.004) (0.004:0.004:0.004))
(INTERCONNECT _3921_.X ANTENNA__3922__B.DIODE (0.003:0.003:0.003) (0.003:0.003:0.003))
(INTERCONNECT _3922_.Y _3923_.A (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT _3922_.Y _3969_.B (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT _3922_.Y _3998_.B (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT _3922_.Y _4014_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _3923_.X _3924_.A (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT _3923_.X _3953_.A2 (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT _3923_.X _3961_.B (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT _3923_.X _4008_.A2 (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT _3923_.X _4021_.A2 (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT _3924_.X _3930_.A2 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _3924_.X _3936_.A2 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _3924_.X _3947_.A2 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _3924_.X _3977_.A2 (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT _3924_.X _3984_.A2 (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT _3925_.Y _3929_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _3925_.Y _3932_.A (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT _3925_.Y _3933_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _3925_.Y _3938_.A1 (0.001:0.001:0.001) (0.000:0.000:0.000))
(INTERCONNECT _3926_.X _3929_.A2 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _3927_.X _3928_.A (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT _3927_.X _3934_.A (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT _3927_.X _3983_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _3927_.X _4005_.B1 (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT _3928_.X _3929_.B1 (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT _3928_.X _3962_.A1 (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT _3928_.X _4000_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _3928_.X _4015_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _3928_.X _4022_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _3929_.X _3930_.B1 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _3930_.X _5306_.D (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _3931_.Y _3932_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _3931_.Y _3933_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _3931_.Y _3938_.A2 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _3932_.Y _3935_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _3933_.X _3935_.A2 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _3934_.X _3935_.B1 (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT _3934_.X _3944_.B1 (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT _3934_.X _3952_.B1 (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT _3934_.X _3968_.B1 (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT _3934_.X _3976_.B1 (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT _3935_.X _3936_.B1 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _3936_.X _5307_.D (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _3937_.Y _3938_.B1 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _3938_.Y _3942_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _3938_.Y _3943_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _3938_.Y _3959_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _3939_.Y _3941_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _3939_.Y _3951_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _3939_.Y _3952_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _3940_.X _3941_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _3941_.X _3942_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _3941_.X _3943_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _3941_.X _3959_.A2 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _3942_.Y _3944_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _3942_.Y _3951_.A2 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _3942_.Y _3952_.A2 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _3943_.X _3944_.A2 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _3944_.X _3947_.B1 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _3945_.X _3946_.A (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT _3945_.X _3999_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _3945_.X _4063_.A (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT _3945_.X _4114_.A (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT _3945_.X _4172_.A (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT _3946_.X _3947_.C1 (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT _3946_.X _3962_.C1 (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT _3946_.X _3970_.C1 (0.002:0.002:0.002) (0.002:0.002:0.002))
(INTERCONNECT _3946_.X _3977_.C1 (0.002:0.002:0.002) (0.002:0.002:0.002))
(INTERCONNECT _3946_.X _3984_.C1 (0.002:0.002:0.002) (0.002:0.002:0.002))
(INTERCONNECT _3947_.X _5308_.D (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _3948_.Y _3950_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _3949_.X _3950_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _3949_.X _3958_.B1 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _3950_.Y _3951_.B1 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _3950_.Y _3952_.A3 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _3950_.Y _3959_.A3 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _3951_.Y _3953_.B1 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _3952_.X _3953_.B2 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _3953_.X _5309_.D (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _3954_.X _3956_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _3954_.X _3963_.B1 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _3955_.Y _3956_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _3956_.Y _3960_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _3956_.Y _3963_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _3956_.Y _3986_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _3957_.X _3958_.A3 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _3958_.X _3959_.B1 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _3959_.X _3960_.B (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT _3959_.X _3963_.A2 (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT _3959_.X _3991_.A1 (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT _3960_.X _3962_.A2 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _3961_.X _3962_.B1 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _3962_.X _5310_.D (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _3963_.Y _3967_.A (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT _3963_.Y _3968_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _3963_.Y _3973_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _3963_.Y _3974_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _3964_.Y _3966_.A_N (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _3964_.Y _3973_.C1 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _3964_.Y _3974_.B1 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _3964_.Y _3988_.B1 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _3965_.Y _3966_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _3965_.Y _3988_.A2 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _3965_.Y hold51.A (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _3966_.X _3967_.B (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT _3966_.X _3968_.A2 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _3966_.X _3986_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _3967_.Y _3970_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _3968_.X _3970_.A2 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _3969_.X _3970_.B1 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _3970_.X _5311_.D (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _3971_.X _3972_.A (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT _3971_.X _3985_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _3972_.Y _3973_.B1 (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT _3972_.Y _3975_.A (0.002:0.002:0.002) (0.002:0.002:0.002))
(INTERCONNECT _3973_.X _3981_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _3973_.X _3982_.A2 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _3973_.X hold52.A (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _3974_.X _3975_.B (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT _3975_.Y _3976_.A2 (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT _3976_.X _3977_.B1 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _3977_.X hold53.A (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _3978_.Y _3981_.A (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT _3978_.Y _3982_.A1 (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT _3979_.Y _3981_.C (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT _3979_.Y _3982_.B1 (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT _3979_.Y _3985_.B (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT _3980_.X _3981_.D (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _3980_.X _3982_.B2 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _3980_.X _3985_.C (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _3980_.X _3989_.C (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _3981_.X _3983_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _3982_.X _3983_.C_N (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _3983_.X hold71.A (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _3984_.X hold70.A (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _3985_.X _3986_.C (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _3985_.X _3990_.B2 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _3986_.X _3991_.A2 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _3987_.Y _3988_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _3988_.Y _3990_.B1 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _3989_.X _3990_.C1 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _3990_.X _3991_.B1 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _3991_.X _3995_.A (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT _3991_.X _3996_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _3992_.Y _3994_.A (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT _3992_.Y _4004_.A1 (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT _3992_.Y _4005_.A1 (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT _3992_.Y _4012_.A1 (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT _3992_.Y _4016_.A1 (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT _3993_.X _3994_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _3994_.X _3995_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _3994_.X _3996_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _3995_.Y _3997_.A (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT _3995_.Y _4004_.A2 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _3995_.Y _4005_.A2 (0.001:0.001:0.001) (0.000:0.000:0.000))
(INTERCONNECT _3995_.Y _4012_.A2 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _3995_.Y _4016_.A2 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _3996_.X _3997_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _3997_.X _4000_.A2 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _3998_.X _4000_.B1 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _3999_.X _4000_.C1 (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT _3999_.X _4015_.C1 (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT _3999_.X _4033_.C1 (0.002:0.002:0.002) (0.002:0.002:0.002))
(INTERCONNECT _3999_.X _4039_.C1 (0.002:0.002:0.002) (0.002:0.002:0.002))
(INTERCONNECT _3999_.X _4048_.C1 (0.002:0.002:0.002) (0.002:0.002:0.002))
(INTERCONNECT _4000_.X _5314_.D (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _4001_.Y _4003_.A_N (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _4001_.Y _4012_.B1 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _4001_.Y _4016_.C1 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _4002_.Y _4003_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _4002_.Y _4012_.A3 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _4002_.Y _4016_.A3 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _4003_.X _4004_.B1 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _4003_.X _4005_.A3 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _4004_.Y _4008_.B1 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _4005_.X _4008_.B2 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _4006_.X _4007_.A (0.004:0.004:0.004) (0.004:0.004:0.004))
(INTERCONNECT _4006_.X _4252_.A (0.004:0.004:0.004) (0.004:0.004:0.004))
(INTERCONNECT _4006_.X _4500_.A (0.003:0.003:0.003) (0.003:0.003:0.003))
(INTERCONNECT _4006_.X _4742_.A (0.010:0.010:0.010) (0.010:0.010:0.010))
(INTERCONNECT _4006_.X _4991_.A (0.009:0.009:0.009) (0.009:0.009:0.009))
(INTERCONNECT _4006_.X ANTENNA__4991__A.DIODE (0.010:0.010:0.010) (0.010:0.010:0.010))
(INTERCONNECT _4006_.X ANTENNA__4742__A.DIODE (0.010:0.010:0.010) (0.010:0.010:0.010))
(INTERCONNECT _4006_.X ANTENNA__4500__A.DIODE (0.003:0.003:0.003) (0.003:0.003:0.003))
(INTERCONNECT _4006_.X ANTENNA__4252__A.DIODE (0.005:0.005:0.005) (0.005:0.005:0.005))
(INTERCONNECT _4006_.X ANTENNA__4007__A.DIODE (0.003:0.003:0.003) (0.003:0.003:0.003))
(INTERCONNECT _4007_.X _4008_.C1 (0.003:0.003:0.003) (0.003:0.003:0.003))
(INTERCONNECT _4007_.X _4054_.C1 (0.007:0.007:0.007) (0.007:0.007:0.007))
(INTERCONNECT _4007_.X _4107_.C1 (0.003:0.003:0.003) (0.003:0.003:0.003))
(INTERCONNECT _4007_.X _4155_.C1 (0.006:0.006:0.006) (0.006:0.006:0.006))
(INTERCONNECT _4007_.X _4205_.C1 (0.003:0.003:0.003) (0.003:0.003:0.003))
(INTERCONNECT _4007_.X ANTENNA__4205__C1.DIODE (0.004:0.004:0.004) (0.003:0.003:0.003))
(INTERCONNECT _4007_.X ANTENNA__4155__C1.DIODE (0.006:0.006:0.006) (0.006:0.006:0.006))
(INTERCONNECT _4007_.X ANTENNA__4107__C1.DIODE (0.002:0.002:0.002) (0.002:0.002:0.002))
(INTERCONNECT _4007_.X ANTENNA__4054__C1.DIODE (0.007:0.007:0.007) (0.007:0.007:0.007))
(INTERCONNECT _4007_.X ANTENNA__4008__C1.DIODE (0.007:0.007:0.007) (0.007:0.007:0.007))
(INTERCONNECT _4008_.X _5315_.D (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _4009_.Y _4011_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _4009_.Y _4019_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _4009_.Y _4020_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _4010_.X _4011_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _4011_.Y _4013_.A (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT _4011_.Y _4016_.B1 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _4012_.X _4013_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _4013_.X _4015_.A2 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _4014_.X _4015_.B1 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _4015_.X _5316_.D (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _4016_.X _4019_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _4016_.X _4020_.A2 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _4017_.X _4019_.C (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _4017_.X _4020_.B1 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _4018_.Y _4019_.D (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _4018_.Y _4020_.B2 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _4019_.X _4022_.A2 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _4020_.Y _4022_.A3 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _4021_.X _4022_.B1 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _4022_.X _5317_.D (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _4023_.X _4024_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _4023_.X _4815_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _4024_.X _4025_.B (0.008:0.008:0.008) (0.008:0.008:0.008))
(INTERCONNECT _4024_.X _4030_.B (0.007:0.007:0.007) (0.007:0.007:0.007))
(INTERCONNECT _4024_.X _4418_.A (0.011:0.011:0.011) (0.011:0.011:0.011))
(INTERCONNECT _4024_.X _4423_.A (0.011:0.011:0.011) (0.011:0.011:0.011))
(INTERCONNECT _4024_.X _4810_.A (0.003:0.003:0.003) (0.003:0.003:0.003))
(INTERCONNECT _4024_.X ANTENNA__4810__A.DIODE (0.005:0.005:0.005) (0.005:0.005:0.005))
(INTERCONNECT _4024_.X ANTENNA__4423__A.DIODE (0.010:0.010:0.010) (0.010:0.010:0.010))
(INTERCONNECT _4024_.X ANTENNA__4418__A.DIODE (0.011:0.011:0.011) (0.011:0.011:0.011))
(INTERCONNECT _4024_.X ANTENNA__4030__B.DIODE (0.010:0.010:0.010) (0.010:0.010:0.010))
(INTERCONNECT _4024_.X ANTENNA__4025__B.DIODE (0.010:0.010:0.010) (0.010:0.010:0.010))
(INTERCONNECT _4025_.Y _4026_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _4025_.Y _4071_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _4025_.Y _4100_.B (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT _4025_.Y _4113_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _4026_.X _4027_.A (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT _4026_.X _4054_.A2 (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT _4026_.X _4062_.B (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT _4026_.X _4107_.A2 (0.001:0.001:0.001) (0.000:0.000:0.000))
(INTERCONNECT _4026_.X _4121_.A2 (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT _4027_.X _4033_.A2 (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT _4027_.X _4039_.A2 (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT _4027_.X _4048_.A2 (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT _4027_.X _4079_.A2 (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT _4027_.X _4086_.A2 (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT _4028_.Y _4032_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _4028_.Y _4035_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _4028_.Y _4036_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _4028_.Y _4041_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _4029_.X _4032_.A2 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _4030_.X _4031_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _4030_.X _4037_.A (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT _4030_.X _4085_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _4030_.X _4106_.B1 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _4031_.X _4032_.B1 (0.002:0.002:0.002) (0.002:0.002:0.002))
(INTERCONNECT _4031_.X _4064_.A1 (0.002:0.002:0.002) (0.001:0.001:0.001))
(INTERCONNECT _4031_.X _4101_.A1 (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT _4031_.X _4115_.A1 (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT _4031_.X _4122_.A1 (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT _4032_.X hold91.A (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _4033_.X hold90.A (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _4034_.Y _4035_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _4034_.Y _4036_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _4034_.Y _4041_.A2 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _4035_.Y _4038_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _4036_.X _4038_.A2 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _4037_.X _4038_.B1 (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT _4037_.X _4047_.B1 (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT _4037_.X _4053_.B1 (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT _4037_.X _4070_.B1 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _4037_.X _4078_.B1 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _4038_.X _4039_.B1 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _4039_.X _5319_.D (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _4040_.Y _4041_.B1 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _4041_.Y _4045_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _4041_.Y _4046_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _4041_.Y _4060_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _4042_.Y _4044_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _4042_.Y _4052_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _4042_.Y _4053_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _4043_.X _4044_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _4044_.X _4045_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _4044_.X _4046_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _4044_.X _4060_.A2 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _4045_.Y _4047_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _4045_.Y _4052_.A2 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _4045_.Y _4053_.A2 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _4046_.X _4047_.A2 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _4047_.X _4048_.B1 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _4048_.X _5320_.D (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _4049_.Y _4051_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _4050_.X _4051_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _4050_.X _4059_.B1 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _4051_.Y _4052_.B1 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _4051_.Y _4053_.A3 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _4051_.Y _4060_.A3 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _4052_.Y _4054_.B1 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _4053_.X _4054_.B2 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _4054_.X _5321_.D (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _4055_.X _4057_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _4055_.X _4065_.B1 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _4056_.Y _4057_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _4057_.Y _4061_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _4057_.Y _4065_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _4057_.Y _4088_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _4058_.X _4059_.A3 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _4059_.X _4060_.B1 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _4060_.X _4061_.B (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT _4060_.X _4065_.A2 (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT _4060_.X _4093_.A1 (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT _4061_.X _4064_.A2 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _4062_.X _4064_.B1 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _4063_.X _4064_.C1 (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT _4063_.X _4072_.C1 (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT _4063_.X _4079_.C1 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _4063_.X _4086_.C1 (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT _4063_.X _4101_.C1 (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT _4064_.X _5322_.D (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _4065_.Y _4069_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _4065_.Y _4070_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _4065_.Y _4075_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _4065_.Y _4076_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _4066_.Y _4068_.A_N (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _4066_.Y _4075_.C1 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _4066_.Y _4076_.B1 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _4066_.Y _4090_.B1 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _4067_.Y _4068_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _4067_.Y _4075_.A2 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _4067_.Y _4076_.A2 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _4067_.Y _4090_.A2 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _4068_.X _4069_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _4068_.X _4070_.A2 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _4068_.X _4088_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _4069_.Y _4072_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _4070_.X _4072_.A2 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _4071_.X _4072_.B1 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _4072_.X _5323_.D (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _4073_.X _4074_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _4073_.X _4087_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _4074_.Y _4075_.B1 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _4074_.Y _4077_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _4075_.X _4078_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _4075_.X _4083_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _4075_.X _4084_.A2 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _4076_.X _4077_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _4077_.Y _4078_.A2 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _4078_.X _4079_.B1 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _4079_.X _5324_.D (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _4080_.Y _4083_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _4080_.Y _4084_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _4081_.Y _4083_.C (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _4081_.Y _4084_.B1 (0.001:0.001:0.001) (0.000:0.000:0.000))
(INTERCONNECT _4081_.Y _4087_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _4082_.X _4083_.D (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _4082_.X _4084_.B2 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _4082_.X _4087_.C (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _4082_.X _4091_.C (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _4083_.X _4085_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _4084_.X _4085_.C_N (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _4085_.X _4086_.B1 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _4086_.X _5325_.D (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _4087_.X _4088_.C (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _4087_.X _4092_.B2 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _4088_.X _4093_.A2 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _4089_.Y _4090_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _4090_.Y _4092_.B1 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _4091_.X _4092_.C1 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _4092_.X _4093_.B1 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _4093_.X _4097_.A (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT _4093_.X _4098_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _4094_.Y _4096_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _4094_.Y _4105_.A1 (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT _4094_.Y _4106_.A1 (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT _4094_.Y _4111_.A1 (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT _4094_.Y _4116_.A1 (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT _4095_.X _4096_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _4096_.X _4097_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _4096_.X _4098_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _4097_.Y _4099_.A (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT _4097_.Y _4105_.A2 (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT _4097_.Y _4106_.A2 (0.001:0.001:0.001) (0.000:0.000:0.000))
(INTERCONNECT _4097_.Y _4111_.A2 (0.001:0.001:0.001) (0.000:0.000:0.000))
(INTERCONNECT _4097_.Y _4116_.A2 (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT _4098_.X _4099_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _4099_.X _4101_.A2 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _4100_.X _4101_.B1 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _4101_.X _5326_.D (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _4102_.Y _4104_.A_N (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _4102_.Y _4111_.B1 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _4102_.Y _4116_.C1 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _4103_.Y _4104_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _4103_.Y _4111_.A3 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _4103_.Y _4116_.A3 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _4104_.X _4105_.B1 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _4104_.X _4106_.A3 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _4105_.Y _4107_.B1 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _4106_.X _4107_.B2 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _4107_.X _5327_.D (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _4108_.Y _4110_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _4108_.Y _4119_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _4108_.Y _4120_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _4109_.X _4110_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _4110_.Y _4112_.A (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT _4110_.Y _4116_.B1 (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT _4111_.X _4112_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _4112_.X _4115_.A2 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _4113_.X _4115_.B1 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _4114_.X _4115_.C1 (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT _4114_.X _4133_.C1 (0.002:0.002:0.002) (0.001:0.001:0.001))
(INTERCONNECT _4114_.X _4138_.C1 (0.002:0.002:0.002) (0.002:0.002:0.002))
(INTERCONNECT _4114_.X _4147_.C1 (0.002:0.002:0.002) (0.002:0.002:0.002))
(INTERCONNECT _4114_.X _4165_.C1 (0.002:0.002:0.002) (0.002:0.002:0.002))
(INTERCONNECT _4115_.X _5328_.D (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _4116_.X _4119_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _4116_.X _4120_.A2 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _4117_.X _4119_.C (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _4117_.X _4120_.B1 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _4118_.Y _4119_.D (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _4118_.Y _4120_.B2 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _4119_.X _4122_.A2 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _4120_.Y _4122_.A3 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _4121_.X _4122_.B1 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _4122_.X _5329_.D (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _4123_.X _4913_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _4123_.X hold18.A (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _4124_.X _4125_.B (0.009:0.009:0.009) (0.008:0.008:0.008))
(INTERCONNECT _4124_.X _4130_.B (0.009:0.009:0.009) (0.008:0.008:0.008))
(INTERCONNECT _4124_.X _4515_.A (0.008:0.008:0.008) (0.008:0.008:0.008))
(INTERCONNECT _4124_.X _4520_.A (0.007:0.007:0.007) (0.007:0.007:0.007))
(INTERCONNECT _4124_.X _4908_.A (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT _4124_.X ANTENNA__4908__A.DIODE (0.003:0.003:0.003) (0.003:0.003:0.003))
(INTERCONNECT _4124_.X ANTENNA__4520__A.DIODE (0.008:0.008:0.008) (0.008:0.008:0.008))
(INTERCONNECT _4124_.X ANTENNA__4515__A.DIODE (0.008:0.008:0.008) (0.008:0.008:0.008))
(INTERCONNECT _4124_.X ANTENNA__4130__B.DIODE (0.009:0.009:0.009) (0.009:0.009:0.009))
(INTERCONNECT _4124_.X ANTENNA__4125__B.DIODE (0.009:0.009:0.009) (0.009:0.009:0.009))
(INTERCONNECT _4125_.Y _4126_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _4125_.Y _4148_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _4126_.X _4127_.A (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT _4126_.X _4171_.B (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT _4126_.X _4185_.B (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT _4126_.X _4211_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _4126_.X _4217_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _4127_.X _4133_.A2 (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT _4127_.X _4138_.A2 (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT _4127_.X _4147_.A2 (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT _4127_.X _4165_.A2 (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT _4127_.X _4178_.A2 (0.001:0.001:0.001) (0.000:0.000:0.000))
(INTERCONNECT _4128_.Y _4132_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _4128_.Y hold75.A (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _4129_.X _4132_.A2 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _4130_.X _4131_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _4130_.X _4153_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _4131_.X _4132_.B1 (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT _4131_.X _4137_.B1 (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT _4131_.X _4146_.B1 (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT _4131_.X _4173_.A1 (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT _4131_.X _4212_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _4132_.X _4133_.B1 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _4133_.X _5330_.D (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _4134_.Y _4135_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _4134_.Y _4136_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _4134_.Y _4140_.A2 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _4135_.Y hold76.A (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _4136_.X _4137_.A2 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _4137_.X _4138_.B1 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _4138_.X hold77.A (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _4139_.Y _4140_.B1 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _4140_.Y _4144_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _4140_.Y _4161_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _4140_.Y hold119.A (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _4141_.Y _4143_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _4141_.Y _4152_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _4141_.Y _4154_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _4142_.X _4143_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _4143_.X _4144_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _4143_.X _4145_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _4143_.X _4161_.A2 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _4144_.Y _4152_.A2 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _4144_.Y _4154_.A2 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _4144_.Y hold118.A (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _4145_.X _4146_.A2 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _4146_.X _4147_.B1 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _4147_.X _5332_.D (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _4148_.X _4155_.A2 (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT _4148_.X _4177_.A (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT _4148_.X _4184_.B1 (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT _4148_.X _4199_.A2 (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT _4148_.X _4205_.A2 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _4149_.Y _4151_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _4150_.X _4151_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _4150_.X _4160_.B1 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _4151_.Y _4152_.B1 (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT _4151_.Y _4154_.A3 (0.001:0.001:0.001) (0.000:0.000:0.000))
(INTERCONNECT _4151_.Y _4161_.A3 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _4152_.Y hold61.A (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _4153_.X _4154_.B1 (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT _4153_.X _4164_.B1 (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT _4153_.X _4198_.B1 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _4153_.X _4204_.B1 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _4153_.X _4216_.B1 (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT _4154_.X _4155_.B2 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _4155_.X hold62.A (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _4156_.X _4158_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _4156_.X _4166_.B1 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _4157_.Y _4158_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _4158_.Y _4162_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _4158_.Y _4163_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _4158_.Y _4166_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _4158_.Y _4192_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _4159_.X _4160_.A3 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _4160_.X _4161_.B1 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _4161_.X _4162_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _4161_.X _4163_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _4161_.X _4166_.A2 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _4161_.X _4192_.A2 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _4162_.Y _4164_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _4163_.X _4164_.A2 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _4164_.X _4165_.B1 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _4165_.X _5334_.D (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _4166_.Y _4170_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _4166_.Y _4175_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _4167_.Y _4169_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _4167_.Y _4175_.B1 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _4167_.Y _4191_.C1 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _4168_.Y _4169_.B_N (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _4168_.Y _4175_.A2 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _4168_.Y _4191_.A2 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _4169_.X _4170_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _4169_.X _4189_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _4170_.X _4173_.A2 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _4171_.X _4173_.B1 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _4172_.X _4173_.C1 (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT _4172_.X _4178_.C1 (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT _4172_.X _4186_.C1 (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT _4172_.X _4199_.C1 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _4172_.X _4212_.C1 (0.001:0.001:0.001) (0.000:0.000:0.000))
(INTERCONNECT _4173_.X _5335_.D (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _4174_.Y _4176_.A (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT _4174_.Y _4182_.A1 (0.001:0.001:0.001) (0.000:0.000:0.000))
(INTERCONNECT _4174_.Y _4188_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _4175_.X _4176_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _4175_.X _4182_.A2 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _4176_.Y _4177_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _4177_.Y _4178_.B1 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _4178_.X _5336_.D (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _4179_.X _4183_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _4179_.X _4184_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _4179_.X _4188_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _4179_.X _4192_.C1 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _4180_.Y _4183_.A2 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _4180_.Y _4184_.A2 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _4180_.Y _4187_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _4180_.Y _4188_.C (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _4181_.Y _4182_.B1 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _4181_.Y _4187_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _4182_.Y _4183_.B1 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _4182_.Y _4184_.A3 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _4183_.X _4186_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _4184_.Y _4186_.A2 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _4185_.X _4186_.B1 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _4186_.X _5337_.D (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _4187_.X _4196_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _4187_.X _4197_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _4188_.X _4189_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _4188_.X _4191_.B1 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _4189_.Y _4192_.A3 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _4190_.Y _4191_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _4191_.Y _4192_.B1 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _4192_.Y _4196_.A2 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _4192_.Y _4197_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _4193_.Y _4195_.A (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT _4193_.Y _4203_.A1 (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT _4193_.Y _4204_.A1 (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT _4193_.Y _4209_.A1 (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT _4193_.Y _4213_.A1 (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT _4194_.X _4195_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _4195_.Y _4196_.B1 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _4195_.Y _4197_.C (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _4196_.X _4198_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _4196_.X _4203_.A2 (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT _4196_.X _4204_.A2 (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT _4196_.X _4209_.A2 (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT _4196_.X _4213_.A2 (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT _4197_.Y _4198_.A2 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _4198_.X _4199_.B1 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _4199_.X _5338_.D (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _4200_.Y _4202_.A_N (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _4200_.Y _4209_.B1 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _4200_.Y _4213_.C1 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _4201_.Y _4202_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _4201_.Y _4209_.A3 (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT _4201_.Y _4213_.A3 (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT _4202_.X _4203_.B1 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _4202_.X _4204_.A3 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _4203_.Y _4205_.B1 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _4204_.X _4205_.B2 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _4205_.X _5339_.D (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _4206_.Y _4208_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _4206_.Y _4215_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _4206_.Y _4216_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _4207_.X _4208_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _4208_.Y _4210_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _4208_.Y _4213_.B1 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _4209_.X _4210_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _4210_.X _4212_.A2 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _4211_.X _4212_.B1 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _4212_.X _5340_.D (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _4213_.X _4215_.A2 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _4213_.X _4216_.A2 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _4214_.X _4215_.B1 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _4214_.X _4216_.A3 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _4215_.Y _4220_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _4216_.X _4220_.A2 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _4217_.X _4220_.B1 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _4218_.X _4219_.A (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT _4218_.X _4270_.A (0.002:0.002:0.002) (0.002:0.002:0.002))
(INTERCONNECT _4218_.X _4329_.A (0.002:0.002:0.002) (0.002:0.002:0.002))
(INTERCONNECT _4218_.X _4375_.A (0.002:0.002:0.002) (0.002:0.002:0.002))
(INTERCONNECT _4218_.X _4426_.A (0.002:0.002:0.002) (0.002:0.002:0.002))
(INTERCONNECT _4218_.X ANTENNA__4426__A.DIODE (0.002:0.002:0.002) (0.002:0.002:0.002))
(INTERCONNECT _4218_.X ANTENNA__4375__A.DIODE (0.002:0.002:0.002) (0.002:0.002:0.002))
(INTERCONNECT _4218_.X ANTENNA__4329__A.DIODE (0.002:0.002:0.002) (0.002:0.002:0.002))
(INTERCONNECT _4218_.X ANTENNA__4270__A.DIODE (0.002:0.002:0.002) (0.002:0.002:0.002))
(INTERCONNECT _4218_.X ANTENNA__4219__A.DIODE (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT _4219_.X _4220_.C1 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _4219_.X _4230_.C1 (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT _4219_.X _4235_.C1 (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT _4219_.X _4245_.C1 (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT _4219_.X _4262_.C1 (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT _4220_.X _5341_.D (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _4221_.X _4222_.A (0.009:0.009:0.009) (0.008:0.008:0.008))
(INTERCONNECT _4221_.X _4326_.B (0.008:0.008:0.008) (0.008:0.008:0.008))
(INTERCONNECT _4221_.X _4423_.B (0.008:0.008:0.008) (0.008:0.008:0.008))
(INTERCONNECT _4221_.X _4520_.B (0.007:0.007:0.007) (0.006:0.006:0.006))
(INTERCONNECT _4221_.X ANTENNA__4520__B.DIODE (0.007:0.007:0.007) (0.006:0.006:0.006))
(INTERCONNECT _4221_.X ANTENNA__4423__B.DIODE (0.009:0.009:0.009) (0.009:0.009:0.009))
(INTERCONNECT _4221_.X ANTENNA__4326__B.DIODE (0.009:0.009:0.009) (0.009:0.009:0.009))
(INTERCONNECT _4221_.X ANTENNA__4222__A.DIODE (0.009:0.009:0.009) (0.009:0.009:0.009))
(INTERCONNECT _4222_.X _4223_.B (0.002:0.002:0.002) (0.002:0.002:0.002))
(INTERCONNECT _4222_.X _4227_.B (0.002:0.002:0.002) (0.002:0.002:0.002))
(INTERCONNECT _4222_.X _4321_.B (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT _4222_.X _4418_.B (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT _4222_.X _4515_.B (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT _4223_.Y _4224_.A (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT _4223_.Y _4246_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _4223_.Y _4269_.B (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT _4223_.Y _4299_.B (0.001:0.001:0.001) (0.000:0.000:0.000))
(INTERCONNECT _4223_.Y _4312_.B (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT _4224_.X _4230_.A2 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _4224_.X _4235_.A2 (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT _4224_.X _4245_.A2 (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT _4224_.X _4262_.A2 (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT _4224_.X _4278_.A2 (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT _4225_.Y _4229_.A1 (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT _4225_.Y _4232_.A (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT _4225_.Y _4233_.A (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT _4225_.Y _4237_.A1 (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT _4226_.X _4229_.A2 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _4227_.X _4228_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _4227_.X _4243_.A (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT _4227_.X _4284_.A (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT _4228_.X _4229_.B1 (0.001:0.001:0.001) (0.000:0.000:0.000))
(INTERCONNECT _4228_.X _4234_.B1 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _4228_.X _4300_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _4228_.X _4313_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _4228_.X _4320_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _4229_.X _4230_.B1 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _4230_.X _5342_.D (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _4231_.Y _4232_.B (0.001:0.001:0.001) (0.000:0.000:0.000))
(INTERCONNECT _4231_.Y _4233_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _4231_.Y _4237_.A2 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _4232_.Y _4234_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _4233_.X _4234_.A2 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _4234_.X _4235_.B1 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _4235_.X _5343_.D (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _4236_.Y _4237_.B1 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _4237_.Y _4241_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _4237_.Y _4242_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _4237_.Y _4259_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _4238_.Y _4240_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _4238_.Y _4250_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _4238_.Y _4251_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _4239_.X _4240_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _4240_.X _4241_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _4240_.X _4242_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _4240_.X _4259_.A2 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _4241_.Y _4244_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _4241_.Y _4250_.A2 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _4241_.Y _4251_.A2 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _4242_.X _4244_.A2 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _4243_.X _4244_.B1 (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT _4243_.X _4251_.B1 (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT _4243_.X _4268_.B1 (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT _4243_.X _4277_.B1 (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT _4243_.X _4305_.B1 (0.002:0.002:0.002) (0.002:0.002:0.002))
(INTERCONNECT _4244_.X _4245_.B1 (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT _4245_.X _5344_.D (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _4246_.X _4253_.A2 (0.002:0.002:0.002) (0.002:0.002:0.002))
(INTERCONNECT _4246_.X _4261_.A (0.002:0.002:0.002) (0.002:0.002:0.002))
(INTERCONNECT _4246_.X _4285_.A2 (0.002:0.002:0.002) (0.002:0.002:0.002))
(INTERCONNECT _4246_.X _4306_.A2 (0.002:0.002:0.002) (0.002:0.002:0.002))
(INTERCONNECT _4246_.X _4319_.A2 (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT _4247_.Y _4249_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _4248_.X _4249_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _4248_.X _4258_.B1 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _4249_.Y _4250_.B1 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _4249_.Y _4251_.A3 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _4249_.Y _4259_.A3 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _4250_.Y _4253_.B1 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _4251_.X _4253_.B2 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _4252_.X _4253_.C1 (0.005:0.005:0.005) (0.005:0.005:0.005))
(INTERCONNECT _4252_.X _4306_.C1 (0.004:0.004:0.004) (0.004:0.004:0.004))
(INTERCONNECT _4252_.X _4351_.C1 (0.005:0.005:0.005) (0.005:0.005:0.005))
(INTERCONNECT _4252_.X _4404_.C1 (0.006:0.006:0.006) (0.006:0.006:0.006))
(INTERCONNECT _4252_.X _4448_.C1 (0.008:0.008:0.008) (0.008:0.008:0.008))
(INTERCONNECT _4252_.X ANTENNA__4448__C1.DIODE (0.008:0.008:0.008) (0.008:0.008:0.008))
(INTERCONNECT _4252_.X ANTENNA__4404__C1.DIODE (0.008:0.008:0.008) (0.007:0.007:0.007))
(INTERCONNECT _4252_.X ANTENNA__4351__C1.DIODE (0.005:0.005:0.005) (0.005:0.005:0.005))
(INTERCONNECT _4252_.X ANTENNA__4306__C1.DIODE (0.005:0.005:0.005) (0.005:0.005:0.005))
(INTERCONNECT _4252_.X ANTENNA__4253__C1.DIODE (0.005:0.005:0.005) (0.005:0.005:0.005))
(INTERCONNECT _4253_.X _5345_.D (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _4254_.X _4256_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _4254_.X _4263_.B1 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _4255_.Y _4256_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _4256_.Y _4260_.A (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT _4256_.Y _4263_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _4256_.Y _4287_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _4257_.X _4258_.A3 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _4258_.X _4259_.B1 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _4259_.X _4260_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _4259_.X _4263_.A2 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _4259_.X _4292_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _4260_.Y _4261_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _4261_.Y _4262_.B1 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _4262_.X _5346_.D (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _4263_.Y _4267_.A (0.001:0.001:0.001) (0.000:0.000:0.000))
(INTERCONNECT _4263_.Y _4268_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _4263_.Y _4274_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _4263_.Y _4275_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _4264_.Y _4266_.A_N (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _4264_.Y _4274_.C1 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _4264_.Y _4275_.B1 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _4264_.Y _4289_.B1 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _4265_.Y _4266_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _4265_.Y _4274_.A2 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _4265_.Y _4275_.A2 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _4265_.Y _4289_.A2 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _4266_.X _4267_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _4266_.X _4268_.A2 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _4266_.X _4287_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _4267_.Y _4271_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _4268_.X _4271_.A2 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _4269_.X _4271_.B1 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _4270_.X _4271_.C1 (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT _4270_.X _4278_.C1 (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT _4270_.X _4285_.C1 (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT _4270_.X _4300_.C1 (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT _4270_.X _4313_.C1 (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT _4271_.X _5347_.D (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _4272_.X _4273_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _4272_.X _4286_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _4273_.Y _4274_.B1 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _4273_.Y _4276_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _4274_.X _4277_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _4274_.X _4282_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _4274_.X _4283_.A2 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _4275_.X _4276_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _4276_.Y _4277_.A2 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _4277_.X _4278_.B1 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _4278_.X _5348_.D (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _4279_.Y _4282_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _4279_.Y _4283_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _4280_.Y _4282_.C (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _4280_.Y _4283_.B1 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _4280_.Y _4286_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _4281_.X _4282_.D (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _4281_.X _4283_.B2 (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT _4281_.X _4286_.C (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT _4281_.X _4290_.C (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _4282_.X _4284_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _4283_.X _4284_.C_N (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _4284_.X _4285_.B1 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _4285_.X _5349_.D (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _4286_.X _4287_.C (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _4286_.X _4291_.B2 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _4287_.X _4292_.A2 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _4288_.Y _4289_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _4289_.Y _4291_.B1 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _4290_.X _4291_.C1 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _4291_.X _4292_.B1 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _4292_.X _4296_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _4292_.X _4297_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _4293_.Y _4295_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _4293_.Y _4304_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _4293_.Y _4305_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _4293_.Y _4310_.A1 (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT _4293_.Y _4314_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _4294_.X _4295_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _4295_.X _4296_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _4295_.X _4297_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _4296_.Y _4298_.A (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT _4296_.Y _4304_.A2 (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT _4296_.Y _4305_.A2 (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT _4296_.Y _4310_.A2 (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT _4296_.Y _4314_.A2 (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT _4297_.X _4298_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _4298_.X _4300_.A2 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _4299_.X _4300_.B1 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _4300_.X _5350_.D (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _4301_.Y _4303_.A_N (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _4301_.Y _4310_.B1 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _4301_.Y _4314_.C1 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _4302_.Y _4303_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _4302_.Y _4310_.A3 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _4302_.Y _4314_.A3 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _4303_.X _4304_.B1 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _4303_.X _4305_.A3 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _4304_.Y _4306_.B1 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _4305_.X _4306_.B2 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _4306_.X _5351_.D (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _4307_.Y _4309_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _4307_.Y _4317_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _4307_.Y _4318_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _4308_.X _4309_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _4309_.Y _4311_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _4309_.Y _4314_.B1 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _4310_.X _4311_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _4311_.X _4313_.A2 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _4312_.X _4313_.B1 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _4313_.X _5352_.D (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _4314_.X _4317_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _4314_.X _4318_.A2 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _4315_.X _4317_.C (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _4315_.X _4318_.B1 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _4316_.Y _4317_.D (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _4316_.Y _4318_.B2 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _4317_.X _4320_.A2 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _4318_.Y _4320_.A3 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _4319_.X _4320_.B1 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _4320_.X _5353_.D (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _4321_.Y _4322_.A (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT _4321_.Y _4397_.B (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT _4321_.Y _4410_.B (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT _4321_.Y _4416_.B (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT _4322_.X _4323_.A (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT _4322_.X _4351_.A2 (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT _4322_.X _4359_.B (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT _4322_.X _4367_.B (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT _4322_.X _4404_.A2 (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT _4323_.X _4330_.A2 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _4323_.X _4335_.A2 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _4323_.X _4345_.A2 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _4323_.X _4376_.A2 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _4323_.X _4383_.A2 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _4324_.Y _4328_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _4324_.Y _4332_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _4324_.Y _4333_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _4324_.Y _4337_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _4325_.X _4328_.A2 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _4326_.X _4327_.A (0.002:0.002:0.002) (0.002:0.002:0.002))
(INTERCONNECT _4326_.X _4343_.A (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT _4326_.X _4382_.A (0.002:0.002:0.002) (0.001:0.001:0.001))
(INTERCONNECT _4326_.X _4403_.B1 (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT _4327_.X _4328_.B1 (0.001:0.001:0.001) (0.000:0.000:0.000))
(INTERCONNECT _4327_.X _4334_.B1 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _4327_.X _4360_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _4327_.X _4398_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _4327_.X _4411_.A1 (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT _4328_.X _4330_.B1 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _4329_.X _4330_.C1 (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT _4329_.X _4335_.C1 (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT _4329_.X _4345_.C1 (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT _4329_.X _4360_.C1 (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT _4329_.X _4368_.C1 (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT _4330_.X _5354_.D (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _4331_.Y _4332_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _4331_.Y _4333_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _4331_.Y _4337_.A2 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _4332_.Y _4334_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _4333_.X _4334_.A2 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _4334_.X _4335_.B1 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _4335_.X _5355_.D (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _4336_.Y _4337_.B1 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _4337_.Y _4341_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _4337_.Y _4342_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _4337_.Y _4357_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _4338_.Y _4340_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _4338_.Y _4349_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _4338_.Y _4350_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _4339_.X _4340_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _4340_.X _4341_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _4340_.X _4342_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _4340_.X _4357_.A2 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _4341_.Y _4344_.A1 (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT _4341_.Y _4349_.A2 (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT _4341_.Y _4350_.A2 (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT _4342_.X _4344_.A2 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _4343_.X _4344_.B1 (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT _4343_.X _4350_.B1 (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT _4343_.X _4366_.B1 (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT _4343_.X _4374_.B1 (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT _4343_.X _4415_.B1 (0.001:0.001:0.001) (0.000:0.000:0.000))
(INTERCONNECT _4344_.X _4345_.B1 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _4345_.X _5356_.D (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _4346_.Y _4348_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _4347_.X _4348_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _4347_.X _4356_.B1 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _4348_.Y _4349_.B1 (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT _4348_.Y _4350_.A3 (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT _4348_.Y _4357_.A3 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _4349_.Y _4351_.B1 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _4350_.X _4351_.B2 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _4351_.X _5357_.D (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _4352_.X _4354_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _4352_.X _4361_.B1 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _4353_.Y _4354_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _4354_.Y _4358_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _4354_.Y _4361_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _4354_.Y _4385_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _4355_.X _4356_.A3 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _4356_.X _4357_.B1 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _4357_.X _4358_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _4357_.X _4361_.A2 (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT _4357_.X _4390_.A1 (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT _4358_.X _4360_.A2 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _4359_.X _4360_.B1 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _4360_.X _5358_.D (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _4361_.Y _4365_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _4361_.Y _4366_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _4361_.Y _4371_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _4361_.Y _4372_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _4362_.Y _4364_.A_N (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _4362_.Y _4371_.C1 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _4362_.Y _4372_.B1 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _4362_.Y _4387_.B1 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _4363_.Y _4364_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _4363_.Y _4371_.A2 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _4363_.Y _4372_.A2 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _4363_.Y _4387_.A2 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _4364_.X _4365_.B (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT _4364_.X _4366_.A2 (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT _4364_.X _4385_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _4365_.Y _4368_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _4366_.X _4368_.A2 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _4367_.X _4368_.B1 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _4368_.X _5359_.D (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _4369_.X _4370_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _4369_.X _4384_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _4370_.Y _4371_.B1 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _4370_.Y _4373_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _4371_.X _4374_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _4371_.X _4380_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _4371_.X _4381_.A2 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _4372_.X _4373_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _4373_.Y _4374_.A2 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _4374_.X _4376_.B1 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _4375_.X _4376_.C1 (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT _4375_.X _4383_.C1 (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT _4375_.X _4398_.C1 (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT _4375_.X _4411_.C1 (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT _4375_.X _4417_.C1 (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT _4376_.X _5360_.D (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _4377_.Y _4380_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _4377_.Y _4381_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _4378_.Y _4380_.C (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _4378_.Y _4381_.B1 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _4378_.Y _4384_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _4379_.X _4380_.D (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _4379_.X _4381_.B2 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _4379_.X _4384_.C (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _4379_.X _4388_.C (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _4380_.X _4382_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _4381_.X _4382_.C_N (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _4382_.X _4383_.B1 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _4383_.X _5361_.D (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _4384_.X _4385_.C (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _4384_.X _4389_.B2 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _4385_.X _4390_.A2 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _4386_.Y _4387_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _4387_.Y _4389_.B1 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _4388_.X _4389_.C1 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _4389_.X _4390_.B1 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _4390_.X _4394_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _4390_.X _4395_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _4391_.Y _4393_.A (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT _4391_.Y _4402_.A1 (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT _4391_.Y _4403_.A1 (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT _4391_.Y _4408_.A1 (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT _4391_.Y _4412_.A1 (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT _4392_.X _4393_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _4393_.X _4394_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _4393_.X _4395_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _4394_.Y _4396_.A (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT _4394_.Y _4402_.A2 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _4394_.Y _4403_.A2 (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT _4394_.Y _4408_.A2 (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT _4394_.Y _4412_.A2 (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT _4395_.X _4396_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _4396_.X _4398_.A2 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _4397_.X _4398_.B1 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _4398_.X _5362_.D (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _4399_.Y _4401_.A_N (0.001:0.001:0.001) (0.000:0.000:0.000))
(INTERCONNECT _4399_.Y _4408_.B1 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _4399_.Y _4412_.C1 (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT _4400_.Y _4401_.B (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT _4400_.Y _4408_.A3 (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT _4400_.Y _4412_.A3 (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT _4401_.X _4402_.B1 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _4401_.X _4403_.A3 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _4402_.Y _4404_.B1 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _4403_.X _4404_.B2 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _4404_.X _5363_.D (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _4405_.Y _4407_.A (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT _4405_.Y _4414_.A1 (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT _4405_.Y _4415_.A1 (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT _4406_.X _4407_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _4407_.Y _4409_.A (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT _4407_.Y _4412_.B1 (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT _4408_.X _4409_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _4409_.X _4411_.A2 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _4410_.X _4411_.B1 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _4411_.X _5364_.D (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _4412_.X _4414_.A2 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _4412_.X _4415_.A2 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _4413_.X _4414_.B1 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _4413_.X _4415_.A3 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _4414_.Y _4417_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _4415_.X _4417_.A2 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _4416_.X _4417_.B1 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _4417_.X _5365_.D (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _4418_.Y _4419_.A (0.002:0.002:0.002) (0.002:0.002:0.002))
(INTERCONNECT _4418_.Y _4462_.B1 (0.002:0.002:0.002) (0.002:0.002:0.002))
(INTERCONNECT _4418_.Y _4493_.B (0.002:0.002:0.002) (0.001:0.001:0.001))
(INTERCONNECT _4418_.Y _4507_.B (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT _4418_.Y _4513_.B (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT _4419_.X _4420_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _4419_.X _4448_.A2 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _4419_.X _4456_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _4419_.X _4478_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _4419_.X _4501_.A2 (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT _4420_.X _4427_.A2 (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT _4420_.X _4432_.A2 (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT _4420_.X _4442_.A2 (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT _4420_.X _4464_.A2 (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT _4420_.X _4472_.A2 (0.001:0.001:0.001) (0.000:0.000:0.000))
(INTERCONNECT _4421_.Y _4425_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _4421_.Y _4429_.A (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT _4421_.Y _4430_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _4421_.Y _4434_.A1 (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT _4422_.X _4425_.A2 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _4423_.X _4424_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _4423_.X _4440_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _4423_.X _4499_.B1 (0.001:0.001:0.001) (0.000:0.000:0.000))
(INTERCONNECT _4424_.X _4425_.B1 (0.002:0.002:0.002) (0.002:0.002:0.002))
(INTERCONNECT _4424_.X _4431_.B1 (0.002:0.002:0.002) (0.002:0.002:0.002))
(INTERCONNECT _4424_.X _4457_.A1 (0.002:0.002:0.002) (0.002:0.002:0.002))
(INTERCONNECT _4424_.X _4494_.A1 (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT _4424_.X _4508_.A1 (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT _4425_.X _4427_.B1 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _4426_.X _4427_.C1 (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT _4426_.X _4432_.C1 (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT _4426_.X _4442_.C1 (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT _4426_.X _4457_.C1 (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT _4426_.X _4464_.C1 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _4427_.X _5366_.D (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _4428_.Y _4429_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _4428_.Y _4430_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _4428_.Y _4434_.A2 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _4429_.Y _4431_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _4430_.X _4431_.A2 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _4431_.X _4432_.B1 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _4432_.X _5367_.D (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _4433_.Y _4434_.B1 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _4434_.Y _4438_.A (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT _4434_.Y _4439_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _4434_.Y _4454_.A1 (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT _4435_.Y _4437_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _4435_.Y _4446_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _4435_.Y _4447_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _4436_.X _4437_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _4437_.X _4438_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _4437_.X _4439_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _4437_.X _4454_.A2 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _4438_.Y _4441_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _4438_.Y _4446_.A2 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _4438_.Y _4447_.A2 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _4439_.X _4441_.A2 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _4440_.X _4441_.B1 (0.002:0.002:0.002) (0.002:0.002:0.002))
(INTERCONNECT _4440_.X _4447_.B1 (0.002:0.002:0.002) (0.002:0.002:0.002))
(INTERCONNECT _4440_.X _4469_.B1 (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT _4440_.X _4477_.B1 (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT _4440_.X _4512_.B1 (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT _4441_.X _4442_.B1 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _4442_.X _5368_.D (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _4443_.Y _4445_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _4444_.X _4445_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _4444_.X _4453_.B1 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _4445_.Y _4446_.B1 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _4445_.Y _4447_.A3 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _4445_.Y _4454_.A3 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _4446_.Y _4448_.B1 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _4447_.X _4448_.B2 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _4448_.X _5369_.D (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _4449_.X _4451_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _4449_.X _4458_.B1 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _4450_.Y _4451_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _4451_.Y _4455_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _4451_.Y _4458_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _4451_.Y _4481_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _4452_.X _4453_.A3 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _4453_.X _4454_.B1 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _4454_.X _4455_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _4454_.X _4458_.A2 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _4454_.X _4486_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _4455_.X _4457_.A2 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _4456_.X _4457_.B1 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _4457_.X _5370_.D (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _4458_.Y _4462_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _4458_.Y _4463_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _4458_.Y _4466_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _4459_.Y _4461_.A_N (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _4459_.Y _4466_.B1 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _4459_.Y _4483_.B1 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _4460_.Y _4461_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _4460_.Y _4466_.A2 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _4460_.Y _4483_.A2 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _4461_.X _4462_.A2 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _4461_.X _4463_.A2 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _4461_.X _4481_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _4462_.Y _4463_.B1 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _4463_.X _4464_.B1 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _4464_.X _5371_.D (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _4465_.X _4467_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _4465_.X _4468_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _4465_.X _4475_.B1 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _4465_.X _4480_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _4466_.Y _4467_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _4466_.Y _4468_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _4466_.Y _4475_.B2 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _4467_.Y _4469_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _4468_.X _4469_.A2 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _4469_.X _4472_.B1 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _4470_.X _4471_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _4470_.X _4523_.A (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT _4470_.X _4569_.A (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT _4470_.X _4622_.A (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT _4470_.X _4668_.A (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT _4471_.X _4472_.C1 (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT _4471_.X _4479_.C1 (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT _4471_.X _4494_.C1 (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT _4471_.X _4508_.C1 (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT _4471_.X _4514_.C1 (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT _4472_.X _5372_.D (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _4473_.Y _4476_.A1 (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT _4473_.Y _4477_.A1 (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT _4473_.Y _4480_.B (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT _4474_.X _4476_.A2 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _4474_.X _4477_.A2 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _4474_.X _4480_.C (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _4474_.X _4485_.B2 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _4475_.Y _4476_.B1 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _4475_.Y _4477_.A3 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _4476_.Y _4479_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _4477_.X _4479_.A2 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _4478_.X _4479_.B1 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _4479_.X _5373_.D (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _4480_.X _4481_.C (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _4480_.X _4485_.A2 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _4481_.X _4486_.A2 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _4482_.Y _4483_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _4483_.Y _4485_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _4484_.X _4485_.B1 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _4485_.X _4486_.B1 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _4486_.X _4490_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _4486_.X _4491_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _4487_.Y _4489_.A (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT _4487_.Y _4505_.A1 (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT _4487_.Y _4509_.A1 (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT _4487_.Y hold4.A (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT _4488_.X _4489_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _4489_.X _4490_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _4489_.X _4491_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _4490_.Y _4492_.A (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT _4490_.Y _4498_.A2 (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT _4490_.Y _4499_.A2 (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT _4490_.Y _4505_.A2 (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT _4490_.Y _4509_.A2 (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT _4491_.X _4492_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _4492_.X _4494_.A2 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _4493_.X _4494_.B1 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _4494_.X _5374_.D (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _4495_.Y _4497_.A_N (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _4495_.Y _4505_.B1 (0.001:0.001:0.001) (0.000:0.000:0.000))
(INTERCONNECT _4495_.Y _4509_.C1 (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT _4496_.Y _4497_.B (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT _4496_.Y _4505_.A3 (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT _4496_.Y _4509_.A3 (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT _4497_.X _4498_.B1 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _4497_.X _4499_.A3 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _4498_.Y _4501_.B1 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _4499_.X _4501_.B2 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _4500_.X _4501_.C1 (0.006:0.006:0.006) (0.006:0.006:0.006))
(INTERCONNECT _4500_.X _4546_.C1 (0.003:0.003:0.003) (0.003:0.003:0.003))
(INTERCONNECT _4500_.X _4598_.C1 (0.005:0.005:0.005) (0.005:0.005:0.005))
(INTERCONNECT _4500_.X _4644_.C1 (0.005:0.005:0.005) (0.005:0.005:0.005))
(INTERCONNECT _4500_.X _4697_.C1 (0.005:0.005:0.005) (0.005:0.005:0.005))
(INTERCONNECT _4500_.X ANTENNA__4697__C1.DIODE (0.003:0.003:0.003) (0.003:0.003:0.003))
(INTERCONNECT _4500_.X ANTENNA__4644__C1.DIODE (0.003:0.003:0.003) (0.003:0.003:0.003))
(INTERCONNECT _4500_.X ANTENNA__4598__C1.DIODE (0.003:0.003:0.003) (0.003:0.003:0.003))
(INTERCONNECT _4500_.X ANTENNA__4546__C1.DIODE (0.002:0.002:0.002) (0.002:0.002:0.002))
(INTERCONNECT _4500_.X ANTENNA__4501__C1.DIODE (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT _4501_.X hold5.A (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _4502_.Y _4504_.A (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT _4502_.Y _4511_.A1 (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT _4502_.Y _4512_.A1 (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT _4503_.X _4504_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _4504_.Y _4506_.A (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT _4504_.Y _4509_.B1 (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT _4505_.X _4506_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _4506_.X _4508_.A2 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _4507_.X _4508_.B1 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _4508_.X _5376_.D (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _4509_.X _4511_.A2 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _4509_.X _4512_.A2 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _4510_.X _4511_.B1 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _4510_.X _4512_.A3 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _4511_.Y _4514_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _4512_.X _4514_.A2 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _4513_.X _4514_.B1 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _4514_.X _5377_.D (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _4515_.Y _4516_.A (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT _4515_.Y _4561_.B (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT _4515_.Y _4591_.B (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT _4515_.Y _4604_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _4515_.Y _4610_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _4516_.X _4517_.A (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT _4516_.X _4539_.B (0.002:0.002:0.002) (0.002:0.002:0.002))
(INTERCONNECT _4516_.X _4554_.B (0.002:0.002:0.002) (0.002:0.002:0.002))
(INTERCONNECT _4516_.X _4568_.A (0.002:0.002:0.002) (0.002:0.002:0.002))
(INTERCONNECT _4516_.X _4598_.A2 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _4517_.X _4524_.A2 (0.002:0.002:0.002) (0.001:0.001:0.001))
(INTERCONNECT _4517_.X _4529_.A2 (0.002:0.002:0.002) (0.001:0.001:0.001))
(INTERCONNECT _4517_.X _4546_.A2 (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT _4517_.X _4570_.A2 (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT _4517_.X _4577_.A2 (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT _4518_.Y _4522_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _4518_.Y _4526_.A (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT _4518_.Y _4527_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _4518_.Y _4532_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _4519_.X _4522_.A2 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _4520_.X _4521_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _4520_.X _4576_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _4520_.X _4597_.B1 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _4521_.X _4522_.B1 (0.002:0.002:0.002) (0.002:0.002:0.002))
(INTERCONNECT _4521_.X _4528_.B1 (0.002:0.002:0.002) (0.002:0.002:0.002))
(INTERCONNECT _4521_.X _4530_.A (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT _4521_.X _4545_.B1 (0.002:0.002:0.002) (0.002:0.002:0.002))
(INTERCONNECT _4521_.X _4609_.B1 (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT _4522_.X _4524_.B1 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _4523_.X _4524_.C1 (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT _4523_.X _4529_.C1 (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT _4523_.X _4540_.C1 (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT _4523_.X _4555_.C1 (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT _4523_.X _4562_.C1 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _4524_.X _5378_.D (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _4525_.Y _4526_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _4525_.Y _4527_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _4525_.Y _4532_.A2 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _4526_.Y hold97.A (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _4527_.X _4528_.A2 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _4528_.X _4529_.B1 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _4529_.X hold99.A (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _4530_.X _4540_.A1 (0.002:0.002:0.002) (0.002:0.002:0.002))
(INTERCONNECT _4530_.X _4555_.A1 (0.002:0.002:0.002) (0.002:0.002:0.002))
(INTERCONNECT _4530_.X _4562_.A1 (0.002:0.002:0.002) (0.002:0.002:0.002))
(INTERCONNECT _4530_.X _4592_.A1 (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT _4530_.X _4605_.A1 (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT _4531_.Y _4532_.B1 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _4532_.Y _4536_.A (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT _4532_.Y _4537_.A (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT _4532_.Y _4552_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _4533_.Y _4535_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _4533_.Y _4544_.A1 (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT _4533_.Y hold37.A (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _4534_.X _4535_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _4535_.X _4536_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _4535_.X _4537_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _4535_.X _4552_.A2 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _4536_.Y _4538_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _4536_.Y _4544_.A2 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _4536_.Y _4545_.A2 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _4537_.X _4538_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _4538_.X _4540_.A2 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _4539_.X _4540_.B1 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _4540_.X _5380_.D (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _4541_.Y _4543_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _4542_.X _4543_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _4542_.X _4551_.B1 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _4543_.Y _4544_.B1 (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT _4543_.Y _4545_.A3 (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT _4543_.Y _4552_.A3 (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT _4544_.Y hold120.A (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _4545_.X _4546_.B2 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _4546_.X hold38.A (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _4547_.X _4549_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _4547_.X _4556_.B1 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _4548_.Y _4549_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _4549_.Y _4553_.A (0.001:0.001:0.001) (0.000:0.000:0.000))
(INTERCONNECT _4549_.Y _4556_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _4549_.Y _4579_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _4550_.X _4551_.A3 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _4551_.X _4552_.B1 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _4552_.X _4553_.B (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT _4552_.X _4556_.A2 (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT _4552_.X _4584_.A1 (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT _4553_.X _4555_.A2 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _4554_.X _4555_.B1 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _4555_.X _5382_.D (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _4556_.Y _4560_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _4556_.Y _4566_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _4556_.Y _4571_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _4557_.Y _4559_.A_N (0.001:0.001:0.001) (0.000:0.000:0.000))
(INTERCONNECT _4557_.Y _4566_.B1 (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT _4557_.Y _4571_.C1 (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT _4557_.Y _4581_.B1 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _4558_.Y _4559_.B (0.001:0.001:0.001) (0.000:0.000:0.000))
(INTERCONNECT _4558_.Y _4571_.A2 (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT _4558_.Y _4581_.A2 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _4558_.Y hold111.A (0.001:0.001:0.001) (0.000:0.000:0.000))
(INTERCONNECT _4559_.X _4560_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _4559_.X _4579_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _4560_.Y _4562_.A2 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _4561_.X _4562_.B1 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _4562_.X _5383_.D (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _4563_.Y _4565_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _4563_.Y _4574_.A (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT _4563_.Y _4575_.A1 (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT _4563_.Y _4578_.A (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT _4564_.X _4565_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _4564_.X _4578_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _4565_.Y _4567_.A (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT _4565_.Y _4571_.B1 (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT _4566_.X _4567_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _4567_.Y hold112.A (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _4568_.Y _4570_.B1 (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT _4569_.X _4570_.C1 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _4569_.X _4577_.C1 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _4569_.X _4592_.C1 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _4569_.X _4605_.C1 (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT _4569_.X _4611_.C1 (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT _4570_.X _5384_.D (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _4571_.X _4574_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _4571_.X _4575_.A2 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _4572_.Y _4574_.C (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT _4572_.Y _4575_.B1 (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT _4572_.Y _4578_.C (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT _4573_.X _4574_.D (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _4573_.X _4575_.B2 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _4573_.X _4578_.D (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _4573_.X _4582_.C (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _4574_.X _4576_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _4575_.X _4576_.C_N (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _4576_.X _4577_.B1 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _4577_.X _5385_.D (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _4578_.X _4579_.C (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _4578_.X _4583_.B2 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _4579_.X _4584_.A2 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _4580_.Y _4581_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _4581_.Y _4583_.B1 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _4582_.X _4583_.C1 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _4583_.X _4584_.B1 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _4584_.X _4588_.A (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT _4584_.X _4589_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _4585_.Y _4587_.A (0.002:0.002:0.002) (0.001:0.001:0.001))
(INTERCONNECT _4585_.Y _4596_.A1 (0.002:0.002:0.002) (0.002:0.002:0.002))
(INTERCONNECT _4585_.Y _4597_.A1 (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT _4585_.Y _4602_.A1 (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT _4585_.Y _4606_.A1 (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT _4586_.X _4587_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _4587_.X _4588_.B (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT _4587_.X _4589_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _4588_.Y _4590_.A (0.002:0.002:0.002) (0.002:0.002:0.002))
(INTERCONNECT _4588_.Y _4596_.A2 (0.002:0.002:0.002) (0.002:0.002:0.002))
(INTERCONNECT _4588_.Y _4597_.A2 (0.002:0.002:0.002) (0.002:0.002:0.002))
(INTERCONNECT _4588_.Y _4602_.A2 (0.003:0.003:0.003) (0.002:0.002:0.002))
(INTERCONNECT _4588_.Y _4606_.A2 (0.002:0.002:0.002) (0.002:0.002:0.002))
(INTERCONNECT _4589_.X _4590_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _4590_.X _4592_.A2 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _4591_.X _4592_.B1 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _4592_.X _5386_.D (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _4593_.Y _4595_.A_N (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT _4593_.Y _4602_.B1 (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT _4593_.Y _4606_.C1 (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT _4594_.Y _4595_.B (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT _4594_.Y _4602_.A3 (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT _4594_.Y _4606_.A3 (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT _4595_.X _4596_.B1 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _4595_.X _4597_.A3 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _4596_.Y _4598_.B1 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _4597_.X _4598_.B2 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _4598_.X _5387_.D (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _4599_.Y _4608_.A1 (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT _4599_.Y _4609_.A1 (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT _4599_.Y hold27.A (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT _4600_.X _4601_.B (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT _4601_.Y _4603_.A (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT _4601_.Y _4606_.B1 (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT _4602_.X _4603_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _4603_.X hold66.A (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _4604_.X _4605_.B1 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _4605_.X hold67.A (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _4606_.X _4608_.A2 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _4606_.X _4609_.A2 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _4607_.X _4608_.B1 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _4607_.X _4609_.A3 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _4608_.Y _4611_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _4609_.X _4611_.A2 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _4610_.X _4611_.B1 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _4611_.X _5389_.D (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _4612_.X _4613_.A (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT _4612_.X _4716_.B (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT _4612_.X _4815_.B (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT _4612_.X _4913_.B (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT _4613_.X _4614_.B (0.002:0.002:0.002) (0.002:0.002:0.002))
(INTERCONNECT _4613_.X _4619_.B (0.002:0.002:0.002) (0.002:0.002:0.002))
(INTERCONNECT _4613_.X _4711_.B (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT _4613_.X _4810_.B (0.002:0.002:0.002) (0.002:0.002:0.002))
(INTERCONNECT _4613_.X _4908_.B (0.002:0.002:0.002) (0.001:0.001:0.001))
(INTERCONNECT _4614_.Y _4615_.A (0.002:0.002:0.002) (0.002:0.002:0.002))
(INTERCONNECT _4614_.Y _4660_.B (0.002:0.002:0.002) (0.002:0.002:0.002))
(INTERCONNECT _4614_.Y _4690_.B (0.002:0.002:0.002) (0.002:0.002:0.002))
(INTERCONNECT _4614_.Y _4703_.B (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT _4614_.Y _4709_.B (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT _4615_.X _4616_.A (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT _4615_.X _4644_.A2 (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT _4615_.X _4652_.A (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT _4615_.X _4676_.A2 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _4615_.X _4697_.A2 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _4616_.X _4623_.A2 (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT _4616_.X _4628_.A2 (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT _4616_.X _4637_.A2 (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT _4616_.X _4653_.A2 (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT _4616_.X _4669_.A2 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _4617_.Y _4621_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _4617_.Y _4625_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _4617_.Y _4626_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _4617_.Y _4630_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _4618_.X _4621_.A2 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _4619_.X _4620_.A (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT _4619_.X _4642_.A (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT _4619_.X _4675_.B (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT _4620_.X _4621_.B1 (0.002:0.002:0.002) (0.002:0.002:0.002))
(INTERCONNECT _4620_.X _4627_.B1 (0.002:0.002:0.002) (0.002:0.002:0.002))
(INTERCONNECT _4620_.X _4636_.B1 (0.002:0.002:0.002) (0.002:0.002:0.002))
(INTERCONNECT _4620_.X _4691_.A1 (0.002:0.002:0.002) (0.002:0.002:0.002))
(INTERCONNECT _4620_.X _4704_.A1 (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT _4620_.X ANTENNA__4704__A1.DIODE (0.003:0.003:0.003) (0.002:0.002:0.002))
(INTERCONNECT _4620_.X ANTENNA__4691__A1.DIODE (0.003:0.003:0.003) (0.003:0.003:0.003))
(INTERCONNECT _4620_.X ANTENNA__4636__B1.DIODE (0.003:0.003:0.003) (0.003:0.003:0.003))
(INTERCONNECT _4620_.X ANTENNA__4627__B1.DIODE (0.003:0.003:0.003) (0.002:0.002:0.002))
(INTERCONNECT _4620_.X ANTENNA__4621__B1.DIODE (0.003:0.003:0.003) (0.002:0.002:0.002))
(INTERCONNECT _4621_.X _4623_.B1 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _4622_.X _4623_.C1 (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT _4622_.X _4628_.C1 (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT _4622_.X _4637_.C1 (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT _4622_.X _4653_.C1 (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT _4622_.X _4661_.C1 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _4623_.X _5390_.D (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _4624_.Y _4625_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _4624_.Y _4626_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _4624_.Y _4630_.A2 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _4625_.Y _4627_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _4626_.X _4627_.A2 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _4627_.X _4628_.B1 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _4628_.X _5391_.D (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _4629_.Y _4630_.B1 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _4630_.Y _4634_.A (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT _4630_.Y _4635_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _4630_.Y _4650_.A1 (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT _4631_.Y _4633_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _4631_.Y _4641_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _4631_.Y _4643_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _4632_.X _4633_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _4633_.X _4634_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _4633_.X _4635_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _4633_.X _4650_.A2 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _4634_.Y _4636_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _4634_.Y _4641_.A2 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _4634_.Y _4643_.A2 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _4635_.X _4636_.A2 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _4636_.X _4637_.B1 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _4637_.X _5392_.D (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _4638_.Y _4640_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _4639_.X _4640_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _4639_.X _4649_.B1 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _4640_.Y _4641_.B1 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _4640_.Y _4643_.A3 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _4640_.Y _4650_.A3 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _4641_.Y hold44.A (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _4642_.X _4643_.B1 (0.002:0.002:0.002) (0.002:0.002:0.002))
(INTERCONNECT _4642_.X _4659_.B1 (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT _4642_.X _4667_.B1 (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT _4642_.X _4696_.B1 (0.002:0.002:0.002) (0.001:0.001:0.001))
(INTERCONNECT _4642_.X _4708_.B1 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _4643_.X _4644_.B2 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _4644_.X hold46.A (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _4645_.X _4647_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _4645_.X _4654_.B1 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _4646_.Y _4647_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _4647_.Y _4651_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _4647_.Y _4654_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _4647_.Y _4678_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _4648_.X _4649_.A3 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _4649_.X _4650_.B1 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _4650_.X _4651_.B (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT _4650_.X _4654_.A2 (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT _4650_.X _4683_.A1 (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT _4651_.Y _4652_.B (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT _4652_.Y _4653_.B1 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _4653_.X _5394_.D (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _4654_.Y _4658_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _4654_.Y _4659_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _4654_.Y _4664_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _4654_.Y _4665_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _4655_.Y _4657_.A_N (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _4655_.Y _4664_.C1 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _4655_.Y _4665_.B1 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _4655_.Y _4680_.B1 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _4656_.Y _4657_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _4656_.Y _4664_.A2 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _4656_.Y _4665_.A2 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _4656_.Y _4680_.A2 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _4657_.X _4658_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _4657_.X _4659_.A2 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _4657_.X _4678_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _4658_.Y _4661_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _4659_.X _4661_.A2 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _4660_.X _4661_.B1 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _4661_.X _5395_.D (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _4662_.X _4663_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _4662_.X _4677_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _4663_.Y _4664_.B1 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _4663_.Y _4666_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _4664_.X _4667_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _4664_.X _4673_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _4664_.X _4674_.A2 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _4665_.X _4666_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _4666_.Y _4667_.A2 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _4667_.X _4669_.B1 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _4668_.X _4669_.C1 (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT _4668_.X _4676_.C1 (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT _4668_.X _4691_.C1 (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT _4668_.X _4704_.C1 (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT _4668_.X _4710_.C1 (0.002:0.002:0.002) (0.002:0.002:0.002))
(INTERCONNECT _4669_.X _5396_.D (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _4670_.Y _4673_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _4670_.Y _4674_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _4671_.Y _4673_.C (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _4671_.Y _4674_.B1 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _4671_.Y _4677_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _4672_.X _4673_.D (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _4672_.X _4674_.B2 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _4672_.X _4677_.C (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _4672_.X _4681_.C (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _4673_.X _4675_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _4674_.X _4675_.C_N (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _4675_.X _4676_.B1 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _4676_.X _5397_.D (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _4677_.X _4678_.C (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _4677_.X _4682_.B2 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _4678_.X _4683_.A2 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _4679_.Y _4680_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _4680_.Y _4682_.B1 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _4681_.X _4682_.C1 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _4682_.X _4683_.B1 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _4683_.X _4687_.A (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT _4683_.X _4688_.A (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT _4684_.Y _4686_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _4684_.Y _4695_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _4684_.Y _4701_.A1 (0.001:0.001:0.001) (0.000:0.000:0.000))
(INTERCONNECT _4684_.Y _4705_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _4684_.Y hold12.A (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _4685_.X _4686_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _4686_.X _4687_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _4686_.X _4688_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _4687_.Y _4689_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _4687_.Y _4695_.A2 (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT _4687_.Y _4696_.A2 (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT _4687_.Y _4701_.A2 (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT _4687_.Y _4705_.A2 (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT _4688_.X _4689_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _4689_.X _4691_.A2 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _4690_.X _4691_.B1 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _4691_.X _5398_.D (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _4692_.Y _4694_.A_N (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _4692_.Y _4701_.B1 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _4692_.Y _4705_.C1 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _4693_.Y _4694_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _4693_.Y _4701_.A3 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _4693_.Y _4705_.A3 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _4694_.X _4695_.B1 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _4694_.X _4696_.A3 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _4695_.Y hold11.A (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _4696_.X hold14.A (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _4697_.X hold13.A (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _4698_.Y _4700_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _4698_.Y _4707_.A1 (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT _4698_.Y _4708_.A1 (0.001:0.001:0.001) (0.000:0.000:0.000))
(INTERCONNECT _4699_.X _4700_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _4700_.Y _4702_.A (0.001:0.001:0.001) (0.000:0.000:0.000))
(INTERCONNECT _4700_.Y _4705_.B1 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _4701_.X _4702_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _4702_.X _4704_.A2 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _4703_.X _4704_.B1 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _4704_.X _5400_.D (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _4705_.X _4707_.A2 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _4705_.X _4708_.A2 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _4706_.X _4707_.B1 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _4706_.X _4708_.A3 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _4707_.Y _4710_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _4708_.X _4710_.A2 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _4709_.X _4710_.B1 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _4710_.X _5401_.D (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _4711_.Y _4712_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _4711_.Y _4789_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _4711_.Y _4802_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _4711_.Y _4808_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _4712_.X _4713_.A (0.002:0.002:0.002) (0.002:0.002:0.002))
(INTERCONNECT _4712_.X _4743_.A2 (0.002:0.002:0.002) (0.002:0.002:0.002))
(INTERCONNECT _4712_.X _4751_.B (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT _4712_.X _4759_.B (0.002:0.002:0.002) (0.001:0.001:0.001))
(INTERCONNECT _4712_.X _4796_.A2 (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT _4713_.X _4721_.A2 (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT _4713_.X _4726_.A2 (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT _4713_.X _4736_.A2 (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT _4713_.X _4768_.A2 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _4713_.X _4775_.A2 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _4714_.Y _4718_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _4714_.Y _4723_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _4714_.Y _4724_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _4714_.Y _4728_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _4715_.X _4718_.A2 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _4716_.X _4774_.B (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT _4716_.X _4795_.B1 (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT _4716_.X hold35.A (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _4717_.X _4718_.B1 (0.002:0.002:0.002) (0.001:0.001:0.001))
(INTERCONNECT _4717_.X _4725_.B1 (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT _4717_.X _4752_.A1 (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT _4717_.X _4790_.A1 (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT _4717_.X _4803_.A1 (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT _4718_.X _4721_.B1 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _4719_.X _4720_.A (0.002:0.002:0.002) (0.002:0.002:0.002))
(INTERCONNECT _4719_.X _4767_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _4719_.X _4818_.A (0.003:0.003:0.003) (0.003:0.003:0.003))
(INTERCONNECT _4719_.X _4865_.A (0.003:0.003:0.003) (0.003:0.003:0.003))
(INTERCONNECT _4719_.X _4916_.A (0.005:0.005:0.005) (0.004:0.004:0.004))
(INTERCONNECT _4719_.X ANTENNA__4916__A.DIODE (0.005:0.005:0.005) (0.005:0.005:0.005))
(INTERCONNECT _4719_.X ANTENNA__4865__A.DIODE (0.005:0.005:0.005) (0.005:0.005:0.005))
(INTERCONNECT _4719_.X ANTENNA__4818__A.DIODE (0.005:0.005:0.005) (0.005:0.005:0.005))
(INTERCONNECT _4719_.X ANTENNA__4767__A.DIODE (0.005:0.005:0.005) (0.005:0.005:0.005))
(INTERCONNECT _4719_.X ANTENNA__4720__A.DIODE (0.005:0.005:0.005) (0.005:0.005:0.005))
(INTERCONNECT _4720_.X _4721_.C1 (0.002:0.002:0.002) (0.002:0.002:0.002))
(INTERCONNECT _4720_.X _4726_.C1 (0.002:0.002:0.002) (0.002:0.002:0.002))
(INTERCONNECT _4720_.X _4736_.C1 (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT _4720_.X _4752_.C1 (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT _4720_.X _4760_.C1 (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT _4721_.X _5402_.D (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _4722_.Y _4723_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _4722_.Y _4724_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _4722_.Y _4728_.A2 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _4723_.Y _4725_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _4724_.X _4725_.A2 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _4725_.X _4726_.B1 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _4726_.X _5403_.D (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _4727_.Y _4728_.B1 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _4728_.Y _4732_.A (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT _4728_.Y _4733_.A (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT _4728_.Y _4749_.A1 (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT _4729_.Y _4731_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _4729_.Y _4740_.A1 (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT _4729_.Y _4741_.A1 (0.001:0.001:0.001) (0.000:0.000:0.000))
(INTERCONNECT _4730_.X _4731_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _4731_.X _4732_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _4731_.X _4733_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _4731_.X _4749_.A2 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _4732_.Y _4735_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _4732_.Y _4740_.A2 (0.001:0.001:0.001) (0.000:0.000:0.000))
(INTERCONNECT _4732_.Y _4741_.A2 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _4733_.X _4735_.A2 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _4734_.X _4735_.B1 (0.003:0.003:0.003) (0.003:0.003:0.003))
(INTERCONNECT _4734_.X _4741_.B1 (0.003:0.003:0.003) (0.003:0.003:0.003))
(INTERCONNECT _4734_.X _4758_.B1 (0.003:0.003:0.003) (0.003:0.003:0.003))
(INTERCONNECT _4734_.X _4766_.B1 (0.002:0.002:0.002) (0.002:0.002:0.002))
(INTERCONNECT _4734_.X _4807_.B1 (0.002:0.002:0.002) (0.001:0.001:0.001))
(INTERCONNECT _4735_.X _4736_.B1 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _4736_.X _5404_.D (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _4737_.Y _4739_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _4738_.X _4739_.B (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT _4738_.X _4748_.B1 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _4739_.Y _4740_.B1 (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT _4739_.Y _4741_.A3 (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT _4739_.Y _4749_.A3 (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT _4740_.Y hold104.A (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _4741_.X _4743_.B2 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _4742_.X _4743_.C1 (0.004:0.004:0.004) (0.004:0.004:0.004))
(INTERCONNECT _4742_.X _4796_.C1 (0.005:0.005:0.005) (0.005:0.005:0.005))
(INTERCONNECT _4742_.X _4841_.C1 (0.002:0.002:0.002) (0.002:0.002:0.002))
(INTERCONNECT _4742_.X _4894_.C1 (0.006:0.006:0.006) (0.006:0.006:0.006))
(INTERCONNECT _4742_.X _4939_.C1 (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT _4742_.X ANTENNA__4939__C1.DIODE (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT _4742_.X ANTENNA__4894__C1.DIODE (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT _4742_.X ANTENNA__4841__C1.DIODE (0.002:0.002:0.002) (0.002:0.002:0.002))
(INTERCONNECT _4742_.X ANTENNA__4796__C1.DIODE (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT _4742_.X ANTENNA__4743__C1.DIODE (0.002:0.002:0.002) (0.002:0.002:0.002))
(INTERCONNECT _4743_.X _5405_.D (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _4744_.X _4746_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _4744_.X _4753_.B1 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _4745_.Y _4746_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _4746_.Y _4750_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _4746_.Y _4753_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _4746_.Y _4777_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _4747_.X _4748_.A3 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _4748_.X _4749_.B1 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _4749_.X _4750_.B (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT _4749_.X _4753_.A2 (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT _4749_.X _4782_.A1 (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT _4750_.X _4752_.A2 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _4751_.X _4752_.B1 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _4752_.X _5406_.D (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _4753_.Y _4757_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _4753_.Y _4758_.A1 (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT _4753_.Y _4763_.A1 (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT _4753_.Y _4764_.A1 (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT _4754_.Y _4756_.A_N (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _4754_.Y _4763_.C1 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _4754_.Y _4764_.B1 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _4754_.Y _4779_.B1 (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT _4755_.Y _4756_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _4755_.Y _4763_.A2 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _4755_.Y _4764_.A2 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _4755_.Y _4779_.A2 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _4756_.X _4757_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _4756_.X _4758_.A2 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _4756_.X _4777_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _4757_.Y _4760_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _4758_.X _4760_.A2 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _4759_.X _4760_.B1 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _4760_.X _5407_.D (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _4761_.X _4762_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _4761_.X _4776_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _4762_.Y _4763_.B1 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _4762_.Y _4765_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _4763_.X _4766_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _4763_.X _4772_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _4763_.X _4773_.A2 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _4764_.X _4765_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _4765_.Y _4766_.A2 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _4766_.X _4768_.B1 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _4767_.X _4768_.C1 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _4767_.X _4775_.C1 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _4767_.X _4790_.C1 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _4767_.X _4803_.C1 (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT _4767_.X _4809_.C1 (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT _4768_.X _5408_.D (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _4769_.Y _4772_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _4769_.Y _4773_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _4770_.Y _4772_.C (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT _4770_.Y _4773_.B1 (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT _4770_.Y _4776_.B (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT _4771_.X _4772_.D (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _4771_.X _4773_.B2 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _4771_.X _4776_.C (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _4771_.X _4780_.C (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _4772_.X _4774_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _4773_.X _4774_.C_N (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _4774_.X _4775_.B1 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _4775_.X _5409_.D (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _4776_.X _4777_.C (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _4776_.X _4781_.B2 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _4777_.X _4782_.A2 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _4778_.Y _4779_.A1 (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT _4779_.Y _4781_.B1 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _4780_.X _4781_.C1 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _4781_.X _4782_.B1 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _4782_.X _4786_.A (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT _4782_.X _4787_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _4783_.Y _4785_.A (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT _4783_.Y _4794_.A1 (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT _4783_.Y _4795_.A1 (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT _4783_.Y _4800_.A1 (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT _4783_.Y _4804_.A1 (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT _4784_.X _4785_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _4785_.X _4786_.B (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT _4785_.X _4787_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _4786_.Y _4788_.A (0.002:0.002:0.002) (0.001:0.001:0.001))
(INTERCONNECT _4786_.Y _4794_.A2 (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT _4786_.Y _4795_.A2 (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT _4786_.Y _4800_.A2 (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT _4786_.Y _4804_.A2 (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT _4787_.X _4788_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _4788_.X _4790_.A2 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _4789_.X _4790_.B1 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _4790_.X _5410_.D (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _4791_.Y _4793_.A_N (0.001:0.001:0.001) (0.000:0.000:0.000))
(INTERCONNECT _4791_.Y _4800_.B1 (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT _4791_.Y _4804_.C1 (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT _4792_.Y _4793_.B (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT _4792_.Y _4800_.A3 (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT _4792_.Y _4804_.A3 (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT _4793_.X _4794_.B1 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _4793_.X _4795_.A3 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _4794_.Y _4796_.B1 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _4795_.X hold32.A (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _4796_.X hold33.A (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _4797_.Y _4799_.A (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT _4797_.Y _4806_.A1 (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT _4797_.Y _4807_.A1 (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT _4798_.X _4799_.B (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT _4799_.Y _4801_.A (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT _4799_.Y _4804_.B1 (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT _4800_.X _4801_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _4801_.X _4803_.A2 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _4802_.X _4803_.B1 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _4803_.X _5412_.D (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _4804_.X _4806_.A2 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _4804_.X _4807_.A2 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _4805_.X _4806_.B1 (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT _4805_.X _4807_.A3 (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT _4806_.Y _4809_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _4807_.X _4809_.A2 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _4808_.X _4809_.B1 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _4809_.X _5413_.D (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _4810_.Y _4811_.A (0.007:0.007:0.007) (0.006:0.006:0.006))
(INTERCONNECT _4810_.Y _4849_.A (0.006:0.006:0.006) (0.006:0.006:0.006))
(INTERCONNECT _4810_.Y ANTENNA__4849__A.DIODE (0.007:0.007:0.007) (0.007:0.007:0.007))
(INTERCONNECT _4810_.Y ANTENNA__4811__A.DIODE (0.007:0.007:0.007) (0.007:0.007:0.007))
(INTERCONNECT _4811_.X _4812_.A (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT _4811_.X _4834_.B (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT _4811_.X _4841_.A2 (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT _4811_.X _4864_.A (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT _4811_.X _4894_.A2 (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT _4812_.X _4819_.A2 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _4812_.X _4825_.A2 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _4812_.X _4858_.A2 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _4812_.X _4866_.A2 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _4812_.X _4873_.A2 (0.001:0.001:0.001) (0.000:0.000:0.000))
(INTERCONNECT _4813_.Y hold23.A (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _4814_.X _4817_.A2 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _4815_.X _4816_.A (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT _4815_.X _4823_.A (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT _4816_.X _4817_.B1 (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT _4816_.X _4835_.A1 (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT _4816_.X _4851_.A1 (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT _4816_.X _4901_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _4816_.X hold109.A (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT _4817_.X hold24.A (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _4818_.X _4819_.C1 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _4818_.X _4825_.C1 (0.001:0.001:0.001) (0.000:0.000:0.000))
(INTERCONNECT _4818_.X _4835_.C1 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _4818_.X _4851_.C1 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _4818_.X _4858_.C1 (0.001:0.001:0.001) (0.000:0.000:0.000))
(INTERCONNECT _4819_.X hold25.A (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _4820_.Y hold42.A (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _4821_.Y hold40.A (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _4822_.X _4824_.A2 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _4823_.X _4824_.B1 (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT _4823_.X _4840_.B1 (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT _4823_.X _4872_.B (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT _4823_.X _4893_.B1 (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT _4823_.X _4905_.B1 (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT _4824_.X hold43.A (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _4825_.X _5415_.D (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _4826_.Y hold81.A (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _4827_.Y _4831_.A (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT _4827_.Y _4832_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _4827_.Y _4847_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _4828_.Y _4830_.A (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT _4828_.Y _4839_.A1 (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT _4828_.Y _4840_.A1 (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT _4829_.X _4830_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _4830_.X _4831_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _4830_.X _4832_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _4830_.X _4847_.A2 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _4831_.Y _4833_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _4831_.Y _4839_.A2 (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT _4831_.Y _4840_.A2 (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT _4832_.X _4833_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _4833_.X hold80.A (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _4834_.X _4835_.B1 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _4835_.X hold82.A (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _4836_.Y _4838_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _4837_.X _4838_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _4837_.X _4846_.B1 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _4838_.Y _4839_.B1 (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT _4838_.Y _4840_.A3 (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT _4838_.Y _4847_.A3 (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT _4839_.Y _4841_.B1 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _4840_.X _4841_.B2 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _4841_.X _5417_.D (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _4842_.X _4844_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _4842_.X _4852_.B1 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _4843_.Y _4844_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _4844_.Y _4848_.A (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT _4844_.Y _4852_.A1 (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT _4844_.Y _4875_.A (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT _4845_.X _4846_.A3 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _4846_.X _4847_.B1 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _4847_.X _4848_.B (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT _4847_.X _4852_.A2 (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT _4847_.X _4880_.A1 (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT _4848_.X hold93.A (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _4849_.X _4850_.B (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT _4849_.X _4856_.B1 (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT _4849_.X _4887_.B (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT _4849_.X _4900_.B (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT _4849_.X _4906_.B (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT _4850_.X _4851_.B1 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _4851_.X hold95.A (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _4852_.Y _4856_.A1 (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT _4852_.Y _4857_.A1 (0.001:0.001:0.001) (0.000:0.000:0.000))
(INTERCONNECT _4852_.Y _4862_.A1 (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT _4852_.Y _4867_.A1 (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT _4853_.Y _4855_.A_N (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT _4853_.Y _4862_.B1 (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT _4853_.Y _4867_.C1 (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT _4853_.Y _4877_.B1 (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT _4854_.Y _4855_.B (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT _4854_.Y _4862_.A2 (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT _4854_.Y _4867_.A2 (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT _4854_.Y _4877_.A2 (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT _4855_.X _4856_.A2 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _4855_.X _4857_.A2 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _4855_.X _4875_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _4856_.Y _4857_.B1 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _4857_.X _4858_.B1 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _4858_.X hold124.A (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _4859_.Y _4861_.A (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT _4859_.Y _4870_.A (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT _4859_.Y _4871_.A1 (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT _4859_.Y _4874_.A (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT _4860_.X _4861_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _4860_.X _4874_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _4861_.Y _4863_.A (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT _4861_.Y _4867_.B1 (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT _4862_.X hold101.A (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _4863_.Y hold102.A (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _4864_.Y hold103.A (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _4865_.X _4866_.C1 (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT _4865_.X _4873_.C1 (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT _4865_.X _4888_.C1 (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT _4865_.X _4901_.C1 (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT _4865_.X _4907_.C1 (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT _4866_.X _5420_.D (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _4867_.X _4870_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _4867_.X _4871_.A2 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _4868_.Y _4870_.C (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT _4868_.Y _4871_.B1 (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT _4868_.Y _4874_.C (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT _4869_.X _4870_.D (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _4869_.X _4871_.B2 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _4869_.X _4874_.D (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _4869_.X _4878_.C (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _4870_.X _4872_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _4871_.X _4872_.C_N (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _4872_.X _4873_.B1 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _4873_.X _5421_.D (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _4874_.X _4875_.C (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _4874_.X _4879_.B2 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _4875_.X _4880_.A2 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _4876_.Y _4877_.A1 (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT _4877_.Y _4879_.B1 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _4878_.X _4879_.C1 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _4879_.X _4880_.B1 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _4880_.X _4884_.A (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT _4880_.X _4885_.A (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT _4881_.Y _4883_.A (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT _4881_.Y _4892_.A1 (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT _4881_.Y _4893_.A1 (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT _4881_.Y _4898_.A1 (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT _4881_.Y _4902_.A1 (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT _4882_.X _4883_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _4883_.X _4884_.B (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT _4883_.X _4885_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _4884_.Y _4886_.A (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT _4884_.Y _4892_.A2 (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT _4884_.Y _4893_.A2 (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT _4884_.Y _4898_.A2 (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT _4884_.Y _4902_.A2 (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT _4885_.X _4886_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _4886_.X _4888_.A2 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _4887_.X _4888_.B1 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _4888_.X _5422_.D (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _4889_.Y _4891_.A_N (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _4889_.Y _4898_.B1 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _4889_.Y _4902_.C1 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _4890_.Y _4891_.B (0.002:0.002:0.002) (0.001:0.001:0.001))
(INTERCONNECT _4890_.Y _4898_.A3 (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT _4890_.Y _4902_.A3 (0.002:0.002:0.002) (0.002:0.002:0.002))
(INTERCONNECT _4891_.X _4892_.B1 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _4891_.X _4893_.A3 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _4892_.Y _4894_.B1 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _4893_.X _4894_.B2 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _4894_.X _5423_.D (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _4895_.Y hold29.A (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT _4896_.X _4897_.B (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT _4897_.Y _4899_.A (0.002:0.002:0.002) (0.002:0.002:0.002))
(INTERCONNECT _4897_.Y _4902_.B1 (0.002:0.002:0.002) (0.001:0.001:0.001))
(INTERCONNECT _4898_.X _4899_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _4899_.X _4901_.A2 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _4900_.X _4901_.B1 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _4901_.X hold108.A (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _4902_.X _4904_.A2 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _4902_.X _4905_.A2 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _4903_.X _4905_.A3 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _4903_.X hold115.A (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _4904_.Y _4907_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _4905_.X hold31.A (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _4906_.X _4907_.B1 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _4907_.X hold30.A (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _4908_.Y _4909_.A (0.004:0.004:0.004) (0.003:0.003:0.003))
(INTERCONNECT _4908_.Y _4954_.B (0.003:0.003:0.003) (0.003:0.003:0.003))
(INTERCONNECT _4908_.Y _4984_.B (0.004:0.004:0.004) (0.004:0.004:0.004))
(INTERCONNECT _4908_.Y _4998_.B (0.004:0.004:0.004) (0.004:0.004:0.004))
(INTERCONNECT _4908_.Y _5004_.B (0.004:0.004:0.004) (0.004:0.004:0.004))
(INTERCONNECT _4908_.Y ANTENNA__5004__B.DIODE (0.004:0.004:0.004) (0.004:0.004:0.004))
(INTERCONNECT _4908_.Y ANTENNA__4998__B.DIODE (0.004:0.004:0.004) (0.004:0.004:0.004))
(INTERCONNECT _4908_.Y ANTENNA__4984__B.DIODE (0.004:0.004:0.004) (0.004:0.004:0.004))
(INTERCONNECT _4908_.Y ANTENNA__4954__B.DIODE (0.004:0.004:0.004) (0.004:0.004:0.004))
(INTERCONNECT _4908_.Y ANTENNA__4909__A.DIODE (0.004:0.004:0.004) (0.004:0.004:0.004))
(INTERCONNECT _4909_.X _4910_.A (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT _4909_.X _4932_.B (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT _4909_.X _4947_.B (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT _4909_.X _4961_.A (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT _4909_.X _4992_.A2 (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT _4910_.X _4917_.A2 (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT _4910_.X _4922_.A2 (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT _4910_.X _4939_.A2 (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT _4910_.X _4963_.A2 (0.001:0.001:0.001) (0.000:0.000:0.000))
(INTERCONNECT _4910_.X _4970_.A2 (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT _4911_.Y _4915_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _4911_.Y _4919_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _4911_.Y _4920_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _4911_.Y _4925_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _4912_.X _4915_.A2 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _4913_.X _4914_.A (0.006:0.006:0.006) (0.006:0.006:0.006))
(INTERCONNECT _4913_.X _4969_.A (0.007:0.007:0.007) (0.007:0.007:0.007))
(INTERCONNECT _4913_.X _4990_.B1 (0.006:0.006:0.006) (0.006:0.006:0.006))
(INTERCONNECT _4913_.X ANTENNA__4990__B1.DIODE (0.007:0.007:0.007) (0.007:0.007:0.007))
(INTERCONNECT _4913_.X ANTENNA__4969__A.DIODE (0.007:0.007:0.007) (0.007:0.007:0.007))
(INTERCONNECT _4913_.X ANTENNA__4914__A.DIODE (0.007:0.007:0.007) (0.007:0.007:0.007))
(INTERCONNECT _4914_.X _4915_.B1 (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT _4914_.X _4921_.B1 (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT _4914_.X _4923_.A (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT _4914_.X _4938_.B1 (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT _4914_.X _5003_.B1 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _4915_.X _4917_.B1 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _4916_.X _4917_.C1 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _4916_.X _4922_.C1 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _4916_.X _4933_.C1 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _4916_.X _4948_.C1 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _4916_.X _4955_.C1 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _4917_.X _5426_.D (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _4918_.Y _4919_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _4918_.Y _4920_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _4918_.Y _4925_.A2 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _4919_.Y _4921_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _4920_.X _4921_.A2 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _4921_.X _4922_.B1 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _4922_.X _5427_.D (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _4923_.X _4933_.A1 (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT _4923_.X _4948_.A1 (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT _4923_.X _4955_.A1 (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT _4923_.X _4985_.A1 (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT _4923_.X _4999_.A1 (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT _4924_.Y _4925_.B1 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _4925_.Y _4929_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _4925_.Y _4930_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _4925_.Y _4945_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _4926_.Y _4928_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _4926_.Y _4937_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _4926_.Y _4938_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _4927_.X _4928_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _4928_.X _4929_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _4928_.X _4930_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _4928_.X _4945_.A2 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _4929_.Y _4931_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _4929_.Y _4937_.A2 (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT _4929_.Y _4938_.A2 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _4930_.X _4931_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _4931_.X _4933_.A2 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _4932_.X _4933_.B1 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _4933_.X _5428_.D (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _4934_.Y _4936_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _4935_.X _4936_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _4935_.X _4944_.B1 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _4936_.Y _4937_.B1 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _4936_.Y _4938_.A3 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _4936_.Y _4945_.A3 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _4937_.Y _4939_.B1 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _4938_.X _4939_.B2 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _4939_.X _5429_.D (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _4940_.X _4942_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _4940_.X _4949_.B1 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _4941_.Y _4942_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _4942_.Y _4946_.A (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT _4942_.Y _4949_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _4942_.Y _4972_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _4943_.X _4944_.A3 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _4944_.X _4945_.B1 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _4945_.X _4946_.B (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT _4945_.X _4949_.A2 (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT _4945_.X _4977_.A1 (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT _4946_.X _4948_.A2 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _4947_.X _4948_.B1 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _4948_.X _5430_.D (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _4949_.Y _4953_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _4949_.Y _4959_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _4949_.Y _4964_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _4950_.Y _4952_.A_N (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _4950_.Y _4959_.B1 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _4950_.Y _4964_.C1 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _4950_.Y _4974_.B1 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _4951_.Y _4952_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _4951_.Y _4959_.A2 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _4951_.Y _4964_.A2 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _4951_.Y _4974_.A2 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _4952_.X _4953_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _4952_.X _4972_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _4953_.Y _4955_.A2 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _4954_.X _4955_.B1 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _4955_.X _5431_.D (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _4956_.Y _4958_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _4956_.Y _4967_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _4956_.Y _4968_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _4956_.Y _4971_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _4957_.X _4958_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _4957_.X _4971_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _4958_.Y _4960_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _4958_.Y _4964_.B1 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _4959_.X _4960_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _4960_.Y _4961_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _4961_.Y _4963_.B1 (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT _4962_.X _4963_.C1 (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT _4962_.X _4970_.C1 (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT _4962_.X _4985_.C1 (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT _4962_.X _4999_.C1 (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT _4962_.X _5005_.C1 (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT _4963_.X _5432_.D (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _4964_.X _4967_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _4964_.X _4968_.A2 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _4965_.Y _4967_.C (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _4965_.Y _4968_.B1 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _4965_.Y _4971_.C (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _4966_.X _4967_.D (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _4966_.X _4968_.B2 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _4966_.X _4971_.D (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _4966_.X _4975_.C (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _4967_.X _4969_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _4968_.X _4969_.C_N (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _4969_.X _4970_.B1 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _4970_.X _5433_.D (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _4971_.X _4972_.C (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _4971_.X _4976_.B2 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _4972_.X _4977_.A2 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _4973_.Y _4974_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _4974_.Y _4976_.B1 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _4975_.X _4976_.C1 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _4976_.X _4977_.B1 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _4977_.X _4981_.A (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT _4977_.X _4982_.A (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT _4978_.Y _4980_.A (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT _4978_.Y _4989_.A1 (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT _4978_.Y _4990_.A1 (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT _4978_.Y _4996_.A1 (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT _4978_.Y _5000_.A1 (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT _4979_.X _4980_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _4980_.X _4981_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _4980_.X _4982_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _4981_.Y _4983_.A (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT _4981_.Y _4989_.A2 (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT _4981_.Y _4990_.A2 (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT _4981_.Y _4996_.A2 (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT _4981_.Y _5000_.A2 (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT _4982_.X _4983_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _4983_.X _4985_.A2 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _4984_.X _4985_.B1 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _4985_.X _5434_.D (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _4986_.Y _4988_.A_N (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _4986_.Y _4996_.B1 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _4986_.Y _5000_.C1 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _4987_.Y _4988_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _4987_.Y _4996_.A3 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _4987_.Y _5000_.A3 (0.001:0.001:0.001) (0.000:0.000:0.000))
(INTERCONNECT _4988_.X _4989_.B1 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _4988_.X _4990_.A3 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _4989_.Y _4992_.B1 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _4990_.X hold17.A (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _4991_.X _3059_.C1 (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT _4991_.X _3062_.C1 (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT _4991_.X _3065_.C1 (0.002:0.002:0.002) (0.002:0.002:0.002))
(INTERCONNECT _4991_.X _3069_.C1 (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT _4991_.X _4992_.C1 (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT _4992_.X hold16.A (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _4993_.Y _4995_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _4993_.Y _5002_.A1 (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT _4993_.Y _5003_.A1 (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT _4994_.X _4995_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _4995_.Y _4997_.A (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT _4995_.Y _5000_.B1 (0.001:0.001:0.001) (0.000:0.000:0.000))
(INTERCONNECT _4996_.X _4997_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _4997_.X _4999_.A2 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _4998_.X _4999_.B1 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _4999_.X _5436_.D (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _5000_.X _5002_.A2 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _5000_.X _5003_.A2 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _5001_.X _5002_.B1 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _5001_.X _5003_.A3 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _5002_.Y _5005_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _5003_.X _5005_.A2 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _5004_.X _5005_.B1 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _5005_.X _5437_.D (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _5006_.X _2681_.A (0.011:0.011:0.011) (0.011:0.011:0.011))
(INTERCONNECT _5006_.X _2768_.A (0.011:0.011:0.011) (0.010:0.010:0.010))
(INTERCONNECT _5006_.X _2855_.A (0.010:0.010:0.010) (0.009:0.009:0.009))
(INTERCONNECT _5006_.X _2942_.A (0.006:0.006:0.006) (0.006:0.006:0.006))
(INTERCONNECT _5006_.X _5007_.A (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT _5006_.X ANTENNA__5007__A.DIODE (0.010:0.010:0.010) (0.010:0.010:0.010))
(INTERCONNECT _5006_.X ANTENNA__2942__A.DIODE (0.007:0.007:0.007) (0.006:0.006:0.006))
(INTERCONNECT _5006_.X ANTENNA__2855__A.DIODE (0.009:0.009:0.009) (0.009:0.009:0.009))
(INTERCONNECT _5006_.X ANTENNA__2768__A.DIODE (0.011:0.011:0.011) (0.010:0.010:0.010))
(INTERCONNECT _5006_.X ANTENNA__2681__A.DIODE (0.011:0.011:0.011) (0.011:0.011:0.011))
(INTERCONNECT _5007_.X _2594_.A (0.003:0.003:0.003) (0.003:0.003:0.003))
(INTERCONNECT _5007_.X _3028_.A (0.004:0.004:0.004) (0.004:0.004:0.004))
(INTERCONNECT _5007_.X _3044_.A (0.002:0.002:0.002) (0.002:0.002:0.002))
(INTERCONNECT _5007_.X _5008_.A (0.008:0.008:0.008) (0.007:0.007:0.007))
(INTERCONNECT _5007_.X _5095_.A (0.007:0.007:0.007) (0.007:0.007:0.007))
(INTERCONNECT _5007_.X ANTENNA__5095__A.DIODE (0.007:0.007:0.007) (0.007:0.007:0.007))
(INTERCONNECT _5007_.X ANTENNA__5008__A.DIODE (0.008:0.008:0.008) (0.007:0.007:0.007))
(INTERCONNECT _5007_.X ANTENNA__3044__A.DIODE (0.002:0.002:0.002) (0.002:0.002:0.002))
(INTERCONNECT _5007_.X ANTENNA__3028__A.DIODE (0.004:0.004:0.004) (0.004:0.004:0.004))
(INTERCONNECT _5007_.X ANTENNA__2594__A.DIODE (0.003:0.003:0.003) (0.003:0.003:0.003))
(INTERCONNECT _5008_.X _5009_.A (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT _5008_.X _5026_.A (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT _5008_.X _5043_.A (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT _5008_.X _5060_.A (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT _5008_.X _5078_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _5009_.X _5010_.S (0.002:0.002:0.002) (0.002:0.002:0.002))
(INTERCONNECT _5009_.X _5013_.S (0.002:0.002:0.002) (0.002:0.002:0.002))
(INTERCONNECT _5009_.X _5017_.S (0.002:0.002:0.002) (0.002:0.002:0.002))
(INTERCONNECT _5009_.X _5020_.S (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT _5009_.X _5023_.S (0.002:0.002:0.002) (0.002:0.002:0.002))
(INTERCONNECT _5010_.X _5011_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _5011_.X _5012_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _5012_.X _5438_.D (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _5013_.X _5014_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _5014_.X _5015_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _5015_.X _5439_.D (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _5016_.X _5018_.A (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT _5016_.X _5021_.A (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT _5016_.X _5024_.A (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT _5016_.X _5028_.A (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT _5016_.X _5031_.A (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT _5017_.X _5018_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _5018_.X _5019_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _5019_.X _5440_.D (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _5020_.X _5021_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _5021_.X _5022_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _5022_.X _5441_.D (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _5023_.X _5024_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _5024_.X _5025_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _5025_.X _5442_.D (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _5026_.X _5027_.S (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT _5026_.X _5030_.S (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT _5026_.X _5034_.S (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT _5026_.X _5037_.S (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT _5026_.X _5040_.S (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT _5027_.X _5028_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _5028_.X _5029_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _5029_.X _5443_.D (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _5030_.X _5031_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _5031_.X _5032_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _5032_.X _5444_.D (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _5033_.X _5035_.A (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT _5033_.X _5038_.A (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT _5033_.X _5041_.A (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT _5033_.X _5045_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _5033_.X _5048_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _5034_.X _5035_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _5035_.X _5036_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _5036_.X _5445_.D (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _5037_.X _5038_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _5038_.X _5039_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _5039_.X _5446_.D (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _5040_.X _5041_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _5041_.X _5042_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _5042_.X _5447_.D (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _5043_.X _5044_.S (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT _5043_.X _5047_.S (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT _5043_.X _5051_.S (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT _5043_.X _5054_.S (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT _5043_.X _5057_.S (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT _5044_.X _5045_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _5045_.X _5046_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _5046_.X _5448_.D (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _5047_.X _5048_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _5048_.X _5049_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _5049_.X _5449_.D (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _5050_.X _5052_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _5050_.X _5055_.A (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT _5050_.X _5058_.A (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT _5050_.X _5062_.A (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT _5050_.X _5065_.A (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT _5051_.X _5052_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _5052_.X _5053_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _5053_.X _5450_.D (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _5054_.X _5055_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _5055_.X _5056_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _5056_.X _5451_.D (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _5057_.X _5058_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _5058_.X _5059_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _5059_.X _5452_.D (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _5060_.X _5061_.S (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT _5060_.X _5064_.S (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT _5060_.X _5069_.S (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT _5060_.X _5072_.S (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT _5060_.X _5075_.S (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _5061_.X _5062_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _5062_.X _5063_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _5063_.X _5453_.D (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _5064_.X _5065_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _5065_.X _5066_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _5066_.X _5454_.D (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _5067_.X _5068_.A (0.002:0.002:0.002) (0.002:0.002:0.002))
(INTERCONNECT _5067_.X _5085_.A (0.002:0.002:0.002) (0.002:0.002:0.002))
(INTERCONNECT _5067_.X _5103_.A (0.002:0.002:0.002) (0.002:0.002:0.002))
(INTERCONNECT _5067_.X _5120_.A (0.002:0.002:0.002) (0.002:0.002:0.002))
(INTERCONNECT _5067_.X _5137_.A (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT _5068_.X _5070_.A (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT _5068_.X _5073_.A (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT _5068_.X _5076_.A (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT _5068_.X _5080_.A (0.002:0.002:0.002) (0.002:0.002:0.002))
(INTERCONNECT _5068_.X _5083_.A (0.002:0.002:0.002) (0.002:0.002:0.002))
(INTERCONNECT _5069_.X _5070_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _5070_.X _5071_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _5071_.X _5455_.D (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _5072_.X _5073_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _5073_.X _5074_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _5074_.X _5456_.D (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _5075_.X _5076_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _5076_.X _5077_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _5077_.X _5457_.D (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _5078_.X _5079_.S (0.006:0.006:0.006) (0.006:0.006:0.006))
(INTERCONNECT _5078_.X _5082_.S (0.005:0.005:0.005) (0.005:0.005:0.005))
(INTERCONNECT _5078_.X _5086_.S (0.005:0.005:0.005) (0.005:0.005:0.005))
(INTERCONNECT _5078_.X _5089_.S (0.004:0.004:0.004) (0.004:0.004:0.004))
(INTERCONNECT _5078_.X _5092_.S (0.003:0.003:0.003) (0.003:0.003:0.003))
(INTERCONNECT _5078_.X ANTENNA__5092__S.DIODE (0.004:0.004:0.004) (0.004:0.004:0.004))
(INTERCONNECT _5078_.X ANTENNA__5089__S.DIODE (0.004:0.004:0.004) (0.004:0.004:0.004))
(INTERCONNECT _5078_.X ANTENNA__5086__S.DIODE (0.004:0.004:0.004) (0.004:0.004:0.004))
(INTERCONNECT _5078_.X ANTENNA__5082__S.DIODE (0.007:0.007:0.007) (0.007:0.007:0.007))
(INTERCONNECT _5078_.X ANTENNA__5079__S.DIODE (0.004:0.004:0.004) (0.004:0.004:0.004))
(INTERCONNECT _5079_.X _5080_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _5080_.X _5081_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _5081_.X _5458_.D (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _5082_.X _5083_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _5083_.X _5084_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _5084_.X _5459_.D (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _5085_.X _5087_.A (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT _5085_.X _5090_.A (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT _5085_.X _5093_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _5085_.X _5098_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _5085_.X _5101_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _5086_.X _5087_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _5087_.X _5088_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _5088_.X _5460_.D (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _5089_.X _5090_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _5090_.X _5091_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _5091_.X _5461_.D (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _5092_.X _5093_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _5093_.X _5094_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _5094_.X _5462_.D (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _5095_.X _2577_.A (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT _5095_.X _5096_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _5095_.X _5113_.A (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT _5095_.X _5130_.A (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT _5095_.X _5147_.A (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT _5096_.X _5097_.S (0.002:0.002:0.002) (0.002:0.002:0.002))
(INTERCONNECT _5096_.X _5100_.S (0.002:0.002:0.002) (0.002:0.002:0.002))
(INTERCONNECT _5096_.X _5104_.S (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT _5096_.X _5107_.S (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT _5096_.X _5110_.S (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT _5097_.X _5098_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _5098_.X _5099_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _5099_.X _5463_.D (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _5100_.X _5101_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _5101_.X _5102_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _5102_.X _5464_.D (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _5103_.X _5105_.A (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT _5103_.X _5108_.A (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT _5103_.X _5111_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _5103_.X _5115_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _5103_.X _5118_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _5104_.X _5105_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _5105_.X _5106_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _5106_.X _5465_.D (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _5107_.X _5108_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _5108_.X _5109_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _5109_.X _5466_.D (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _5110_.X _5111_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _5111_.X _5112_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _5112_.X _5467_.D (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _5113_.X _5114_.S (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT _5113_.X _5117_.S (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT _5113_.X _5121_.S (0.002:0.002:0.002) (0.002:0.002:0.002))
(INTERCONNECT _5113_.X _5124_.S (0.002:0.002:0.002) (0.002:0.002:0.002))
(INTERCONNECT _5113_.X _5127_.S (0.002:0.002:0.002) (0.002:0.002:0.002))
(INTERCONNECT _5114_.X _5115_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _5115_.X _5116_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _5116_.X _5468_.D (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _5117_.X _5118_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _5118_.X _5119_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _5119_.X _5469_.D (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _5120_.X _5122_.A (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT _5120_.X _5125_.A (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT _5120_.X _5128_.A (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT _5120_.X _5132_.A (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT _5120_.X _5135_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _5121_.X _5122_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _5122_.X _5123_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _5123_.X _5470_.D (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _5124_.X _5125_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _5125_.X _5126_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _5126_.X _5471_.D (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _5127_.X _5128_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _5128_.X _5129_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _5129_.X _5472_.D (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _5130_.X _5131_.S (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _5130_.X _5134_.S (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT _5130_.X _5138_.S (0.002:0.002:0.002) (0.002:0.002:0.002))
(INTERCONNECT _5130_.X _5141_.S (0.002:0.002:0.002) (0.002:0.002:0.002))
(INTERCONNECT _5130_.X _5144_.S (0.002:0.002:0.002) (0.002:0.002:0.002))
(INTERCONNECT _5131_.X _5132_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _5132_.X _5133_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _5133_.X _5473_.D (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _5134_.X _5135_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _5135_.X _5136_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _5136_.X _5474_.D (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _5137_.X _2561_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _5137_.X _2564_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _5137_.X _5139_.A (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT _5137_.X _5142_.A (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT _5137_.X _5145_.A (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT _5138_.X _5139_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _5139_.X _5140_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _5140_.X _5475_.D (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _5141_.X _5142_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _5142_.X _5143_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _5143_.X _5476_.D (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _5144_.X _5145_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _5145_.X _5146_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _5146_.X _5477_.D (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _5147_.X _2560_.S (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT _5147_.X _2563_.S (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT _5147_.X _2568_.S (0.002:0.002:0.002) (0.002:0.002:0.002))
(INTERCONNECT _5147_.X _2571_.S (0.002:0.002:0.002) (0.002:0.002:0.002))
(INTERCONNECT _5147_.X _2574_.S (0.002:0.002:0.002) (0.002:0.002:0.002))
(INTERCONNECT _5148_.Q _3218_.B2 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _5149_.Q _3224_.B2 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _5150_.Q _3183_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _5151_.Q _3189_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _5152_.Q _3195_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _5153_.Q _3203_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _5154_.Q _3271_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _5154_.Q output166.A (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _5155_.Q _3273_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _5155_.Q output171.A (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _5156_.Q _3275_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _5156_.Q output135.A (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT _5157_.Q _3277_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _5157_.Q output137.A (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _5158_.Q _3282_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _5158_.Q output138.A (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _5159_.Q _3284_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _5159_.Q output139.A (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _5160_.Q _3286_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _5160_.Q output140.A (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _5161_.Q _3288_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _5161_.Q output141.A (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _5162_.Q _3294_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _5162_.Q output131.A (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT _5163_.Q _3296_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _5163_.Q output146.A (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT _5164_.Q _3299_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _5164_.Q output157.A (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT _5165_.Q _3301_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _5165_.Q output161.A (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _5166_.Q _3303_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _5166_.Q output162.A (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _5167_.Q _3305_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _5167_.Q output163.A (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _5168_.Q _3307_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _5168_.Q output164.A (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _5169_.Q _3313_.A (0.001:0.001:0.001) (0.000:0.000:0.000))
(INTERCONNECT _5169_.Q output165.A (0.002:0.002:0.002) (0.002:0.002:0.002))
(INTERCONNECT _5170_.Q _3320_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _5170_.Q output151.A (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _5171_.Q _3322_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _5171_.Q output152.A (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _5172_.Q _3324_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _5172_.Q output153.A (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _5173_.Q _3327_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _5173_.Q output154.A (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _5174_.Q _3329_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _5174_.Q output155.A (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _5175_.Q _3331_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _5175_.Q output156.A (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _5176_.Q _3333_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _5176_.Q output158.A (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _5177_.Q _3335_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _5177_.Q output159.A (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _5178_.Q _3336_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _5178_.Q _3150_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _5178_.Q output125.A (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _5179_.Q _3342_.B2 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _5179_.Q output142.A (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT _5180_.Q _3343_.B2 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _5180_.Q output143.A (0.001:0.001:0.001) (0.000:0.000:0.000))
(INTERCONNECT _5181_.Q _3346_.B2 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _5181_.Q output144.A (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _5182_.Q _3347_.B2 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _5182_.Q output145.A (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _5183_.Q _3348_.B2 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _5183_.Q output147.A (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _5184_.Q _3349_.B2 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _5184_.Q output148.A (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _5185_.Q _3350_.B2 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _5185_.Q output149.A (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _5186_.Q _3352_.B2 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _5186_.Q output150.A (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _5187_.Q _3353_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _5187_.Q _3360_.A_N (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _5188_.Q _3358_.A (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT _5188_.Q _3359_.A (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT _5188_.Q _3376_.A (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT _5188_.Q _3380_.B (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT _5188_.Q _3382_.A1 (0.001:0.001:0.001) (0.000:0.000:0.000))
(INTERCONNECT _5189_.Q _3357_.A1 (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT _5189_.Q _3366_.A1 (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT _5189_.Q _3380_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _5189_.Q _3382_.B1 (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT _5190_.Q _3361_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _5190_.Q _3363_.A_N (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _5190_.Q _3385_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _5190_.Q _3386_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _5190_.Q _3389_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _5191_.Q _3355_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _5191_.Q _3389_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _5191_.Q _3390_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _5192_.Q _3364_.A_N (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _5192_.Q _3365_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _5192_.Q _3393_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _5192_.Q _3394_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _5193_.Q _3367_.D1 (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT _5193_.Q _3397_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _5193_.Q _3398_.A (0.001:0.001:0.001) (0.000:0.000:0.000))
(INTERCONNECT _5193_.Q _3402_.A2 (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT _5194_.Q _3362_.D1 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _5194_.Q _3401_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _5194_.Q _3402_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _5195_.Q _3407_.B_N (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _5195_.Q _3411_.A_N (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _5195_.Q _3422_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _5195_.Q _3423_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _5195_.Q _3430_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _5196_.Q _3409_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _5196_.Q _3410_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _5196_.Q _3427_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _5196_.Q _3430_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _5197_.Q _3404_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _5197_.Q _3418_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _5198_.Q _3412_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _5198_.Q _3414_.A_N (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _5198_.Q _3433_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _5198_.Q _3434_.B1 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _5199_.Q _3406_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _5199_.Q _3437_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _5199_.Q _3438_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _5199_.Q _3444_.A2 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _5200_.Q _3415_.A_N (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _5200_.Q _3416_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _5201_.Q _3419_.D1 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _5201_.Q _3443_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _5201_.Q _3444_.B1 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _5202_.Q _3413_.D1 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _5202_.Q _3447_.A1 (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT _5202_.Q _3448_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _5203_.Q _3420_.B (0.003:0.003:0.003) (0.003:0.003:0.003))
(INTERCONNECT _5203_.Q _3167_.B (0.004:0.004:0.004) (0.004:0.004:0.004))
(INTERCONNECT _5203_.Q _3143_.A (0.002:0.002:0.002) (0.002:0.002:0.002))
(INTERCONNECT _5203_.Q output167.A (0.012:0.012:0.012) (0.012:0.012:0.012))
(INTERCONNECT _5203_.Q ANTENNA_output167_A.DIODE (0.012:0.012:0.012) (0.012:0.012:0.012))
(INTERCONNECT _5203_.Q ANTENNA__3143__A.DIODE (0.002:0.002:0.002) (0.002:0.002:0.002))
(INTERCONNECT _5203_.Q ANTENNA__3167__B.DIODE (0.010:0.010:0.010) (0.010:0.010:0.010))
(INTERCONNECT _5203_.Q ANTENNA__3420__B.DIODE (0.004:0.004:0.004) (0.004:0.004:0.004))
(INTERCONNECT _5204_.Q _3420_.A (0.004:0.004:0.004) (0.003:0.003:0.003))
(INTERCONNECT _5204_.Q _3167_.A (0.005:0.005:0.005) (0.005:0.005:0.005))
(INTERCONNECT _5204_.Q _3142_.A (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT _5204_.Q output168.A (0.018:0.018:0.018) (0.018:0.018:0.018))
(INTERCONNECT _5204_.Q ANTENNA_output168_A.DIODE (0.019:0.019:0.019) (0.018:0.018:0.018))
(INTERCONNECT _5204_.Q ANTENNA__3142__A.DIODE (0.002:0.002:0.002) (0.002:0.002:0.002))
(INTERCONNECT _5204_.Q ANTENNA__3167__A.DIODE (0.009:0.009:0.009) (0.009:0.009:0.009))
(INTERCONNECT _5204_.Q ANTENNA__3420__A.DIODE (0.002:0.002:0.002) (0.002:0.002:0.002))
(INTERCONNECT _5205_.Q _3368_.D (0.008:0.008:0.008) (0.008:0.008:0.008))
(INTERCONNECT _5205_.Q _3260_.B (0.004:0.004:0.004) (0.004:0.004:0.004))
(INTERCONNECT _5205_.Q _3174_.B (0.007:0.007:0.007) (0.007:0.007:0.007))
(INTERCONNECT _5205_.Q _3151_.A (0.002:0.002:0.002) (0.002:0.002:0.002))
(INTERCONNECT _5205_.Q _3146_.B (0.004:0.004:0.004) (0.004:0.004:0.004))
(INTERCONNECT _5205_.Q output169.A (0.017:0.017:0.017) (0.017:0.017:0.017))
(INTERCONNECT _5205_.Q ANTENNA_output169_A.DIODE (0.018:0.018:0.018) (0.018:0.018:0.018))
(INTERCONNECT _5205_.Q ANTENNA__3146__B.DIODE (0.003:0.003:0.003) (0.003:0.003:0.003))
(INTERCONNECT _5205_.Q ANTENNA__3151__A.DIODE (0.003:0.003:0.003) (0.002:0.002:0.002))
(INTERCONNECT _5205_.Q ANTENNA__3174__B.DIODE (0.005:0.005:0.005) (0.005:0.005:0.005))
(INTERCONNECT _5205_.Q ANTENNA__3260__B.DIODE (0.004:0.004:0.004) (0.004:0.004:0.004))
(INTERCONNECT _5205_.Q ANTENNA__3368__D.DIODE (0.005:0.005:0.005) (0.005:0.005:0.005))
(INTERCONNECT _5206_.Q _3368_.C (0.006:0.006:0.006) (0.006:0.006:0.006))
(INTERCONNECT _5206_.Q _3260_.A (0.002:0.002:0.002) (0.002:0.002:0.002))
(INTERCONNECT _5206_.Q _3174_.A_N (0.005:0.005:0.005) (0.005:0.005:0.005))
(INTERCONNECT _5206_.Q _3152_.A (0.003:0.003:0.003) (0.003:0.003:0.003))
(INTERCONNECT _5206_.Q _3146_.A (0.002:0.002:0.002) (0.002:0.002:0.002))
(INTERCONNECT _5206_.Q output170.A (0.009:0.009:0.009) (0.009:0.009:0.009))
(INTERCONNECT _5206_.Q ANTENNA_output170_A.DIODE (0.009:0.009:0.009) (0.009:0.009:0.009))
(INTERCONNECT _5206_.Q ANTENNA__3146__A.DIODE (0.002:0.002:0.002) (0.002:0.002:0.002))
(INTERCONNECT _5206_.Q ANTENNA__3152__A.DIODE (0.002:0.002:0.002) (0.002:0.002:0.002))
(INTERCONNECT _5206_.Q ANTENNA__3174__A_N.DIODE (0.003:0.003:0.003) (0.003:0.003:0.003))
(INTERCONNECT _5206_.Q ANTENNA__3260__A.DIODE (0.004:0.004:0.004) (0.004:0.004:0.004))
(INTERCONNECT _5206_.Q ANTENNA__3368__C.DIODE (0.004:0.004:0.004) (0.004:0.004:0.004))
(INTERCONNECT _5207_.Q _3468_.A1 (0.003:0.003:0.003) (0.003:0.003:0.003))
(INTERCONNECT _5207_.Q _3452_.A (0.002:0.002:0.002) (0.002:0.002:0.002))
(INTERCONNECT _5207_.Q _3369_.A (0.002:0.002:0.002) (0.002:0.002:0.002))
(INTERCONNECT _5207_.Q _3172_.C (0.002:0.002:0.002) (0.002:0.002:0.002))
(INTERCONNECT _5207_.Q _3145_.C (0.002:0.002:0.002) (0.002:0.002:0.002))
(INTERCONNECT _5207_.Q output132.A (0.013:0.013:0.013) (0.013:0.013:0.013))
(INTERCONNECT _5207_.Q ANTENNA_output132_A.DIODE (0.013:0.013:0.013) (0.013:0.013:0.013))
(INTERCONNECT _5207_.Q ANTENNA__3145__C.DIODE (0.004:0.004:0.004) (0.004:0.004:0.004))
(INTERCONNECT _5207_.Q ANTENNA__3172__C.DIODE (0.010:0.010:0.010) (0.010:0.010:0.010))
(INTERCONNECT _5207_.Q ANTENNA__3369__A.DIODE (0.011:0.011:0.011) (0.010:0.010:0.010))
(INTERCONNECT _5207_.Q ANTENNA__3452__A.DIODE (0.011:0.011:0.011) (0.011:0.011:0.011))
(INTERCONNECT _5207_.Q ANTENNA__3468__A1.DIODE (0.011:0.011:0.011) (0.010:0.010:0.010))
(INTERCONNECT _5208_.Q _3471_.A (0.002:0.002:0.002) (0.002:0.002:0.002))
(INTERCONNECT _5208_.Q _3368_.B (0.003:0.003:0.003) (0.003:0.003:0.003))
(INTERCONNECT _5208_.Q _3172_.B (0.002:0.002:0.002) (0.002:0.002:0.002))
(INTERCONNECT _5208_.Q _3145_.B (0.002:0.002:0.002) (0.002:0.002:0.002))
(INTERCONNECT _5208_.Q output133.A (0.020:0.020:0.020) (0.019:0.019:0.019))
(INTERCONNECT _5208_.Q ANTENNA_output133_A.DIODE (0.020:0.020:0.020) (0.019:0.019:0.019))
(INTERCONNECT _5208_.Q ANTENNA__3145__B.DIODE (0.002:0.002:0.002) (0.002:0.002:0.002))
(INTERCONNECT _5208_.Q ANTENNA__3172__B.DIODE (0.002:0.002:0.002) (0.002:0.002:0.002))
(INTERCONNECT _5208_.Q ANTENNA__3368__B.DIODE (0.003:0.003:0.003) (0.003:0.003:0.003))
(INTERCONNECT _5208_.Q ANTENNA__3471__A.DIODE (0.003:0.003:0.003) (0.003:0.003:0.003))
(INTERCONNECT _5209_.Q _3473_.A (0.002:0.002:0.002) (0.002:0.002:0.002))
(INTERCONNECT _5209_.Q _3368_.A (0.002:0.002:0.002) (0.002:0.002:0.002))
(INTERCONNECT _5209_.Q _3172_.A (0.003:0.003:0.003) (0.003:0.003:0.003))
(INTERCONNECT _5209_.Q _3145_.A (0.003:0.003:0.003) (0.003:0.003:0.003))
(INTERCONNECT _5209_.Q output134.A (0.021:0.021:0.021) (0.021:0.021:0.021))
(INTERCONNECT _5209_.Q ANTENNA_output134_A.DIODE (0.021:0.021:0.021) (0.021:0.021:0.021))
(INTERCONNECT _5209_.Q ANTENNA__3145__A.DIODE (0.004:0.004:0.004) (0.004:0.004:0.004))
(INTERCONNECT _5209_.Q ANTENNA__3172__A.DIODE (0.002:0.002:0.002) (0.002:0.002:0.002))
(INTERCONNECT _5209_.Q ANTENNA__3368__A.DIODE (0.002:0.002:0.002) (0.002:0.002:0.002))
(INTERCONNECT _5209_.Q ANTENNA__3473__A.DIODE (0.003:0.003:0.003) (0.003:0.003:0.003))
(INTERCONNECT _5210_.Q _3169_.B2 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _5211_.Q _3169_.A1 (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT _5212_.Q _3170_.A1 (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT _5212_.Q ANTENNA__3170__A1.DIODE (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT _5213_.Q _3170_.B2 (0.005:0.005:0.005) (0.005:0.005:0.005))
(INTERCONNECT _5213_.Q ANTENNA__3170__B2.DIODE (0.007:0.007:0.007) (0.007:0.007:0.007))
(INTERCONNECT _5214_.Q _3181_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _5215_.Q _3188_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _5216_.Q _3194_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _5217_.Q _3177_.B2 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _5218_.Q _3187_.B2 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _5219_.Q _3193_.B2 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _5220_.Q _3200_.B2 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _5221_.Q _3206_.B2 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _5222_.Q _3213_.B2 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _5223_.Q _3219_.B2 (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT _5224_.Q _3225_.B2 (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT _5225_.Q _3177_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _5226_.Q _3187_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _5227_.Q _3193_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _5228_.Q _3200_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _5229_.Q _3206_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _5230_.Q _3213_.A1 (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT _5231_.Q _3219_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _5232_.Q _3225_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _5233_.Q _3181_.B2 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _5234_.Q _3188_.B2 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _5235_.Q _3194_.B2 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _5236_.Q _3203_.B2 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _5237_.Q _3226_.A1 (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT _5237_.Q _3458_.A (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT _5238_.Q _3205_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _5239_.Q _3214_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _5240_.Q _3218_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _5241_.Q _3224_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _5242_.Q _3184_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _5243_.Q _3190_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _5244_.Q _3196_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _5245_.Q _3202_.A1 (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT _5246_.Q _3207_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _5247_.Q _3215_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _5248_.Q _3220_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _5249_.Q _3223_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _5250_.Q _3184_.B2 (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT _5251_.Q _3190_.B2 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _5252_.Q _3196_.B2 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _5253_.Q _3204_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _5254_.Q _3207_.B2 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _5255_.Q _3215_.B2 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _5256_.Q _3220_.B2 (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT _5257_.Q _3223_.B2 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _5258_.Q _3116_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _5258_.Q _3536_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _5258_.Q _5010_.A0 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _5259_.Q _3118_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _5259_.Q _3541_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _5259_.Q _5013_.A0 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _5260_.Q _3120_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _5260_.Q _3550_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _5260_.Q _5017_.A0 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _5261_.Q _3122_.A1 (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT _5261_.Q _3557_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _5261_.Q _5020_.A0 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _5262_.Q _3126_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _5262_.Q _3566_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _5262_.Q _5023_.A0 (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT _5263_.Q _3129_.A1 (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT _5263_.Q _3573_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _5263_.Q _5027_.A0 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _5264_.Q _3131_.A1 (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT _5264_.Q _3582_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _5264_.Q _5030_.A0 (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT _5265_.Q _3133_.A1 (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT _5265_.Q _3589_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _5265_.Q _5034_.A0 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _5266_.Q _3135_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _5266_.Q _3603_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _5266_.Q _5037_.A0 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _5267_.Q _3137_.A1 (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT _5267_.Q _3609_.A1 (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT _5267_.Q _5040_.A0 (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT _5268_.Q _3139_.A1 (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT _5268_.Q _3615_.A (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT _5268_.Q _5044_.A0 (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT _5269_.Q _3141_.A1 (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT _5269_.Q _3623_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _5269_.Q _5047_.A0 (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT _5270_.Q _3530_.A (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT _5270_.Q _3531_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _5270_.Q _3543_.A1 (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT _5270_.Q _3632_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _5270_.Q _5051_.A0 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _5271_.Q _3538_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _5271_.Q _3542_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _5271_.Q _3638_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _5271_.Q _5054_.A0 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _5272_.Q _3544_.A (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT _5272_.Q _3545_.A (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT _5272_.Q _3562_.A1 (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT _5272_.Q _3647_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _5272_.Q _5057_.A0 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _5273_.Q _3552_.A (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT _5273_.Q _3553_.A (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT _5273_.Q _3561_.A (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT _5273_.Q _3654_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _5273_.Q _5061_.A0 (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT _5274_.Q _3558_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _5274_.Q _3559_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _5274_.Q _3592_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _5274_.Q _3663_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _5274_.Q _5064_.A0 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _5275_.Q _3568_.A (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT _5275_.Q _3569_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _5275_.Q _3670_.A (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT _5275_.Q _5069_.A0 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _5276_.Q _3575_.A (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT _5276_.Q _3583_.A (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT _5276_.Q _3594_.A (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT _5276_.Q _3678_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _5276_.Q _5072_.A0 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _5277_.Q _3584_.A (0.001:0.001:0.001) (0.000:0.000:0.000))
(INTERCONNECT _5277_.Q _3585_.A (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT _5277_.Q _3595_.A1 (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT _5277_.Q _3687_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _5277_.Q _5075_.A0 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _5278_.Q _3597_.A (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT _5278_.Q _3598_.A (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT _5278_.Q _3701_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _5278_.Q _5079_.A0 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _5279_.Q _3604_.A (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT _5279_.Q _3605_.A (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT _5279_.Q _3707_.A1 (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT _5279_.Q hold121.A (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _5280_.Q _3610_.A (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT _5280_.Q _3611_.A (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT _5280_.Q _3713_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _5280_.Q _5086_.A0 (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT _5281_.Q _3618_.A (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT _5281_.Q _3719_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _5281_.Q _5089_.A0 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _5282_.Q _3627_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _5282_.Q _3628_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _5282_.Q _3640_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _5282_.Q _3729_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _5282_.Q _5092_.A0 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _5283_.Q _3634_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _5283_.Q _3639_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _5283_.Q _3735_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _5283_.Q _5097_.A0 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _5284_.Q _3641_.A (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT _5284_.Q _3642_.A (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT _5284_.Q _3659_.A1 (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT _5284_.Q _3744_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _5284_.Q _5100_.A0 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _5285_.Q _3649_.A (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT _5285_.Q _3650_.A (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT _5285_.Q _3658_.A (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT _5285_.Q _3751_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _5285_.Q _5104_.A0 (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT _5286_.Q _3655_.A (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT _5286_.Q _3656_.A (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT _5286_.Q _3690_.A (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT _5286_.Q _3760_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _5286_.Q _5107_.A0 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _5287_.Q _3665_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _5287_.Q _3666_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _5287_.Q _3768_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _5287_.Q _5110_.A0 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _5288_.Q _3672_.A (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT _5288_.Q _3679_.A (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT _5288_.Q _3692_.A (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT _5288_.Q _3774_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _5288_.Q _5114_.A0 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _5289_.Q _3680_.A (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT _5289_.Q _3681_.A (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT _5289_.Q _3693_.A1 (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT _5289_.Q _3781_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _5289_.Q _5117_.A0 (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT _5290_.Q _3695_.A (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT _5290_.Q _3696_.A (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT _5290_.Q _3797_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _5290_.Q _5121_.A0 (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT _5291_.Q _3702_.A (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT _5291_.Q _3703_.A (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT _5291_.Q _3804_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _5291_.Q _5124_.A0 (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT _5292_.Q _3708_.A (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT _5292_.Q _3709_.A (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT _5292_.Q _3812_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _5292_.Q _5127_.A0 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _5293_.Q _3716_.A (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT _5293_.Q _3818_.A (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT _5293_.Q _5131_.A0 (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT _5294_.Q _3724_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _5294_.Q _3725_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _5294_.Q _3833_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _5294_.Q _5134_.A0 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _5295_.Q _3730_.A (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT _5295_.Q _3736_.A (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT _5295_.Q _3839_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _5295_.Q _5138_.A0 (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT _5296_.Q _3738_.A (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT _5296_.Q _3739_.A (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT _5296_.Q _3756_.A1 (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT _5296_.Q _3848_.A1 (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT _5296_.Q _5141_.A0 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _5297_.Q _3745_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _5297_.Q _3746_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _5297_.Q _3755_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _5297_.Q _3854_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _5297_.Q _5144_.A0 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _5298_.Q _2560_.A0 (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT _5298_.Q _3752_.A (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT _5298_.Q _3753_.A (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT _5298_.Q _3863_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _5299_.Q _2563_.A0 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _5299_.Q _3762_.A (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT _5299_.Q _3763_.A (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT _5299_.Q _3871_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _5300_.Q _2568_.A0 (0.001:0.001:0.001) (0.000:0.000:0.000))
(INTERCONNECT _5300_.Q _3770_.A (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT _5300_.Q _3779_.B2 (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT _5300_.Q _3788_.A1 (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT _5300_.Q _3876_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _5301_.Q _2571_.A0 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _5301_.Q _3776_.A (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT _5301_.Q _3777_.A (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT _5301_.Q _3787_.A (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT _5301_.Q _3883_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _5302_.Q _2574_.A0 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _5302_.Q _3791_.A (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT _5302_.Q _3792_.A (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT _5302_.Q _3808_.A1 (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT _5302_.Q _3899_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _5303_.Q _2578_.A0 (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT _5303_.Q _3799_.A (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT _5303_.Q _3800_.A (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT _5303_.Q _3906_.A1 (0.001:0.001:0.001) (0.000:0.000:0.000))
(INTERCONNECT _5304_.Q _2581_.A0 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _5304_.Q _3805_.A (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT _5304_.Q _3806_.A (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT _5304_.Q _3814_.A (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT _5304_.Q _3912_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _5305_.Q _2585_.A0 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _5305_.Q _3816_.A (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT _5305_.Q _3918_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _5306_.Q _2588_.A0 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _5306_.Q _3828_.A (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT _5306_.Q _3829_.A (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT _5306_.Q _3930_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _5307_.Q _2591_.A0 (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT _5307_.Q _3834_.A (0.002:0.002:0.002) (0.002:0.002:0.002))
(INTERCONNECT _5307_.Q _3840_.A (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT _5307_.Q _3936_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _5308_.Q _2596_.A0 (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT _5308_.Q _3842_.A (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT _5308_.Q _3843_.A (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT _5308_.Q _3860_.A1 (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT _5308_.Q _3947_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _5309_.Q _2599_.A0 (0.001:0.001:0.001) (0.000:0.000:0.000))
(INTERCONNECT _5309_.Q _3849_.A (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT _5309_.Q _3850_.A (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT _5309_.Q _3859_.A (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT _5309_.Q _3953_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _5310_.Q _3856_.A (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT _5310_.Q _3888_.A (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT _5310_.Q _3961_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _5310_.Q hold47.A (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _5311_.Q _3866_.A (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT _5311_.Q _3867_.A (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT _5311_.Q _3969_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _5311_.Q hold64.A (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _5312_.Q _2609_.A0 (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT _5312_.Q _3873_.A (0.002:0.002:0.002) (0.001:0.001:0.001))
(INTERCONNECT _5312_.Q _3880_.A1 (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT _5312_.Q _3890_.B2 (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT _5312_.Q _3977_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _5313_.Q _2613_.A0 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _5313_.Q _3878_.A (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT _5313_.Q _3879_.A (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT _5313_.Q _3890_.A1 (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT _5313_.Q _3984_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _5314_.Q _2616_.A0 (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT _5314_.Q _3894_.A (0.002:0.002:0.002) (0.002:0.002:0.002))
(INTERCONNECT _5314_.Q _3998_.A (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT _5314_.Q hold126.A (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT _5315_.Q _2620_.A0 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _5315_.Q _3901_.A (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT _5315_.Q _3902_.A (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT _5315_.Q _4008_.A1 (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT _5316_.Q _2623_.A0 (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT _5316_.Q _4014_.A (0.002:0.002:0.002) (0.002:0.002:0.002))
(INTERCONNECT _5316_.Q hold86.A (0.004:0.004:0.004) (0.004:0.004:0.004))
(INTERCONNECT _5316_.Q ANTENNA_hold86_A.DIODE (0.004:0.004:0.004) (0.004:0.004:0.004))
(INTERCONNECT _5316_.Q ANTENNA__4014__A.DIODE (0.003:0.003:0.003) (0.003:0.003:0.003))
(INTERCONNECT _5316_.Q ANTENNA__2623__A0.DIODE (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT _5317_.Q _2626_.A0 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _5317_.Q _4021_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _5317_.Q hold117.A (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _5318_.Q _2630_.A0 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _5318_.Q _3925_.A (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT _5318_.Q _3926_.A (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT _5318_.Q _4033_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _5319_.Q _2633_.A0 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _5319_.Q _3931_.A (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT _5319_.Q _3937_.A (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT _5319_.Q _4039_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _5320_.Q _2637_.A0 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _5320_.Q _3939_.A (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT _5320_.Q _3940_.A (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT _5320_.Q _3958_.A1 (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT _5320_.Q _4048_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _5321_.Q _2640_.A0 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _5321_.Q _3948_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _5321_.Q _3949_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _5321_.Q _3957_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _5321_.Q _4054_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _5322_.Q _2643_.A0 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _5322_.Q _3954_.A (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT _5322_.Q _3955_.A (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT _5322_.Q _3987_.A (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT _5322_.Q _4062_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _5323_.Q _4071_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _5323_.Q hold50.A (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _5324_.Q _3989_.A (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT _5324_.Q _4079_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _5324_.Q hold49.A (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _5325_.Q _2655_.A0 (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT _5325_.Q _3979_.A (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT _5325_.Q _3980_.A (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT _5325_.Q _3990_.A1 (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT _5325_.Q _4086_.A1 (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT _5326_.Q _2658_.A0 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _5326_.Q _3992_.A (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT _5326_.Q _3993_.A (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT _5326_.Q _4100_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _5327_.Q _2661_.A0 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _5327_.Q _4001_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _5327_.Q _4002_.A (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT _5327_.Q _4107_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _5328_.Q _2665_.A0 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _5328_.Q _4009_.A (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT _5328_.Q _4010_.A (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT _5328_.Q _4113_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _5329_.Q _2668_.A0 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _5329_.Q _4017_.A (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT _5329_.Q _4018_.A (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT _5329_.Q _4121_.A1 (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT _5330_.Q _2672_.A0 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _5330_.Q _4029_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _5330_.Q _4133_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _5330_.Q hold92.A (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _5331_.Q _2675_.A0 (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT _5331_.Q _4034_.A (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT _5331_.Q _4040_.A (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT _5331_.Q _4138_.A1 (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT _5332_.Q _2678_.A0 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _5332_.Q _4042_.A (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT _5332_.Q _4043_.A (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT _5332_.Q _4059_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _5332_.Q _4147_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _5333_.Q _2683_.A0 (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT _5333_.Q _4049_.A (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT _5333_.Q _4050_.A (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT _5333_.Q _4058_.A (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT _5333_.Q _4155_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _5334_.Q _2686_.A0 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _5334_.Q _4055_.A (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT _5334_.Q _4056_.A (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT _5334_.Q _4089_.A (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT _5334_.Q _4165_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _5335_.Q _2690_.A0 (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT _5335_.Q _4066_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _5335_.Q _4067_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _5335_.Q _4171_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _5336_.Q _2693_.A0 (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT _5336_.Q _4073_.A (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT _5336_.Q _4080_.A (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT _5336_.Q _4091_.A (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT _5336_.Q _4178_.A1 (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT _5337_.Q _2696_.A0 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _5337_.Q _4081_.A (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT _5337_.Q _4082_.A (0.001:0.001:0.001) (0.000:0.000:0.000))
(INTERCONNECT _5337_.Q _4092_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _5337_.Q _4185_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _5338_.Q _2700_.A0 (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT _5338_.Q _4094_.A (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT _5338_.Q _4095_.A (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT _5338_.Q _4199_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _5339_.Q _2703_.A0 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _5339_.Q _4102_.A (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT _5339_.Q _4103_.A (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT _5339_.Q _4205_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _5340_.Q _2707_.A0 (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT _5340_.Q _4108_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _5340_.Q _4109_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _5340_.Q _4211_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _5341_.Q _2710_.A0 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _5341_.Q _4117_.A (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT _5341_.Q _4118_.A (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT _5341_.Q _4217_.A (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT _5342_.Q _2713_.A0 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _5342_.Q _4129_.A (0.001:0.001:0.001) (0.000:0.000:0.000))
(INTERCONNECT _5342_.Q _4230_.A1 (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT _5342_.Q hold74.A (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _5343_.Q _2717_.A0 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _5343_.Q _4235_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _5343_.Q hold78.A (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _5344_.Q _2720_.A0 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _5344_.Q _4160_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _5344_.Q _4245_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _5344_.Q hold60.A (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _5345_.Q _2724_.A0 (0.002:0.002:0.002) (0.001:0.001:0.001))
(INTERCONNECT _5345_.Q _4149_.A (0.003:0.003:0.003) (0.003:0.003:0.003))
(INTERCONNECT _5345_.Q _4150_.A (0.003:0.003:0.003) (0.003:0.003:0.003))
(INTERCONNECT _5345_.Q _4159_.A (0.003:0.003:0.003) (0.003:0.003:0.003))
(INTERCONNECT _5345_.Q _4253_.A1 (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT _5345_.Q ANTENNA__4253__A1.DIODE (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _5345_.Q ANTENNA__4159__A.DIODE (0.003:0.003:0.003) (0.003:0.003:0.003))
(INTERCONNECT _5345_.Q ANTENNA__4150__A.DIODE (0.003:0.003:0.003) (0.003:0.003:0.003))
(INTERCONNECT _5345_.Q ANTENNA__4149__A.DIODE (0.003:0.003:0.003) (0.003:0.003:0.003))
(INTERCONNECT _5345_.Q ANTENNA__2724__A0.DIODE (0.002:0.002:0.002) (0.002:0.002:0.002))
(INTERCONNECT _5346_.Q _2727_.A0 (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT _5346_.Q _4156_.A (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT _5346_.Q _4157_.A (0.002:0.002:0.002) (0.001:0.001:0.001))
(INTERCONNECT _5346_.Q _4190_.A (0.002:0.002:0.002) (0.002:0.002:0.002))
(INTERCONNECT _5346_.Q _4262_.A1 (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT _5347_.Q _2730_.A0 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _5347_.Q _4167_.A (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT _5347_.Q _4168_.A (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT _5347_.Q _4269_.A (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT _5348_.Q _2734_.A0 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _5348_.Q _4174_.A (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT _5348_.Q _4181_.A (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT _5348_.Q _4278_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _5349_.Q _2737_.A0 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _5349_.Q _4179_.A (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT _5349_.Q _4180_.A (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT _5349_.Q _4285_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _5350_.Q _2742_.A0 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _5350_.Q _4193_.A (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT _5350_.Q _4194_.A (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT _5350_.Q _4299_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _5351_.Q _2745_.A0 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _5351_.Q _4200_.A (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT _5351_.Q _4201_.A (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT _5351_.Q _4306_.A1 (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT _5352_.Q _2748_.A0 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _5352_.Q _4206_.A (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT _5352_.Q _4207_.A (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT _5352_.Q _4312_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _5353_.Q _2752_.A0 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _5353_.Q _4214_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _5353_.Q _4319_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _5354_.Q _2755_.A0 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _5354_.Q _4225_.A (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT _5354_.Q _4226_.A (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT _5354_.Q _4330_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _5355_.Q _2759_.A0 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _5355_.Q _4231_.A (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT _5355_.Q _4236_.A (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT _5355_.Q _4335_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _5356_.Q _2762_.A0 (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT _5356_.Q _4238_.A (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT _5356_.Q _4239_.A (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT _5356_.Q _4258_.A1 (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT _5356_.Q _4345_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _5357_.Q _2765_.A0 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _5357_.Q _4247_.A (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT _5357_.Q _4248_.A (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT _5357_.Q _4257_.A (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT _5357_.Q _4351_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _5358_.Q _4254_.A (0.002:0.002:0.002) (0.001:0.001:0.001))
(INTERCONNECT _5358_.Q _4255_.A (0.002:0.002:0.002) (0.002:0.002:0.002))
(INTERCONNECT _5358_.Q _4288_.A (0.002:0.002:0.002) (0.002:0.002:0.002))
(INTERCONNECT _5358_.Q _4359_.A (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT _5358_.Q hold96.A (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _5359_.Q _2773_.A0 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _5359_.Q _4264_.A (0.003:0.003:0.003) (0.003:0.003:0.003))
(INTERCONNECT _5359_.Q _4265_.A (0.003:0.003:0.003) (0.003:0.003:0.003))
(INTERCONNECT _5359_.Q _4367_.A (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT _5359_.Q ANTENNA__4367__A.DIODE (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT _5359_.Q ANTENNA__4265__A.DIODE (0.003:0.003:0.003) (0.003:0.003:0.003))
(INTERCONNECT _5359_.Q ANTENNA__4264__A.DIODE (0.003:0.003:0.003) (0.003:0.003:0.003))
(INTERCONNECT _5359_.Q ANTENNA__2773__A0.DIODE (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _5360_.Q _2777_.A0 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _5360_.Q _4272_.A (0.003:0.003:0.003) (0.003:0.003:0.003))
(INTERCONNECT _5360_.Q _4279_.A (0.003:0.003:0.003) (0.003:0.003:0.003))
(INTERCONNECT _5360_.Q _4290_.A (0.003:0.003:0.003) (0.003:0.003:0.003))
(INTERCONNECT _5360_.Q _4376_.A1 (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT _5360_.Q ANTENNA__4376__A1.DIODE (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT _5360_.Q ANTENNA__4290__A.DIODE (0.002:0.002:0.002) (0.002:0.002:0.002))
(INTERCONNECT _5360_.Q ANTENNA__4279__A.DIODE (0.003:0.003:0.003) (0.003:0.003:0.003))
(INTERCONNECT _5360_.Q ANTENNA__4272__A.DIODE (0.003:0.003:0.003) (0.003:0.003:0.003))
(INTERCONNECT _5360_.Q ANTENNA__2777__A0.DIODE (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _5361_.Q _2780_.A0 (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT _5361_.Q _4280_.A (0.003:0.003:0.003) (0.003:0.003:0.003))
(INTERCONNECT _5361_.Q _4281_.A (0.003:0.003:0.003) (0.003:0.003:0.003))
(INTERCONNECT _5361_.Q _4291_.A1 (0.003:0.003:0.003) (0.003:0.003:0.003))
(INTERCONNECT _5361_.Q _4383_.A1 (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT _5361_.Q ANTENNA__4383__A1.DIODE (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT _5361_.Q ANTENNA__4291__A1.DIODE (0.003:0.003:0.003) (0.003:0.003:0.003))
(INTERCONNECT _5361_.Q ANTENNA__4281__A.DIODE (0.003:0.003:0.003) (0.003:0.003:0.003))
(INTERCONNECT _5361_.Q ANTENNA__4280__A.DIODE (0.003:0.003:0.003) (0.003:0.003:0.003))
(INTERCONNECT _5361_.Q ANTENNA__2780__A0.DIODE (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT _5362_.Q _2783_.A0 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _5362_.Q _4293_.A (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT _5362_.Q _4294_.A (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT _5362_.Q _4397_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _5363_.Q _2787_.A0 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _5363_.Q _4301_.A (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT _5363_.Q _4302_.A (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT _5363_.Q _4404_.A1 (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT _5364_.Q _2790_.A0 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _5364_.Q _4307_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _5364_.Q _4308_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _5364_.Q _4410_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _5365_.Q _2794_.A0 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _5365_.Q _4315_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _5365_.Q _4316_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _5365_.Q _4416_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _5366_.Q _2797_.A0 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _5366_.Q _4324_.A (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT _5366_.Q _4325_.A (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT _5366_.Q _4427_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _5367_.Q _2800_.A0 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _5367_.Q _4331_.A (0.002:0.002:0.002) (0.002:0.002:0.002))
(INTERCONNECT _5367_.Q _4336_.A (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT _5367_.Q _4432_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _5368_.Q _2804_.A0 (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT _5368_.Q _4338_.A (0.003:0.003:0.003) (0.003:0.003:0.003))
(INTERCONNECT _5368_.Q _4339_.A (0.003:0.003:0.003) (0.003:0.003:0.003))
(INTERCONNECT _5368_.Q _4356_.A1 (0.003:0.003:0.003) (0.003:0.003:0.003))
(INTERCONNECT _5368_.Q _4442_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _5368_.Q ANTENNA__4442__A1.DIODE (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT _5368_.Q ANTENNA__4356__A1.DIODE (0.003:0.003:0.003) (0.003:0.003:0.003))
(INTERCONNECT _5368_.Q ANTENNA__4339__A.DIODE (0.003:0.003:0.003) (0.003:0.003:0.003))
(INTERCONNECT _5368_.Q ANTENNA__4338__A.DIODE (0.003:0.003:0.003) (0.003:0.003:0.003))
(INTERCONNECT _5368_.Q ANTENNA__2804__A0.DIODE (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT _5369_.Q _2807_.A0 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _5369_.Q _4346_.A (0.003:0.003:0.003) (0.003:0.003:0.003))
(INTERCONNECT _5369_.Q _4347_.A (0.003:0.003:0.003) (0.002:0.002:0.002))
(INTERCONNECT _5369_.Q _4355_.A (0.003:0.003:0.003) (0.003:0.003:0.003))
(INTERCONNECT _5369_.Q _4448_.A1 (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT _5369_.Q ANTENNA__4448__A1.DIODE (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _5369_.Q ANTENNA__4355__A.DIODE (0.003:0.003:0.003) (0.003:0.003:0.003))
(INTERCONNECT _5369_.Q ANTENNA__4347__A.DIODE (0.003:0.003:0.003) (0.003:0.003:0.003))
(INTERCONNECT _5369_.Q ANTENNA__4346__A.DIODE (0.003:0.003:0.003) (0.003:0.003:0.003))
(INTERCONNECT _5369_.Q ANTENNA__2807__A0.DIODE (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _5370_.Q _2811_.A0 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _5370_.Q _4352_.A (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT _5370_.Q _4353_.A (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT _5370_.Q _4386_.A (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT _5370_.Q _4456_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _5371_.Q _2814_.A0 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _5371_.Q _4362_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _5371_.Q _4363_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _5371_.Q _4464_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _5372_.Q _2817_.A0 (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT _5372_.Q _4369_.A (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT _5372_.Q _4377_.A (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT _5372_.Q _4388_.A (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT _5372_.Q _4472_.A1 (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT _5373_.Q _2821_.A0 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _5373_.Q _4378_.A (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT _5373_.Q _4379_.A (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT _5373_.Q _4389_.A1 (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT _5373_.Q _4478_.A (0.001:0.001:0.001) (0.000:0.000:0.000))
(INTERCONNECT _5374_.Q _2824_.A0 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _5374_.Q _4392_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _5374_.Q _4493_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _5374_.Q hold106.A (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _5375_.Q _2829_.A0 (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT _5375_.Q _4399_.A (0.002:0.002:0.002) (0.002:0.002:0.002))
(INTERCONNECT _5375_.Q _4400_.A (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT _5375_.Q _4501_.A1 (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT _5375_.Q ANTENNA__4501__A1.DIODE (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT _5375_.Q ANTENNA__4400__A.DIODE (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT _5375_.Q ANTENNA__4399__A.DIODE (0.002:0.002:0.002) (0.002:0.002:0.002))
(INTERCONNECT _5375_.Q ANTENNA__2829__A0.DIODE (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT _5376_.Q _4405_.A (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT _5376_.Q _4406_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _5376_.Q _4507_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _5376_.Q hold1.A (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _5377_.Q _2835_.A0 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _5377_.Q _4413_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _5377_.Q _4513_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _5378_.Q _2839_.A0 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _5378_.Q _4421_.A (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT _5378_.Q _4422_.A (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT _5378_.Q _4524_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _5379_.Q _2842_.A0 (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT _5379_.Q _4428_.A (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT _5379_.Q _4433_.A (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT _5379_.Q _4529_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _5380_.Q _2846_.A0 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _5380_.Q _4435_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _5380_.Q _4436_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _5380_.Q _4453_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _5380_.Q _4539_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _5381_.Q _2849_.A0 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _5381_.Q _4443_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _5381_.Q _4444_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _5381_.Q _4452_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _5381_.Q _4546_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _5382_.Q _2852_.A0 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _5382_.Q _4449_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _5382_.Q _4450_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _5382_.Q _4482_.A (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT _5382_.Q _4554_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _5383_.Q _2857_.A0 (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT _5383_.Q _4459_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _5383_.Q _4460_.A (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT _5383_.Q _4561_.A (0.001:0.001:0.001) (0.000:0.000:0.000))
(INTERCONNECT _5384_.Q _2860_.A0 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _5384_.Q _4465_.A (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT _5384_.Q _4475_.A1 (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT _5384_.Q _4484_.B2 (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT _5384_.Q _4570_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _5385_.Q _2864_.A0 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _5385_.Q _4473_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _5385_.Q _4474_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _5385_.Q _4484_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _5385_.Q _4577_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _5386_.Q _2867_.A0 (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT _5386_.Q _4487_.A (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT _5386_.Q _4488_.A (0.001:0.001:0.001) (0.000:0.000:0.000))
(INTERCONNECT _5386_.Q _4591_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _5387_.Q _2870_.A0 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _5387_.Q _4495_.A (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT _5387_.Q _4496_.A (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT _5387_.Q _4598_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _5388_.Q _2874_.A0 (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT _5388_.Q _4502_.A (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT _5388_.Q _4503_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _5388_.Q _4604_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _5389_.Q _2877_.A0 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _5389_.Q _4510_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _5389_.Q _4610_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _5390_.Q _2881_.A0 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _5390_.Q _4518_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _5390_.Q _4519_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _5390_.Q _4623_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _5391_.Q _4628_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _5391_.Q hold19.A (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _5392_.Q _2887_.A0 (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT _5392_.Q _4533_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _5392_.Q _4534_.A (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT _5392_.Q _4551_.A1 (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT _5392_.Q _4637_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _5393_.Q _2891_.A0 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _5393_.Q _4541_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _5393_.Q _4542_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _5393_.Q _4550_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _5393_.Q _4644_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _5394_.Q _2894_.A0 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _5394_.Q _4547_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _5394_.Q _4548_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _5394_.Q _4580_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _5394_.Q _4653_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _5395_.Q _4660_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _5395_.Q hold72.A (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _5396_.Q _4582_.A (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT _5396_.Q _4669_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _5396_.Q hold79.A (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT _5397_.Q _2904_.A0 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _5397_.Q _4572_.A (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT _5397_.Q _4573_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _5397_.Q _4583_.A1 (0.001:0.001:0.001) (0.000:0.000:0.000))
(INTERCONNECT _5397_.Q _4676_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _5398_.Q _2908_.A0 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _5398_.Q _4585_.A (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT _5398_.Q _4586_.A (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT _5398_.Q _4690_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _5399_.Q _2911_.A0 (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT _5399_.Q _4593_.A (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT _5399_.Q _4594_.A (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT _5399_.Q _4697_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _5400_.Q _2916_.A0 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _5400_.Q _4599_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _5400_.Q _4600_.A (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT _5400_.Q _4703_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _5401_.Q _2919_.A0 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _5401_.Q _4607_.A (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT _5401_.Q _4709_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _5402_.Q _2922_.A0 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _5402_.Q _4617_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _5402_.Q _4618_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _5402_.Q _4721_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _5403_.Q _2926_.A0 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _5403_.Q _4624_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _5403_.Q _4629_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _5403_.Q _4726_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _5404_.Q _2929_.A0 (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT _5404_.Q _4631_.A (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT _5404_.Q _4632_.A (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT _5404_.Q _4649_.A1 (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT _5404_.Q _4736_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _5405_.Q _2933_.A0 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _5405_.Q _4638_.A (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT _5405_.Q _4639_.A (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT _5405_.Q _4648_.A (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT _5405_.Q _4743_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _5406_.Q _4645_.A (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT _5406_.Q _4646_.A (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT _5406_.Q _4679_.A (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT _5406_.Q _4751_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _5406_.Q hold6.A (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _5407_.Q _4655_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _5407_.Q _4656_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _5407_.Q _4759_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _5407_.Q hold56.A (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _5408_.Q _2944_.A0 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _5408_.Q _4662_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _5408_.Q _4670_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _5408_.Q _4681_.A (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT _5408_.Q _4768_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _5409_.Q _2947_.A0 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _5409_.Q _4671_.A (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT _5409_.Q _4672_.A (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT _5409_.Q _4682_.A1 (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT _5409_.Q _4775_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _5410_.Q _2951_.A0 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _5410_.Q _4684_.A (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT _5410_.Q _4685_.A (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT _5410_.Q _4789_.A (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT _5411_.Q _2954_.A0 (0.002:0.002:0.002) (0.002:0.002:0.002))
(INTERCONNECT _5411_.Q _4692_.A (0.004:0.004:0.004) (0.004:0.004:0.004))
(INTERCONNECT _5411_.Q _4693_.A (0.004:0.004:0.004) (0.004:0.004:0.004))
(INTERCONNECT _5411_.Q _4796_.A1 (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT _5411_.Q ANTENNA__4796__A1.DIODE (0.002:0.002:0.002) (0.002:0.002:0.002))
(INTERCONNECT _5411_.Q ANTENNA__4693__A.DIODE (0.005:0.005:0.005) (0.005:0.005:0.005))
(INTERCONNECT _5411_.Q ANTENNA__4692__A.DIODE (0.005:0.005:0.005) (0.005:0.005:0.005))
(INTERCONNECT _5411_.Q ANTENNA__2954__A0.DIODE (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT _5412_.Q _2957_.A0 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _5412_.Q _4698_.A (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT _5412_.Q _4699_.A (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT _5412_.Q _4802_.A (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT _5413_.Q _4706_.A (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT _5413_.Q _4808_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _5413_.Q hold8.A (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _5414_.Q _2964_.A0 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _5414_.Q _4714_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _5414_.Q _4715_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _5414_.Q _4819_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _5415_.Q _2968_.A0 (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT _5415_.Q _4722_.A (0.001:0.001:0.001) (0.000:0.000:0.000))
(INTERCONNECT _5415_.Q _4727_.A (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT _5415_.Q _4825_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _5416_.Q _2971_.A0 (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT _5416_.Q _4729_.A (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT _5416_.Q _4730_.A (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT _5416_.Q _4748_.A1 (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT _5416_.Q _4834_.A (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT _5417_.Q _2974_.A0 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _5417_.Q _4747_.A (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT _5417_.Q _4841_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _5417_.Q hold105.A (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _5418_.Q _2978_.A0 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _5418_.Q _4744_.A (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT _5418_.Q _4745_.A (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT _5418_.Q _4778_.A (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT _5418_.Q _4850_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _5419_.Q _2981_.A0 (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT _5419_.Q _4754_.A (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT _5419_.Q _4755_.A (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT _5419_.Q _4858_.A1 (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT _5420_.Q _2985_.A0 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _5420_.Q _4761_.A (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT _5420_.Q _4769_.A (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT _5420_.Q _4780_.A (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT _5420_.Q _4866_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _5421_.Q _2988_.A0 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _5421_.Q _4770_.A (0.002:0.002:0.002) (0.002:0.002:0.002))
(INTERCONNECT _5421_.Q _4771_.A (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT _5421_.Q _4781_.A1 (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT _5421_.Q _4873_.A1 (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT _5422_.Q _2991_.A0 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _5422_.Q _4783_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _5422_.Q _4784_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _5422_.Q _4887_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _5423_.Q _2995_.A0 (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT _5423_.Q _4791_.A (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT _5423_.Q _4792_.A (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT _5423_.Q _4894_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _5424_.Q _2998_.A0 (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT _5424_.Q _4797_.A (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT _5424_.Q _4798_.A (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT _5424_.Q _4900_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _5425_.Q _3002_.A0 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _5425_.Q _4805_.A (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT _5425_.Q _4906_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _5426_.Q _4917_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _5426_.Q hold22.A (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _5427_.Q _3008_.A0 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _5427_.Q _4820_.A (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT _5427_.Q _4826_.A (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT _5427_.Q _4922_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _5428_.Q _3012_.A0 (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT _5428_.Q _4828_.A (0.002:0.002:0.002) (0.001:0.001:0.001))
(INTERCONNECT _5428_.Q _4829_.A (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT _5428_.Q _4846_.A1 (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT _5428_.Q _4932_.A (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT _5429_.Q _3015_.A0 (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT _5429_.Q _4836_.A (0.001:0.001:0.001) (0.000:0.000:0.000))
(INTERCONNECT _5429_.Q _4837_.A (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT _5429_.Q _4845_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _5429_.Q _4939_.A1 (0.001:0.001:0.001) (0.000:0.000:0.000))
(INTERCONNECT _5430_.Q _4842_.A (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT _5430_.Q _4876_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _5430_.Q _4947_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _5430_.Q hold65.A (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _5431_.Q _3022_.A0 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _5431_.Q _4853_.A (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT _5431_.Q _4854_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _5431_.Q _4954_.A (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT _5432_.Q _4860_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _5432_.Q _4878_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _5432_.Q _4963_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _5432_.Q hold68.A (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _5433_.Q _4869_.A (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT _5433_.Q _4879_.A1 (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT _5433_.Q _4970_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _5433_.Q hold63.A (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _5434_.Q _4882_.A (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT _5434_.Q _4984_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _5434_.Q hold58.A (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _5435_.Q _3035_.A0 (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT _5435_.Q _4889_.A (0.002:0.002:0.002) (0.002:0.002:0.002))
(INTERCONNECT _5435_.Q _4890_.A (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT _5435_.Q _4992_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _5436_.Q _3038_.A0 (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT _5436_.Q _4895_.A (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT _5436_.Q _4896_.A (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT _5436_.Q _4998_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _5437_.Q _3041_.A0 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _5437_.Q _4903_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _5437_.Q _5004_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _5438_.Q _3530_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _5438_.Q _3531_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _5438_.Q _3543_.A2 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _5438_.Q _5010_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _5439_.Q _3538_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _5439_.Q _3542_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _5439_.Q _5013_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _5440_.Q _3544_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _5440_.Q _3545_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _5440_.Q _3562_.A2 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _5440_.Q _5017_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _5441_.Q _3552_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _5441_.Q _3553_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _5441_.Q _3561_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _5441_.Q _5020_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _5442_.Q _3558_.B (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT _5442_.Q _3559_.B (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT _5442_.Q _3592_.B (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT _5442_.Q _5023_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _5443_.Q _3568_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _5443_.Q _3569_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _5443_.Q _5027_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _5444_.Q _3575_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _5444_.Q _3583_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _5444_.Q _3594_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _5444_.Q _5030_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _5445_.Q _3584_.B (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT _5445_.Q _3585_.B (0.001:0.001:0.001) (0.000:0.000:0.000))
(INTERCONNECT _5445_.Q _3595_.A2 (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT _5445_.Q _5034_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _5446_.Q _3597_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _5446_.Q _3598_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _5446_.Q _5037_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _5447_.Q _3604_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _5447_.Q _3605_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _5447_.Q _5040_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _5448_.Q _3610_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _5448_.Q _3611_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _5448_.Q _5044_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _5449_.Q _3618_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _5449_.Q _5047_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _5450_.Q _3627_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _5450_.Q _3628_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _5450_.Q _3640_.A2 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _5450_.Q _5051_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _5451_.Q _3634_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _5451_.Q _3639_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _5451_.Q _5054_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _5452_.Q _3642_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _5452_.Q _3659_.A2 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _5452_.Q _5057_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _5452_.Q hold69.A (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _5453_.Q _3658_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _5453_.Q _5061_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _5453_.Q hold83.A (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _5454_.Q _3655_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _5454_.Q _3656_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _5454_.Q _3690_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _5454_.Q _5064_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _5455_.Q _3665_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _5455_.Q _3666_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _5455_.Q _5069_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _5456_.Q _3672_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _5456_.Q _3679_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _5456_.Q _3692_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _5456_.Q _5072_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _5457_.Q _3680_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _5457_.Q _3681_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _5457_.Q _3693_.A2 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _5457_.Q _5075_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _5458_.Q _3695_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _5458_.Q _3696_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _5458_.Q _5079_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _5459_.Q _3702_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _5459_.Q _3703_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _5459_.Q _5082_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _5460_.Q _3708_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _5460_.Q _3709_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _5460_.Q _5086_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _5461_.Q _3716_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _5461_.Q _5089_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _5462_.Q _3724_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _5462_.Q _3725_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _5462_.Q _5092_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _5463_.Q _3730_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _5463_.Q _3736_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _5463_.Q _5097_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _5464_.Q _3738_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _5464_.Q _3739_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _5464_.Q _3756_.A2 (0.001:0.001:0.001) (0.000:0.000:0.000))
(INTERCONNECT _5464_.Q _5100_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _5465_.Q _3745_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _5465_.Q _3746_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _5465_.Q _3755_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _5465_.Q _5104_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _5466_.Q _3752_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _5466_.Q _3753_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _5466_.Q _5107_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _5467_.Q _3762_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _5467_.Q _3763_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _5467_.Q _5110_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _5468_.Q _3770_.B (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT _5468_.Q _3779_.B1 (0.001:0.001:0.001) (0.000:0.000:0.000))
(INTERCONNECT _5468_.Q _3788_.A2 (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT _5468_.Q _5114_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _5469_.Q _3776_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _5469_.Q _3777_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _5469_.Q _3787_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _5469_.Q _5117_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _5470_.Q _3791_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _5470_.Q _3792_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _5470_.Q _3808_.A2 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _5470_.Q _5121_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _5471_.Q _3799_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _5471_.Q _3800_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _5471_.Q _5124_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _5472_.Q _3805_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _5472_.Q _3806_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _5472_.Q _3814_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _5472_.Q _5127_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _5473_.Q _3816_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _5473_.Q _5131_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _5474_.Q _3828_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _5474_.Q _3829_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _5474_.Q _5134_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _5475_.Q _3834_.B (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT _5475_.Q _3840_.B (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT _5475_.Q _5138_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _5476_.Q _3842_.B (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT _5476_.Q _3843_.B (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT _5476_.Q _3860_.A2 (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT _5476_.Q _5141_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _5477_.Q _3849_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _5477_.Q _3850_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _5477_.Q _3859_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _5477_.Q _5144_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _5478_.Q _2560_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _5478_.Q _3856_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _5478_.Q _3857_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _5478_.Q _3888_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _5479_.Q _2563_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _5479_.Q _3866_.B (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT _5479_.Q _3867_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _5480_.Q _2568_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _5480_.Q _3873_.B (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT _5480_.Q _3880_.A2 (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT _5480_.Q _3890_.B1 (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT _5481_.Q _2571_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _5481_.Q _3878_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _5481_.Q _3879_.B (0.001:0.001:0.001) (0.000:0.000:0.000))
(INTERCONNECT _5481_.Q _3890_.A2 (0.001:0.001:0.001) (0.000:0.000:0.000))
(INTERCONNECT _5482_.Q _2574_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _5482_.Q _3893_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _5482_.Q _3894_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _5483_.Q _2578_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _5483_.Q _3901_.B (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT _5483_.Q _3902_.B (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT _5484_.Q _2581_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _5484_.Q _3907_.B (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT _5484_.Q _3908_.B (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT _5485_.Q _2585_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _5485_.Q _3915_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _5486_.Q _2588_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _5486_.Q _3925_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _5486_.Q _3926_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _5487_.Q _2591_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _5487_.Q _3931_.B (0.001:0.001:0.001) (0.000:0.000:0.000))
(INTERCONNECT _5487_.Q _3937_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _5488_.Q _2596_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _5488_.Q _3939_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _5488_.Q _3940_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _5488_.Q _3958_.A2 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _5489_.Q _2599_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _5489_.Q _3948_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _5489_.Q _3949_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _5489_.Q _3957_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _5490_.Q _2603_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _5490_.Q _3954_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _5490_.Q _3955_.B (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT _5490_.Q _3987_.B (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT _5491_.Q _2606_.A1 (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT _5491_.Q _3964_.B (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT _5491_.Q _3965_.B (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT _5492_.Q _2609_.A1 (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT _5492_.Q _3971_.B (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT _5492_.Q _3978_.B (0.002:0.002:0.002) (0.002:0.002:0.002))
(INTERCONNECT _5492_.Q _3989_.B (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT _5493_.Q _2613_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _5493_.Q _3979_.B (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT _5493_.Q _3980_.B (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT _5493_.Q _3990_.A2 (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT _5494_.Q _2616_.A1 (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT _5494_.Q _3992_.B (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT _5494_.Q _3993_.B (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT _5495_.Q _2620_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _5495_.Q _4001_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _5495_.Q _4002_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _5496_.Q _2623_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _5496_.Q _4009_.B (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT _5496_.Q _4010_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _5497_.Q _2626_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _5497_.Q _4017_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _5497_.Q _4018_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _5498_.Q _2630_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _5498_.Q _4028_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _5498_.Q _4029_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _5499_.Q _2633_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _5499_.Q _4034_.B (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT _5499_.Q _4040_.B (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT _5500_.Q _2637_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _5500_.Q _4042_.B (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT _5500_.Q _4043_.B (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT _5500_.Q _4059_.A2 (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT _5501_.Q _2640_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _5501_.Q _4049_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _5501_.Q _4050_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _5501_.Q _4058_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _5502_.Q _2643_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _5502_.Q _4055_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _5502_.Q _4056_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _5502_.Q _4089_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _5503_.Q _2647_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _5503_.Q _4066_.B (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT _5503_.Q _4067_.B (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT _5504_.Q _2650_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _5504_.Q _4073_.B (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT _5504_.Q _4080_.B (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT _5504_.Q _4091_.B (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT _5505_.Q _2655_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _5505_.Q _4081_.B (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT _5505_.Q _4082_.B (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT _5505_.Q _4092_.A2 (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT _5506_.Q _2658_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _5506_.Q _4094_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _5506_.Q _4095_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _5507_.Q _2661_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _5507_.Q _4102_.B (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT _5507_.Q _4103_.B (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT _5508_.Q _2665_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _5508_.Q _4108_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _5508_.Q _4109_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _5509_.Q _2668_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _5509_.Q _4117_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _5509_.Q _4118_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _5510_.Q _2672_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _5510_.Q _4128_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _5510_.Q _4129_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _5511_.Q _2675_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _5511_.Q _4134_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _5511_.Q _4139_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _5512_.Q _2678_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _5512_.Q _4141_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _5512_.Q _4142_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _5512_.Q _4160_.A2 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _5513_.Q _2683_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _5513_.Q _4149_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _5513_.Q _4150_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _5513_.Q _4159_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _5514_.Q _2686_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _5514_.Q _4156_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _5514_.Q _4157_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _5514_.Q _4190_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _5515_.Q _2690_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _5515_.Q _4167_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _5515_.Q _4168_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _5516_.Q _2693_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _5516_.Q _4174_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _5516_.Q _4181_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _5517_.Q _2696_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _5517_.Q _4179_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _5517_.Q _4180_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _5518_.Q _2700_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _5518_.Q _4193_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _5518_.Q _4194_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _5519_.Q _2703_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _5519_.Q _4200_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _5519_.Q _4201_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _5520_.Q _2707_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _5520_.Q _4206_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _5520_.Q _4207_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _5521_.Q _2710_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _5521_.Q _4214_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _5522_.Q _2713_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _5522_.Q _4225_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _5522_.Q _4226_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _5523_.Q _2717_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _5523_.Q _4231_.B (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT _5523_.Q _4236_.B (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT _5524_.Q _2720_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _5524_.Q _4238_.B (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT _5524_.Q _4239_.B (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT _5524_.Q _4258_.A2 (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT _5525_.Q _2724_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _5525_.Q _4247_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _5525_.Q _4248_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _5525_.Q _4257_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _5526_.Q _2727_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _5526_.Q _4254_.B (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT _5526_.Q _4255_.B (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT _5526_.Q _4288_.B (0.001:0.001:0.001) (0.000:0.000:0.000))
(INTERCONNECT _5527_.Q _2730_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _5527_.Q _4264_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _5527_.Q _4265_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _5528_.Q _2734_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _5528_.Q _4272_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _5528_.Q _4279_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _5528_.Q _4290_.B (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT _5529_.Q _2737_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _5529_.Q _4280_.B (0.001:0.001:0.001) (0.000:0.000:0.000))
(INTERCONNECT _5529_.Q _4281_.B (0.001:0.001:0.001) (0.000:0.000:0.000))
(INTERCONNECT _5529_.Q _4291_.A2 (0.001:0.001:0.001) (0.000:0.000:0.000))
(INTERCONNECT _5530_.Q _2742_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _5530_.Q _4293_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _5530_.Q _4294_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _5531_.Q _2745_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _5531_.Q _4301_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _5531_.Q _4302_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _5532_.Q _2748_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _5532_.Q _4307_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _5532_.Q _4308_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _5533_.Q _2752_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _5533_.Q _4315_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _5533_.Q _4316_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _5534_.Q _2755_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _5534_.Q _4324_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _5534_.Q _4325_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _5535_.Q _2759_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _5535_.Q _4331_.B (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT _5535_.Q _4336_.B (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT _5536_.Q _2762_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _5536_.Q _4338_.B (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT _5536_.Q _4339_.B (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT _5536_.Q _4356_.A2 (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT _5537_.Q _2765_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _5537_.Q _4346_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _5537_.Q _4347_.B (0.001:0.001:0.001) (0.000:0.000:0.000))
(INTERCONNECT _5537_.Q _4355_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _5538_.Q _2770_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _5538_.Q _4352_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _5538_.Q _4353_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _5538_.Q _4386_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _5539_.Q _2773_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _5539_.Q _4362_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _5539_.Q _4363_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _5540_.Q _2777_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _5540_.Q _4369_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _5540_.Q _4377_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _5540_.Q _4388_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _5541_.Q _2780_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _5541_.Q _4378_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _5541_.Q _4379_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _5541_.Q _4389_.A2 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _5542_.Q _2783_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _5542_.Q _4391_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _5542_.Q _4392_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _5543_.Q _2787_.A1 (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT _5543_.Q _4399_.B (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT _5543_.Q _4400_.B (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT _5544_.Q _2790_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _5544_.Q _4405_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _5544_.Q _4406_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _5545_.Q _2794_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _5545_.Q _4413_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _5546_.Q _2797_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _5546_.Q _4421_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _5546_.Q _4422_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _5547_.Q _2800_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _5547_.Q _4428_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _5547_.Q _4433_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _5548_.Q _2804_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _5548_.Q _4435_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _5548_.Q _4436_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _5548_.Q _4453_.A2 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _5549_.Q _2807_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _5549_.Q _4443_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _5549_.Q _4444_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _5549_.Q _4452_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _5550_.Q _2811_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _5550_.Q _4449_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _5550_.Q _4450_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _5550_.Q _4482_.B (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT _5551_.Q _2814_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _5551_.Q _4459_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _5551_.Q _4460_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _5552_.Q _2817_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _5552_.Q _4465_.B (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT _5552_.Q _4475_.A2 (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT _5552_.Q _4484_.B1 (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT _5553_.Q _2821_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _5553_.Q _4473_.B (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT _5553_.Q _4474_.B (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT _5553_.Q _4484_.A2 (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT _5554_.Q _2824_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _5554_.Q hold3.A (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _5555_.Q _2829_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _5555_.Q _4495_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _5555_.Q _4496_.B (0.001:0.001:0.001) (0.000:0.000:0.000))
(INTERCONNECT _5556_.Q _2832_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _5556_.Q _4502_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _5556_.Q _4503_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _5557_.Q _2835_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _5557_.Q _4510_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _5558_.Q _2839_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _5558_.Q _4518_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _5558_.Q _4519_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _5559_.Q _2842_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _5559_.Q _4525_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _5559_.Q _4531_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _5560_.Q _2846_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _5560_.Q _4551_.A2 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _5560_.Q hold36.A (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _5561_.Q _2849_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _5561_.Q _4541_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _5561_.Q _4542_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _5561_.Q _4550_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _5562_.Q _2852_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _5562_.Q _4547_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _5562_.Q _4548_.B (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT _5562_.Q _4580_.B (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT _5563_.Q _2857_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _5563_.Q hold110.A (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _5564_.Q _2860_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _5564_.Q _4563_.B (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT _5564_.Q _4564_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _5564_.Q _4582_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _5565_.Q _2864_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _5565_.Q _4572_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _5565_.Q _4573_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _5565_.Q _4583_.A2 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _5566_.Q _2867_.A1 (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT _5566_.Q _4585_.B (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT _5566_.Q _4586_.B (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT _5567_.Q _2870_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _5567_.Q _4593_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _5567_.Q _4594_.B (0.001:0.001:0.001) (0.000:0.000:0.000))
(INTERCONNECT _5568_.Q _2874_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _5568_.Q hold26.A (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _5569_.Q _2877_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _5569_.Q _4607_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _5570_.Q _2881_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _5570_.Q _4617_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _5570_.Q _4618_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _5571_.Q _2884_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _5571_.Q _4624_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _5571_.Q _4629_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _5572_.Q _2887_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _5572_.Q _4631_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _5572_.Q _4632_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _5572_.Q _4649_.A2 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _5573_.Q _2891_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _5573_.Q _4648_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _5573_.Q hold45.A (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _5574_.Q _2894_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _5574_.Q _4645_.B (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT _5574_.Q _4646_.B (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT _5574_.Q _4679_.B (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT _5575_.Q _2898_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _5575_.Q _4655_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _5575_.Q _4656_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _5576_.Q _2901_.A1 (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT _5576_.Q _4662_.B (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT _5576_.Q _4670_.B (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT _5576_.Q _4681_.B (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT _5577_.Q _2904_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _5577_.Q _4671_.B (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT _5577_.Q _4672_.B (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT _5577_.Q _4682_.A2 (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT _5578_.Q _2908_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _5578_.Q hold10.A (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _5579_.Q _2911_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _5579_.Q _4692_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _5579_.Q _4693_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _5580_.Q _2916_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _5580_.Q _4698_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _5580_.Q _4699_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _5581_.Q _2919_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _5581_.Q _4706_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _5582_.Q _2922_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _5582_.Q _4714_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _5582_.Q _4715_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _5583_.Q _2926_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _5583_.Q _4722_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _5583_.Q _4727_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _5584_.Q _2929_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _5584_.Q _4729_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _5584_.Q _4730_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _5584_.Q _4748_.A2 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _5585_.Q _2933_.A1 (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT _5585_.Q _4737_.B (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT _5585_.Q _4738_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _5585_.Q _4747_.B (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT _5586_.Q _2936_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _5586_.Q _4744_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _5586_.Q _4745_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _5586_.Q _4778_.B (0.001:0.001:0.001) (0.000:0.000:0.000))
(INTERCONNECT _5587_.Q _2939_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _5587_.Q _4754_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _5587_.Q _4755_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _5588_.Q _2944_.A1 (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT _5588_.Q _4761_.B (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT _5588_.Q _4769_.B (0.002:0.002:0.002) (0.002:0.002:0.002))
(INTERCONNECT _5588_.Q _4780_.B (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT _5589_.Q _2947_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _5589_.Q _4770_.B (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT _5589_.Q _4771_.B (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT _5589_.Q _4781_.A2 (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT _5590_.Q _2951_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _5590_.Q _4784_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _5590_.Q hold125.A (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _5591_.Q _2954_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _5591_.Q _4791_.B (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT _5591_.Q _4792_.B (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT _5592_.Q _2957_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _5592_.Q _4797_.B (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT _5592_.Q _4798_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _5593_.Q _2961_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _5593_.Q _4805_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _5594_.Q _2964_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _5594_.Q _4813_.B (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT _5594_.Q _4814_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _5595_.Q _2968_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _5595_.Q hold41.A (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _5596_.Q _2971_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _5596_.Q _4828_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _5596_.Q _4829_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _5596_.Q hold123.A (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _5597_.Q _2974_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _5597_.Q _4836_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _5597_.Q _4837_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _5597_.Q _4845_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _5598_.Q _2978_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _5598_.Q _4876_.B (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT _5598_.Q hold94.A (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT _5599_.Q _2981_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _5599_.Q hold100.A (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _5600_.Q _2985_.A1 (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT _5600_.Q _4859_.B (0.002:0.002:0.002) (0.002:0.002:0.002))
(INTERCONNECT _5600_.Q _4860_.B (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT _5600_.Q _4878_.B (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT _5601_.Q _2988_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _5601_.Q _4868_.B (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT _5601_.Q _4869_.B (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT _5601_.Q _4879_.A2 (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT _5602_.Q _2991_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _5602_.Q _4881_.B (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT _5602_.Q _4882_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _5603_.Q _2995_.A1 (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT _5603_.Q _4889_.B (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT _5603_.Q _4890_.B (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT _5604_.Q _2998_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _5604_.Q hold28.A (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _5605_.Q _3002_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _5605_.Q _4903_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _5606_.Q _3005_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _5606_.Q _4911_.B (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT _5606_.Q _4912_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _5607_.Q _3008_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _5607_.Q _4918_.B (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT _5607_.Q _4924_.B (0.001:0.001:0.001) (0.000:0.000:0.000))
(INTERCONNECT _5608_.Q _3012_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _5608_.Q _4926_.B (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT _5608_.Q _4927_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _5608_.Q _4944_.A2 (0.001:0.001:0.001) (0.000:0.000:0.000))
(INTERCONNECT _5609_.Q _3015_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _5609_.Q _4934_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _5609_.Q _4935_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _5609_.Q _4943_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _5610_.Q _3019_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _5610_.Q _4940_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _5610_.Q _4941_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _5610_.Q _4973_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _5611_.Q _3022_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _5611_.Q _4950_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _5611_.Q _4951_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _5612_.Q _3025_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _5612_.Q _4956_.B (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT _5612_.Q _4957_.B (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT _5612_.Q _4975_.B (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT _5613_.Q _3029_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _5613_.Q _4965_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _5613_.Q _4966_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _5613_.Q _4976_.A2 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _5614_.Q _3032_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _5614_.Q _4978_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _5614_.Q _4979_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _5615_.Q _3035_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _5615_.Q _4986_.B (0.001:0.001:0.001) (0.000:0.000:0.000))
(INTERCONNECT _5615_.Q _4987_.B (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT _5616_.Q _3038_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _5616_.Q _4993_.B (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT _5616_.Q _4994_.B (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT _5617_.Q _3041_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _5617_.Q _5001_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _5618_.Q _3052_.A2 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _5618_.Q _4911_.A (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT _5618_.Q _4912_.A (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT _5619_.Q _3059_.A2 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _5619_.Q _4918_.A (0.002:0.002:0.002) (0.002:0.002:0.002))
(INTERCONNECT _5619_.Q _4924_.A (0.002:0.002:0.002) (0.001:0.001:0.001))
(INTERCONNECT _5620_.Q _3062_.A2 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _5620_.Q _4926_.A (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT _5620_.Q _4927_.A (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT _5620_.Q _4944_.A1 (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT _5621_.Q _3065_.A2 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _5621_.Q _4934_.A (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT _5621_.Q _4935_.A (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT _5621_.Q _4943_.A (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT _5622_.Q _3069_.A2 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _5622_.Q _4940_.A (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT _5622_.Q _4941_.A (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT _5622_.Q _4973_.A (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT _5623_.Q _3074_.A2 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _5623_.Q _4950_.A (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT _5623_.Q _4951_.A (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT _5624_.Q _3080_.A2 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _5624_.Q _4956_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _5624_.Q _4957_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _5624_.Q _4975_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _5625_.Q _3083_.A2 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _5625_.Q _4965_.A (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT _5625_.Q _4966_.A (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT _5625_.Q _4976_.A1 (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT _5626_.Q _3086_.A2 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _5626_.Q _4978_.A (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT _5626_.Q _4979_.A (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT _5627_.Q _3089_.A2 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _5627_.Q _4986_.A (0.002:0.002:0.002) (0.001:0.001:0.001))
(INTERCONNECT _5627_.Q _4987_.A (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT _5628_.Q _3092_.A2 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _5628_.Q _4993_.A (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT _5628_.Q _4994_.A (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT _5629_.Q _3096_.A2 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _5629_.Q _5001_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _5630_.Q hold34.A (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _5631_.Q hold114.A (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _5632_.Q _3522_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _5632_.Q hold107.A (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _5632_.Q hold129.A (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _5633_.Q _3523_.A (0.002:0.002:0.002) (0.002:0.002:0.002))
(INTERCONNECT _5633_.Q _4221_.A (0.003:0.003:0.003) (0.003:0.003:0.003))
(INTERCONNECT _5633_.Q _4612_.A (0.003:0.003:0.003) (0.002:0.002:0.002))
(INTERCONNECT _5633_.Q ANTENNA__4612__A.DIODE (0.005:0.005:0.005) (0.005:0.005:0.005))
(INTERCONNECT _5633_.Q ANTENNA__4221__A.DIODE (0.005:0.005:0.005) (0.005:0.005:0.005))
(INTERCONNECT _5633_.Q ANTENNA__3523__A.DIODE (0.004:0.004:0.004) (0.004:0.004:0.004))
(INTERCONNECT _5634_.Q _3115_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _5634_.Q _3233_.A0 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _5635_.Q _3117_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _5635_.Q _3235_.A0 (0.001:0.001:0.001) (0.000:0.000:0.000))
(INTERCONNECT _5636_.Q _3119_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _5636_.Q _3237_.A0 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _5637_.Q _3121_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _5637_.Q _3239_.A0 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _5638_.Q _3124_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _5638_.Q _3241_.A0 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _5639_.Q _3128_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _5639_.Q _3244_.A0 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _5640_.Q _3130_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _5640_.Q _3246_.A0 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _5641_.Q _3132_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _5641_.Q _3248_.A0 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _5642_.Q _3134_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _5642_.Q _3250_.A0 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _5643_.Q _3136_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _5643_.Q _3252_.A0 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _5644_.Q _3138_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _5644_.Q _3255_.A0 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _5645_.Q _3140_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _5645_.Q _3257_.A0 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _5646_.Q _3183_.B2 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _5647_.Q _3189_.B2 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _5648_.Q _3195_.B2 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _5649_.Q _3202_.B2 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _5650_.Q _3205_.B2 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _5651_.Q _3214_.B2 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _5652__172.LO io_oeb[0] (0.000:0.000:0.000))
(INTERCONNECT _5653__173.LO io_oeb[1] (0.000:0.000:0.000))
(INTERCONNECT _5654__174.LO io_oeb[2] (0.000:0.000:0.000))
(INTERCONNECT _5655__175.LO io_oeb[3] (0.000:0.000:0.000))
(INTERCONNECT _5656__176.LO io_oeb[4] (0.000:0.000:0.000))
(INTERCONNECT _5657__177.LO io_oeb[5] (0.000:0.000:0.000))
(INTERCONNECT _5658__178.LO io_oeb[6] (0.000:0.000:0.000))
(INTERCONNECT _5659__179.LO io_oeb[7] (0.000:0.000:0.000))
(INTERCONNECT _5660__180.LO io_oeb[9] (0.000:0.000:0.000))
(INTERCONNECT _5661__181.LO io_oeb[10] (0.000:0.000:0.000))
(INTERCONNECT _5662__182.LO io_oeb[11] (0.000:0.000:0.000))
(INTERCONNECT _5663__183.LO io_oeb[12] (0.000:0.000:0.000))
(INTERCONNECT _5664__184.LO io_oeb[13] (0.000:0.000:0.000))
(INTERCONNECT _5665__185.LO io_oeb[14] (0.000:0.000:0.000))
(INTERCONNECT _5666__186.LO io_oeb[15] (0.000:0.000:0.000))
(INTERCONNECT _5667__187.LO io_oeb[16] (0.000:0.000:0.000))
(INTERCONNECT _5668__188.LO io_oeb[17] (0.000:0.000:0.000))
(INTERCONNECT _5669__189.LO io_oeb[18] (0.000:0.000:0.000))
(INTERCONNECT _5670__190.LO io_oeb[20] (0.000:0.000:0.000))
(INTERCONNECT _5671__191.LO io_oeb[21] (0.000:0.000:0.000))
(INTERCONNECT _5672__192.LO io_oeb[22] (0.000:0.000:0.000))
(INTERCONNECT _5673__193.LO io_oeb[23] (0.000:0.000:0.000))
(INTERCONNECT _5674__194.LO io_oeb[24] (0.000:0.000:0.000))
(INTERCONNECT _5675__195.LO io_oeb[25] (0.000:0.000:0.000))
(INTERCONNECT _5676__196.LO io_oeb[26] (0.000:0.000:0.000))
(INTERCONNECT _5677__197.LO io_oeb[27] (0.000:0.000:0.000))
(INTERCONNECT _5678__198.LO io_oeb[28] (0.000:0.000:0.000))
(INTERCONNECT _5679__199.LO io_oeb[29] (0.000:0.000:0.000))
(INTERCONNECT _5680__200.LO io_oeb[30] (0.000:0.000:0.000))
(INTERCONNECT _5681__201.LO io_oeb[31] (0.000:0.000:0.000))
(INTERCONNECT _5682__202.LO io_oeb[32] (0.000:0.000:0.000))
(INTERCONNECT _5683__203.LO io_oeb[33] (0.000:0.000:0.000))
(INTERCONNECT _5684__204.LO io_oeb[34] (0.000:0.000:0.000))
(INTERCONNECT _5685__205.LO io_oeb[35] (0.000:0.000:0.000))
(INTERCONNECT _5686__206.LO io_oeb[36] (0.000:0.000:0.000))
(INTERCONNECT _5687__207.LO io_oeb[37] (0.000:0.000:0.000))
(INTERCONNECT _5688__208.LO io_out[0] (0.000:0.000:0.000))
(INTERCONNECT _5689__209.LO io_out[1] (0.000:0.000:0.000))
(INTERCONNECT _5690__210.LO io_out[2] (0.000:0.000:0.000))
(INTERCONNECT _5691__211.LO io_out[3] (0.000:0.000:0.000))
(INTERCONNECT _5692__212.LO io_out[4] (0.000:0.000:0.000))
(INTERCONNECT _5693__213.LO io_out[5] (0.000:0.000:0.000))
(INTERCONNECT _5694__214.LO io_out[6] (0.000:0.000:0.000))
(INTERCONNECT _5695__215.LO io_out[7] (0.000:0.000:0.000))
(INTERCONNECT _5696__216.LO io_out[20] (0.000:0.000:0.000))
(INTERCONNECT _5697__217.LO io_out[21] (0.000:0.000:0.000))
(INTERCONNECT _5698__218.LO io_out[22] (0.000:0.000:0.000))
(INTERCONNECT _5699__219.LO io_out[23] (0.000:0.000:0.000))
(INTERCONNECT _5700__220.LO io_out[24] (0.000:0.000:0.000))
(INTERCONNECT _5701__221.LO io_out[25] (0.000:0.000:0.000))
(INTERCONNECT _5702__222.LO io_out[26] (0.000:0.000:0.000))
(INTERCONNECT _5703__223.LO io_out[27] (0.000:0.000:0.000))
(INTERCONNECT _5704__224.LO io_out[28] (0.000:0.000:0.000))
(INTERCONNECT _5705__225.LO io_out[29] (0.000:0.000:0.000))
(INTERCONNECT _5706__226.LO io_out[30] (0.000:0.000:0.000))
(INTERCONNECT _5707__227.LO io_out[31] (0.000:0.000:0.000))
(INTERCONNECT _5708__228.LO io_out[32] (0.000:0.000:0.000))
(INTERCONNECT _5709__229.LO io_out[33] (0.000:0.000:0.000))
(INTERCONNECT _5710__230.LO io_out[34] (0.000:0.000:0.000))
(INTERCONNECT _5711__231.LO io_out[35] (0.000:0.000:0.000))
(INTERCONNECT _5712__232.LO io_out[36] (0.000:0.000:0.000))
(INTERCONNECT _5713__233.LO io_out[37] (0.000:0.000:0.000))
(INTERCONNECT _5714__234.LO irq[0] (0.000:0.000:0.000))
(INTERCONNECT _5715__235.LO irq[1] (0.000:0.000:0.000))
(INTERCONNECT _5716__236.LO irq[2] (0.000:0.000:0.000))
(INTERCONNECT _5717__237.LO la_data_out[33] (0.000:0.000:0.000))
(INTERCONNECT _5718__238.LO la_data_out[34] (0.000:0.000:0.000))
(INTERCONNECT _5719__239.LO la_data_out[35] (0.000:0.000:0.000))
(INTERCONNECT _5720__240.LO la_data_out[36] (0.000:0.000:0.000))
(INTERCONNECT _5721__241.LO la_data_out[37] (0.000:0.000:0.000))
(INTERCONNECT _5722__242.LO la_data_out[38] (0.000:0.000:0.000))
(INTERCONNECT _5723__243.LO la_data_out[39] (0.000:0.000:0.000))
(INTERCONNECT _5724__244.LO la_data_out[40] (0.000:0.000:0.000))
(INTERCONNECT _5725__245.LO la_data_out[41] (0.000:0.000:0.000))
(INTERCONNECT _5726__246.LO la_data_out[42] (0.000:0.000:0.000))
(INTERCONNECT _5727__247.LO la_data_out[43] (0.000:0.000:0.000))
(INTERCONNECT _5728__248.LO la_data_out[44] (0.000:0.000:0.000))
(INTERCONNECT _5729__249.LO la_data_out[45] (0.000:0.000:0.000))
(INTERCONNECT _5730__250.LO la_data_out[46] (0.000:0.000:0.000))
(INTERCONNECT _5731__251.LO la_data_out[47] (0.000:0.000:0.000))
(INTERCONNECT _5732__252.LO la_data_out[48] (0.000:0.000:0.000))
(INTERCONNECT _5733__253.LO la_data_out[49] (0.000:0.000:0.000))
(INTERCONNECT _5734__254.LO la_data_out[50] (0.000:0.000:0.000))
(INTERCONNECT _5735__255.LO la_data_out[51] (0.000:0.000:0.000))
(INTERCONNECT _5736__256.LO la_data_out[52] (0.000:0.000:0.000))
(INTERCONNECT _5737__257.LO la_data_out[53] (0.000:0.000:0.000))
(INTERCONNECT _5738__258.LO la_data_out[54] (0.000:0.000:0.000))
(INTERCONNECT _5739__259.LO la_data_out[55] (0.000:0.000:0.000))
(INTERCONNECT _5740__260.LO la_data_out[56] (0.000:0.000:0.000))
(INTERCONNECT _5741__261.LO la_data_out[57] (0.000:0.000:0.000))
(INTERCONNECT _5742__262.LO la_data_out[58] (0.000:0.000:0.000))
(INTERCONNECT _5743__263.LO la_data_out[59] (0.000:0.000:0.000))
(INTERCONNECT _5744__264.LO la_data_out[60] (0.000:0.000:0.000))
(INTERCONNECT _5745__265.LO la_data_out[61] (0.000:0.000:0.000))
(INTERCONNECT _5746__266.LO la_data_out[62] (0.000:0.000:0.000))
(INTERCONNECT _5747__267.LO la_data_out[63] (0.000:0.000:0.000))
(INTERCONNECT _5748__268.LO la_data_out[64] (0.000:0.000:0.000))
(INTERCONNECT _5749__269.LO la_data_out[65] (0.000:0.000:0.000))
(INTERCONNECT _5750__270.LO la_data_out[66] (0.000:0.000:0.000))
(INTERCONNECT _5751__271.LO la_data_out[67] (0.000:0.000:0.000))
(INTERCONNECT _5752__272.LO la_data_out[68] (0.000:0.000:0.000))
(INTERCONNECT _5753__273.LO la_data_out[69] (0.000:0.000:0.000))
(INTERCONNECT _5754__274.LO la_data_out[70] (0.000:0.000:0.000))
(INTERCONNECT _5755__275.LO la_data_out[71] (0.000:0.000:0.000))
(INTERCONNECT _5756__276.LO la_data_out[72] (0.000:0.000:0.000))
(INTERCONNECT _5757__277.LO la_data_out[73] (0.000:0.000:0.000))
(INTERCONNECT _5758__278.LO la_data_out[74] (0.000:0.000:0.000))
(INTERCONNECT _5759__279.LO la_data_out[75] (0.000:0.000:0.000))
(INTERCONNECT _5760__280.LO la_data_out[76] (0.000:0.000:0.000))
(INTERCONNECT _5761__281.LO la_data_out[77] (0.000:0.000:0.000))
(INTERCONNECT _5762__282.LO la_data_out[78] (0.000:0.000:0.000))
(INTERCONNECT _5763__283.LO la_data_out[79] (0.000:0.000:0.000))
(INTERCONNECT _5764__284.LO la_data_out[80] (0.000:0.000:0.000))
(INTERCONNECT _5765__285.LO la_data_out[81] (0.000:0.000:0.000))
(INTERCONNECT _5766__286.LO la_data_out[82] (0.000:0.000:0.000))
(INTERCONNECT _5767__287.LO la_data_out[83] (0.000:0.000:0.000))
(INTERCONNECT _5768__288.LO la_data_out[84] (0.000:0.000:0.000))
(INTERCONNECT _5769__289.LO la_data_out[85] (0.000:0.000:0.000))
(INTERCONNECT _5770__290.LO la_data_out[86] (0.000:0.000:0.000))
(INTERCONNECT _5771__291.LO la_data_out[87] (0.000:0.000:0.000))
(INTERCONNECT _5772__292.LO la_data_out[88] (0.000:0.000:0.000))
(INTERCONNECT _5773__293.LO la_data_out[89] (0.000:0.000:0.000))
(INTERCONNECT _5774__294.LO la_data_out[90] (0.000:0.000:0.000))
(INTERCONNECT _5775__295.LO la_data_out[91] (0.000:0.000:0.000))
(INTERCONNECT _5776__296.LO la_data_out[92] (0.000:0.000:0.000))
(INTERCONNECT _5777__297.LO la_data_out[93] (0.000:0.000:0.000))
(INTERCONNECT _5778__298.LO la_data_out[94] (0.000:0.000:0.000))
(INTERCONNECT _5779__299.LO la_data_out[95] (0.000:0.000:0.000))
(INTERCONNECT _5780__300.LO la_data_out[103] (0.000:0.000:0.000))
(INTERCONNECT _5781__301.LO la_data_out[104] (0.000:0.000:0.000))
(INTERCONNECT _5782__302.LO la_data_out[105] (0.000:0.000:0.000))
(INTERCONNECT _5783__303.LO la_data_out[106] (0.000:0.000:0.000))
(INTERCONNECT _5784__304.LO la_data_out[107] (0.000:0.000:0.000))
(INTERCONNECT _5785__305.LO la_data_out[108] (0.000:0.000:0.000))
(INTERCONNECT _5786__306.LO la_data_out[109] (0.000:0.000:0.000))
(INTERCONNECT _5787__307.LO la_data_out[110] (0.000:0.000:0.000))
(INTERCONNECT _5788__308.LO la_data_out[111] (0.000:0.000:0.000))
(INTERCONNECT _5789__309.LO la_data_out[112] (0.000:0.000:0.000))
(INTERCONNECT _5790__310.LO la_data_out[113] (0.000:0.000:0.000))
(INTERCONNECT _5791__311.LO la_data_out[114] (0.000:0.000:0.000))
(INTERCONNECT _5792__312.LO la_data_out[115] (0.000:0.000:0.000))
(INTERCONNECT _5793__313.LO la_data_out[117] (0.000:0.000:0.000))
(INTERCONNECT _5794__314.LO la_data_out[118] (0.000:0.000:0.000))
(INTERCONNECT _5795__315.LO la_data_out[119] (0.000:0.000:0.000))
(INTERCONNECT _5796__316.LO la_data_out[120] (0.000:0.000:0.000))
(INTERCONNECT _5797__317.LO la_data_out[121] (0.000:0.000:0.000))
(INTERCONNECT _5798__318.LO la_data_out[122] (0.000:0.000:0.000))
(INTERCONNECT _5799__319.LO la_data_out[123] (0.000:0.000:0.000))
(INTERCONNECT _5800__320.LO la_data_out[124] (0.000:0.000:0.000))
(INTERCONNECT _5801__321.LO la_data_out[125] (0.000:0.000:0.000))
(INTERCONNECT _5802__322.LO la_data_out[126] (0.000:0.000:0.000))
(INTERCONNECT _5803__323.LO la_data_out[127] (0.000:0.000:0.000))
(INTERCONNECT _5804__324.LO wbs_ack_o (0.000:0.000:0.000))
(INTERCONNECT _5805__325.LO wbs_dat_o[0] (0.000:0.000:0.000))
(INTERCONNECT _5806__326.LO wbs_dat_o[1] (0.000:0.000:0.000))
(INTERCONNECT _5807__327.LO wbs_dat_o[2] (0.000:0.000:0.000))
(INTERCONNECT _5808__328.LO wbs_dat_o[3] (0.000:0.000:0.000))
(INTERCONNECT _5809__329.LO wbs_dat_o[4] (0.000:0.000:0.000))
(INTERCONNECT _5810__330.LO wbs_dat_o[5] (0.000:0.000:0.000))
(INTERCONNECT _5811__331.LO wbs_dat_o[6] (0.000:0.000:0.000))
(INTERCONNECT _5812__332.LO wbs_dat_o[7] (0.000:0.000:0.000))
(INTERCONNECT _5813__333.LO wbs_dat_o[8] (0.000:0.000:0.000))
(INTERCONNECT _5814__334.LO wbs_dat_o[9] (0.000:0.000:0.000))
(INTERCONNECT _5815__335.LO wbs_dat_o[10] (0.000:0.000:0.000))
(INTERCONNECT _5816__336.LO wbs_dat_o[11] (0.000:0.000:0.000))
(INTERCONNECT _5817__337.LO wbs_dat_o[12] (0.000:0.000:0.000))
(INTERCONNECT _5818__338.LO wbs_dat_o[13] (0.000:0.000:0.000))
(INTERCONNECT _5819__339.LO wbs_dat_o[14] (0.000:0.000:0.000))
(INTERCONNECT _5820__340.LO wbs_dat_o[15] (0.000:0.000:0.000))
(INTERCONNECT _5821__341.LO wbs_dat_o[16] (0.000:0.000:0.000))
(INTERCONNECT _5822__342.LO wbs_dat_o[17] (0.000:0.000:0.000))
(INTERCONNECT _5823__343.LO wbs_dat_o[18] (0.000:0.000:0.000))
(INTERCONNECT _5824__344.LO wbs_dat_o[19] (0.000:0.000:0.000))
(INTERCONNECT _5825__345.LO wbs_dat_o[20] (0.000:0.000:0.000))
(INTERCONNECT _5826__346.LO wbs_dat_o[21] (0.000:0.000:0.000))
(INTERCONNECT _5827__347.LO wbs_dat_o[22] (0.000:0.000:0.000))
(INTERCONNECT _5828__348.LO wbs_dat_o[23] (0.000:0.000:0.000))
(INTERCONNECT _5829__349.LO wbs_dat_o[24] (0.000:0.000:0.000))
(INTERCONNECT _5830__350.LO wbs_dat_o[25] (0.000:0.000:0.000))
(INTERCONNECT _5831__351.LO wbs_dat_o[26] (0.000:0.000:0.000))
(INTERCONNECT _5832__352.LO wbs_dat_o[27] (0.000:0.000:0.000))
(INTERCONNECT _5833__353.LO wbs_dat_o[28] (0.000:0.000:0.000))
(INTERCONNECT _5834__354.LO wbs_dat_o[29] (0.000:0.000:0.000))
(INTERCONNECT _5835__355.LO wbs_dat_o[30] (0.000:0.000:0.000))
(INTERCONNECT _5836__356.LO wbs_dat_o[31] (0.000:0.000:0.000))
(INTERCONNECT _5837_.X output160.A (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT clkbuf_0_acorn_prng\.clk.X clkbuf_1_0_0_acorn_prng\.clk.A (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT clkbuf_0_acorn_prng\.clk.X clkbuf_1_1_0_acorn_prng\.clk.A (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT clkbuf_1_0_0_acorn_prng\.clk.X clkbuf_1_0_1_acorn_prng\.clk.A (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT clkbuf_1_0_1_acorn_prng\.clk.X clkbuf_1_0_2_acorn_prng\.clk.A (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT clkbuf_1_0_2_acorn_prng\.clk.X clkbuf_2_0_0_acorn_prng\.clk.A (0.004:0.004:0.004) (0.004:0.004:0.004))
(INTERCONNECT clkbuf_1_0_2_acorn_prng\.clk.X clkbuf_2_1_0_acorn_prng\.clk.A (0.004:0.004:0.004) (0.004:0.004:0.004))
(INTERCONNECT clkbuf_1_0_2_acorn_prng\.clk.X ANTENNA_clkbuf_2_1_0_acorn_prng\.clk_A.DIODE (0.004:0.004:0.004) (0.004:0.004:0.004))
(INTERCONNECT clkbuf_1_0_2_acorn_prng\.clk.X ANTENNA_clkbuf_2_0_0_acorn_prng\.clk_A.DIODE (0.004:0.004:0.004) (0.004:0.004:0.004))
(INTERCONNECT clkbuf_1_1_0_acorn_prng\.clk.X clkbuf_1_1_1_acorn_prng\.clk.A (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT clkbuf_1_1_1_acorn_prng\.clk.X clkbuf_1_1_2_acorn_prng\.clk.A (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT clkbuf_1_1_2_acorn_prng\.clk.X clkbuf_2_2_0_acorn_prng\.clk.A (0.005:0.005:0.005) (0.005:0.005:0.005))
(INTERCONNECT clkbuf_1_1_2_acorn_prng\.clk.X clkbuf_2_3_0_acorn_prng\.clk.A (0.005:0.005:0.005) (0.005:0.005:0.005))
(INTERCONNECT clkbuf_1_1_2_acorn_prng\.clk.X ANTENNA_clkbuf_2_3_0_acorn_prng\.clk_A.DIODE (0.005:0.005:0.005) (0.005:0.005:0.005))
(INTERCONNECT clkbuf_1_1_2_acorn_prng\.clk.X ANTENNA_clkbuf_2_2_0_acorn_prng\.clk_A.DIODE (0.005:0.005:0.005) (0.005:0.005:0.005))
(INTERCONNECT clkbuf_2_0_0_acorn_prng\.clk.X clkbuf_2_0_1_acorn_prng\.clk.A (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT clkbuf_2_0_1_acorn_prng\.clk.X clkbuf_opt_1_0_acorn_prng\.clk.A (0.011:0.011:0.011) (0.010:0.010:0.010))
(INTERCONNECT clkbuf_2_0_1_acorn_prng\.clk.X clkbuf_opt_2_0_acorn_prng\.clk.A (0.011:0.011:0.011) (0.011:0.011:0.011))
(INTERCONNECT clkbuf_2_0_1_acorn_prng\.clk.X clkbuf_opt_3_0_acorn_prng\.clk.A (0.011:0.011:0.011) (0.010:0.010:0.010))
(INTERCONNECT clkbuf_2_0_1_acorn_prng\.clk.X clkbuf_opt_4_0_acorn_prng\.clk.A (0.012:0.012:0.012) (0.011:0.011:0.011))
(INTERCONNECT clkbuf_2_0_1_acorn_prng\.clk.X clkbuf_leaf_19_acorn_prng\.clk.A (0.018:0.018:0.018) (0.017:0.017:0.017))
(INTERCONNECT clkbuf_2_0_1_acorn_prng\.clk.X clkbuf_leaf_20_acorn_prng\.clk.A (0.017:0.017:0.017) (0.016:0.016:0.016))
(INTERCONNECT clkbuf_2_0_1_acorn_prng\.clk.X clkbuf_leaf_21_acorn_prng\.clk.A (0.018:0.018:0.018) (0.017:0.017:0.017))
(INTERCONNECT clkbuf_2_0_1_acorn_prng\.clk.X clkbuf_opt_5_0_acorn_prng\.clk.A (0.012:0.012:0.012) (0.011:0.011:0.011))
(INTERCONNECT clkbuf_2_0_1_acorn_prng\.clk.X ANTENNA_clkbuf_opt_5_0_acorn_prng\.clk_A.DIODE (0.012:0.012:0.012) (0.011:0.011:0.011))
(INTERCONNECT clkbuf_2_0_1_acorn_prng\.clk.X ANTENNA_clkbuf_leaf_21_acorn_prng\.clk_A.DIODE (0.018:0.018:0.018) (0.017:0.017:0.017))
(INTERCONNECT clkbuf_2_0_1_acorn_prng\.clk.X ANTENNA_clkbuf_leaf_20_acorn_prng\.clk_A.DIODE (0.018:0.018:0.018) (0.017:0.017:0.017))
(INTERCONNECT clkbuf_2_0_1_acorn_prng\.clk.X ANTENNA_clkbuf_leaf_19_acorn_prng\.clk_A.DIODE (0.018:0.018:0.018) (0.017:0.017:0.017))
(INTERCONNECT clkbuf_2_0_1_acorn_prng\.clk.X ANTENNA_clkbuf_opt_4_0_acorn_prng\.clk_A.DIODE (0.012:0.012:0.012) (0.011:0.011:0.011))
(INTERCONNECT clkbuf_2_0_1_acorn_prng\.clk.X ANTENNA_clkbuf_opt_3_0_acorn_prng\.clk_A.DIODE (0.011:0.011:0.011) (0.010:0.010:0.010))
(INTERCONNECT clkbuf_2_0_1_acorn_prng\.clk.X ANTENNA_clkbuf_opt_2_0_acorn_prng\.clk_A.DIODE (0.011:0.011:0.011) (0.011:0.011:0.011))
(INTERCONNECT clkbuf_2_0_1_acorn_prng\.clk.X ANTENNA_clkbuf_opt_1_0_acorn_prng\.clk_A.DIODE (0.011:0.011:0.011) (0.010:0.010:0.010))
(INTERCONNECT clkbuf_2_1_0_acorn_prng\.clk.X clkbuf_2_1_1_acorn_prng\.clk.A (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT clkbuf_2_1_1_acorn_prng\.clk.X clkbuf_opt_6_0_acorn_prng\.clk.A (0.010:0.010:0.010) (0.009:0.009:0.009))
(INTERCONNECT clkbuf_2_1_1_acorn_prng\.clk.X clkbuf_opt_7_0_acorn_prng\.clk.A (0.010:0.010:0.010) (0.009:0.009:0.009))
(INTERCONNECT clkbuf_2_1_1_acorn_prng\.clk.X clkbuf_opt_8_0_acorn_prng\.clk.A (0.021:0.021:0.021) (0.020:0.020:0.020))
(INTERCONNECT clkbuf_2_1_1_acorn_prng\.clk.X clkbuf_opt_9_0_acorn_prng\.clk.A (0.010:0.010:0.010) (0.009:0.009:0.009))
(INTERCONNECT clkbuf_2_1_1_acorn_prng\.clk.X clkbuf_opt_10_0_acorn_prng\.clk.A (0.009:0.009:0.009) (0.008:0.008:0.008))
(INTERCONNECT clkbuf_2_1_1_acorn_prng\.clk.X clkbuf_leaf_17_acorn_prng\.clk.A (0.028:0.028:0.028) (0.027:0.027:0.027))
(INTERCONNECT clkbuf_2_1_1_acorn_prng\.clk.X clkbuf_leaf_18_acorn_prng\.clk.A (0.025:0.025:0.025) (0.023:0.023:0.023))
(INTERCONNECT clkbuf_2_1_1_acorn_prng\.clk.X clkbuf_leaf_22_acorn_prng\.clk.A (0.035:0.035:0.035) (0.033:0.033:0.033))
(INTERCONNECT clkbuf_2_1_1_acorn_prng\.clk.X clkbuf_leaf_23_acorn_prng\.clk.A (0.033:0.033:0.033) (0.031:0.031:0.031))
(INTERCONNECT clkbuf_2_1_1_acorn_prng\.clk.X clkbuf_opt_11_0_acorn_prng\.clk.A (0.018:0.018:0.018) (0.017:0.017:0.017))
(INTERCONNECT clkbuf_2_1_1_acorn_prng\.clk.X ANTENNA_clkbuf_opt_11_0_acorn_prng\.clk_A.DIODE (0.016:0.016:0.016) (0.015:0.015:0.015))
(INTERCONNECT clkbuf_2_1_1_acorn_prng\.clk.X ANTENNA_clkbuf_leaf_23_acorn_prng\.clk_A.DIODE (0.036:0.036:0.036) (0.034:0.034:0.034))
(INTERCONNECT clkbuf_2_1_1_acorn_prng\.clk.X ANTENNA_clkbuf_leaf_22_acorn_prng\.clk_A.DIODE (0.036:0.036:0.036) (0.034:0.034:0.034))
(INTERCONNECT clkbuf_2_1_1_acorn_prng\.clk.X ANTENNA_clkbuf_leaf_18_acorn_prng\.clk_A.DIODE (0.024:0.024:0.024) (0.023:0.023:0.023))
(INTERCONNECT clkbuf_2_1_1_acorn_prng\.clk.X ANTENNA_clkbuf_leaf_17_acorn_prng\.clk_A.DIODE (0.025:0.025:0.025) (0.024:0.024:0.024))
(INTERCONNECT clkbuf_2_1_1_acorn_prng\.clk.X ANTENNA_clkbuf_opt_10_0_acorn_prng\.clk_A.DIODE (0.009:0.009:0.009) (0.008:0.008:0.008))
(INTERCONNECT clkbuf_2_1_1_acorn_prng\.clk.X ANTENNA_clkbuf_opt_9_0_acorn_prng\.clk_A.DIODE (0.009:0.009:0.009) (0.009:0.009:0.009))
(INTERCONNECT clkbuf_2_1_1_acorn_prng\.clk.X ANTENNA_clkbuf_opt_8_0_acorn_prng\.clk_A.DIODE (0.021:0.021:0.021) (0.020:0.020:0.020))
(INTERCONNECT clkbuf_2_1_1_acorn_prng\.clk.X ANTENNA_clkbuf_opt_7_0_acorn_prng\.clk_A.DIODE (0.010:0.010:0.010) (0.009:0.009:0.009))
(INTERCONNECT clkbuf_2_1_1_acorn_prng\.clk.X ANTENNA_clkbuf_opt_6_0_acorn_prng\.clk_A.DIODE (0.010:0.010:0.010) (0.009:0.009:0.009))
(INTERCONNECT clkbuf_2_2_0_acorn_prng\.clk.X clkbuf_2_2_1_acorn_prng\.clk.A (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT clkbuf_2_2_1_acorn_prng\.clk.X clkbuf_leaf_34_acorn_prng\.clk.A (0.021:0.021:0.021) (0.020:0.020:0.020))
(INTERCONNECT clkbuf_2_2_1_acorn_prng\.clk.X clkbuf_opt_12_0_acorn_prng\.clk.A (0.012:0.012:0.012) (0.011:0.011:0.011))
(INTERCONNECT clkbuf_2_2_1_acorn_prng\.clk.X clkbuf_opt_13_0_acorn_prng\.clk.A (0.017:0.017:0.017) (0.016:0.016:0.016))
(INTERCONNECT clkbuf_2_2_1_acorn_prng\.clk.X clkbuf_opt_14_0_acorn_prng\.clk.A (0.014:0.014:0.014) (0.014:0.014:0.014))
(INTERCONNECT clkbuf_2_2_1_acorn_prng\.clk.X _5439_.CLK (0.020:0.020:0.020) (0.019:0.019:0.019))
(INTERCONNECT clkbuf_2_2_1_acorn_prng\.clk.X clkbuf_opt_15_0_acorn_prng\.clk.A (0.013:0.013:0.013) (0.012:0.012:0.012))
(INTERCONNECT clkbuf_2_2_1_acorn_prng\.clk.X clkbuf_opt_16_0_acorn_prng\.clk.A (0.010:0.010:0.010) (0.009:0.009:0.009))
(INTERCONNECT clkbuf_2_2_1_acorn_prng\.clk.X clkbuf_opt_17_0_acorn_prng\.clk.A (0.009:0.009:0.009) (0.009:0.009:0.009))
(INTERCONNECT clkbuf_2_2_1_acorn_prng\.clk.X clkbuf_opt_18_0_acorn_prng\.clk.A (0.010:0.010:0.010) (0.009:0.009:0.009))
(INTERCONNECT clkbuf_2_2_1_acorn_prng\.clk.X clkbuf_opt_19_0_acorn_prng\.clk.A (0.010:0.010:0.010) (0.009:0.009:0.009))
(INTERCONNECT clkbuf_2_2_1_acorn_prng\.clk.X clkbuf_opt_20_0_acorn_prng\.clk.A (0.005:0.005:0.005) (0.004:0.004:0.004))
(INTERCONNECT clkbuf_2_2_1_acorn_prng\.clk.X ANTENNA_clkbuf_opt_20_0_acorn_prng\.clk_A.DIODE (0.005:0.005:0.005) (0.004:0.004:0.004))
(INTERCONNECT clkbuf_2_2_1_acorn_prng\.clk.X ANTENNA_clkbuf_opt_19_0_acorn_prng\.clk_A.DIODE (0.010:0.010:0.010) (0.009:0.009:0.009))
(INTERCONNECT clkbuf_2_2_1_acorn_prng\.clk.X ANTENNA_clkbuf_opt_18_0_acorn_prng\.clk_A.DIODE (0.010:0.010:0.010) (0.009:0.009:0.009))
(INTERCONNECT clkbuf_2_2_1_acorn_prng\.clk.X ANTENNA_clkbuf_opt_17_0_acorn_prng\.clk_A.DIODE (0.009:0.009:0.009) (0.008:0.008:0.008))
(INTERCONNECT clkbuf_2_2_1_acorn_prng\.clk.X ANTENNA_clkbuf_opt_16_0_acorn_prng\.clk_A.DIODE (0.009:0.009:0.009) (0.009:0.009:0.009))
(INTERCONNECT clkbuf_2_2_1_acorn_prng\.clk.X ANTENNA_clkbuf_opt_15_0_acorn_prng\.clk_A.DIODE (0.013:0.013:0.013) (0.012:0.012:0.012))
(INTERCONNECT clkbuf_2_2_1_acorn_prng\.clk.X ANTENNA__5439__CLK.DIODE (0.020:0.020:0.020) (0.019:0.019:0.019))
(INTERCONNECT clkbuf_2_2_1_acorn_prng\.clk.X ANTENNA_clkbuf_opt_14_0_acorn_prng\.clk_A.DIODE (0.015:0.015:0.015) (0.014:0.014:0.014))
(INTERCONNECT clkbuf_2_2_1_acorn_prng\.clk.X ANTENNA_clkbuf_opt_13_0_acorn_prng\.clk_A.DIODE (0.017:0.017:0.017) (0.016:0.016:0.016))
(INTERCONNECT clkbuf_2_2_1_acorn_prng\.clk.X ANTENNA_clkbuf_opt_12_0_acorn_prng\.clk_A.DIODE (0.012:0.012:0.012) (0.011:0.011:0.011))
(INTERCONNECT clkbuf_2_2_1_acorn_prng\.clk.X ANTENNA_clkbuf_leaf_34_acorn_prng\.clk_A.DIODE (0.021:0.021:0.021) (0.020:0.020:0.020))
(INTERCONNECT clkbuf_2_3_0_acorn_prng\.clk.X clkbuf_2_3_1_acorn_prng\.clk.A (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT clkbuf_2_3_1_acorn_prng\.clk.X clkbuf_opt_21_0_acorn_prng\.clk.A (0.016:0.016:0.016) (0.014:0.014:0.014))
(INTERCONNECT clkbuf_2_3_1_acorn_prng\.clk.X clkbuf_opt_22_0_acorn_prng\.clk.A (0.016:0.016:0.016) (0.014:0.014:0.014))
(INTERCONNECT clkbuf_2_3_1_acorn_prng\.clk.X clkbuf_opt_23_0_acorn_prng\.clk.A (0.016:0.016:0.016) (0.014:0.014:0.014))
(INTERCONNECT clkbuf_2_3_1_acorn_prng\.clk.X clkbuf_opt_24_0_acorn_prng\.clk.A (0.015:0.015:0.015) (0.014:0.014:0.014))
(INTERCONNECT clkbuf_2_3_1_acorn_prng\.clk.X clkbuf_opt_25_0_acorn_prng\.clk.A (0.016:0.016:0.016) (0.015:0.015:0.015))
(INTERCONNECT clkbuf_2_3_1_acorn_prng\.clk.X clkbuf_opt_26_0_acorn_prng\.clk.A (0.016:0.016:0.016) (0.014:0.014:0.014))
(INTERCONNECT clkbuf_2_3_1_acorn_prng\.clk.X clkbuf_opt_27_0_acorn_prng\.clk.A (0.014:0.014:0.014) (0.013:0.013:0.013))
(INTERCONNECT clkbuf_2_3_1_acorn_prng\.clk.X clkbuf_leaf_16_acorn_prng\.clk.A (0.019:0.019:0.019) (0.018:0.018:0.018))
(INTERCONNECT clkbuf_2_3_1_acorn_prng\.clk.X clkbuf_opt_28_0_acorn_prng\.clk.A (0.020:0.020:0.020) (0.018:0.018:0.018))
(INTERCONNECT clkbuf_2_3_1_acorn_prng\.clk.X clkbuf_leaf_27_acorn_prng\.clk.A (0.032:0.032:0.032) (0.030:0.030:0.030))
(INTERCONNECT clkbuf_2_3_1_acorn_prng\.clk.X clkbuf_leaf_28_acorn_prng\.clk.A (0.029:0.029:0.029) (0.027:0.027:0.027))
(INTERCONNECT clkbuf_2_3_1_acorn_prng\.clk.X clkbuf_leaf_29_acorn_prng\.clk.A (0.028:0.028:0.028) (0.027:0.027:0.027))
(INTERCONNECT clkbuf_2_3_1_acorn_prng\.clk.X clkbuf_leaf_30_acorn_prng\.clk.A (0.031:0.031:0.031) (0.029:0.029:0.029))
(INTERCONNECT clkbuf_2_3_1_acorn_prng\.clk.X clkbuf_opt_29_0_acorn_prng\.clk.A (0.019:0.019:0.019) (0.018:0.018:0.018))
(INTERCONNECT clkbuf_2_3_1_acorn_prng\.clk.X clkbuf_opt_30_0_acorn_prng\.clk.A (0.013:0.013:0.013) (0.012:0.012:0.012))
(INTERCONNECT clkbuf_2_3_1_acorn_prng\.clk.X clkbuf_leaf_33_acorn_prng\.clk.A (0.030:0.030:0.030) (0.028:0.028:0.028))
(INTERCONNECT clkbuf_2_3_1_acorn_prng\.clk.X ANTENNA_clkbuf_leaf_33_acorn_prng\.clk_A.DIODE (0.029:0.029:0.029) (0.028:0.028:0.028))
(INTERCONNECT clkbuf_2_3_1_acorn_prng\.clk.X ANTENNA_clkbuf_opt_30_0_acorn_prng\.clk_A.DIODE (0.013:0.013:0.013) (0.012:0.012:0.012))
(INTERCONNECT clkbuf_2_3_1_acorn_prng\.clk.X ANTENNA_clkbuf_opt_29_0_acorn_prng\.clk_A.DIODE (0.018:0.018:0.018) (0.017:0.017:0.017))
(INTERCONNECT clkbuf_2_3_1_acorn_prng\.clk.X ANTENNA_clkbuf_leaf_30_acorn_prng\.clk_A.DIODE (0.027:0.027:0.027) (0.026:0.026:0.026))
(INTERCONNECT clkbuf_2_3_1_acorn_prng\.clk.X ANTENNA_clkbuf_leaf_29_acorn_prng\.clk_A.DIODE (0.028:0.028:0.028) (0.026:0.026:0.026))
(INTERCONNECT clkbuf_2_3_1_acorn_prng\.clk.X ANTENNA_clkbuf_leaf_28_acorn_prng\.clk_A.DIODE (0.028:0.028:0.028) (0.026:0.026:0.026))
(INTERCONNECT clkbuf_2_3_1_acorn_prng\.clk.X ANTENNA_clkbuf_leaf_27_acorn_prng\.clk_A.DIODE (0.027:0.027:0.027) (0.025:0.025:0.025))
(INTERCONNECT clkbuf_2_3_1_acorn_prng\.clk.X ANTENNA_clkbuf_opt_28_0_acorn_prng\.clk_A.DIODE (0.019:0.019:0.019) (0.018:0.018:0.018))
(INTERCONNECT clkbuf_2_3_1_acorn_prng\.clk.X ANTENNA_clkbuf_leaf_16_acorn_prng\.clk_A.DIODE (0.019:0.019:0.019) (0.018:0.018:0.018))
(INTERCONNECT clkbuf_2_3_1_acorn_prng\.clk.X ANTENNA_clkbuf_opt_27_0_acorn_prng\.clk_A.DIODE (0.013:0.013:0.013) (0.012:0.012:0.012))
(INTERCONNECT clkbuf_2_3_1_acorn_prng\.clk.X ANTENNA_clkbuf_opt_26_0_acorn_prng\.clk_A.DIODE (0.016:0.016:0.016) (0.014:0.014:0.014))
(INTERCONNECT clkbuf_2_3_1_acorn_prng\.clk.X ANTENNA_clkbuf_opt_25_0_acorn_prng\.clk_A.DIODE (0.016:0.016:0.016) (0.015:0.015:0.015))
(INTERCONNECT clkbuf_2_3_1_acorn_prng\.clk.X ANTENNA_clkbuf_opt_24_0_acorn_prng\.clk_A.DIODE (0.015:0.015:0.015) (0.014:0.014:0.014))
(INTERCONNECT clkbuf_2_3_1_acorn_prng\.clk.X ANTENNA_clkbuf_opt_23_0_acorn_prng\.clk_A.DIODE (0.015:0.015:0.015) (0.014:0.014:0.014))
(INTERCONNECT clkbuf_2_3_1_acorn_prng\.clk.X ANTENNA_clkbuf_opt_22_0_acorn_prng\.clk_A.DIODE (0.015:0.015:0.015) (0.014:0.014:0.014))
(INTERCONNECT clkbuf_2_3_1_acorn_prng\.clk.X ANTENNA_clkbuf_opt_21_0_acorn_prng\.clk_A.DIODE (0.016:0.016:0.016) (0.014:0.014:0.014))
(INTERCONNECT clkbuf_leaf_0_acorn_prng\.clk.X _5367_.CLK (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT clkbuf_leaf_0_acorn_prng\.clk.X _5547_.CLK (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT clkbuf_leaf_0_acorn_prng\.clk.X _5548_.CLK (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT clkbuf_leaf_10_acorn_prng\.clk.X _5406_.CLK (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT clkbuf_leaf_10_acorn_prng\.clk.X _5407_.CLK (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT clkbuf_leaf_10_acorn_prng\.clk.X _5413_.CLK (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT clkbuf_leaf_10_acorn_prng\.clk.X _5190_.CLK (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT clkbuf_leaf_11_acorn_prng\.clk.X _5187_.CLK (0.002:0.002:0.002) (0.002:0.002:0.002))
(INTERCONNECT clkbuf_leaf_11_acorn_prng\.clk.X _5189_.CLK (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT clkbuf_leaf_11_acorn_prng\.clk.X _5591_.CLK (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT clkbuf_leaf_11_acorn_prng\.clk.X _5198_.CLK (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT clkbuf_leaf_11_acorn_prng\.clk.X _5188_.CLK (0.002:0.002:0.002) (0.002:0.002:0.002))
(INTERCONNECT clkbuf_leaf_11_acorn_prng\.clk.X _5197_.CLK (0.002:0.002:0.002) (0.002:0.002:0.002))
(INTERCONNECT clkbuf_leaf_11_acorn_prng\.clk.X _5200_.CLK (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT clkbuf_leaf_11_acorn_prng\.clk.X _5412_.CLK (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT clkbuf_leaf_11_acorn_prng\.clk.X _5592_.CLK (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT clkbuf_leaf_11_acorn_prng\.clk.X _5590_.CLK (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT clkbuf_leaf_11_acorn_prng\.clk.X _5410_.CLK (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT clkbuf_leaf_11_acorn_prng\.clk.X _5589_.CLK (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT clkbuf_leaf_11_acorn_prng\.clk.X _5588_.CLK (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT clkbuf_leaf_11_acorn_prng\.clk.X _5409_.CLK (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT clkbuf_leaf_12_acorn_prng\.clk.X _5408_.CLK (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT clkbuf_leaf_12_acorn_prng\.clk.X _5587_.CLK (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT clkbuf_leaf_12_acorn_prng\.clk.X _5575_.CLK (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT clkbuf_leaf_12_acorn_prng\.clk.X _5397_.CLK (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT clkbuf_leaf_12_acorn_prng\.clk.X _5399_.CLK (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT clkbuf_leaf_12_acorn_prng\.clk.X _5577_.CLK (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT clkbuf_leaf_12_acorn_prng\.clk.X _5576_.CLK (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT clkbuf_leaf_12_acorn_prng\.clk.X _5398_.CLK (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT clkbuf_leaf_12_acorn_prng\.clk.X _5567_.CLK (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT clkbuf_leaf_12_acorn_prng\.clk.X _5566_.CLK (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT clkbuf_leaf_13_acorn_prng\.clk.X _5556_.CLK (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT clkbuf_leaf_13_acorn_prng\.clk.X _5555_.CLK (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT clkbuf_leaf_13_acorn_prng\.clk.X _5388_.CLK (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT clkbuf_leaf_13_acorn_prng\.clk.X _5387_.CLK (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT clkbuf_leaf_13_acorn_prng\.clk.X _5386_.CLK (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT clkbuf_leaf_13_acorn_prng\.clk.X _5564_.CLK (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT clkbuf_leaf_13_acorn_prng\.clk.X _5385_.CLK (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT clkbuf_leaf_13_acorn_prng\.clk.X _5375_.CLK (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT clkbuf_leaf_13_acorn_prng\.clk.X _5384_.CLK (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT clkbuf_leaf_13_acorn_prng\.clk.X _5565_.CLK (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT clkbuf_leaf_14_acorn_prng\.clk.X _5396_.CLK (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT clkbuf_leaf_14_acorn_prng\.clk.X _5395_.CLK (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT clkbuf_leaf_14_acorn_prng\.clk.X _5573_.CLK (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT clkbuf_leaf_14_acorn_prng\.clk.X _5574_.CLK (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT clkbuf_leaf_14_acorn_prng\.clk.X _5394_.CLK (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT clkbuf_leaf_14_acorn_prng\.clk.X _5562_.CLK (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT clkbuf_leaf_14_acorn_prng\.clk.X _5563_.CLK (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT clkbuf_leaf_14_acorn_prng\.clk.X _5383_.CLK (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT clkbuf_leaf_14_acorn_prng\.clk.X _5382_.CLK (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT clkbuf_leaf_14_acorn_prng\.clk.X _5561_.CLK (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT clkbuf_leaf_15_acorn_prng\.clk.X _5560_.CLK (0.002:0.002:0.002) (0.002:0.002:0.002))
(INTERCONNECT clkbuf_leaf_15_acorn_prng\.clk.X _5380_.CLK (0.002:0.002:0.002) (0.002:0.002:0.002))
(INTERCONNECT clkbuf_leaf_15_acorn_prng\.clk.X _5549_.CLK (0.002:0.002:0.002) (0.002:0.002:0.002))
(INTERCONNECT clkbuf_leaf_15_acorn_prng\.clk.X _5369_.CLK (0.002:0.002:0.002) (0.002:0.002:0.002))
(INTERCONNECT clkbuf_leaf_15_acorn_prng\.clk.X _5370_.CLK (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT clkbuf_leaf_15_acorn_prng\.clk.X _5368_.CLK (0.002:0.002:0.002) (0.002:0.002:0.002))
(INTERCONNECT clkbuf_leaf_15_acorn_prng\.clk.X _5366_.CLK (0.003:0.003:0.003) (0.003:0.003:0.003))
(INTERCONNECT clkbuf_leaf_15_acorn_prng\.clk.X _5546_.CLK (0.003:0.003:0.003) (0.003:0.003:0.003))
(INTERCONNECT clkbuf_leaf_15_acorn_prng\.clk.X _5551_.CLK (0.001:0.001:0.001) (0.000:0.000:0.000))
(INTERCONNECT clkbuf_leaf_15_acorn_prng\.clk.X _5550_.CLK (0.002:0.002:0.002) (0.002:0.002:0.002))
(INTERCONNECT clkbuf_leaf_15_acorn_prng\.clk.X _5372_.CLK (0.002:0.002:0.002) (0.002:0.002:0.002))
(INTERCONNECT clkbuf_leaf_15_acorn_prng\.clk.X _5552_.CLK (0.003:0.003:0.003) (0.002:0.002:0.002))
(INTERCONNECT clkbuf_leaf_15_acorn_prng\.clk.X _5542_.CLK (0.003:0.003:0.003) (0.003:0.003:0.003))
(INTERCONNECT clkbuf_leaf_15_acorn_prng\.clk.X _5541_.CLK (0.003:0.003:0.003) (0.003:0.003:0.003))
(INTERCONNECT clkbuf_leaf_15_acorn_prng\.clk.X _5540_.CLK (0.003:0.003:0.003) (0.003:0.003:0.003))
(INTERCONNECT clkbuf_leaf_15_acorn_prng\.clk.X _5371_.CLK (0.003:0.003:0.003) (0.003:0.003:0.003))
(INTERCONNECT clkbuf_leaf_16_acorn_prng\.clk.X _5539_.CLK (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT clkbuf_leaf_16_acorn_prng\.clk.X _5538_.CLK (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT clkbuf_leaf_16_acorn_prng\.clk.X _5359_.CLK (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT clkbuf_leaf_16_acorn_prng\.clk.X _5361_.CLK (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT clkbuf_leaf_16_acorn_prng\.clk.X _5360_.CLK (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT clkbuf_leaf_16_acorn_prng\.clk.X _5363_.CLK (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT clkbuf_leaf_16_acorn_prng\.clk.X _5543_.CLK (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT clkbuf_leaf_16_acorn_prng\.clk.X _5373_.CLK (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT clkbuf_leaf_16_acorn_prng\.clk.X _5553_.CLK (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT clkbuf_leaf_17_acorn_prng\.clk.X _5374_.CLK (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT clkbuf_leaf_17_acorn_prng\.clk.X _5554_.CLK (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT clkbuf_leaf_17_acorn_prng\.clk.X _5362_.CLK (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT clkbuf_leaf_17_acorn_prng\.clk.X _5376_.CLK (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT clkbuf_leaf_17_acorn_prng\.clk.X _5545_.CLK (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT clkbuf_leaf_17_acorn_prng\.clk.X _5365_.CLK (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT clkbuf_leaf_17_acorn_prng\.clk.X _5544_.CLK (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT clkbuf_leaf_17_acorn_prng\.clk.X _5364_.CLK (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT clkbuf_leaf_17_acorn_prng\.clk.X _5354_.CLK (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT clkbuf_leaf_17_acorn_prng\.clk.X _5355_.CLK (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT clkbuf_leaf_18_acorn_prng\.clk.X _5537_.CLK (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT clkbuf_leaf_18_acorn_prng\.clk.X _5357_.CLK (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT clkbuf_leaf_18_acorn_prng\.clk.X _5356_.CLK (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT clkbuf_leaf_18_acorn_prng\.clk.X _5358_.CLK (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT clkbuf_leaf_18_acorn_prng\.clk.X _5536_.CLK (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT clkbuf_leaf_18_acorn_prng\.clk.X _5345_.CLK (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT clkbuf_leaf_18_acorn_prng\.clk.X _5535_.CLK (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT clkbuf_leaf_18_acorn_prng\.clk.X _5534_.CLK (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT clkbuf_leaf_18_acorn_prng\.clk.X _5531_.CLK (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT clkbuf_leaf_18_acorn_prng\.clk.X _5351_.CLK (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT clkbuf_leaf_19_acorn_prng\.clk.X _5377_.CLK (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT clkbuf_leaf_19_acorn_prng\.clk.X _5533_.CLK (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT clkbuf_leaf_19_acorn_prng\.clk.X _5341_.CLK (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT clkbuf_leaf_19_acorn_prng\.clk.X _5353_.CLK (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT clkbuf_leaf_19_acorn_prng\.clk.X _5532_.CLK (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT clkbuf_leaf_19_acorn_prng\.clk.X _5352_.CLK (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT clkbuf_leaf_19_acorn_prng\.clk.X _5521_.CLK (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT clkbuf_leaf_19_acorn_prng\.clk.X _5520_.CLK (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT clkbuf_leaf_19_acorn_prng\.clk.X _5522_.CLK (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT clkbuf_leaf_19_acorn_prng\.clk.X _5342_.CLK (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT clkbuf_leaf_1_acorn_prng\.clk.X _5558_.CLK (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT clkbuf_leaf_1_acorn_prng\.clk.X _5559_.CLK (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT clkbuf_leaf_20_acorn_prng\.clk.X _5349_.CLK (0.002:0.002:0.002) (0.002:0.002:0.002))
(INTERCONNECT clkbuf_leaf_20_acorn_prng\.clk.X _5350_.CLK (0.001:0.001:0.001) (0.000:0.000:0.000))
(INTERCONNECT clkbuf_leaf_20_acorn_prng\.clk.X _5530_.CLK (0.001:0.001:0.001) (0.000:0.000:0.000))
(INTERCONNECT clkbuf_leaf_20_acorn_prng\.clk.X _5525_.CLK (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT clkbuf_leaf_20_acorn_prng\.clk.X _5526_.CLK (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT clkbuf_leaf_20_acorn_prng\.clk.X _5527_.CLK (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT clkbuf_leaf_20_acorn_prng\.clk.X _5347_.CLK (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT clkbuf_leaf_20_acorn_prng\.clk.X _5348_.CLK (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT clkbuf_leaf_20_acorn_prng\.clk.X _5528_.CLK (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT clkbuf_leaf_20_acorn_prng\.clk.X _5529_.CLK (0.002:0.002:0.002) (0.002:0.002:0.002))
(INTERCONNECT clkbuf_leaf_20_acorn_prng\.clk.X _5516_.CLK (0.002:0.002:0.002) (0.002:0.002:0.002))
(INTERCONNECT clkbuf_leaf_21_acorn_prng\.clk.X _5515_.CLK (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT clkbuf_leaf_21_acorn_prng\.clk.X _5343_.CLK (0.001:0.001:0.001) (0.000:0.000:0.000))
(INTERCONNECT clkbuf_leaf_21_acorn_prng\.clk.X _5346_.CLK (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT clkbuf_leaf_21_acorn_prng\.clk.X _5523_.CLK (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT clkbuf_leaf_21_acorn_prng\.clk.X _5344_.CLK (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT clkbuf_leaf_21_acorn_prng\.clk.X _5524_.CLK (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT clkbuf_leaf_21_acorn_prng\.clk.X _5514_.CLK (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT clkbuf_leaf_21_acorn_prng\.clk.X _5334_.CLK (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT clkbuf_leaf_22_acorn_prng\.clk.X _5336_.CLK (0.004:0.004:0.004) (0.004:0.004:0.004))
(INTERCONNECT clkbuf_leaf_22_acorn_prng\.clk.X _5335_.CLK (0.005:0.005:0.005) (0.005:0.005:0.005))
(INTERCONNECT clkbuf_leaf_22_acorn_prng\.clk.X _5330_.CLK (0.006:0.006:0.006) (0.006:0.006:0.006))
(INTERCONNECT clkbuf_leaf_22_acorn_prng\.clk.X _5510_.CLK (0.008:0.008:0.008) (0.008:0.008:0.008))
(INTERCONNECT clkbuf_leaf_22_acorn_prng\.clk.X _5502_.CLK (0.007:0.007:0.007) (0.007:0.007:0.007))
(INTERCONNECT clkbuf_leaf_22_acorn_prng\.clk.X _5322_.CLK (0.007:0.007:0.007) (0.007:0.007:0.007))
(INTERCONNECT clkbuf_leaf_22_acorn_prng\.clk.X _5324_.CLK (0.008:0.008:0.008) (0.007:0.007:0.007))
(INTERCONNECT clkbuf_leaf_22_acorn_prng\.clk.X _5325_.CLK (0.008:0.008:0.008) (0.007:0.007:0.007))
(INTERCONNECT clkbuf_leaf_22_acorn_prng\.clk.X _5323_.CLK (0.007:0.007:0.007) (0.007:0.007:0.007))
(INTERCONNECT clkbuf_leaf_22_acorn_prng\.clk.X _5508_.CLK (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT clkbuf_leaf_22_acorn_prng\.clk.X _5338_.CLK (0.002:0.002:0.002) (0.002:0.002:0.002))
(INTERCONNECT clkbuf_leaf_22_acorn_prng\.clk.X _5337_.CLK (0.003:0.003:0.003) (0.003:0.003:0.003))
(INTERCONNECT clkbuf_leaf_22_acorn_prng\.clk.X _5517_.CLK (0.002:0.002:0.002) (0.002:0.002:0.002))
(INTERCONNECT clkbuf_leaf_22_acorn_prng\.clk.X _5518_.CLK (0.002:0.002:0.002) (0.002:0.002:0.002))
(INTERCONNECT clkbuf_leaf_22_acorn_prng\.clk.X _5340_.CLK (0.002:0.002:0.002) (0.002:0.002:0.002))
(INTERCONNECT clkbuf_leaf_22_acorn_prng\.clk.X ANTENNA__5340__CLK.DIODE (0.009:0.009:0.009) (0.009:0.009:0.009))
(INTERCONNECT clkbuf_leaf_22_acorn_prng\.clk.X ANTENNA__5518__CLK.DIODE (0.010:0.010:0.010) (0.009:0.009:0.009))
(INTERCONNECT clkbuf_leaf_22_acorn_prng\.clk.X ANTENNA__5517__CLK.DIODE (0.010:0.010:0.010) (0.009:0.009:0.009))
(INTERCONNECT clkbuf_leaf_22_acorn_prng\.clk.X ANTENNA__5337__CLK.DIODE (0.009:0.009:0.009) (0.009:0.009:0.009))
(INTERCONNECT clkbuf_leaf_22_acorn_prng\.clk.X ANTENNA__5338__CLK.DIODE (0.009:0.009:0.009) (0.009:0.009:0.009))
(INTERCONNECT clkbuf_leaf_22_acorn_prng\.clk.X ANTENNA__5508__CLK.DIODE (0.009:0.009:0.009) (0.009:0.009:0.009))
(INTERCONNECT clkbuf_leaf_22_acorn_prng\.clk.X ANTENNA__5323__CLK.DIODE (0.009:0.009:0.009) (0.009:0.009:0.009))
(INTERCONNECT clkbuf_leaf_22_acorn_prng\.clk.X ANTENNA__5325__CLK.DIODE (0.009:0.009:0.009) (0.009:0.009:0.009))
(INTERCONNECT clkbuf_leaf_22_acorn_prng\.clk.X ANTENNA__5324__CLK.DIODE (0.009:0.009:0.009) (0.009:0.009:0.009))
(INTERCONNECT clkbuf_leaf_22_acorn_prng\.clk.X ANTENNA__5322__CLK.DIODE (0.009:0.009:0.009) (0.009:0.009:0.009))
(INTERCONNECT clkbuf_leaf_22_acorn_prng\.clk.X ANTENNA__5502__CLK.DIODE (0.009:0.009:0.009) (0.009:0.009:0.009))
(INTERCONNECT clkbuf_leaf_22_acorn_prng\.clk.X ANTENNA__5510__CLK.DIODE (0.009:0.009:0.009) (0.009:0.009:0.009))
(INTERCONNECT clkbuf_leaf_22_acorn_prng\.clk.X ANTENNA__5330__CLK.DIODE (0.009:0.009:0.009) (0.009:0.009:0.009))
(INTERCONNECT clkbuf_leaf_22_acorn_prng\.clk.X ANTENNA__5335__CLK.DIODE (0.009:0.009:0.009) (0.009:0.009:0.009))
(INTERCONNECT clkbuf_leaf_22_acorn_prng\.clk.X ANTENNA__5336__CLK.DIODE (0.009:0.009:0.009) (0.009:0.009:0.009))
(INTERCONNECT clkbuf_leaf_23_acorn_prng\.clk.X _5339_.CLK (0.003:0.003:0.003) (0.003:0.003:0.003))
(INTERCONNECT clkbuf_leaf_23_acorn_prng\.clk.X _5519_.CLK (0.004:0.004:0.004) (0.004:0.004:0.004))
(INTERCONNECT clkbuf_leaf_23_acorn_prng\.clk.X _5557_.CLK (0.004:0.004:0.004) (0.003:0.003:0.003))
(INTERCONNECT clkbuf_leaf_23_acorn_prng\.clk.X _5389_.CLK (0.003:0.003:0.003) (0.003:0.003:0.003))
(INTERCONNECT clkbuf_leaf_23_acorn_prng\.clk.X _5568_.CLK (0.002:0.002:0.002) (0.002:0.002:0.002))
(INTERCONNECT clkbuf_leaf_23_acorn_prng\.clk.X _5509_.CLK (0.002:0.002:0.002) (0.002:0.002:0.002))
(INTERCONNECT clkbuf_leaf_23_acorn_prng\.clk.X _5329_.CLK (0.002:0.002:0.002) (0.002:0.002:0.002))
(INTERCONNECT clkbuf_leaf_23_acorn_prng\.clk.X _5569_.CLK (0.003:0.003:0.003) (0.003:0.003:0.003))
(INTERCONNECT clkbuf_leaf_23_acorn_prng\.clk.X _5578_.CLK (0.003:0.003:0.003) (0.003:0.003:0.003))
(INTERCONNECT clkbuf_leaf_23_acorn_prng\.clk.X _5579_.CLK (0.003:0.003:0.003) (0.003:0.003:0.003))
(INTERCONNECT clkbuf_leaf_23_acorn_prng\.clk.X _5400_.CLK (0.003:0.003:0.003) (0.003:0.003:0.003))
(INTERCONNECT clkbuf_leaf_23_acorn_prng\.clk.X _5507_.CLK (0.003:0.003:0.003) (0.003:0.003:0.003))
(INTERCONNECT clkbuf_leaf_23_acorn_prng\.clk.X _5327_.CLK (0.003:0.003:0.003) (0.003:0.003:0.003))
(INTERCONNECT clkbuf_leaf_23_acorn_prng\.clk.X _5328_.CLK (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT clkbuf_leaf_23_acorn_prng\.clk.X _5326_.CLK (0.003:0.003:0.003) (0.003:0.003:0.003))
(INTERCONNECT clkbuf_leaf_23_acorn_prng\.clk.X _5506_.CLK (0.003:0.003:0.003) (0.003:0.003:0.003))
(INTERCONNECT clkbuf_leaf_23_acorn_prng\.clk.X ANTENNA__5506__CLK.DIODE (0.006:0.006:0.006) (0.006:0.006:0.006))
(INTERCONNECT clkbuf_leaf_23_acorn_prng\.clk.X ANTENNA__5326__CLK.DIODE (0.005:0.005:0.005) (0.005:0.005:0.005))
(INTERCONNECT clkbuf_leaf_23_acorn_prng\.clk.X ANTENNA__5328__CLK.DIODE (0.006:0.006:0.006) (0.006:0.006:0.006))
(INTERCONNECT clkbuf_leaf_23_acorn_prng\.clk.X ANTENNA__5327__CLK.DIODE (0.004:0.004:0.004) (0.004:0.004:0.004))
(INTERCONNECT clkbuf_leaf_23_acorn_prng\.clk.X ANTENNA__5507__CLK.DIODE (0.004:0.004:0.004) (0.004:0.004:0.004))
(INTERCONNECT clkbuf_leaf_23_acorn_prng\.clk.X ANTENNA__5400__CLK.DIODE (0.004:0.004:0.004) (0.004:0.004:0.004))
(INTERCONNECT clkbuf_leaf_23_acorn_prng\.clk.X ANTENNA__5579__CLK.DIODE (0.004:0.004:0.004) (0.004:0.004:0.004))
(INTERCONNECT clkbuf_leaf_23_acorn_prng\.clk.X ANTENNA__5578__CLK.DIODE (0.004:0.004:0.004) (0.004:0.004:0.004))
(INTERCONNECT clkbuf_leaf_23_acorn_prng\.clk.X ANTENNA__5569__CLK.DIODE (0.004:0.004:0.004) (0.003:0.003:0.003))
(INTERCONNECT clkbuf_leaf_23_acorn_prng\.clk.X ANTENNA__5329__CLK.DIODE (0.006:0.006:0.006) (0.005:0.005:0.005))
(INTERCONNECT clkbuf_leaf_23_acorn_prng\.clk.X ANTENNA__5509__CLK.DIODE (0.005:0.005:0.005) (0.005:0.005:0.005))
(INTERCONNECT clkbuf_leaf_23_acorn_prng\.clk.X ANTENNA__5568__CLK.DIODE (0.006:0.006:0.006) (0.005:0.005:0.005))
(INTERCONNECT clkbuf_leaf_23_acorn_prng\.clk.X ANTENNA__5389__CLK.DIODE (0.005:0.005:0.005) (0.005:0.005:0.005))
(INTERCONNECT clkbuf_leaf_23_acorn_prng\.clk.X ANTENNA__5557__CLK.DIODE (0.005:0.005:0.005) (0.005:0.005:0.005))
(INTERCONNECT clkbuf_leaf_23_acorn_prng\.clk.X ANTENNA__5519__CLK.DIODE (0.005:0.005:0.005) (0.005:0.005:0.005))
(INTERCONNECT clkbuf_leaf_23_acorn_prng\.clk.X ANTENNA__5339__CLK.DIODE (0.005:0.005:0.005) (0.005:0.005:0.005))
(INTERCONNECT clkbuf_leaf_24_acorn_prng\.clk.X _5315_.CLK (0.003:0.003:0.003) (0.003:0.003:0.003))
(INTERCONNECT clkbuf_leaf_24_acorn_prng\.clk.X _5505_.CLK (0.003:0.003:0.003) (0.003:0.003:0.003))
(INTERCONNECT clkbuf_leaf_24_acorn_prng\.clk.X _5310_.CLK (0.003:0.003:0.003) (0.003:0.003:0.003))
(INTERCONNECT clkbuf_leaf_24_acorn_prng\.clk.X _5314_.CLK (0.003:0.003:0.003) (0.003:0.003:0.003))
(INTERCONNECT clkbuf_leaf_24_acorn_prng\.clk.X _5316_.CLK (0.002:0.002:0.002) (0.002:0.002:0.002))
(INTERCONNECT clkbuf_leaf_24_acorn_prng\.clk.X _5311_.CLK (0.002:0.002:0.002) (0.002:0.002:0.002))
(INTERCONNECT clkbuf_leaf_24_acorn_prng\.clk.X _5496_.CLK (0.002:0.002:0.002) (0.002:0.002:0.002))
(INTERCONNECT clkbuf_leaf_24_acorn_prng\.clk.X _5495_.CLK (0.002:0.002:0.002) (0.002:0.002:0.002))
(INTERCONNECT clkbuf_leaf_24_acorn_prng\.clk.X _5401_.CLK (0.002:0.002:0.002) (0.001:0.001:0.001))
(INTERCONNECT clkbuf_leaf_24_acorn_prng\.clk.X _5580_.CLK (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT clkbuf_leaf_24_acorn_prng\.clk.X _5317_.CLK (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT clkbuf_leaf_24_acorn_prng\.clk.X _5581_.CLK (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT clkbuf_leaf_24_acorn_prng\.clk.X _5497_.CLK (0.002:0.002:0.002) (0.002:0.002:0.002))
(INTERCONNECT clkbuf_leaf_24_acorn_prng\.clk.X _5631_.CLK (0.002:0.002:0.002) (0.002:0.002:0.002))
(INTERCONNECT clkbuf_leaf_24_acorn_prng\.clk.X _5632_.CLK (0.002:0.002:0.002) (0.002:0.002:0.002))
(INTERCONNECT clkbuf_leaf_24_acorn_prng\.clk.X _5630_.CLK (0.002:0.002:0.002) (0.002:0.002:0.002))
(INTERCONNECT clkbuf_leaf_25_acorn_prng\.clk.X _5199_.CLK (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT clkbuf_leaf_25_acorn_prng\.clk.X _5195_.CLK (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT clkbuf_leaf_25_acorn_prng\.clk.X _5201_.CLK (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT clkbuf_leaf_25_acorn_prng\.clk.X _5202_.CLK (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT clkbuf_leaf_25_acorn_prng\.clk.X _5196_.CLK (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT clkbuf_leaf_25_acorn_prng\.clk.X _5209_.CLK (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT clkbuf_leaf_25_acorn_prng\.clk.X _5208_.CLK (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT clkbuf_leaf_25_acorn_prng\.clk.X _5494_.CLK (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT clkbuf_leaf_26_acorn_prng\.clk.X _5306_.CLK (0.002:0.002:0.002) (0.002:0.002:0.002))
(INTERCONNECT clkbuf_leaf_26_acorn_prng\.clk.X _5490_.CLK (0.002:0.002:0.002) (0.001:0.001:0.001))
(INTERCONNECT clkbuf_leaf_26_acorn_prng\.clk.X _5312_.CLK (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT clkbuf_leaf_26_acorn_prng\.clk.X _5491_.CLK (0.002:0.002:0.002) (0.002:0.002:0.002))
(INTERCONNECT clkbuf_leaf_26_acorn_prng\.clk.X _5313_.CLK (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT clkbuf_leaf_26_acorn_prng\.clk.X _5493_.CLK (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT clkbuf_leaf_26_acorn_prng\.clk.X _5492_.CLK (0.002:0.002:0.002) (0.002:0.002:0.002))
(INTERCONNECT clkbuf_leaf_26_acorn_prng\.clk.X _5304_.CLK (0.002:0.002:0.002) (0.002:0.002:0.002))
(INTERCONNECT clkbuf_leaf_26_acorn_prng\.clk.X _5207_.CLK (0.002:0.002:0.002) (0.002:0.002:0.002))
(INTERCONNECT clkbuf_leaf_26_acorn_prng\.clk.X _5203_.CLK (0.002:0.002:0.002) (0.002:0.002:0.002))
(INTERCONNECT clkbuf_leaf_26_acorn_prng\.clk.X _5204_.CLK (0.002:0.002:0.002) (0.002:0.002:0.002))
(INTERCONNECT clkbuf_leaf_26_acorn_prng\.clk.X _5484_.CLK (0.002:0.002:0.002) (0.002:0.002:0.002))
(INTERCONNECT clkbuf_leaf_26_acorn_prng\.clk.X _5483_.CLK (0.002:0.002:0.002) (0.002:0.002:0.002))
(INTERCONNECT clkbuf_leaf_27_acorn_prng\.clk.X _5482_.CLK (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT clkbuf_leaf_27_acorn_prng\.clk.X _5302_.CLK (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT clkbuf_leaf_27_acorn_prng\.clk.X _5303_.CLK (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT clkbuf_leaf_27_acorn_prng\.clk.X _5305_.CLK (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT clkbuf_leaf_27_acorn_prng\.clk.X _5485_.CLK (0.001:0.001:0.001) (0.000:0.000:0.000))
(INTERCONNECT clkbuf_leaf_27_acorn_prng\.clk.X _5479_.CLK (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT clkbuf_leaf_27_acorn_prng\.clk.X _5488_.CLK (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT clkbuf_leaf_27_acorn_prng\.clk.X _5487_.CLK (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT clkbuf_leaf_27_acorn_prng\.clk.X _5486_.CLK (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT clkbuf_leaf_28_acorn_prng\.clk.X _5307_.CLK (0.002:0.002:0.002) (0.002:0.002:0.002))
(INTERCONNECT clkbuf_leaf_28_acorn_prng\.clk.X _5308_.CLK (0.002:0.002:0.002) (0.002:0.002:0.002))
(INTERCONNECT clkbuf_leaf_28_acorn_prng\.clk.X _5504_.CLK (0.002:0.002:0.002) (0.001:0.001:0.001))
(INTERCONNECT clkbuf_leaf_28_acorn_prng\.clk.X _5503_.CLK (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT clkbuf_leaf_28_acorn_prng\.clk.X _5309_.CLK (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT clkbuf_leaf_28_acorn_prng\.clk.X _5318_.CLK (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT clkbuf_leaf_28_acorn_prng\.clk.X _5498_.CLK (0.002:0.002:0.002) (0.002:0.002:0.002))
(INTERCONNECT clkbuf_leaf_28_acorn_prng\.clk.X _5499_.CLK (0.002:0.002:0.002) (0.002:0.002:0.002))
(INTERCONNECT clkbuf_leaf_28_acorn_prng\.clk.X _5320_.CLK (0.002:0.002:0.002) (0.002:0.002:0.002))
(INTERCONNECT clkbuf_leaf_28_acorn_prng\.clk.X _5319_.CLK (0.002:0.002:0.002) (0.001:0.001:0.001))
(INTERCONNECT clkbuf_leaf_28_acorn_prng\.clk.X _5489_.CLK (0.002:0.002:0.002) (0.002:0.002:0.002))
(INTERCONNECT clkbuf_leaf_28_acorn_prng\.clk.X _5321_.CLK (0.002:0.002:0.002) (0.002:0.002:0.002))
(INTERCONNECT clkbuf_leaf_28_acorn_prng\.clk.X _5331_.CLK (0.002:0.002:0.002) (0.002:0.002:0.002))
(INTERCONNECT clkbuf_leaf_29_acorn_prng\.clk.X _5511_.CLK (0.001:0.001:0.001) (0.000:0.000:0.000))
(INTERCONNECT clkbuf_leaf_29_acorn_prng\.clk.X _5332_.CLK (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT clkbuf_leaf_29_acorn_prng\.clk.X _5333_.CLK (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT clkbuf_leaf_29_acorn_prng\.clk.X _5512_.CLK (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT clkbuf_leaf_29_acorn_prng\.clk.X _5513_.CLK (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT clkbuf_leaf_29_acorn_prng\.clk.X _5501_.CLK (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT clkbuf_leaf_29_acorn_prng\.clk.X _5500_.CLK (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT clkbuf_leaf_29_acorn_prng\.clk.X _5297_.CLK (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT clkbuf_leaf_29_acorn_prng\.clk.X _5477_.CLK (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT clkbuf_leaf_2_acorn_prng\.clk.X _5608_.CLK (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT clkbuf_leaf_2_acorn_prng\.clk.X _5609_.CLK (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT clkbuf_leaf_2_acorn_prng\.clk.X _5611_.CLK (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT clkbuf_leaf_30_acorn_prng\.clk.X _5475_.CLK (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT clkbuf_leaf_30_acorn_prng\.clk.X _5476_.CLK (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT clkbuf_leaf_30_acorn_prng\.clk.X _5296_.CLK (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT clkbuf_leaf_30_acorn_prng\.clk.X _5295_.CLK (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT clkbuf_leaf_30_acorn_prng\.clk.X _5466_.CLK (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT clkbuf_leaf_30_acorn_prng\.clk.X _5294_.CLK (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT clkbuf_leaf_30_acorn_prng\.clk.X _5474_.CLK (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT clkbuf_leaf_30_acorn_prng\.clk.X _5289_.CLK (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT clkbuf_leaf_30_acorn_prng\.clk.X _5633_.CLK (0.002:0.002:0.002) (0.002:0.002:0.002))
(INTERCONNECT clkbuf_leaf_30_acorn_prng\.clk.X _5298_.CLK (0.002:0.002:0.002) (0.002:0.002:0.002))
(INTERCONNECT clkbuf_leaf_30_acorn_prng\.clk.X _5299_.CLK (0.002:0.002:0.002) (0.002:0.002:0.002))
(INTERCONNECT clkbuf_leaf_30_acorn_prng\.clk.X _5478_.CLK (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT clkbuf_leaf_30_acorn_prng\.clk.X _5300_.CLK (0.002:0.002:0.002) (0.002:0.002:0.002))
(INTERCONNECT clkbuf_leaf_30_acorn_prng\.clk.X _5301_.CLK (0.002:0.002:0.002) (0.002:0.002:0.002))
(INTERCONNECT clkbuf_leaf_31_acorn_prng\.clk.X _5481_.CLK (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT clkbuf_leaf_31_acorn_prng\.clk.X _5206_.CLK (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT clkbuf_leaf_31_acorn_prng\.clk.X _5205_.CLK (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT clkbuf_leaf_31_acorn_prng\.clk.X _5472_.CLK (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT clkbuf_leaf_31_acorn_prng\.clk.X _5480_.CLK (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT clkbuf_leaf_31_acorn_prng\.clk.X _5471_.CLK (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT clkbuf_leaf_31_acorn_prng\.clk.X _5290_.CLK (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT clkbuf_leaf_31_acorn_prng\.clk.X _5292_.CLK (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT clkbuf_leaf_31_acorn_prng\.clk.X _5293_.CLK (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT clkbuf_leaf_31_acorn_prng\.clk.X _5473_.CLK (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT clkbuf_leaf_32_acorn_prng\.clk.X _5469_.CLK (0.002:0.002:0.002) (0.002:0.002:0.002))
(INTERCONNECT clkbuf_leaf_32_acorn_prng\.clk.X _5288_.CLK (0.002:0.002:0.002) (0.002:0.002:0.002))
(INTERCONNECT clkbuf_leaf_32_acorn_prng\.clk.X _5281_.CLK (0.002:0.002:0.002) (0.002:0.002:0.002))
(INTERCONNECT clkbuf_leaf_32_acorn_prng\.clk.X _5291_.CLK (0.002:0.002:0.002) (0.002:0.002:0.002))
(INTERCONNECT clkbuf_leaf_32_acorn_prng\.clk.X _5470_.CLK (0.002:0.002:0.002) (0.002:0.002:0.002))
(INTERCONNECT clkbuf_leaf_32_acorn_prng\.clk.X _5460_.CLK (0.002:0.002:0.002) (0.002:0.002:0.002))
(INTERCONNECT clkbuf_leaf_32_acorn_prng\.clk.X _5459_.CLK (0.003:0.003:0.003) (0.003:0.003:0.003))
(INTERCONNECT clkbuf_leaf_32_acorn_prng\.clk.X _5280_.CLK (0.003:0.003:0.003) (0.003:0.003:0.003))
(INTERCONNECT clkbuf_leaf_32_acorn_prng\.clk.X _5461_.CLK (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT clkbuf_leaf_32_acorn_prng\.clk.X _5277_.CLK (0.003:0.003:0.003) (0.003:0.003:0.003))
(INTERCONNECT clkbuf_leaf_32_acorn_prng\.clk.X _5273_.CLK (0.002:0.002:0.002) (0.002:0.002:0.002))
(INTERCONNECT clkbuf_leaf_32_acorn_prng\.clk.X _5462_.CLK (0.002:0.002:0.002) (0.002:0.002:0.002))
(INTERCONNECT clkbuf_leaf_32_acorn_prng\.clk.X _5287_.CLK (0.002:0.002:0.002) (0.001:0.001:0.001))
(INTERCONNECT clkbuf_leaf_32_acorn_prng\.clk.X _5468_.CLK (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT clkbuf_leaf_32_acorn_prng\.clk.X _5467_.CLK (0.002:0.002:0.002) (0.002:0.002:0.002))
(INTERCONNECT clkbuf_leaf_33_acorn_prng\.clk.X _5286_.CLK (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT clkbuf_leaf_33_acorn_prng\.clk.X _5464_.CLK (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT clkbuf_leaf_33_acorn_prng\.clk.X _5463_.CLK (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT clkbuf_leaf_33_acorn_prng\.clk.X _5283_.CLK (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT clkbuf_leaf_33_acorn_prng\.clk.X _5282_.CLK (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT clkbuf_leaf_33_acorn_prng\.clk.X _5285_.CLK (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT clkbuf_leaf_33_acorn_prng\.clk.X _5284_.CLK (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT clkbuf_leaf_33_acorn_prng\.clk.X _5465_.CLK (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT clkbuf_leaf_34_acorn_prng\.clk.X _5440_.CLK (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT clkbuf_leaf_34_acorn_prng\.clk.X _5453_.CLK (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT clkbuf_leaf_34_acorn_prng\.clk.X _5450_.CLK (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT clkbuf_leaf_34_acorn_prng\.clk.X _5272_.CLK (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT clkbuf_leaf_34_acorn_prng\.clk.X _5452_.CLK (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT clkbuf_leaf_34_acorn_prng\.clk.X _5451_.CLK (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT clkbuf_leaf_34_acorn_prng\.clk.X _5271_.CLK (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT clkbuf_leaf_34_acorn_prng\.clk.X _5441_.CLK (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT clkbuf_leaf_34_acorn_prng\.clk.X _5270_.CLK (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT clkbuf_leaf_34_acorn_prng\.clk.X _5274_.CLK (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT clkbuf_leaf_34_acorn_prng\.clk.X _5443_.CLK (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT clkbuf_leaf_34_acorn_prng\.clk.X _5276_.CLK (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT clkbuf_leaf_34_acorn_prng\.clk.X _5275_.CLK (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT clkbuf_leaf_34_acorn_prng\.clk.X _5454_.CLK (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT clkbuf_leaf_35_acorn_prng\.clk.X _5455_.CLK (0.002:0.002:0.002) (0.002:0.002:0.002))
(INTERCONNECT clkbuf_leaf_35_acorn_prng\.clk.X _5457_.CLK (0.002:0.002:0.002) (0.001:0.001:0.001))
(INTERCONNECT clkbuf_leaf_35_acorn_prng\.clk.X _5268_.CLK (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT clkbuf_leaf_35_acorn_prng\.clk.X _5456_.CLK (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT clkbuf_leaf_35_acorn_prng\.clk.X _5269_.CLK (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT clkbuf_leaf_35_acorn_prng\.clk.X _5278_.CLK (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT clkbuf_leaf_35_acorn_prng\.clk.X _5458_.CLK (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT clkbuf_leaf_35_acorn_prng\.clk.X _5279_.CLK (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT clkbuf_leaf_35_acorn_prng\.clk.X _5449_.CLK (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT clkbuf_leaf_35_acorn_prng\.clk.X _5448_.CLK (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT clkbuf_leaf_36_acorn_prng\.clk.X _5267_.CLK (0.003:0.003:0.003) (0.003:0.003:0.003))
(INTERCONNECT clkbuf_leaf_36_acorn_prng\.clk.X _5447_.CLK (0.003:0.003:0.003) (0.003:0.003:0.003))
(INTERCONNECT clkbuf_leaf_36_acorn_prng\.clk.X _5445_.CLK (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT clkbuf_leaf_36_acorn_prng\.clk.X _5261_.CLK (0.002:0.002:0.002) (0.002:0.002:0.002))
(INTERCONNECT clkbuf_leaf_36_acorn_prng\.clk.X _5260_.CLK (0.002:0.002:0.002) (0.002:0.002:0.002))
(INTERCONNECT clkbuf_leaf_36_acorn_prng\.clk.X _5263_.CLK (0.002:0.002:0.002) (0.002:0.002:0.002))
(INTERCONNECT clkbuf_leaf_36_acorn_prng\.clk.X _5636_.CLK (0.002:0.002:0.002) (0.002:0.002:0.002))
(INTERCONNECT clkbuf_leaf_36_acorn_prng\.clk.X _5637_.CLK (0.002:0.002:0.002) (0.002:0.002:0.002))
(INTERCONNECT clkbuf_leaf_36_acorn_prng\.clk.X _5265_.CLK (0.002:0.002:0.002) (0.002:0.002:0.002))
(INTERCONNECT clkbuf_leaf_36_acorn_prng\.clk.X _5266_.CLK (0.003:0.003:0.003) (0.002:0.002:0.002))
(INTERCONNECT clkbuf_leaf_36_acorn_prng\.clk.X _5446_.CLK (0.003:0.003:0.003) (0.002:0.002:0.002))
(INTERCONNECT clkbuf_leaf_36_acorn_prng\.clk.X _5644_.CLK (0.003:0.003:0.003) (0.003:0.003:0.003))
(INTERCONNECT clkbuf_leaf_36_acorn_prng\.clk.X _5645_.CLK (0.003:0.003:0.003) (0.003:0.003:0.003))
(INTERCONNECT clkbuf_leaf_37_acorn_prng\.clk.X _5643_.CLK (0.002:0.002:0.002) (0.002:0.002:0.002))
(INTERCONNECT clkbuf_leaf_37_acorn_prng\.clk.X _5641_.CLK (0.002:0.002:0.002) (0.002:0.002:0.002))
(INTERCONNECT clkbuf_leaf_37_acorn_prng\.clk.X _5639_.CLK (0.002:0.002:0.002) (0.001:0.001:0.001))
(INTERCONNECT clkbuf_leaf_37_acorn_prng\.clk.X _5638_.CLK (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT clkbuf_leaf_37_acorn_prng\.clk.X _5642_.CLK (0.002:0.002:0.002) (0.002:0.002:0.002))
(INTERCONNECT clkbuf_leaf_37_acorn_prng\.clk.X _5635_.CLK (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT clkbuf_leaf_37_acorn_prng\.clk.X _5634_.CLK (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT clkbuf_leaf_37_acorn_prng\.clk.X _5258_.CLK (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT clkbuf_leaf_37_acorn_prng\.clk.X _5259_.CLK (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT clkbuf_leaf_37_acorn_prng\.clk.X _5442_.CLK (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT clkbuf_leaf_37_acorn_prng\.clk.X _5444_.CLK (0.002:0.002:0.002) (0.001:0.001:0.001))
(INTERCONNECT clkbuf_leaf_37_acorn_prng\.clk.X _5262_.CLK (0.002:0.002:0.002) (0.001:0.001:0.001))
(INTERCONNECT clkbuf_leaf_37_acorn_prng\.clk.X _5264_.CLK (0.002:0.002:0.002) (0.001:0.001:0.001))
(INTERCONNECT clkbuf_leaf_37_acorn_prng\.clk.X _5438_.CLK (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT clkbuf_leaf_3_acorn_prng\.clk.X _5625_.CLK (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT clkbuf_leaf_3_acorn_prng\.clk.X _5624_.CLK (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT clkbuf_leaf_3_acorn_prng\.clk.X _5626_.CLK (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT clkbuf_leaf_3_acorn_prng\.clk.X _5627_.CLK (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT clkbuf_leaf_3_acorn_prng\.clk.X _5628_.CLK (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT clkbuf_leaf_3_acorn_prng\.clk.X _5622_.CLK (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT clkbuf_leaf_3_acorn_prng\.clk.X _5623_.CLK (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT clkbuf_leaf_3_acorn_prng\.clk.X _5621_.CLK (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT clkbuf_leaf_3_acorn_prng\.clk.X _5619_.CLK (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT clkbuf_leaf_3_acorn_prng\.clk.X _5435_.CLK (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT clkbuf_leaf_3_acorn_prng\.clk.X _5620_.CLK (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT clkbuf_leaf_3_acorn_prng\.clk.X _5614_.CLK (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT clkbuf_leaf_3_acorn_prng\.clk.X _5613_.CLK (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT clkbuf_leaf_3_acorn_prng\.clk.X _5612_.CLK (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT clkbuf_leaf_3_acorn_prng\.clk.X _5610_.CLK (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT clkbuf_leaf_40_acorn_prng\.clk.X _5177_.CLK (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT clkbuf_leaf_40_acorn_prng\.clk.X _5175_.CLK (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT clkbuf_leaf_40_acorn_prng\.clk.X _5176_.CLK (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT clkbuf_leaf_40_acorn_prng\.clk.X _5174_.CLK (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT clkbuf_leaf_40_acorn_prng\.clk.X _5173_.CLK (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT clkbuf_leaf_40_acorn_prng\.clk.X _5172_.CLK (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT clkbuf_leaf_40_acorn_prng\.clk.X _5171_.CLK (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT clkbuf_leaf_41_acorn_prng\.clk.X _5179_.CLK (0.001:0.001:0.001) (0.000:0.000:0.000))
(INTERCONNECT clkbuf_leaf_41_acorn_prng\.clk.X _5170_.CLK (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT clkbuf_leaf_41_acorn_prng\.clk.X _5185_.CLK (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT clkbuf_leaf_41_acorn_prng\.clk.X _5186_.CLK (0.001:0.001:0.001) (0.000:0.000:0.000))
(INTERCONNECT clkbuf_leaf_41_acorn_prng\.clk.X _5180_.CLK (0.001:0.001:0.001) (0.000:0.000:0.000))
(INTERCONNECT clkbuf_leaf_41_acorn_prng\.clk.X _5184_.CLK (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT clkbuf_leaf_41_acorn_prng\.clk.X _5181_.CLK (0.001:0.001:0.001) (0.000:0.000:0.000))
(INTERCONNECT clkbuf_leaf_41_acorn_prng\.clk.X _5183_.CLK (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT clkbuf_leaf_41_acorn_prng\.clk.X _5182_.CLK (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT clkbuf_leaf_42_acorn_prng\.clk.X _5161_.CLK (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT clkbuf_leaf_42_acorn_prng\.clk.X _5169_.CLK (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT clkbuf_leaf_42_acorn_prng\.clk.X _5168_.CLK (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT clkbuf_leaf_42_acorn_prng\.clk.X _5159_.CLK (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT clkbuf_leaf_42_acorn_prng\.clk.X _5160_.CLK (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT clkbuf_leaf_42_acorn_prng\.clk.X _5158_.CLK (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT clkbuf_leaf_42_acorn_prng\.clk.X _5157_.CLK (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT clkbuf_leaf_42_acorn_prng\.clk.X _5156_.CLK (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT clkbuf_leaf_42_acorn_prng\.clk.X _5154_.CLK (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT clkbuf_leaf_42_acorn_prng\.clk.X _5155_.CLK (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT clkbuf_leaf_42_acorn_prng\.clk.X _5167_.CLK (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT clkbuf_leaf_43_acorn_prng\.clk.X _5165_.CLK (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT clkbuf_leaf_43_acorn_prng\.clk.X _5164_.CLK (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT clkbuf_leaf_43_acorn_prng\.clk.X _5166_.CLK (0.001:0.001:0.001) (0.000:0.000:0.000))
(INTERCONNECT clkbuf_leaf_43_acorn_prng\.clk.X _5163_.CLK (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT clkbuf_leaf_43_acorn_prng\.clk.X _5162_.CLK (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT clkbuf_leaf_4_acorn_prng\.clk.X _5428_.CLK (0.002:0.002:0.002) (0.002:0.002:0.002))
(INTERCONNECT clkbuf_leaf_4_acorn_prng\.clk.X _5431_.CLK (0.002:0.002:0.002) (0.002:0.002:0.002))
(INTERCONNECT clkbuf_leaf_4_acorn_prng\.clk.X _5606_.CLK (0.002:0.002:0.002) (0.002:0.002:0.002))
(INTERCONNECT clkbuf_leaf_4_acorn_prng\.clk.X _5432_.CLK (0.002:0.002:0.002) (0.001:0.001:0.001))
(INTERCONNECT clkbuf_leaf_4_acorn_prng\.clk.X _5436_.CLK (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT clkbuf_leaf_4_acorn_prng\.clk.X _5433_.CLK (0.002:0.002:0.002) (0.002:0.002:0.002))
(INTERCONNECT clkbuf_leaf_4_acorn_prng\.clk.X _5430_.CLK (0.002:0.002:0.002) (0.002:0.002:0.002))
(INTERCONNECT clkbuf_leaf_4_acorn_prng\.clk.X _5434_.CLK (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT clkbuf_leaf_4_acorn_prng\.clk.X _5629_.CLK (0.002:0.002:0.002) (0.002:0.002:0.002))
(INTERCONNECT clkbuf_leaf_4_acorn_prng\.clk.X _5618_.CLK (0.002:0.002:0.002) (0.002:0.002:0.002))
(INTERCONNECT clkbuf_leaf_4_acorn_prng\.clk.X _5437_.CLK (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT clkbuf_leaf_4_acorn_prng\.clk.X _5615_.CLK (0.002:0.002:0.002) (0.002:0.002:0.002))
(INTERCONNECT clkbuf_leaf_5_acorn_prng\.clk.X _5617_.CLK (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT clkbuf_leaf_5_acorn_prng\.clk.X _5604_.CLK (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT clkbuf_leaf_5_acorn_prng\.clk.X _5616_.CLK (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT clkbuf_leaf_5_acorn_prng\.clk.X _5605_.CLK (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT clkbuf_leaf_5_acorn_prng\.clk.X _5599_.CLK (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT clkbuf_leaf_5_acorn_prng\.clk.X _5417_.CLK (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT clkbuf_leaf_5_acorn_prng\.clk.X _5598_.CLK (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT clkbuf_leaf_6_acorn_prng\.clk.X _5426_.CLK (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT clkbuf_leaf_6_acorn_prng\.clk.X _5427_.CLK (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT clkbuf_leaf_6_acorn_prng\.clk.X _5429_.CLK (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT clkbuf_leaf_6_acorn_prng\.clk.X _5607_.CLK (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT clkbuf_leaf_6_acorn_prng\.clk.X _5597_.CLK (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT clkbuf_leaf_6_acorn_prng\.clk.X _5596_.CLK (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT clkbuf_leaf_6_acorn_prng\.clk.X _5595_.CLK (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT clkbuf_leaf_6_acorn_prng\.clk.X _5391_.CLK (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT clkbuf_leaf_7_acorn_prng\.clk.X _5571_.CLK (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT clkbuf_leaf_7_acorn_prng\.clk.X _5379_.CLK (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT clkbuf_leaf_7_acorn_prng\.clk.X _5378_.CLK (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT clkbuf_leaf_7_acorn_prng\.clk.X _5381_.CLK (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT clkbuf_leaf_7_acorn_prng\.clk.X _5570_.CLK (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT clkbuf_leaf_7_acorn_prng\.clk.X _5390_.CLK (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT clkbuf_leaf_7_acorn_prng\.clk.X _5403_.CLK (0.002:0.002:0.002) (0.002:0.002:0.002))
(INTERCONNECT clkbuf_leaf_7_acorn_prng\.clk.X _5583_.CLK (0.002:0.002:0.002) (0.002:0.002:0.002))
(INTERCONNECT clkbuf_leaf_7_acorn_prng\.clk.X _5402_.CLK (0.002:0.002:0.002) (0.001:0.001:0.001))
(INTERCONNECT clkbuf_leaf_7_acorn_prng\.clk.X _5392_.CLK (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT clkbuf_leaf_7_acorn_prng\.clk.X _5572_.CLK (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT clkbuf_leaf_7_acorn_prng\.clk.X _5393_.CLK (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT clkbuf_leaf_8_acorn_prng\.clk.X _5582_.CLK (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT clkbuf_leaf_8_acorn_prng\.clk.X _5584_.CLK (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT clkbuf_leaf_8_acorn_prng\.clk.X _5404_.CLK (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT clkbuf_leaf_8_acorn_prng\.clk.X _5405_.CLK (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT clkbuf_leaf_8_acorn_prng\.clk.X _5585_.CLK (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT clkbuf_leaf_8_acorn_prng\.clk.X _5594_.CLK (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT clkbuf_leaf_8_acorn_prng\.clk.X _5414_.CLK (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT clkbuf_leaf_8_acorn_prng\.clk.X _5415_.CLK (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT clkbuf_leaf_8_acorn_prng\.clk.X _5416_.CLK (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT clkbuf_leaf_8_acorn_prng\.clk.X _5419_.CLK (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT clkbuf_leaf_9_acorn_prng\.clk.X _5418_.CLK (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT clkbuf_leaf_9_acorn_prng\.clk.X _5420_.CLK (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT clkbuf_leaf_9_acorn_prng\.clk.X _5421_.CLK (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT clkbuf_leaf_9_acorn_prng\.clk.X _5601_.CLK (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT clkbuf_leaf_9_acorn_prng\.clk.X _5425_.CLK (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT clkbuf_leaf_9_acorn_prng\.clk.X _5603_.CLK (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT clkbuf_leaf_9_acorn_prng\.clk.X _5194_.CLK (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT clkbuf_leaf_9_acorn_prng\.clk.X _5193_.CLK (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT clkbuf_leaf_9_acorn_prng\.clk.X _5424_.CLK (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT clkbuf_leaf_9_acorn_prng\.clk.X _5422_.CLK (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT clkbuf_leaf_9_acorn_prng\.clk.X _5602_.CLK (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT clkbuf_leaf_9_acorn_prng\.clk.X _5423_.CLK (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT clkbuf_leaf_9_acorn_prng\.clk.X _5191_.CLK (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT clkbuf_leaf_9_acorn_prng\.clk.X _5192_.CLK (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT clkbuf_leaf_9_acorn_prng\.clk.X _5593_.CLK (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT clkbuf_leaf_9_acorn_prng\.clk.X _5411_.CLK (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT clkbuf_leaf_9_acorn_prng\.clk.X _5600_.CLK (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT clkbuf_leaf_9_acorn_prng\.clk.X _5586_.CLK (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT clkbuf_opt_10_0_acorn_prng\.clk.X clkbuf_opt_10_1_acorn_prng\.clk.A (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT clkbuf_opt_10_1_acorn_prng\.clk.X clkbuf_leaf_15_acorn_prng\.clk.A (0.003:0.003:0.003) (0.003:0.003:0.003))
(INTERCONNECT clkbuf_opt_10_1_acorn_prng\.clk.X ANTENNA_clkbuf_leaf_15_acorn_prng\.clk_A.DIODE (0.003:0.003:0.003) (0.003:0.003:0.003))
(INTERCONNECT clkbuf_opt_11_0_acorn_prng\.clk.X clkbuf_opt_11_1_acorn_prng\.clk.A (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT clkbuf_opt_11_1_acorn_prng\.clk.X clkbuf_opt_11_2_acorn_prng\.clk.A (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT clkbuf_opt_11_2_acorn_prng\.clk.X clkbuf_leaf_25_acorn_prng\.clk.A (0.004:0.004:0.004) (0.004:0.004:0.004))
(INTERCONNECT clkbuf_opt_11_2_acorn_prng\.clk.X ANTENNA_clkbuf_leaf_25_acorn_prng\.clk_A.DIODE (0.005:0.005:0.005) (0.005:0.005:0.005))
(INTERCONNECT clkbuf_opt_12_0_acorn_prng\.clk.X clkbuf_opt_12_1_acorn_prng\.clk.A (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT clkbuf_opt_12_1_acorn_prng\.clk.X clkbuf_leaf_35_acorn_prng\.clk.A (0.007:0.007:0.007) (0.006:0.006:0.006))
(INTERCONNECT clkbuf_opt_12_1_acorn_prng\.clk.X ANTENNA_clkbuf_leaf_35_acorn_prng\.clk_A.DIODE (0.007:0.007:0.007) (0.007:0.007:0.007))
(INTERCONNECT clkbuf_opt_13_0_acorn_prng\.clk.X clkbuf_opt_13_1_acorn_prng\.clk.A (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT clkbuf_opt_13_1_acorn_prng\.clk.X clkbuf_leaf_36_acorn_prng\.clk.A (0.004:0.004:0.004) (0.003:0.003:0.003))
(INTERCONNECT clkbuf_opt_13_1_acorn_prng\.clk.X ANTENNA_clkbuf_leaf_36_acorn_prng\.clk_A.DIODE (0.004:0.004:0.004) (0.003:0.003:0.003))
(INTERCONNECT clkbuf_opt_14_0_acorn_prng\.clk.X clkbuf_opt_14_1_acorn_prng\.clk.A (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT clkbuf_opt_14_1_acorn_prng\.clk.X clkbuf_leaf_37_acorn_prng\.clk.A (0.002:0.002:0.002) (0.002:0.002:0.002))
(INTERCONNECT clkbuf_opt_14_1_acorn_prng\.clk.X ANTENNA_clkbuf_leaf_37_acorn_prng\.clk_A.DIODE (0.002:0.002:0.002) (0.002:0.002:0.002))
(INTERCONNECT clkbuf_opt_15_0_acorn_prng\.clk.X clkbuf_opt_15_1_acorn_prng\.clk.A (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT clkbuf_opt_15_1_acorn_prng\.clk.X _5640_.CLK (0.003:0.003:0.003) (0.003:0.003:0.003))
(INTERCONNECT clkbuf_opt_15_1_acorn_prng\.clk.X ANTENNA__5640__CLK.DIODE (0.003:0.003:0.003) (0.003:0.003:0.003))
(INTERCONNECT clkbuf_opt_16_0_acorn_prng\.clk.X clkbuf_opt_16_1_acorn_prng\.clk.A (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT clkbuf_opt_16_1_acorn_prng\.clk.X clkbuf_opt_16_2_acorn_prng\.clk.A (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT clkbuf_opt_16_2_acorn_prng\.clk.X clkbuf_leaf_40_acorn_prng\.clk.A (0.005:0.005:0.005) (0.005:0.005:0.005))
(INTERCONNECT clkbuf_opt_16_2_acorn_prng\.clk.X ANTENNA_clkbuf_leaf_40_acorn_prng\.clk_A.DIODE (0.005:0.005:0.005) (0.005:0.005:0.005))
(INTERCONNECT clkbuf_opt_17_0_acorn_prng\.clk.X clkbuf_opt_17_1_acorn_prng\.clk.A (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT clkbuf_opt_17_1_acorn_prng\.clk.X clkbuf_opt_17_2_acorn_prng\.clk.A (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT clkbuf_opt_17_2_acorn_prng\.clk.X clkbuf_opt_17_3_acorn_prng\.clk.A (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT clkbuf_opt_17_3_acorn_prng\.clk.X clkbuf_leaf_41_acorn_prng\.clk.A (0.005:0.005:0.005) (0.005:0.005:0.005))
(INTERCONNECT clkbuf_opt_17_3_acorn_prng\.clk.X ANTENNA_clkbuf_leaf_41_acorn_prng\.clk_A.DIODE (0.005:0.005:0.005) (0.004:0.004:0.004))
(INTERCONNECT clkbuf_opt_18_0_acorn_prng\.clk.X clkbuf_opt_18_1_acorn_prng\.clk.A (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT clkbuf_opt_18_1_acorn_prng\.clk.X clkbuf_opt_18_2_acorn_prng\.clk.A (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT clkbuf_opt_18_2_acorn_prng\.clk.X clkbuf_opt_18_3_acorn_prng\.clk.A (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT clkbuf_opt_18_3_acorn_prng\.clk.X clkbuf_leaf_42_acorn_prng\.clk.A (0.008:0.008:0.008) (0.008:0.008:0.008))
(INTERCONNECT clkbuf_opt_18_3_acorn_prng\.clk.X ANTENNA_clkbuf_leaf_42_acorn_prng\.clk_A.DIODE (0.008:0.008:0.008) (0.008:0.008:0.008))
(INTERCONNECT clkbuf_opt_19_0_acorn_prng\.clk.X clkbuf_opt_19_1_acorn_prng\.clk.A (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT clkbuf_opt_19_1_acorn_prng\.clk.X clkbuf_opt_19_2_acorn_prng\.clk.A (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT clkbuf_opt_19_2_acorn_prng\.clk.X clkbuf_opt_19_3_acorn_prng\.clk.A (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT clkbuf_opt_19_3_acorn_prng\.clk.X clkbuf_opt_19_4_acorn_prng\.clk.A (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT clkbuf_opt_19_4_acorn_prng\.clk.X clkbuf_leaf_43_acorn_prng\.clk.A (0.007:0.007:0.007) (0.006:0.006:0.006))
(INTERCONNECT clkbuf_opt_19_4_acorn_prng\.clk.X ANTENNA_clkbuf_leaf_43_acorn_prng\.clk_A.DIODE (0.007:0.007:0.007) (0.006:0.006:0.006))
(INTERCONNECT clkbuf_opt_1_0_acorn_prng\.clk.X clkbuf_opt_1_1_acorn_prng\.clk.A (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT clkbuf_opt_1_1_acorn_prng\.clk.X clkbuf_leaf_0_acorn_prng\.clk.A (0.003:0.003:0.003) (0.002:0.002:0.002))
(INTERCONNECT clkbuf_opt_1_1_acorn_prng\.clk.X ANTENNA_clkbuf_leaf_0_acorn_prng\.clk_A.DIODE (0.003:0.003:0.003) (0.002:0.002:0.002))
(INTERCONNECT clkbuf_opt_20_0_acorn_prng\.clk.X clkbuf_opt_20_1_acorn_prng\.clk.A (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT clkbuf_opt_20_1_acorn_prng\.clk.X clkbuf_opt_20_2_acorn_prng\.clk.A (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT clkbuf_opt_20_2_acorn_prng\.clk.X clkbuf_opt_20_3_acorn_prng\.clk.A (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT clkbuf_opt_20_3_acorn_prng\.clk.X clkbuf_opt_20_4_acorn_prng\.clk.A (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT clkbuf_opt_20_4_acorn_prng\.clk.X clkbuf_opt_20_5_acorn_prng\.clk.A (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT clkbuf_opt_20_5_acorn_prng\.clk.X clkbuf_opt_20_6_acorn_prng\.clk.A (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT clkbuf_opt_20_6_acorn_prng\.clk.X clkbuf_opt_20_7_acorn_prng\.clk.A (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT clkbuf_opt_20_7_acorn_prng\.clk.X _5178_.CLK (0.015:0.015:0.015) (0.015:0.015:0.015))
(INTERCONNECT clkbuf_opt_20_7_acorn_prng\.clk.X ANTENNA__5178__CLK.DIODE (0.015:0.015:0.015) (0.015:0.015:0.015))
(INTERCONNECT clkbuf_opt_21_0_acorn_prng\.clk.X clkbuf_opt_21_1_acorn_prng\.clk.A (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT clkbuf_opt_21_1_acorn_prng\.clk.X clkbuf_opt_21_2_acorn_prng\.clk.A (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT clkbuf_opt_21_2_acorn_prng\.clk.X clkbuf_leaf_1_acorn_prng\.clk.A (0.003:0.003:0.003) (0.003:0.003:0.003))
(INTERCONNECT clkbuf_opt_21_2_acorn_prng\.clk.X ANTENNA_clkbuf_leaf_1_acorn_prng\.clk_A.DIODE (0.003:0.003:0.003) (0.003:0.003:0.003))
(INTERCONNECT clkbuf_opt_22_0_acorn_prng\.clk.X clkbuf_opt_22_1_acorn_prng\.clk.A (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT clkbuf_opt_22_1_acorn_prng\.clk.X clkbuf_opt_22_2_acorn_prng\.clk.A (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT clkbuf_opt_22_2_acorn_prng\.clk.X clkbuf_opt_22_3_acorn_prng\.clk.A (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT clkbuf_opt_22_3_acorn_prng\.clk.X clkbuf_leaf_3_acorn_prng\.clk.A (0.010:0.010:0.010) (0.009:0.009:0.009))
(INTERCONNECT clkbuf_opt_22_3_acorn_prng\.clk.X ANTENNA_clkbuf_leaf_3_acorn_prng\.clk_A.DIODE (0.010:0.010:0.010) (0.009:0.009:0.009))
(INTERCONNECT clkbuf_opt_23_0_acorn_prng\.clk.X clkbuf_opt_23_1_acorn_prng\.clk.A (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT clkbuf_opt_23_1_acorn_prng\.clk.X clkbuf_opt_23_2_acorn_prng\.clk.A (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT clkbuf_opt_23_2_acorn_prng\.clk.X clkbuf_leaf_7_acorn_prng\.clk.A (0.004:0.004:0.004) (0.004:0.004:0.004))
(INTERCONNECT clkbuf_opt_23_2_acorn_prng\.clk.X ANTENNA_clkbuf_leaf_7_acorn_prng\.clk_A.DIODE (0.004:0.004:0.004) (0.003:0.003:0.003))
(INTERCONNECT clkbuf_opt_24_0_acorn_prng\.clk.X clkbuf_opt_24_1_acorn_prng\.clk.A (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT clkbuf_opt_24_1_acorn_prng\.clk.X clkbuf_opt_24_2_acorn_prng\.clk.A (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT clkbuf_opt_24_2_acorn_prng\.clk.X clkbuf_leaf_8_acorn_prng\.clk.A (0.007:0.007:0.007) (0.006:0.006:0.006))
(INTERCONNECT clkbuf_opt_24_2_acorn_prng\.clk.X ANTENNA_clkbuf_leaf_8_acorn_prng\.clk_A.DIODE (0.006:0.006:0.006) (0.006:0.006:0.006))
(INTERCONNECT clkbuf_opt_25_0_acorn_prng\.clk.X clkbuf_opt_25_1_acorn_prng\.clk.A (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT clkbuf_opt_25_1_acorn_prng\.clk.X clkbuf_opt_25_2_acorn_prng\.clk.A (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT clkbuf_opt_25_2_acorn_prng\.clk.X clkbuf_leaf_9_acorn_prng\.clk.A (0.004:0.004:0.004) (0.003:0.003:0.003))
(INTERCONNECT clkbuf_opt_25_2_acorn_prng\.clk.X ANTENNA_clkbuf_leaf_9_acorn_prng\.clk_A.DIODE (0.005:0.005:0.005) (0.005:0.005:0.005))
(INTERCONNECT clkbuf_opt_26_0_acorn_prng\.clk.X clkbuf_opt_26_1_acorn_prng\.clk.A (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT clkbuf_opt_26_1_acorn_prng\.clk.X clkbuf_leaf_12_acorn_prng\.clk.A (0.007:0.007:0.007) (0.007:0.007:0.007))
(INTERCONNECT clkbuf_opt_26_1_acorn_prng\.clk.X ANTENNA_clkbuf_leaf_12_acorn_prng\.clk_A.DIODE (0.008:0.008:0.008) (0.008:0.008:0.008))
(INTERCONNECT clkbuf_opt_27_0_acorn_prng\.clk.X clkbuf_opt_27_1_acorn_prng\.clk.A (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT clkbuf_opt_27_1_acorn_prng\.clk.X clkbuf_leaf_13_acorn_prng\.clk.A (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT clkbuf_opt_27_1_acorn_prng\.clk.X ANTENNA_clkbuf_leaf_13_acorn_prng\.clk_A.DIODE (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT clkbuf_opt_28_0_acorn_prng\.clk.X clkbuf_opt_28_1_acorn_prng\.clk.A (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT clkbuf_opt_28_1_acorn_prng\.clk.X clkbuf_leaf_26_acorn_prng\.clk.A (0.004:0.004:0.004) (0.004:0.004:0.004))
(INTERCONNECT clkbuf_opt_28_1_acorn_prng\.clk.X ANTENNA_clkbuf_leaf_26_acorn_prng\.clk_A.DIODE (0.002:0.002:0.002) (0.002:0.002:0.002))
(INTERCONNECT clkbuf_opt_29_0_acorn_prng\.clk.X clkbuf_opt_29_1_acorn_prng\.clk.A (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT clkbuf_opt_29_1_acorn_prng\.clk.X clkbuf_leaf_31_acorn_prng\.clk.A (0.003:0.003:0.003) (0.003:0.003:0.003))
(INTERCONNECT clkbuf_opt_29_1_acorn_prng\.clk.X ANTENNA_clkbuf_leaf_31_acorn_prng\.clk_A.DIODE (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT clkbuf_opt_2_0_acorn_prng\.clk.X clkbuf_opt_2_1_acorn_prng\.clk.A (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT clkbuf_opt_2_1_acorn_prng\.clk.X clkbuf_opt_2_2_acorn_prng\.clk.A (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT clkbuf_opt_2_2_acorn_prng\.clk.X clkbuf_opt_2_3_acorn_prng\.clk.A (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT clkbuf_opt_2_3_acorn_prng\.clk.X clkbuf_leaf_5_acorn_prng\.clk.A (0.002:0.002:0.002) (0.002:0.002:0.002))
(INTERCONNECT clkbuf_opt_2_3_acorn_prng\.clk.X ANTENNA_clkbuf_leaf_5_acorn_prng\.clk_A.DIODE (0.003:0.003:0.003) (0.002:0.002:0.002))
(INTERCONNECT clkbuf_opt_30_0_acorn_prng\.clk.X clkbuf_opt_30_1_acorn_prng\.clk.A (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT clkbuf_opt_30_1_acorn_prng\.clk.X clkbuf_leaf_32_acorn_prng\.clk.A (0.003:0.003:0.003) (0.003:0.003:0.003))
(INTERCONNECT clkbuf_opt_30_1_acorn_prng\.clk.X ANTENNA_clkbuf_leaf_32_acorn_prng\.clk_A.DIODE (0.002:0.002:0.002) (0.002:0.002:0.002))
(INTERCONNECT clkbuf_opt_3_0_acorn_prng\.clk.X clkbuf_opt_3_1_acorn_prng\.clk.A (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT clkbuf_opt_3_1_acorn_prng\.clk.X clkbuf_opt_3_2_acorn_prng\.clk.A (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT clkbuf_opt_3_2_acorn_prng\.clk.X clkbuf_leaf_6_acorn_prng\.clk.A (0.006:0.006:0.006) (0.006:0.006:0.006))
(INTERCONNECT clkbuf_opt_3_2_acorn_prng\.clk.X ANTENNA_clkbuf_leaf_6_acorn_prng\.clk_A.DIODE (0.006:0.006:0.006) (0.006:0.006:0.006))
(INTERCONNECT clkbuf_opt_4_0_acorn_prng\.clk.X clkbuf_opt_4_1_acorn_prng\.clk.A (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT clkbuf_opt_4_1_acorn_prng\.clk.X clkbuf_opt_4_2_acorn_prng\.clk.A (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT clkbuf_opt_4_2_acorn_prng\.clk.X clkbuf_leaf_10_acorn_prng\.clk.A (0.002:0.002:0.002) (0.002:0.002:0.002))
(INTERCONNECT clkbuf_opt_4_2_acorn_prng\.clk.X ANTENNA_clkbuf_leaf_10_acorn_prng\.clk_A.DIODE (0.003:0.003:0.003) (0.003:0.003:0.003))
(INTERCONNECT clkbuf_opt_5_0_acorn_prng\.clk.X clkbuf_opt_5_1_acorn_prng\.clk.A (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT clkbuf_opt_5_1_acorn_prng\.clk.X clkbuf_leaf_24_acorn_prng\.clk.A (0.010:0.010:0.010) (0.010:0.010:0.010))
(INTERCONNECT clkbuf_opt_5_1_acorn_prng\.clk.X ANTENNA_clkbuf_leaf_24_acorn_prng\.clk_A.DIODE (0.012:0.012:0.012) (0.012:0.012:0.012))
(INTERCONNECT clkbuf_opt_6_0_acorn_prng\.clk.X clkbuf_opt_6_1_acorn_prng\.clk.A (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT clkbuf_opt_6_1_acorn_prng\.clk.X clkbuf_opt_6_2_acorn_prng\.clk.A (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT clkbuf_opt_6_2_acorn_prng\.clk.X clkbuf_opt_6_3_acorn_prng\.clk.A (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT clkbuf_opt_6_3_acorn_prng\.clk.X clkbuf_leaf_2_acorn_prng\.clk.A (0.005:0.005:0.005) (0.004:0.004:0.004))
(INTERCONNECT clkbuf_opt_6_3_acorn_prng\.clk.X ANTENNA_clkbuf_leaf_2_acorn_prng\.clk_A.DIODE (0.004:0.004:0.004) (0.004:0.004:0.004))
(INTERCONNECT clkbuf_opt_7_0_acorn_prng\.clk.X clkbuf_opt_7_1_acorn_prng\.clk.A (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT clkbuf_opt_7_1_acorn_prng\.clk.X clkbuf_opt_7_2_acorn_prng\.clk.A (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT clkbuf_opt_7_2_acorn_prng\.clk.X clkbuf_opt_7_3_acorn_prng\.clk.A (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT clkbuf_opt_7_3_acorn_prng\.clk.X clkbuf_leaf_4_acorn_prng\.clk.A (0.009:0.009:0.009) (0.009:0.009:0.009))
(INTERCONNECT clkbuf_opt_7_3_acorn_prng\.clk.X ANTENNA_clkbuf_leaf_4_acorn_prng\.clk_A.DIODE (0.009:0.009:0.009) (0.009:0.009:0.009))
(INTERCONNECT clkbuf_opt_8_0_acorn_prng\.clk.X clkbuf_opt_8_1_acorn_prng\.clk.A (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT clkbuf_opt_8_1_acorn_prng\.clk.X clkbuf_opt_8_2_acorn_prng\.clk.A (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT clkbuf_opt_8_2_acorn_prng\.clk.X clkbuf_leaf_11_acorn_prng\.clk.A (0.003:0.003:0.003) (0.003:0.003:0.003))
(INTERCONNECT clkbuf_opt_8_2_acorn_prng\.clk.X ANTENNA_clkbuf_leaf_11_acorn_prng\.clk_A.DIODE (0.003:0.003:0.003) (0.003:0.003:0.003))
(INTERCONNECT clkbuf_opt_9_0_acorn_prng\.clk.X clkbuf_opt_9_1_acorn_prng\.clk.A (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT clkbuf_opt_9_1_acorn_prng\.clk.X clkbuf_leaf_14_acorn_prng\.clk.A (0.006:0.006:0.006) (0.005:0.005:0.005))
(INTERCONNECT clkbuf_opt_9_1_acorn_prng\.clk.X ANTENNA_clkbuf_leaf_14_acorn_prng\.clk_A.DIODE (0.006:0.006:0.006) (0.006:0.006:0.006))
(INTERCONNECT hold1.X _2832_.A0 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT hold10.X _4684_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT hold10.X _4685_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT hold100.X _4853_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT hold100.X _4854_.B (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT hold101.X _4863_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT hold102.X _4864_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT hold103.X _4866_.B1 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT hold104.X _4743_.B1 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT hold105.X _4737_.A (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT hold105.X _4738_.A (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT hold106.X _4391_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT hold107.X _4221_.B_N (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT hold107.X _4612_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT hold108.X _5424_.D (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT hold109.X _4888_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT hold11.X _4697_.B1 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT hold110.X _4557_.B (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT hold110.X _4558_.B (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT hold111.X _4566_.A2 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT hold112.X _4568_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT hold113.X _4563_.A (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT hold113.X _2901_.A0 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT hold114.X hold15.A (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT hold114.X ANTENNA_hold15_A.DIODE (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT hold115.X _4904_.B1 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT hold116.X _2647_.A0 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT hold117.X _3915_.A (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT hold118.X _4146_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT hold119.X _4145_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT hold12.X _4696_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT hold120.X _4546_.B1 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT hold121.X _5082_.A0 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT hold122.X _2650_.A0 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT hold123.X _4846_.A2 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT hold124.X _5419_.D (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT hold125.X _4783_.B (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT hold126.X _3893_.A (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT hold127.X _3874_.A2 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT hold128.X _3682_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT hold129.X _3823_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT hold13.X _5399_.D (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT hold14.X _4697_.B2 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT hold15.X _3526_.A (0.005:0.005:0.005) (0.005:0.005:0.005))
(INTERCONNECT hold15.X _3821_.A (0.004:0.004:0.004) (0.004:0.004:0.004))
(INTERCONNECT hold15.X _3920_.C_N (0.005:0.005:0.005) (0.005:0.005:0.005))
(INTERCONNECT hold15.X _4023_.B (0.005:0.005:0.005) (0.005:0.005:0.005))
(INTERCONNECT hold15.X _4123_.B (0.005:0.005:0.005) (0.005:0.005:0.005))
(INTERCONNECT hold15.X ANTENNA__4123__B.DIODE (0.008:0.008:0.008) (0.008:0.008:0.008))
(INTERCONNECT hold15.X ANTENNA__4023__B.DIODE (0.008:0.008:0.008) (0.008:0.008:0.008))
(INTERCONNECT hold15.X ANTENNA__3920__C_N.DIODE (0.008:0.008:0.008) (0.008:0.008:0.008))
(INTERCONNECT hold15.X ANTENNA__3821__A.DIODE (0.004:0.004:0.004) (0.004:0.004:0.004))
(INTERCONNECT hold15.X ANTENNA__3526__A.DIODE (0.006:0.006:0.006) (0.006:0.006:0.006))
(INTERCONNECT hold16.X _5435_.D (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT hold17.X _4992_.B2 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT hold18.X _4124_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT hold19.X _2884_.A0 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT hold19.X _4531_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT hold19.X hold98.A (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT hold2.X _5556_.D (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT hold20.X _5571_.D (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT hold21.X _2886_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT hold22.X _3005_.A0 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT hold22.X _4813_.A (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT hold22.X _4814_.A (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT hold23.X _4817_.A1 (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT hold23.X _4821_.A (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT hold23.X _4822_.A (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT hold23.X _4827_.A1 (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT hold24.X _4819_.B1 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT hold25.X _5414_.D (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT hold26.X _4599_.B (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT hold26.X _4600_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT hold27.X _4601_.A (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT hold28.X _4895_.B (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT hold28.X _4896_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT hold29.X _4897_.A (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT hold29.X _4904_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT hold29.X _4905_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT hold3.X _4487_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT hold3.X _4488_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT hold30.X _5425_.D (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT hold31.X _4907_.A2 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT hold32.X _4796_.B2 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT hold33.X _5411_.D (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT hold34.X _3519_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT hold34.X _3820_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT hold34.X _3920_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT hold35.X _4717_.A (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT hold35.X _4734_.A (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT hold36.X _4533_.B (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT hold36.X _4534_.B (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT hold37.X _4545_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT hold38.X _5381_.D (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT hold39.X _3391_.A_N (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT hold39.X _3393_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT hold39.X _3394_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT hold4.X _4498_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT hold4.X _4499_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT hold40.X _4824_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT hold41.X _4820_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT hold41.X _4826_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT hold42.X _4821_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT hold42.X _4822_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT hold42.X _4827_.A2 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT hold43.X _4825_.B1 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT hold44.X _4644_.B1 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT hold45.X _4638_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT hold45.X _4639_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT hold46.X _5393_.D (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT hold47.X _2603_.A0 (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT hold47.X _3857_.A (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT hold48.X _5490_.D (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT hold49.X _3971_.A (0.003:0.003:0.003) (0.003:0.003:0.003))
(INTERCONNECT hold49.X _3978_.A (0.002:0.002:0.002) (0.002:0.002:0.002))
(INTERCONNECT hold49.X hold122.A (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT hold5.X _5375_.D (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT hold50.X _3964_.A (0.002:0.002:0.002) (0.002:0.002:0.002))
(INTERCONNECT hold50.X _3965_.A (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT hold50.X hold116.A (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT hold51.X _3973_.A2 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT hold51.X _3974_.A2 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT hold52.X _3976_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT hold53.X _5312_.D (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT hold54.X _3391_.C (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT hold55.X _3390_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT hold56.X _2939_.A0 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT hold57.X _5587_.D (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT hold58.X _3032_.A0 (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT hold58.X _4881_.A (0.002:0.002:0.002) (0.002:0.002:0.002))
(INTERCONNECT hold59.X _5614_.D (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT hold6.X _2936_.A0 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT hold60.X _4141_.A (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT hold60.X _4142_.A (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT hold61.X _4155_.B1 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT hold62.X _5333_.D (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT hold63.X _3029_.A0 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT hold63.X _4868_.A (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT hold64.X _2606_.A0 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT hold65.X _3019_.A0 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT hold65.X _4843_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT hold66.X _4605_.A2 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT hold67.X _5388_.D (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT hold68.X _3025_.A0 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT hold68.X _4859_.A (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT hold69.X _3641_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT hold7.X _5586_.D (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT hold70.X _5313_.D (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT hold71.X _3984_.B1 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT hold72.X _2898_.A0 (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT hold72.X _4557_.A (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT hold72.X _4558_.A (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT hold73.X _5575_.D (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT hold74.X _4128_.A (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT hold75.X _4135_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT hold75.X _4136_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT hold75.X _4140_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT hold76.X _4137_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT hold77.X _5331_.D (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT hold78.X _4134_.A (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT hold78.X _4139_.A (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT hold79.X _4564_.A (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT hold79.X hold113.A (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT hold8.X _2961_.A0 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT hold80.X _4835_.A2 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT hold81.X _4827_.B1 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT hold82.X _5416_.D (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT hold83.X _3649_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT hold83.X _3650_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT hold84.X _3654_.B1 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT hold85.X _5273_.D (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT hold86.X _3907_.A (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT hold86.X _3908_.A (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT hold87.X _3909_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT hold87.X _3916_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT hold87.X _3917_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT hold88.X _3911_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT hold89.X _3913_.A2 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT hold9.X _5593_.D (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT hold90.X _5318_.D (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT hold91.X _4033_.B1 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT hold92.X _4028_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT hold93.X _4851_.A2 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT hold94.X _4842_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT hold94.X _4843_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT hold95.X _5418_.D (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT hold96.X _2770_.A0 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT hold97.X _4528_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT hold98.X _4525_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT hold99.X _5379_.D (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT input1.X _3346_.A1 (0.126:0.126:0.126) (0.115:0.115:0.115))
(INTERCONNECT input1.X _3323_.A (0.127:0.127:0.127) (0.116:0.116:0.116))
(INTERCONNECT input1.X _3300_.A1 (0.125:0.125:0.125) (0.114:0.114:0.114))
(INTERCONNECT input1.X _3274_.A (0.124:0.124:0.124) (0.114:0.114:0.114))
(INTERCONNECT input1.X ANTENNA__3274__A.DIODE (0.124:0.124:0.124) (0.113:0.113:0.113))
(INTERCONNECT input1.X ANTENNA__3300__A1.DIODE (0.125:0.125:0.125) (0.114:0.114:0.114))
(INTERCONNECT input1.X ANTENNA__3323__A.DIODE (0.127:0.127:0.127) (0.116:0.116:0.116))
(INTERCONNECT input1.X ANTENNA__3346__A1.DIODE (0.126:0.126:0.126) (0.115:0.115:0.115))
(INTERCONNECT input10.X _5214_.D (0.004:0.004:0.004) (0.004:0.004:0.004))
(INTERCONNECT input10.X ANTENNA__5214__D.DIODE (0.005:0.005:0.005) (0.005:0.005:0.005))
(INTERCONNECT input100.X _3050_.B (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT input101.X _3055_.A2 (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT input102.X _5222_.D (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT input102.X ANTENNA__5222__D.DIODE (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT input103.X _3060_.A2 (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT input104.X _3063_.A2 (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT input105.X _3067_.A2 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT input106.X _3070_.A2 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT input107.X _3077_.A2 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT input108.X _3081_.A2 (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT input109.X _3084_.A2 (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT input11.X _3049_.B (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT input110.X _3087_.A2 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT input111.X _3090_.A2 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT input112.X _3094_.B (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT input113.X _5223_.D (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT input114.X _3227_.S (0.002:0.002:0.002) (0.002:0.002:0.002))
(INTERCONNECT input115.X _3230_.A2 (0.003:0.003:0.003) (0.003:0.003:0.003))
(INTERCONNECT input115.X _3229_.A_N (0.003:0.003:0.003) (0.003:0.003:0.003))
(INTERCONNECT input116.X _3230_.A1 (0.069:0.069:0.069) (0.065:0.065:0.065))
(INTERCONNECT input116.X ANTENNA__3230__A1.DIODE (0.069:0.069:0.069) (0.065:0.065:0.065))
(INTERCONNECT input12.X _3058_.A2 (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT input13.X _3061_.A2 (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT input14.X _3064_.A2 (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT input15.X _3068_.A2 (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT input16.X _3072_.A2 (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT input17.X _3079_.A2 (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT input18.X _3082_.A2 (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT input19.X _3085_.A2 (0.002:0.002:0.002) (0.002:0.002:0.002))
(INTERCONNECT input19.X ANTENNA__3085__A2.DIODE (0.002:0.002:0.002) (0.002:0.002:0.002))
(INTERCONNECT input2.X _3347_.A1 (0.131:0.131:0.131) (0.120:0.120:0.120))
(INTERCONNECT input2.X _3325_.A (0.131:0.131:0.131) (0.120:0.120:0.120))
(INTERCONNECT input2.X _3302_.A1 (0.129:0.129:0.129) (0.118:0.118:0.118))
(INTERCONNECT input2.X _3276_.A (0.129:0.129:0.129) (0.118:0.118:0.118))
(INTERCONNECT input2.X ANTENNA__3276__A.DIODE (0.129:0.129:0.129) (0.118:0.118:0.118))
(INTERCONNECT input2.X ANTENNA__3302__A1.DIODE (0.129:0.129:0.129) (0.118:0.118:0.118))
(INTERCONNECT input2.X ANTENNA__3325__A.DIODE (0.131:0.131:0.131) (0.120:0.120:0.120))
(INTERCONNECT input2.X ANTENNA__3347__A1.DIODE (0.131:0.131:0.131) (0.119:0.119:0.119))
(INTERCONNECT input20.X _3088_.A2 (0.002:0.002:0.002) (0.002:0.002:0.002))
(INTERCONNECT input20.X ANTENNA__3088__A2.DIODE (0.002:0.002:0.002) (0.001:0.001:0.001))
(INTERCONNECT input21.X _5224_.D (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT input22.X _3091_.A2 (0.002:0.002:0.002) (0.002:0.002:0.002))
(INTERCONNECT input22.X ANTENNA__3091__A2.DIODE (0.002:0.002:0.002) (0.002:0.002:0.002))
(INTERCONNECT input23.X _3093_.B (0.004:0.004:0.004) (0.004:0.004:0.004))
(INTERCONNECT input23.X ANTENNA__3093__B.DIODE (0.003:0.003:0.003) (0.003:0.003:0.003))
(INTERCONNECT input24.X _3508_.A (0.010:0.010:0.010) (0.010:0.010:0.010))
(INTERCONNECT input24.X _3254_.A (0.013:0.013:0.013) (0.012:0.012:0.012))
(INTERCONNECT input24.X _3243_.A (0.014:0.014:0.014) (0.014:0.014:0.014))
(INTERCONNECT input24.X _3232_.A (0.014:0.014:0.014) (0.014:0.014:0.014))
(INTERCONNECT input24.X ANTENNA__3232__A.DIODE (0.015:0.015:0.015) (0.015:0.015:0.015))
(INTERCONNECT input24.X ANTENNA__3243__A.DIODE (0.015:0.015:0.015) (0.015:0.015:0.015))
(INTERCONNECT input24.X ANTENNA__3254__A.DIODE (0.016:0.016:0.016) (0.015:0.015:0.015))
(INTERCONNECT input24.X ANTENNA__3508__A.DIODE (0.010:0.010:0.010) (0.010:0.010:0.010))
(INTERCONNECT input25.X _5225_.D (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT input26.X _5226_.D (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT input27.X _5227_.D (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT input28.X _5228_.D (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT input29.X _5229_.D (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT input3.X _3348_.A1 (0.134:0.134:0.134) (0.122:0.122:0.122))
(INTERCONNECT input3.X _3328_.A (0.134:0.134:0.134) (0.122:0.122:0.122))
(INTERCONNECT input3.X _3304_.A1 (0.136:0.136:0.136) (0.124:0.124:0.124))
(INTERCONNECT input3.X _3278_.A (0.135:0.135:0.135) (0.124:0.124:0.124))
(INTERCONNECT input3.X ANTENNA__3278__A.DIODE (0.135:0.135:0.135) (0.124:0.124:0.124))
(INTERCONNECT input3.X ANTENNA__3304__A1.DIODE (0.136:0.136:0.136) (0.124:0.124:0.124))
(INTERCONNECT input3.X ANTENNA__3328__A.DIODE (0.134:0.134:0.134) (0.122:0.122:0.122))
(INTERCONNECT input3.X ANTENNA__3348__A1.DIODE (0.133:0.133:0.133) (0.122:0.122:0.122))
(INTERCONNECT input30.X _5230_.D (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT input31.X _5231_.D (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT input32.X _5232_.D (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT input33.X _5233_.D (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT input34.X _5215_.D (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT input34.X ANTENNA__5215__D.DIODE (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT input35.X _5234_.D (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT input36.X _5235_.D (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT input37.X _5236_.D (0.002:0.002:0.002) (0.002:0.002:0.002))
(INTERCONNECT input37.X ANTENNA__5236__D.DIODE (0.002:0.002:0.002) (0.002:0.002:0.002))
(INTERCONNECT input38.X _5216_.D (0.003:0.003:0.003) (0.003:0.003:0.003))
(INTERCONNECT input38.X ANTENNA__5216__D.DIODE (0.003:0.003:0.003) (0.003:0.003:0.003))
(INTERCONNECT input39.X _3233_.A1 (0.002:0.002:0.002) (0.002:0.002:0.002))
(INTERCONNECT input4.X _3349_.A1 (0.129:0.129:0.129) (0.118:0.118:0.118))
(INTERCONNECT input4.X _3330_.A (0.129:0.129:0.129) (0.118:0.118:0.118))
(INTERCONNECT input4.X _3306_.A1 (0.131:0.131:0.131) (0.119:0.119:0.119))
(INTERCONNECT input4.X _3283_.A (0.130:0.130:0.130) (0.119:0.119:0.119))
(INTERCONNECT input4.X ANTENNA__3283__A.DIODE (0.130:0.130:0.130) (0.119:0.119:0.119))
(INTERCONNECT input4.X ANTENNA__3306__A1.DIODE (0.131:0.131:0.131) (0.119:0.119:0.119))
(INTERCONNECT input4.X ANTENNA__3330__A.DIODE (0.129:0.129:0.129) (0.118:0.118:0.118))
(INTERCONNECT input4.X ANTENNA__3349__A1.DIODE (0.129:0.129:0.129) (0.117:0.117:0.117))
(INTERCONNECT input40.X _3235_.A1 (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT input41.X _3237_.A1 (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT input42.X _3239_.A1 (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT input43.X _3241_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT input44.X _3244_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT input45.X _3246_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT input46.X _3248_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT input47.X _5217_.D (0.002:0.002:0.002) (0.002:0.002:0.002))
(INTERCONNECT input48.X _3250_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT input49.X _3252_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT input5.X _3350_.A1 (0.125:0.125:0.125) (0.114:0.114:0.114))
(INTERCONNECT input5.X _3332_.A (0.125:0.125:0.125) (0.114:0.114:0.114))
(INTERCONNECT input5.X _3312_.A1 (0.126:0.126:0.126) (0.115:0.115:0.115))
(INTERCONNECT input5.X _3285_.A (0.126:0.126:0.126) (0.115:0.115:0.115))
(INTERCONNECT input5.X ANTENNA__3285__A.DIODE (0.126:0.126:0.126) (0.115:0.115:0.115))
(INTERCONNECT input5.X ANTENNA__3312__A1.DIODE (0.126:0.126:0.126) (0.115:0.115:0.115))
(INTERCONNECT input5.X ANTENNA__3332__A.DIODE (0.124:0.124:0.124) (0.114:0.114:0.114))
(INTERCONNECT input5.X ANTENNA__3350__A1.DIODE (0.124:0.124:0.124) (0.114:0.114:0.114))
(INTERCONNECT input50.X _3255_.A1 (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT input51.X _3257_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT input52.X _3476_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT input53.X _3478_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT input54.X _3480_.B (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT input55.X _3482_.B (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT input56.X _3484_.B (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT input57.X _3487_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT input58.X _5218_.D (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT input59.X _3489_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT input6.X _3352_.A1 (0.126:0.126:0.126) (0.115:0.115:0.115))
(INTERCONNECT input6.X _3334_.A (0.124:0.124:0.124) (0.113:0.113:0.113))
(INTERCONNECT input6.X _3314_.A1 (0.126:0.126:0.126) (0.115:0.115:0.115))
(INTERCONNECT input6.X _3287_.A (0.126:0.126:0.126) (0.115:0.115:0.115))
(INTERCONNECT input6.X ANTENNA__3287__A.DIODE (0.126:0.126:0.126) (0.115:0.115:0.115))
(INTERCONNECT input6.X ANTENNA__3314__A1.DIODE (0.126:0.126:0.126) (0.115:0.115:0.115))
(INTERCONNECT input6.X ANTENNA__3334__A.DIODE (0.124:0.124:0.124) (0.113:0.113:0.113))
(INTERCONNECT input6.X ANTENNA__3352__A1.DIODE (0.125:0.125:0.125) (0.115:0.115:0.115))
(INTERCONNECT input60.X _3491_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT input61.X _3493_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT input62.X _3495_.B (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT input63.X _3498_.B (0.001:0.001:0.001) (0.000:0.000:0.000))
(INTERCONNECT input64.X _3500_.B (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT input65.X _3502_.B (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT input66.X _3504_.B (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT input67.X _3506_.B (0.002:0.002:0.002) (0.002:0.002:0.002))
(INTERCONNECT input68.X _3509_.B (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT input69.X _5219_.D (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT input7.X _3289_.B (0.105:0.105:0.105) (0.094:0.094:0.094))
(INTERCONNECT input7.X ANTENNA__3289__B.DIODE (0.103:0.103:0.103) (0.093:0.093:0.093))
(INTERCONNECT input70.X _3511_.B (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT input71.X _3513_.B (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT input72.X _3515_.B (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT input73.X _3517_.B (0.002:0.002:0.002) (0.002:0.002:0.002))
(INTERCONNECT input73.X ANTENNA__3517__B.DIODE (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT input74.X _3227_.A0 (0.002:0.002:0.002) (0.002:0.002:0.002))
(INTERCONNECT input74.X ANTENNA__3227__A0.DIODE (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT input75.X _3229_.B (0.002:0.002:0.002) (0.002:0.002:0.002))
(INTERCONNECT input75.X ANTENNA__3229__B.DIODE (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT input76.X _3149_.A (0.003:0.003:0.003) (0.003:0.003:0.003))
(INTERCONNECT input76.X ANTENNA__3149__A.DIODE (0.003:0.003:0.003) (0.002:0.002:0.002))
(INTERCONNECT input77.X _5210_.D (0.007:0.007:0.007) (0.007:0.007:0.007))
(INTERCONNECT input77.X ANTENNA__5210__D.DIODE (0.007:0.007:0.007) (0.007:0.007:0.007))
(INTERCONNECT input78.X _5211_.D (0.005:0.005:0.005) (0.005:0.005:0.005))
(INTERCONNECT input78.X ANTENNA__5211__D.DIODE (0.006:0.006:0.006) (0.006:0.006:0.006))
(INTERCONNECT input79.X _5212_.D (0.005:0.005:0.005) (0.005:0.005:0.005))
(INTERCONNECT input79.X ANTENNA__5212__D.DIODE (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT input8.X _3342_.A1 (0.126:0.126:0.126) (0.115:0.115:0.115))
(INTERCONNECT input8.X _3319_.A (0.126:0.126:0.126) (0.115:0.115:0.115))
(INTERCONNECT input8.X _3295_.A1 (0.125:0.125:0.125) (0.114:0.114:0.114))
(INTERCONNECT input8.X _3266_.A (0.125:0.125:0.125) (0.115:0.115:0.115))
(INTERCONNECT input8.X ANTENNA__3266__A.DIODE (0.125:0.125:0.125) (0.115:0.115:0.115))
(INTERCONNECT input8.X ANTENNA__3295__A1.DIODE (0.125:0.125:0.125) (0.114:0.114:0.114))
(INTERCONNECT input8.X ANTENNA__3319__A.DIODE (0.126:0.126:0.126) (0.115:0.115:0.115))
(INTERCONNECT input8.X ANTENNA__3342__A1.DIODE (0.126:0.126:0.126) (0.115:0.115:0.115))
(INTERCONNECT input80.X _5220_.D (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT input81.X _5213_.D (0.005:0.005:0.005) (0.005:0.005:0.005))
(INTERCONNECT input81.X ANTENNA__5213__D.DIODE (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT input82.X _5651_.CLK (0.124:0.124:0.124) (0.115:0.115:0.115))
(INTERCONNECT input82.X _5650_.CLK (0.119:0.119:0.119) (0.110:0.110:0.110))
(INTERCONNECT input82.X _5649_.CLK (0.113:0.113:0.113) (0.105:0.105:0.105))
(INTERCONNECT input82.X _5648_.CLK (0.105:0.105:0.105) (0.097:0.097:0.097))
(INTERCONNECT input82.X _5647_.CLK (0.108:0.108:0.108) (0.100:0.100:0.100))
(INTERCONNECT input82.X _5646_.CLK (0.108:0.108:0.108) (0.100:0.100:0.100))
(INTERCONNECT input82.X _5257_.CLK (0.050:0.050:0.050) (0.046:0.046:0.046))
(INTERCONNECT input82.X _5256_.CLK (0.041:0.041:0.041) (0.038:0.038:0.038))
(INTERCONNECT input82.X _5255_.CLK (0.046:0.046:0.046) (0.042:0.042:0.042))
(INTERCONNECT input82.X _5254_.CLK (0.060:0.060:0.060) (0.055:0.055:0.055))
(INTERCONNECT input82.X _5253_.CLK (0.132:0.132:0.132) (0.123:0.123:0.123))
(INTERCONNECT input82.X _5252_.CLK (0.077:0.077:0.077) (0.070:0.070:0.070))
(INTERCONNECT input82.X _5251_.CLK (0.083:0.083:0.083) (0.076:0.076:0.076))
(INTERCONNECT input82.X _5250_.CLK (0.068:0.068:0.068) (0.063:0.063:0.063))
(INTERCONNECT input82.X _5249_.CLK (0.061:0.061:0.061) (0.056:0.056:0.056))
(INTERCONNECT input82.X _5248_.CLK (0.085:0.085:0.085) (0.078:0.078:0.078))
(INTERCONNECT input82.X _5247_.CLK (0.074:0.074:0.074) (0.067:0.067:0.067))
(INTERCONNECT input82.X _5246_.CLK (0.081:0.081:0.081) (0.075:0.075:0.075))
(INTERCONNECT input82.X _5245_.CLK (0.088:0.088:0.088) (0.081:0.081:0.081))
(INTERCONNECT input82.X _5244_.CLK (0.093:0.093:0.093) (0.086:0.086:0.086))
(INTERCONNECT input82.X _5243_.CLK (0.096:0.096:0.096) (0.088:0.088:0.088))
(INTERCONNECT input82.X _5242_.CLK (0.098:0.098:0.098) (0.090:0.090:0.090))
(INTERCONNECT input82.X _5241_.CLK (0.098:0.098:0.098) (0.090:0.090:0.090))
(INTERCONNECT input82.X _5240_.CLK (0.116:0.116:0.116) (0.108:0.108:0.108))
(INTERCONNECT input82.X _5239_.CLK (0.124:0.124:0.124) (0.115:0.115:0.115))
(INTERCONNECT input82.X _5238_.CLK (0.117:0.117:0.117) (0.108:0.108:0.108))
(INTERCONNECT input82.X _5237_.CLK (0.027:0.027:0.027) (0.026:0.026:0.026))
(INTERCONNECT input82.X _5236_.CLK (0.134:0.134:0.134) (0.125:0.125:0.125))
(INTERCONNECT input82.X _5235_.CLK (0.154:0.154:0.154) (0.143:0.143:0.143))
(INTERCONNECT input82.X _5234_.CLK (0.157:0.157:0.157) (0.146:0.146:0.146))
(INTERCONNECT input82.X _5233_.CLK (0.158:0.158:0.158) (0.146:0.146:0.146))
(INTERCONNECT input82.X _5232_.CLK (0.159:0.159:0.159) (0.147:0.147:0.147))
(INTERCONNECT input82.X _5231_.CLK (0.163:0.163:0.163) (0.150:0.150:0.150))
(INTERCONNECT input82.X _5230_.CLK (0.149:0.149:0.149) (0.138:0.138:0.138))
(INTERCONNECT input82.X _5229_.CLK (0.164:0.164:0.164) (0.152:0.152:0.152))
(INTERCONNECT input82.X _5228_.CLK (0.163:0.163:0.163) (0.151:0.151:0.151))
(INTERCONNECT input82.X _5227_.CLK (0.167:0.167:0.167) (0.154:0.154:0.154))
(INTERCONNECT input82.X _5226_.CLK (0.167:0.167:0.167) (0.154:0.154:0.154))
(INTERCONNECT input82.X _5225_.CLK (0.167:0.167:0.167) (0.154:0.154:0.154))
(INTERCONNECT input82.X _5224_.CLK (0.167:0.167:0.167) (0.154:0.154:0.154))
(INTERCONNECT input82.X _5223_.CLK (0.167:0.167:0.167) (0.154:0.154:0.154))
(INTERCONNECT input82.X _5222_.CLK (0.136:0.136:0.136) (0.127:0.127:0.127))
(INTERCONNECT input82.X _5221_.CLK (0.163:0.163:0.163) (0.151:0.151:0.151))
(INTERCONNECT input82.X _5220_.CLK (0.163:0.163:0.163) (0.151:0.151:0.151))
(INTERCONNECT input82.X _5219_.CLK (0.167:0.167:0.167) (0.154:0.154:0.154))
(INTERCONNECT input82.X _5218_.CLK (0.167:0.167:0.167) (0.154:0.154:0.154))
(INTERCONNECT input82.X _5217_.CLK (0.163:0.163:0.163) (0.151:0.151:0.151))
(INTERCONNECT input82.X _5216_.CLK (0.160:0.160:0.160) (0.148:0.148:0.148))
(INTERCONNECT input82.X _5215_.CLK (0.159:0.159:0.159) (0.147:0.147:0.147))
(INTERCONNECT input82.X _5214_.CLK (0.162:0.162:0.162) (0.150:0.150:0.150))
(INTERCONNECT input82.X _5213_.CLK (0.008:0.008:0.008) (0.008:0.008:0.008))
(INTERCONNECT input82.X _5212_.CLK (0.014:0.014:0.014) (0.014:0.014:0.014))
(INTERCONNECT input82.X _5211_.CLK (0.019:0.019:0.019) (0.018:0.018:0.018))
(INTERCONNECT input82.X _5210_.CLK (0.023:0.023:0.023) (0.022:0.022:0.022))
(INTERCONNECT input82.X _5153_.CLK (0.133:0.133:0.133) (0.124:0.124:0.124))
(INTERCONNECT input82.X _5152_.CLK (0.103:0.103:0.103) (0.095:0.095:0.095))
(INTERCONNECT input82.X _5151_.CLK (0.108:0.108:0.108) (0.100:0.100:0.100))
(INTERCONNECT input82.X _5150_.CLK (0.108:0.108:0.108) (0.100:0.100:0.100))
(INTERCONNECT input82.X _5149_.CLK (0.121:0.121:0.121) (0.112:0.112:0.112))
(INTERCONNECT input82.X _5148_.CLK (0.123:0.123:0.123) (0.114:0.114:0.114))
(INTERCONNECT input82.X _3450_.A1 (0.035:0.035:0.035) (0.033:0.033:0.033))
(INTERCONNECT input82.X ANTENNA__3450__A1.DIODE (0.089:0.089:0.089) (0.082:0.082:0.082))
(INTERCONNECT input82.X ANTENNA__5148__CLK.DIODE (0.126:0.126:0.126) (0.117:0.117:0.117))
(INTERCONNECT input82.X ANTENNA__5149__CLK.DIODE (0.127:0.127:0.127) (0.118:0.118:0.118))
(INTERCONNECT input82.X ANTENNA__5150__CLK.DIODE (0.126:0.126:0.126) (0.117:0.117:0.117))
(INTERCONNECT input82.X ANTENNA__5151__CLK.DIODE (0.126:0.126:0.126) (0.117:0.117:0.117))
(INTERCONNECT input82.X ANTENNA__5152__CLK.DIODE (0.129:0.129:0.129) (0.119:0.119:0.119))
(INTERCONNECT input82.X ANTENNA__5153__CLK.DIODE (0.127:0.127:0.127) (0.118:0.118:0.118))
(INTERCONNECT input82.X ANTENNA__5210__CLK.DIODE (0.089:0.089:0.089) (0.082:0.082:0.082))
(INTERCONNECT input82.X ANTENNA__5211__CLK.DIODE (0.089:0.089:0.089) (0.082:0.082:0.082))
(INTERCONNECT input82.X ANTENNA__5212__CLK.DIODE (0.013:0.013:0.013) (0.013:0.013:0.013))
(INTERCONNECT input82.X ANTENNA__5213__CLK.DIODE (0.013:0.013:0.013) (0.013:0.013:0.013))
(INTERCONNECT input82.X ANTENNA__5214__CLK.DIODE (0.165:0.165:0.165) (0.153:0.153:0.153))
(INTERCONNECT input82.X ANTENNA__5215__CLK.DIODE (0.165:0.165:0.165) (0.153:0.153:0.153))
(INTERCONNECT input82.X ANTENNA__5216__CLK.DIODE (0.165:0.165:0.165) (0.153:0.153:0.153))
(INTERCONNECT input82.X ANTENNA__5217__CLK.DIODE (0.165:0.165:0.165) (0.152:0.152:0.152))
(INTERCONNECT input82.X ANTENNA__5218__CLK.DIODE (0.166:0.166:0.166) (0.153:0.153:0.153))
(INTERCONNECT input82.X ANTENNA__5219__CLK.DIODE (0.166:0.166:0.166) (0.154:0.154:0.154))
(INTERCONNECT input82.X ANTENNA__5220__CLK.DIODE (0.165:0.165:0.165) (0.152:0.152:0.152))
(INTERCONNECT input82.X ANTENNA__5221__CLK.DIODE (0.165:0.165:0.165) (0.152:0.152:0.152))
(INTERCONNECT input82.X ANTENNA__5222__CLK.DIODE (0.138:0.138:0.138) (0.128:0.128:0.128))
(INTERCONNECT input82.X ANTENNA__5223__CLK.DIODE (0.166:0.166:0.166) (0.154:0.154:0.154))
(INTERCONNECT input82.X ANTENNA__5224__CLK.DIODE (0.166:0.166:0.166) (0.154:0.154:0.154))
(INTERCONNECT input82.X ANTENNA__5225__CLK.DIODE (0.166:0.166:0.166) (0.153:0.153:0.153))
(INTERCONNECT input82.X ANTENNA__5226__CLK.DIODE (0.166:0.166:0.166) (0.153:0.153:0.153))
(INTERCONNECT input82.X ANTENNA__5227__CLK.DIODE (0.165:0.165:0.165) (0.153:0.153:0.153))
(INTERCONNECT input82.X ANTENNA__5228__CLK.DIODE (0.165:0.165:0.165) (0.153:0.153:0.153))
(INTERCONNECT input82.X ANTENNA__5229__CLK.DIODE (0.165:0.165:0.165) (0.153:0.153:0.153))
(INTERCONNECT input82.X ANTENNA__5230__CLK.DIODE (0.144:0.144:0.144) (0.134:0.134:0.134))
(INTERCONNECT input82.X ANTENNA__5231__CLK.DIODE (0.165:0.165:0.165) (0.153:0.153:0.153))
(INTERCONNECT input82.X ANTENNA__5232__CLK.DIODE (0.165:0.165:0.165) (0.153:0.153:0.153))
(INTERCONNECT input82.X ANTENNA__5233__CLK.DIODE (0.165:0.165:0.165) (0.153:0.153:0.153))
(INTERCONNECT input82.X ANTENNA__5234__CLK.DIODE (0.166:0.166:0.166) (0.153:0.153:0.153))
(INTERCONNECT input82.X ANTENNA__5235__CLK.DIODE (0.166:0.166:0.166) (0.153:0.153:0.153))
(INTERCONNECT input82.X ANTENNA__5236__CLK.DIODE (0.130:0.130:0.130) (0.121:0.121:0.121))
(INTERCONNECT input82.X ANTENNA__5237__CLK.DIODE (0.089:0.089:0.089) (0.082:0.082:0.082))
(INTERCONNECT input82.X ANTENNA__5238__CLK.DIODE (0.127:0.127:0.127) (0.118:0.118:0.118))
(INTERCONNECT input82.X ANTENNA__5239__CLK.DIODE (0.126:0.126:0.126) (0.117:0.117:0.117))
(INTERCONNECT input82.X ANTENNA__5240__CLK.DIODE (0.127:0.127:0.127) (0.117:0.117:0.117))
(INTERCONNECT input82.X ANTENNA__5241__CLK.DIODE (0.126:0.126:0.126) (0.117:0.117:0.117))
(INTERCONNECT input82.X ANTENNA__5242__CLK.DIODE (0.129:0.129:0.129) (0.120:0.120:0.120))
(INTERCONNECT input82.X ANTENNA__5243__CLK.DIODE (0.127:0.127:0.127) (0.118:0.118:0.118))
(INTERCONNECT input82.X ANTENNA__5244__CLK.DIODE (0.087:0.087:0.087) (0.080:0.080:0.080))
(INTERCONNECT input82.X ANTENNA__5245__CLK.DIODE (0.126:0.126:0.126) (0.117:0.117:0.117))
(INTERCONNECT input82.X ANTENNA__5246__CLK.DIODE (0.127:0.127:0.127) (0.118:0.118:0.118))
(INTERCONNECT input82.X ANTENNA__5247__CLK.DIODE (0.088:0.088:0.088) (0.081:0.081:0.081))
(INTERCONNECT input82.X ANTENNA__5248__CLK.DIODE (0.127:0.127:0.127) (0.118:0.118:0.118))
(INTERCONNECT input82.X ANTENNA__5249__CLK.DIODE (0.089:0.089:0.089) (0.082:0.082:0.082))
(INTERCONNECT input82.X ANTENNA__5250__CLK.DIODE (0.088:0.088:0.088) (0.081:0.081:0.081))
(INTERCONNECT input82.X ANTENNA__5251__CLK.DIODE (0.127:0.127:0.127) (0.118:0.118:0.118))
(INTERCONNECT input82.X ANTENNA__5252__CLK.DIODE (0.127:0.127:0.127) (0.118:0.118:0.118))
(INTERCONNECT input82.X ANTENNA__5253__CLK.DIODE (0.126:0.126:0.126) (0.117:0.117:0.117))
(INTERCONNECT input82.X ANTENNA__5254__CLK.DIODE (0.089:0.089:0.089) (0.082:0.082:0.082))
(INTERCONNECT input82.X ANTENNA__5255__CLK.DIODE (0.089:0.089:0.089) (0.082:0.082:0.082))
(INTERCONNECT input82.X ANTENNA__5256__CLK.DIODE (0.089:0.089:0.089) (0.082:0.082:0.082))
(INTERCONNECT input82.X ANTENNA__5257__CLK.DIODE (0.088:0.088:0.088) (0.081:0.081:0.081))
(INTERCONNECT input82.X ANTENNA__5646__CLK.DIODE (0.127:0.127:0.127) (0.118:0.118:0.118))
(INTERCONNECT input82.X ANTENNA__5647__CLK.DIODE (0.127:0.127:0.127) (0.118:0.118:0.118))
(INTERCONNECT input82.X ANTENNA__5648__CLK.DIODE (0.126:0.126:0.126) (0.117:0.117:0.117))
(INTERCONNECT input82.X ANTENNA__5649__CLK.DIODE (0.127:0.127:0.127) (0.118:0.118:0.118))
(INTERCONNECT input82.X ANTENNA__5650__CLK.DIODE (0.127:0.127:0.127) (0.118:0.118:0.118))
(INTERCONNECT input82.X ANTENNA__5651__CLK.DIODE (0.127:0.127:0.127) (0.118:0.118:0.118))
(INTERCONNECT input83.X _3289_.A (0.004:0.004:0.004) (0.004:0.004:0.004))
(INTERCONNECT input83.X ANTENNA__3289__A.DIODE (0.004:0.004:0.004) (0.004:0.004:0.004))
(INTERCONNECT input84.X _3411_.B (0.004:0.004:0.004) (0.004:0.004:0.004))
(INTERCONNECT input84.X _3407_.A (0.004:0.004:0.004) (0.004:0.004:0.004))
(INTERCONNECT input84.X ANTENNA__3407__A.DIODE (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT input84.X ANTENNA__3411__B.DIODE (0.003:0.003:0.003) (0.003:0.003:0.003))
(INTERCONNECT input85.X _3410_.B (0.004:0.004:0.004) (0.004:0.004:0.004))
(INTERCONNECT input85.X _3409_.B (0.004:0.004:0.004) (0.004:0.004:0.004))
(INTERCONNECT input85.X ANTENNA__3409__B.DIODE (0.005:0.005:0.005) (0.005:0.005:0.005))
(INTERCONNECT input85.X ANTENNA__3410__B.DIODE (0.005:0.005:0.005) (0.005:0.005:0.005))
(INTERCONNECT input86.X _3405_.A (0.003:0.003:0.003) (0.003:0.003:0.003))
(INTERCONNECT input86.X ANTENNA__3405__A.DIODE (0.002:0.002:0.002) (0.002:0.002:0.002))
(INTERCONNECT input87.X _3414_.B (0.003:0.003:0.003) (0.003:0.003:0.003))
(INTERCONNECT input87.X _3412_.A_N (0.003:0.003:0.003) (0.003:0.003:0.003))
(INTERCONNECT input87.X ANTENNA__3412__A_N.DIODE (0.002:0.002:0.002) (0.001:0.001:0.001))
(INTERCONNECT input87.X ANTENNA__3414__B.DIODE (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT input88.X _3413_.A2 (0.005:0.005:0.005) (0.005:0.005:0.005))
(INTERCONNECT input88.X _3408_.B1 (0.005:0.005:0.005) (0.005:0.005:0.005))
(INTERCONNECT input88.X ANTENNA__3408__B1.DIODE (0.003:0.003:0.003) (0.003:0.003:0.003))
(INTERCONNECT input88.X ANTENNA__3413__A2.DIODE (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT input89.X _3417_.A_N (0.002:0.002:0.002) (0.002:0.002:0.002))
(INTERCONNECT input89.X _3415_.B (0.002:0.002:0.002) (0.002:0.002:0.002))
(INTERCONNECT input89.X ANTENNA__3415__B.DIODE (0.001:0.001:0.001) (0.000:0.000:0.000))
(INTERCONNECT input89.X ANTENNA__3417__A_N.DIODE (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT input9.X _3343_.A1 (0.129:0.129:0.129) (0.118:0.118:0.118))
(INTERCONNECT input9.X _3321_.A (0.130:0.130:0.130) (0.119:0.119:0.119))
(INTERCONNECT input9.X _3298_.A1 (0.127:0.127:0.127) (0.116:0.116:0.116))
(INTERCONNECT input9.X _3272_.A (0.127:0.127:0.127) (0.116:0.116:0.116))
(INTERCONNECT input9.X ANTENNA__3272__A.DIODE (0.127:0.127:0.127) (0.116:0.116:0.116))
(INTERCONNECT input9.X ANTENNA__3298__A1.DIODE (0.126:0.126:0.126) (0.115:0.115:0.115))
(INTERCONNECT input9.X ANTENNA__3321__A.DIODE (0.130:0.130:0.130) (0.119:0.119:0.119))
(INTERCONNECT input9.X ANTENNA__3343__A1.DIODE (0.130:0.130:0.130) (0.118:0.118:0.118))
(INTERCONNECT input90.X _3360_.B (0.005:0.005:0.005) (0.005:0.005:0.005))
(INTERCONNECT input90.X _3356_.A (0.005:0.005:0.005) (0.005:0.005:0.005))
(INTERCONNECT input90.X ANTENNA__3356__A.DIODE (0.004:0.004:0.004) (0.004:0.004:0.004))
(INTERCONNECT input90.X ANTENNA__3360__B.DIODE (0.004:0.004:0.004) (0.004:0.004:0.004))
(INTERCONNECT input91.X _5221_.D (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT input92.X _3359_.B (0.003:0.003:0.003) (0.003:0.003:0.003))
(INTERCONNECT input92.X _3358_.B (0.003:0.003:0.003) (0.003:0.003:0.003))
(INTERCONNECT input92.X ANTENNA__3358__B.DIODE (0.004:0.004:0.004) (0.004:0.004:0.004))
(INTERCONNECT input92.X ANTENNA__3359__B.DIODE (0.004:0.004:0.004) (0.003:0.003:0.003))
(INTERCONNECT input93.X _3354_.A (0.006:0.006:0.006) (0.006:0.006:0.006))
(INTERCONNECT input93.X ANTENNA__3354__A.DIODE (0.005:0.005:0.005) (0.005:0.005:0.005))
(INTERCONNECT input94.X _3363_.B (0.004:0.004:0.004) (0.004:0.004:0.004))
(INTERCONNECT input94.X _3361_.A_N (0.004:0.004:0.004) (0.004:0.004:0.004))
(INTERCONNECT input94.X ANTENNA__3361__A_N.DIODE (0.003:0.003:0.003) (0.003:0.003:0.003))
(INTERCONNECT input94.X ANTENNA__3363__B.DIODE (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT input95.X _3362_.A2 (0.005:0.005:0.005) (0.005:0.005:0.005))
(INTERCONNECT input95.X _3357_.B1 (0.005:0.005:0.005) (0.005:0.005:0.005))
(INTERCONNECT input95.X ANTENNA__3357__B1.DIODE (0.005:0.005:0.005) (0.005:0.005:0.005))
(INTERCONNECT input95.X ANTENNA__3362__A2.DIODE (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT input96.X _3365_.A_N (0.002:0.002:0.002) (0.002:0.002:0.002))
(INTERCONNECT input96.X _3364_.B (0.002:0.002:0.002) (0.002:0.002:0.002))
(INTERCONNECT input96.X ANTENNA__3364__B.DIODE (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT input96.X ANTENNA__3365__A_N.DIODE (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT input97.X _3820_.A_N (0.004:0.004:0.004) (0.004:0.004:0.004))
(INTERCONNECT input97.X _3521_.A (0.004:0.004:0.004) (0.004:0.004:0.004))
(INTERCONNECT input97.X ANTENNA__3521__A.DIODE (0.005:0.005:0.005) (0.005:0.005:0.005))
(INTERCONNECT input97.X ANTENNA__3820__A_N.DIODE (0.004:0.004:0.004) (0.004:0.004:0.004))
(INTERCONNECT input98.X _3094_.C_N (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT input98.X _3047_.A (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT input99.X _3094_.A (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT input99.X _3093_.C_N (0.002:0.002:0.002) (0.002:0.002:0.002))
(INTERCONNECT input99.X _3050_.A (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT input99.X _3048_.A (0.002:0.002:0.002) (0.002:0.002:0.002))
(INTERCONNECT output117.X io_oeb[19] (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT output118.X io_oeb[8] (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT output119.X io_out[10] (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT output120.X io_out[11] (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT output121.X io_out[12] (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT output122.X io_out[13] (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT output123.X io_out[14] (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT output124.X io_out[15] (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT output125.X io_out[16] (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT output126.X io_out[17] (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT output127.X io_out[18] (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT output128.X io_out[19] (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT output129.X io_out[8] (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT output130.X io_out[9] (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT output131.X la_data_out[0] (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT output132.X la_data_out[100] (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT output133.X la_data_out[101] (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT output134.X la_data_out[102] (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT output135.X la_data_out[10] (0.003:0.003:0.003) (0.003:0.003:0.003))
(INTERCONNECT output136.X la_data_out[116] (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT output137.X la_data_out[11] (0.003:0.003:0.003) (0.003:0.003:0.003))
(INTERCONNECT output138.X la_data_out[12] (0.002:0.002:0.002) (0.002:0.002:0.002))
(INTERCONNECT output139.X la_data_out[13] (0.002:0.002:0.002) (0.002:0.002:0.002))
(INTERCONNECT output140.X la_data_out[14] (0.002:0.002:0.002) (0.002:0.002:0.002))
(INTERCONNECT output141.X la_data_out[15] (0.002:0.002:0.002) (0.002:0.002:0.002))
(INTERCONNECT output142.X la_data_out[16] (0.002:0.002:0.002) (0.002:0.002:0.002))
(INTERCONNECT output143.X la_data_out[17] (0.002:0.002:0.002) (0.002:0.002:0.002))
(INTERCONNECT output144.X la_data_out[18] (0.002:0.002:0.002) (0.002:0.002:0.002))
(INTERCONNECT output145.X la_data_out[19] (0.002:0.002:0.002) (0.002:0.002:0.002))
(INTERCONNECT output146.X la_data_out[1] (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT output147.X la_data_out[20] (0.002:0.002:0.002) (0.002:0.002:0.002))
(INTERCONNECT output148.X la_data_out[21] (0.002:0.002:0.002) (0.002:0.002:0.002))
(INTERCONNECT output149.X la_data_out[22] (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT output150.X la_data_out[23] (0.002:0.002:0.002) (0.002:0.002:0.002))
(INTERCONNECT output151.X la_data_out[24] (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT output152.X la_data_out[25] (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT output153.X la_data_out[26] (0.002:0.002:0.002) (0.002:0.002:0.002))
(INTERCONNECT output154.X la_data_out[27] (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT output155.X la_data_out[28] (0.002:0.002:0.002) (0.002:0.002:0.002))
(INTERCONNECT output156.X la_data_out[29] (0.002:0.002:0.002) (0.002:0.002:0.002))
(INTERCONNECT output157.X la_data_out[2] (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT output158.X la_data_out[30] (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT output159.X la_data_out[31] (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT output160.X la_data_out[32] (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT output161.X la_data_out[3] (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT output162.X la_data_out[4] (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT output163.X la_data_out[5] (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT output164.X la_data_out[6] (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT output165.X la_data_out[7] (0.002:0.002:0.002) (0.002:0.002:0.002))
(INTERCONNECT output166.X la_data_out[8] (0.002:0.002:0.002) (0.002:0.002:0.002))
(INTERCONNECT output167.X la_data_out[96] (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT output168.X la_data_out[97] (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT output169.X la_data_out[98] (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT output170.X la_data_out[99] (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT output171.X la_data_out[9] (0.004:0.004:0.004) (0.004:0.004:0.004))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__mux2_1")
(INSTANCE _2560_)
(DELAY
(ABSOLUTE
(IOPATH A0 X (0.145:0.145:0.145) (0.281:0.281:0.281))
(IOPATH A1 X (0.138:0.138:0.138) (0.284:0.284:0.284))
(IOPATH S X (0.198:0.198:0.198) (0.324:0.324:0.324))
(IOPATH S X (0.146:0.146:0.146) (0.306:0.306:0.306))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__and2_1")
(INSTANCE _2561_)
(DELAY
(ABSOLUTE
(IOPATH A X (0.146:0.146:0.146) (0.149:0.149:0.149))
(IOPATH B X (0.111:0.111:0.112) (0.150:0.150:0.151))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__clkbuf_1")
(INSTANCE _2562_)
(DELAY
(ABSOLUTE
(IOPATH A X (0.081:0.081:0.081) (0.082:0.082:0.082))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__mux2_1")
(INSTANCE _2563_)
(DELAY
(ABSOLUTE
(IOPATH A0 X (0.147:0.147:0.147) (0.284:0.284:0.284))
(IOPATH A1 X (0.142:0.142:0.142) (0.289:0.289:0.289))
(IOPATH S X (0.201:0.201:0.201) (0.329:0.329:0.329))
(IOPATH S X (0.150:0.150:0.150) (0.310:0.310:0.310))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__and2_1")
(INSTANCE _2564_)
(DELAY
(ABSOLUTE
(IOPATH A X (0.155:0.155:0.155) (0.155:0.155:0.155))
(IOPATH B X (0.121:0.122:0.122) (0.158:0.158:0.158))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__clkbuf_1")
(INSTANCE _2565_)
(DELAY
(ABSOLUTE
(IOPATH A X (0.081:0.081:0.081) (0.082:0.082:0.083))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__clkbuf_2")
(INSTANCE _2566_)
(DELAY
(ABSOLUTE
(IOPATH A X (0.236:0.236:0.236) (0.193:0.193:0.193))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__dlymetal6s2s_1")
(INSTANCE _2567_)
(DELAY
(ABSOLUTE
(IOPATH A X (0.210:0.210:0.210) (0.206:0.206:0.206))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__mux2_1")
(INSTANCE _2568_)
(DELAY
(ABSOLUTE
(IOPATH A0 X (0.169:0.169:0.169) (0.300:0.300:0.300))
(IOPATH A1 X (0.158:0.158:0.158) (0.299:0.299:0.299))
(IOPATH S X (0.202:0.202:0.202) (0.329:0.329:0.329))
(IOPATH S X (0.150:0.150:0.150) (0.311:0.311:0.311))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__and2_1")
(INSTANCE _2569_)
(DELAY
(ABSOLUTE
(IOPATH A X (0.151:0.151:0.151) (0.153:0.153:0.153))
(IOPATH B X (0.116:0.117:0.118) (0.154:0.155:0.155))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__clkbuf_1")
(INSTANCE _2570_)
(DELAY
(ABSOLUTE
(IOPATH A X (0.081:0.081:0.081) (0.082:0.082:0.083))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__mux2_1")
(INSTANCE _2571_)
(DELAY
(ABSOLUTE
(IOPATH A0 X (0.159:0.159:0.159) (0.295:0.295:0.295))
(IOPATH A1 X (0.146:0.146:0.146) (0.294:0.294:0.294))
(IOPATH S X (0.207:0.207:0.207) (0.336:0.336:0.336))
(IOPATH S X (0.156:0.156:0.156) (0.318:0.318:0.318))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__and2_1")
(INSTANCE _2572_)
(DELAY
(ABSOLUTE
(IOPATH A X (0.156:0.156:0.156) (0.156:0.156:0.156))
(IOPATH B X (0.123:0.123:0.124) (0.160:0.160:0.160))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__clkbuf_1")
(INSTANCE _2573_)
(DELAY
(ABSOLUTE
(IOPATH A X (0.084:0.084:0.084) (0.084:0.084:0.085))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__mux2_1")
(INSTANCE _2574_)
(DELAY
(ABSOLUTE
(IOPATH A0 X (0.152:0.152:0.152) (0.286:0.286:0.286))
(IOPATH A1 X (0.129:0.129:0.129) (0.279:0.279:0.279))
(IOPATH S X (0.197:0.197:0.197) (0.324:0.324:0.324))
(IOPATH S X (0.146:0.146:0.146) (0.306:0.306:0.306))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__and2_1")
(INSTANCE _2575_)
(DELAY
(ABSOLUTE
(IOPATH A X (0.149:0.149:0.149) (0.151:0.151:0.151))
(IOPATH B X (0.113:0.114:0.114) (0.152:0.152:0.152))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__clkbuf_1")
(INSTANCE _2576_)
(DELAY
(ABSOLUTE
(IOPATH A X (0.081:0.081:0.082) (0.082:0.082:0.083))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__buf_2")
(INSTANCE _2577_)
(DELAY
(ABSOLUTE
(IOPATH A X (0.228:0.228:0.228) (0.192:0.192:0.192))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__mux2_1")
(INSTANCE _2578_)
(DELAY
(ABSOLUTE
(IOPATH A0 X (0.152:0.152:0.152) (0.287:0.287:0.287))
(IOPATH A1 X (0.143:0.143:0.143) (0.289:0.289:0.289))
(IOPATH S X (0.196:0.196:0.196) (0.324:0.324:0.324))
(IOPATH S X (0.145:0.145:0.145) (0.306:0.306:0.306))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__and2_1")
(INSTANCE _2579_)
(DELAY
(ABSOLUTE
(IOPATH A X (0.148:0.148:0.148) (0.151:0.151:0.151))
(IOPATH B X (0.112:0.113:0.114) (0.151:0.152:0.152))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__clkbuf_1")
(INSTANCE _2580_)
(DELAY
(ABSOLUTE
(IOPATH A X (0.077:0.077:0.077) (0.079:0.080:0.080))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__mux2_1")
(INSTANCE _2581_)
(DELAY
(ABSOLUTE
(IOPATH A0 X (0.156:0.156:0.156) (0.290:0.290:0.290))
(IOPATH A1 X (0.138:0.138:0.138) (0.285:0.285:0.285))
(IOPATH S X (0.195:0.195:0.195) (0.323:0.323:0.323))
(IOPATH S X (0.143:0.143:0.143) (0.304:0.304:0.304))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__and2_1")
(INSTANCE _2582_)
(DELAY
(ABSOLUTE
(IOPATH A X (0.153:0.153:0.153) (0.154:0.154:0.154))
(IOPATH B X (0.117:0.117:0.118) (0.154:0.155:0.155))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__clkbuf_1")
(INSTANCE _2583_)
(DELAY
(ABSOLUTE
(IOPATH A X (0.079:0.079:0.080) (0.081:0.081:0.081))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__dlymetal6s2s_1")
(INSTANCE _2584_)
(DELAY
(ABSOLUTE
(IOPATH A X (0.217:0.217:0.217) (0.210:0.210:0.210))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__mux2_1")
(INSTANCE _2585_)
(DELAY
(ABSOLUTE
(IOPATH A0 X (0.140:0.140:0.140) (0.278:0.278:0.278))
(IOPATH A1 X (0.125:0.125:0.125) (0.279:0.279:0.279))
(IOPATH S X (0.195:0.195:0.195) (0.323:0.323:0.323))
(IOPATH S X (0.144:0.144:0.144) (0.305:0.305:0.305))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__and2_1")
(INSTANCE _2586_)
(DELAY
(ABSOLUTE
(IOPATH A X (0.151:0.151:0.151) (0.153:0.153:0.153))
(IOPATH B X (0.113:0.113:0.114) (0.152:0.152:0.152))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__clkbuf_1")
(INSTANCE _2587_)
(DELAY
(ABSOLUTE
(IOPATH A X (0.074:0.075:0.075) (0.078:0.078:0.078))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__mux2_1")
(INSTANCE _2588_)
(DELAY
(ABSOLUTE
(IOPATH A0 X (0.148:0.148:0.148) (0.284:0.284:0.284))
(IOPATH A1 X (0.130:0.130:0.130) (0.280:0.280:0.280))
(IOPATH S X (0.195:0.195:0.195) (0.323:0.323:0.323))
(IOPATH S X (0.143:0.143:0.143) (0.304:0.304:0.304))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__and2_1")
(INSTANCE _2589_)
(DELAY
(ABSOLUTE
(IOPATH A X (0.159:0.159:0.159) (0.159:0.159:0.159))
(IOPATH B X (0.121:0.122:0.122) (0.158:0.158:0.158))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__clkbuf_1")
(INSTANCE _2590_)
(DELAY
(ABSOLUTE
(IOPATH A X (0.081:0.082:0.082) (0.082:0.083:0.083))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__mux2_1")
(INSTANCE _2591_)
(DELAY
(ABSOLUTE
(IOPATH A0 X (0.163:0.163:0.163) (0.297:0.297:0.297))
(IOPATH A1 X (0.148:0.148:0.148) (0.294:0.294:0.294))
(IOPATH S X (0.201:0.201:0.201) (0.330:0.330:0.330))
(IOPATH S X (0.149:0.149:0.149) (0.311:0.311:0.311))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__and2_1")
(INSTANCE _2592_)
(DELAY
(ABSOLUTE
(IOPATH A X (0.150:0.150:0.150) (0.152:0.152:0.152))
(IOPATH B X (0.113:0.114:0.115) (0.152:0.152:0.153))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__clkbuf_1")
(INSTANCE _2593_)
(DELAY
(ABSOLUTE
(IOPATH A X (0.075:0.076:0.076) (0.078:0.079:0.079))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__clkbuf_2")
(INSTANCE _2594_)
(DELAY
(ABSOLUTE
(IOPATH A X (0.215:0.215:0.215) (0.178:0.178:0.178))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__buf_2")
(INSTANCE _2595_)
(DELAY
(ABSOLUTE
(IOPATH A X (0.220:0.220:0.220) (0.191:0.191:0.191))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__mux2_1")
(INSTANCE _2596_)
(DELAY
(ABSOLUTE
(IOPATH A0 X (0.155:0.155:0.155) (0.291:0.291:0.291))
(IOPATH A1 X (0.142:0.142:0.142) (0.291:0.291:0.291))
(IOPATH S X (0.206:0.206:0.206) (0.334:0.334:0.334))
(IOPATH S X (0.155:0.155:0.155) (0.315:0.315:0.315))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__and2_1")
(INSTANCE _2597_)
(DELAY
(ABSOLUTE
(IOPATH A X (0.150:0.150:0.150) (0.152:0.152:0.152))
(IOPATH B X (0.113:0.114:0.114) (0.152:0.152:0.153))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__clkbuf_1")
(INSTANCE _2598_)
(DELAY
(ABSOLUTE
(IOPATH A X (0.078:0.079:0.079) (0.080:0.081:0.081))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__mux2_1")
(INSTANCE _2599_)
(DELAY
(ABSOLUTE
(IOPATH A0 X (0.147:0.147:0.147) (0.284:0.284:0.284))
(IOPATH A1 X (0.136:0.136:0.136) (0.285:0.285:0.285))
(IOPATH S X (0.202:0.202:0.202) (0.329:0.329:0.329))
(IOPATH S X (0.151:0.151:0.151) (0.311:0.311:0.311))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__and2_1")
(INSTANCE _2600_)
(DELAY
(ABSOLUTE
(IOPATH A X (0.158:0.158:0.158) (0.158:0.158:0.158))
(IOPATH B X (0.120:0.120:0.121) (0.157:0.157:0.157))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__clkbuf_1")
(INSTANCE _2601_)
(DELAY
(ABSOLUTE
(IOPATH A X (0.083:0.083:0.084) (0.084:0.084:0.084))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__dlymetal6s2s_1")
(INSTANCE _2602_)
(DELAY
(ABSOLUTE
(IOPATH A X (0.219:0.219:0.219) (0.211:0.211:0.211))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__mux2_1")
(INSTANCE _2603_)
(DELAY
(ABSOLUTE
(IOPATH A0 X (0.149:0.149:0.149) (0.301:0.301:0.301))
(IOPATH A1 X (0.154:0.154:0.154) (0.301:0.301:0.301))
(IOPATH S X (0.211:0.211:0.211) (0.340:0.340:0.340))
(IOPATH S X (0.159:0.159:0.159) (0.321:0.321:0.321))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__and2_1")
(INSTANCE _2604_)
(DELAY
(ABSOLUTE
(IOPATH A X (0.151:0.151:0.151) (0.153:0.153:0.153))
(IOPATH B X (0.115:0.115:0.116) (0.154:0.154:0.155))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__clkbuf_1")
(INSTANCE _2605_)
(DELAY
(ABSOLUTE
(IOPATH A X (0.085:0.086:0.086) (0.084:0.084:0.085))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__mux2_1")
(INSTANCE _2606_)
(DELAY
(ABSOLUTE
(IOPATH A0 X (0.127:0.127:0.127) (0.287:0.287:0.287))
(IOPATH A1 X (0.152:0.152:0.152) (0.297:0.297:0.297))
(IOPATH S X (0.206:0.206:0.206) (0.333:0.333:0.333))
(IOPATH S X (0.155:0.155:0.155) (0.315:0.315:0.315))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__and2_1")
(INSTANCE _2607_)
(DELAY
(ABSOLUTE
(IOPATH A X (0.165:0.165:0.165) (0.163:0.163:0.163))
(IOPATH B X (0.127:0.128:0.129) (0.163:0.163:0.163))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__clkbuf_1")
(INSTANCE _2608_)
(DELAY
(ABSOLUTE
(IOPATH A X (0.087:0.087:0.087) (0.086:0.087:0.087))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__mux2_1")
(INSTANCE _2609_)
(DELAY
(ABSOLUTE
(IOPATH A0 X (0.148:0.148:0.148) (0.285:0.285:0.285))
(IOPATH A1 X (0.174:0.174:0.174) (0.312:0.312:0.312))
(IOPATH S X (0.205:0.205:0.205) (0.332:0.332:0.332))
(IOPATH S X (0.154:0.154:0.154) (0.314:0.314:0.314))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__and2_1")
(INSTANCE _2610_)
(DELAY
(ABSOLUTE
(IOPATH A X (0.152:0.152:0.152) (0.154:0.154:0.154))
(IOPATH B X (0.114:0.115:0.116) (0.153:0.153:0.153))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__clkbuf_1")
(INSTANCE _2611_)
(DELAY
(ABSOLUTE
(IOPATH A X (0.075:0.076:0.076) (0.078:0.079:0.079))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__buf_2")
(INSTANCE _2612_)
(DELAY
(ABSOLUTE
(IOPATH A X (0.226:0.226:0.226) (0.194:0.194:0.194))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__mux2_1")
(INSTANCE _2613_)
(DELAY
(ABSOLUTE
(IOPATH A0 X (0.161:0.161:0.161) (0.294:0.294:0.294))
(IOPATH A1 X (0.161:0.161:0.161) (0.302:0.302:0.302))
(IOPATH S X (0.205:0.205:0.205) (0.331:0.331:0.331))
(IOPATH S X (0.154:0.154:0.154) (0.313:0.313:0.313))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__and2_1")
(INSTANCE _2614_)
(DELAY
(ABSOLUTE
(IOPATH A X (0.159:0.159:0.159) (0.159:0.159:0.159))
(IOPATH B X (0.120:0.121:0.122) (0.157:0.158:0.158))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__clkbuf_1")
(INSTANCE _2615_)
(DELAY
(ABSOLUTE
(IOPATH A X (0.087:0.087:0.087) (0.086:0.086:0.087))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__mux2_1")
(INSTANCE _2616_)
(DELAY
(ABSOLUTE
(IOPATH A0 X (0.169:0.169:0.169) (0.302:0.302:0.302))
(IOPATH A1 X (0.160:0.160:0.160) (0.304:0.304:0.304))
(IOPATH S X (0.210:0.210:0.210) (0.337:0.337:0.337))
(IOPATH S X (0.158:0.158:0.158) (0.319:0.319:0.319))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__and2_1")
(INSTANCE _2617_)
(DELAY
(ABSOLUTE
(IOPATH A X (0.174:0.174:0.174) (0.168:0.168:0.168))
(IOPATH B X (0.136:0.137:0.138) (0.169:0.169:0.169))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__clkbuf_1")
(INSTANCE _2618_)
(DELAY
(ABSOLUTE
(IOPATH A X (0.100:0.100:0.101) (0.095:0.095:0.095))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__clkbuf_2")
(INSTANCE _2619_)
(DELAY
(ABSOLUTE
(IOPATH A X (0.276:0.276:0.276) (0.237:0.237:0.237))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__mux2_1")
(INSTANCE _2620_)
(DELAY
(ABSOLUTE
(IOPATH A0 X (0.174:0.174:0.174) (0.306:0.306:0.306))
(IOPATH A1 X (0.139:0.139:0.139) (0.290:0.290:0.290))
(IOPATH S X (0.210:0.210:0.210) (0.337:0.337:0.337))
(IOPATH S X (0.158:0.158:0.158) (0.319:0.319:0.319))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__and2_1")
(INSTANCE _2621_)
(DELAY
(ABSOLUTE
(IOPATH A X (0.162:0.162:0.162) (0.183:0.183:0.183))
(IOPATH B X (0.112:0.113:0.114) (0.152:0.152:0.152))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__clkbuf_1")
(INSTANCE _2622_)
(DELAY
(ABSOLUTE
(IOPATH A X (0.077:0.078:0.078) (0.080:0.080:0.080))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__mux2_1")
(INSTANCE _2623_)
(DELAY
(ABSOLUTE
(IOPATH A0 X (0.199:0.199:0.199) (0.347:0.347:0.347))
(IOPATH A1 X (0.140:0.140:0.140) (0.287:0.287:0.287))
(IOPATH S X (0.204:0.204:0.204) (0.329:0.329:0.329))
(IOPATH S X (0.152:0.152:0.152) (0.312:0.312:0.312))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__and2_1")
(INSTANCE _2624_)
(DELAY
(ABSOLUTE
(IOPATH A X (0.172:0.172:0.172) (0.191:0.191:0.191))
(IOPATH B X (0.120:0.122:0.124) (0.157:0.158:0.158))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__clkbuf_1")
(INSTANCE _2625_)
(DELAY
(ABSOLUTE
(IOPATH A X (0.093:0.093:0.094) (0.090:0.090:0.090))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__mux2_1")
(INSTANCE _2626_)
(DELAY
(ABSOLUTE
(IOPATH A0 X (0.130:0.130:0.130) (0.274:0.274:0.274))
(IOPATH A1 X (0.142:0.142:0.142) (0.290:0.290:0.290))
(IOPATH S X (0.207:0.207:0.207) (0.333:0.333:0.333))
(IOPATH S X (0.155:0.155:0.155) (0.315:0.315:0.315))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__and2_1")
(INSTANCE _2627_)
(DELAY
(ABSOLUTE
(IOPATH A X (0.166:0.166:0.166) (0.187:0.187:0.187))
(IOPATH B X (0.116:0.116:0.117) (0.154:0.155:0.155))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__clkbuf_1")
(INSTANCE _2628_)
(DELAY
(ABSOLUTE
(IOPATH A X (0.078:0.079:0.079) (0.081:0.081:0.081))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__clkbuf_2")
(INSTANCE _2629_)
(DELAY
(ABSOLUTE
(IOPATH A X (0.210:0.210:0.210) (0.183:0.183:0.183))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__mux2_1")
(INSTANCE _2630_)
(DELAY
(ABSOLUTE
(IOPATH A0 X (0.157:0.157:0.157) (0.293:0.293:0.293))
(IOPATH A1 X (0.143:0.143:0.143) (0.291:0.291:0.291))
(IOPATH S X (0.216:0.216:0.216) (0.332:0.332:0.332))
(IOPATH S X (0.153:0.153:0.153) (0.323:0.323:0.323))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__and2_1")
(INSTANCE _2631_)
(DELAY
(ABSOLUTE
(IOPATH A X (0.172:0.172:0.172) (0.191:0.191:0.191))
(IOPATH B X (0.122:0.123:0.124) (0.159:0.159:0.160))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__clkbuf_1")
(INSTANCE _2632_)
(DELAY
(ABSOLUTE
(IOPATH A X (0.082:0.083:0.083) (0.083:0.084:0.084))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__mux2_1")
(INSTANCE _2633_)
(DELAY
(ABSOLUTE
(IOPATH A0 X (0.151:0.151:0.151) (0.286:0.286:0.286))
(IOPATH A1 X (0.140:0.140:0.140) (0.287:0.287:0.287))
(IOPATH S X (0.211:0.211:0.211) (0.327:0.327:0.327))
(IOPATH S X (0.148:0.148:0.148) (0.317:0.317:0.317))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__and2_1")
(INSTANCE _2634_)
(DELAY
(ABSOLUTE
(IOPATH A X (0.173:0.173:0.173) (0.192:0.192:0.192))
(IOPATH B X (0.122:0.122:0.123) (0.158:0.159:0.159))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__clkbuf_1")
(INSTANCE _2635_)
(DELAY
(ABSOLUTE
(IOPATH A X (0.082:0.082:0.082) (0.083:0.083:0.083))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__clkbuf_2")
(INSTANCE _2636_)
(DELAY
(ABSOLUTE
(IOPATH A X (0.193:0.193:0.193) (0.175:0.175:0.175))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__mux2_1")
(INSTANCE _2637_)
(DELAY
(ABSOLUTE
(IOPATH A0 X (0.143:0.143:0.143) (0.280:0.280:0.280))
(IOPATH A1 X (0.144:0.144:0.144) (0.289:0.289:0.289))
(IOPATH S X (0.211:0.211:0.211) (0.326:0.326:0.326))
(IOPATH S X (0.148:0.148:0.148) (0.317:0.317:0.317))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__and2_1")
(INSTANCE _2638_)
(DELAY
(ABSOLUTE
(IOPATH A X (0.134:0.134:0.134) (0.147:0.147:0.147))
(IOPATH B X (0.111:0.111:0.112) (0.150:0.150:0.151))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__clkbuf_1")
(INSTANCE _2639_)
(DELAY
(ABSOLUTE
(IOPATH A X (0.073:0.074:0.074) (0.077:0.077:0.078))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__mux2_1")
(INSTANCE _2640_)
(DELAY
(ABSOLUTE
(IOPATH A0 X (0.142:0.142:0.142) (0.281:0.281:0.281))
(IOPATH A1 X (0.141:0.141:0.141) (0.288:0.288:0.288))
(IOPATH S X (0.214:0.214:0.214) (0.329:0.329:0.329))
(IOPATH S X (0.150:0.150:0.150) (0.320:0.320:0.320))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__and2_1")
(INSTANCE _2641_)
(DELAY
(ABSOLUTE
(IOPATH A X (0.133:0.133:0.133) (0.146:0.146:0.146))
(IOPATH B X (0.110:0.111:0.111) (0.150:0.150:0.150))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__clkbuf_1")
(INSTANCE _2642_)
(DELAY
(ABSOLUTE
(IOPATH A X (0.075:0.075:0.075) (0.078:0.078:0.079))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__mux2_1")
(INSTANCE _2643_)
(DELAY
(ABSOLUTE
(IOPATH A0 X (0.156:0.156:0.156) (0.291:0.291:0.291))
(IOPATH A1 X (0.139:0.139:0.139) (0.287:0.287:0.287))
(IOPATH S X (0.213:0.213:0.213) (0.329:0.329:0.329))
(IOPATH S X (0.150:0.150:0.150) (0.320:0.320:0.320))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__and2_1")
(INSTANCE _2644_)
(DELAY
(ABSOLUTE
(IOPATH A X (0.142:0.142:0.142) (0.153:0.153:0.153))
(IOPATH B X (0.120:0.120:0.121) (0.157:0.157:0.158))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__clkbuf_1")
(INSTANCE _2645_)
(DELAY
(ABSOLUTE
(IOPATH A X (0.084:0.084:0.084) (0.084:0.085:0.085))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__clkbuf_2")
(INSTANCE _2646_)
(DELAY
(ABSOLUTE
(IOPATH A X (0.195:0.195:0.195) (0.172:0.172:0.172))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__mux2_1")
(INSTANCE _2647_)
(DELAY
(ABSOLUTE
(IOPATH A0 X (0.125:0.125:0.125) (0.287:0.287:0.287))
(IOPATH A1 X (0.155:0.155:0.155) (0.303:0.303:0.303))
(IOPATH S X (0.216:0.216:0.216) (0.338:0.338:0.338))
(IOPATH S X (0.154:0.154:0.154) (0.326:0.326:0.326))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__and2_1")
(INSTANCE _2648_)
(DELAY
(ABSOLUTE
(IOPATH A X (0.137:0.137:0.137) (0.150:0.150:0.150))
(IOPATH B X (0.117:0.118:0.118) (0.157:0.157:0.157))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__clkbuf_1")
(INSTANCE _2649_)
(DELAY
(ABSOLUTE
(IOPATH A X (0.075:0.076:0.076) (0.078:0.079:0.079))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__mux2_1")
(INSTANCE _2650_)
(DELAY
(ABSOLUTE
(IOPATH A0 X (0.132:0.132:0.132) (0.291:0.291:0.291))
(IOPATH A1 X (0.165:0.165:0.165) (0.308:0.308:0.308))
(IOPATH S X (0.212:0.212:0.212) (0.333:0.333:0.333))
(IOPATH S X (0.150:0.150:0.150) (0.321:0.321:0.321))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__and2_1")
(INSTANCE _2651_)
(DELAY
(ABSOLUTE
(IOPATH A X (0.134:0.134:0.134) (0.147:0.147:0.147))
(IOPATH B X (0.113:0.114:0.115) (0.153:0.153:0.153))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__clkbuf_1")
(INSTANCE _2652_)
(DELAY
(ABSOLUTE
(IOPATH A X (0.078:0.078:0.078) (0.080:0.080:0.081))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__clkbuf_2")
(INSTANCE _2653_)
(DELAY
(ABSOLUTE
(IOPATH A X (0.226:0.226:0.226) (0.186:0.186:0.186))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__clkbuf_2")
(INSTANCE _2654_)
(DELAY
(ABSOLUTE
(IOPATH A X (0.184:0.184:0.184) (0.167:0.167:0.167))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__mux2_1")
(INSTANCE _2655_)
(DELAY
(ABSOLUTE
(IOPATH A0 X (0.162:0.162:0.162) (0.294:0.294:0.294))
(IOPATH A1 X (0.148:0.148:0.148) (0.292:0.292:0.292))
(IOPATH S X (0.203:0.203:0.203) (0.322:0.322:0.322))
(IOPATH S X (0.142:0.142:0.142) (0.311:0.311:0.311))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__and2_1")
(INSTANCE _2656_)
(DELAY
(ABSOLUTE
(IOPATH A X (0.141:0.141:0.141) (0.152:0.152:0.152))
(IOPATH B X (0.119:0.120:0.121) (0.157:0.157:0.157))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__clkbuf_1")
(INSTANCE _2657_)
(DELAY
(ABSOLUTE
(IOPATH A X (0.085:0.085:0.085) (0.085:0.085:0.085))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__mux2_1")
(INSTANCE _2658_)
(DELAY
(ABSOLUTE
(IOPATH A0 X (0.148:0.148:0.148) (0.285:0.285:0.285))
(IOPATH A1 X (0.136:0.136:0.136) (0.286:0.286:0.286))
(IOPATH S X (0.206:0.206:0.206) (0.325:0.325:0.325))
(IOPATH S X (0.144:0.144:0.144) (0.314:0.314:0.314))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__and2_1")
(INSTANCE _2659_)
(DELAY
(ABSOLUTE
(IOPATH A X (0.132:0.132:0.132) (0.145:0.145:0.145))
(IOPATH B X (0.111:0.112:0.112) (0.151:0.151:0.151))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__clkbuf_1")
(INSTANCE _2660_)
(DELAY
(ABSOLUTE
(IOPATH A X (0.075:0.075:0.075) (0.078:0.078:0.079))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__mux2_1")
(INSTANCE _2661_)
(DELAY
(ABSOLUTE
(IOPATH A0 X (0.145:0.145:0.145) (0.283:0.283:0.283))
(IOPATH A1 X (0.145:0.145:0.145) (0.292:0.292:0.292))
(IOPATH S X (0.207:0.207:0.207) (0.327:0.327:0.327))
(IOPATH S X (0.145:0.145:0.145) (0.315:0.315:0.315))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__and2_1")
(INSTANCE _2662_)
(DELAY
(ABSOLUTE
(IOPATH A X (0.144:0.144:0.144) (0.154:0.154:0.154))
(IOPATH B X (0.123:0.124:0.124) (0.159:0.160:0.160))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__clkbuf_1")
(INSTANCE _2663_)
(DELAY
(ABSOLUTE
(IOPATH A X (0.079:0.079:0.079) (0.081:0.081:0.081))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__buf_2")
(INSTANCE _2664_)
(DELAY
(ABSOLUTE
(IOPATH A X (0.217:0.217:0.217) (0.189:0.189:0.189))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__mux2_1")
(INSTANCE _2665_)
(DELAY
(ABSOLUTE
(IOPATH A0 X (0.151:0.151:0.151) (0.288:0.288:0.288))
(IOPATH A1 X (0.127:0.127:0.127) (0.282:0.282:0.282))
(IOPATH S X (0.202:0.202:0.202) (0.329:0.329:0.329))
(IOPATH S X (0.151:0.151:0.151) (0.311:0.311:0.311))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__and2_1")
(INSTANCE _2666_)
(DELAY
(ABSOLUTE
(IOPATH A X (0.133:0.133:0.133) (0.146:0.146:0.146))
(IOPATH B X (0.112:0.113:0.113) (0.151:0.152:0.152))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__clkbuf_1")
(INSTANCE _2667_)
(DELAY
(ABSOLUTE
(IOPATH A X (0.079:0.079:0.079) (0.081:0.081:0.081))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__mux2_1")
(INSTANCE _2668_)
(DELAY
(ABSOLUTE
(IOPATH A0 X (0.150:0.150:0.150) (0.285:0.285:0.285))
(IOPATH A1 X (0.126:0.126:0.126) (0.280:0.280:0.280))
(IOPATH S X (0.200:0.200:0.200) (0.326:0.326:0.326))
(IOPATH S X (0.148:0.148:0.148) (0.308:0.308:0.308))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__and2_1")
(INSTANCE _2669_)
(DELAY
(ABSOLUTE
(IOPATH A X (0.137:0.137:0.137) (0.149:0.149:0.149))
(IOPATH B X (0.116:0.116:0.117) (0.154:0.154:0.154))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__clkbuf_1")
(INSTANCE _2670_)
(DELAY
(ABSOLUTE
(IOPATH A X (0.075:0.075:0.075) (0.078:0.078:0.079))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__clkbuf_2")
(INSTANCE _2671_)
(DELAY
(ABSOLUTE
(IOPATH A X (0.186:0.186:0.186) (0.168:0.168:0.168))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__mux2_1")
(INSTANCE _2672_)
(DELAY
(ABSOLUTE
(IOPATH A0 X (0.146:0.146:0.146) (0.283:0.283:0.283))
(IOPATH A1 X (0.134:0.134:0.134) (0.285:0.285:0.285))
(IOPATH S X (0.203:0.203:0.203) (0.330:0.330:0.330))
(IOPATH S X (0.151:0.151:0.151) (0.312:0.312:0.312))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__and2_1")
(INSTANCE _2673_)
(DELAY
(ABSOLUTE
(IOPATH A X (0.139:0.139:0.139) (0.151:0.151:0.151))
(IOPATH B X (0.117:0.118:0.118) (0.155:0.156:0.156))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__clkbuf_1")
(INSTANCE _2674_)
(DELAY
(ABSOLUTE
(IOPATH A X (0.079:0.079:0.079) (0.080:0.081:0.081))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__mux2_1")
(INSTANCE _2675_)
(DELAY
(ABSOLUTE
(IOPATH A0 X (0.155:0.155:0.155) (0.293:0.293:0.293))
(IOPATH A1 X (0.144:0.144:0.144) (0.295:0.295:0.295))
(IOPATH S X (0.209:0.209:0.209) (0.338:0.338:0.338))
(IOPATH S X (0.157:0.157:0.157) (0.320:0.320:0.320))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__and2_1")
(INSTANCE _2676_)
(DELAY
(ABSOLUTE
(IOPATH A X (0.139:0.139:0.139) (0.151:0.151:0.151))
(IOPATH B X (0.120:0.120:0.121) (0.158:0.158:0.158))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__clkbuf_1")
(INSTANCE _2677_)
(DELAY
(ABSOLUTE
(IOPATH A X (0.077:0.077:0.078) (0.080:0.080:0.080))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__mux2_1")
(INSTANCE _2678_)
(DELAY
(ABSOLUTE
(IOPATH A0 X (0.152:0.152:0.152) (0.288:0.288:0.288))
(IOPATH A1 X (0.145:0.145:0.145) (0.291:0.291:0.291))
(IOPATH S X (0.203:0.203:0.203) (0.331:0.331:0.331))
(IOPATH S X (0.152:0.152:0.152) (0.312:0.312:0.312))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__and2_1")
(INSTANCE _2679_)
(DELAY
(ABSOLUTE
(IOPATH A X (0.136:0.136:0.136) (0.148:0.148:0.148))
(IOPATH B X (0.115:0.115:0.116) (0.153:0.154:0.154))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__clkbuf_1")
(INSTANCE _2680_)
(DELAY
(ABSOLUTE
(IOPATH A X (0.079:0.079:0.079) (0.081:0.081:0.081))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__clkbuf_2")
(INSTANCE _2681_)
(DELAY
(ABSOLUTE
(IOPATH A X (0.235:0.235:0.235) (0.210:0.210:0.210))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__clkbuf_2")
(INSTANCE _2682_)
(DELAY
(ABSOLUTE
(IOPATH A X (0.207:0.207:0.207) (0.184:0.184:0.184))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__mux2_1")
(INSTANCE _2683_)
(DELAY
(ABSOLUTE
(IOPATH A0 X (0.152:0.152:0.152) (0.287:0.287:0.287))
(IOPATH A1 X (0.130:0.130:0.130) (0.282:0.282:0.282))
(IOPATH S X (0.207:0.207:0.207) (0.325:0.325:0.325))
(IOPATH S X (0.145:0.145:0.145) (0.314:0.314:0.314))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__and2_1")
(INSTANCE _2684_)
(DELAY
(ABSOLUTE
(IOPATH A X (0.137:0.137:0.137) (0.149:0.149:0.149))
(IOPATH B X (0.115:0.116:0.116) (0.154:0.154:0.154))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__clkbuf_1")
(INSTANCE _2685_)
(DELAY
(ABSOLUTE
(IOPATH A X (0.078:0.078:0.078) (0.080:0.080:0.081))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__mux2_1")
(INSTANCE _2686_)
(DELAY
(ABSOLUTE
(IOPATH A0 X (0.150:0.150:0.150) (0.285:0.285:0.285))
(IOPATH A1 X (0.134:0.134:0.134) (0.283:0.283:0.283))
(IOPATH S X (0.205:0.205:0.205) (0.324:0.324:0.324))
(IOPATH S X (0.144:0.144:0.144) (0.313:0.313:0.313))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__and2_1")
(INSTANCE _2687_)
(DELAY
(ABSOLUTE
(IOPATH A X (0.135:0.135:0.135) (0.148:0.148:0.148))
(IOPATH B X (0.113:0.114:0.115) (0.152:0.152:0.152))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__clkbuf_1")
(INSTANCE _2688_)
(DELAY
(ABSOLUTE
(IOPATH A X (0.076:0.076:0.076) (0.079:0.079:0.079))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__dlymetal6s2s_1")
(INSTANCE _2689_)
(DELAY
(ABSOLUTE
(IOPATH A X (0.209:0.209:0.209) (0.202:0.202:0.202))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__mux2_1")
(INSTANCE _2690_)
(DELAY
(ABSOLUTE
(IOPATH A0 X (0.146:0.146:0.146) (0.282:0.282:0.282))
(IOPATH A1 X (0.126:0.126:0.126) (0.279:0.279:0.279))
(IOPATH S X (0.204:0.204:0.204) (0.322:0.322:0.322))
(IOPATH S X (0.143:0.143:0.143) (0.311:0.311:0.311))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__and2_1")
(INSTANCE _2691_)
(DELAY
(ABSOLUTE
(IOPATH A X (0.152:0.152:0.152) (0.154:0.154:0.154))
(IOPATH B X (0.116:0.116:0.117) (0.154:0.154:0.154))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__clkbuf_1")
(INSTANCE _2692_)
(DELAY
(ABSOLUTE
(IOPATH A X (0.080:0.080:0.081) (0.081:0.082:0.082))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__mux2_1")
(INSTANCE _2693_)
(DELAY
(ABSOLUTE
(IOPATH A0 X (0.157:0.157:0.157) (0.291:0.291:0.291))
(IOPATH A1 X (0.144:0.144:0.144) (0.290:0.290:0.290))
(IOPATH S X (0.207:0.207:0.207) (0.325:0.325:0.325))
(IOPATH S X (0.145:0.145:0.145) (0.314:0.314:0.314))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__and2_1")
(INSTANCE _2694_)
(DELAY
(ABSOLUTE
(IOPATH A X (0.147:0.147:0.147) (0.150:0.150:0.150))
(IOPATH B X (0.111:0.112:0.113) (0.151:0.151:0.151))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__clkbuf_1")
(INSTANCE _2695_)
(DELAY
(ABSOLUTE
(IOPATH A X (0.074:0.074:0.074) (0.077:0.078:0.078))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__mux2_1")
(INSTANCE _2696_)
(DELAY
(ABSOLUTE
(IOPATH A0 X (0.153:0.153:0.153) (0.289:0.289:0.289))
(IOPATH A1 X (0.131:0.131:0.131) (0.286:0.286:0.286))
(IOPATH S X (0.211:0.211:0.211) (0.330:0.330:0.330))
(IOPATH S X (0.149:0.149:0.149) (0.319:0.319:0.319))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__and2_1")
(INSTANCE _2697_)
(DELAY
(ABSOLUTE
(IOPATH A X (0.159:0.159:0.159) (0.158:0.158:0.158))
(IOPATH B X (0.124:0.125:0.125) (0.160:0.161:0.161))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__clkbuf_1")
(INSTANCE _2698_)
(DELAY
(ABSOLUTE
(IOPATH A X (0.082:0.082:0.082) (0.083:0.083:0.083))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__clkbuf_2")
(INSTANCE _2699_)
(DELAY
(ABSOLUTE
(IOPATH A X (0.217:0.217:0.217) (0.192:0.192:0.192))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__mux2_1")
(INSTANCE _2700_)
(DELAY
(ABSOLUTE
(IOPATH A0 X (0.153:0.153:0.153) (0.287:0.287:0.287))
(IOPATH A1 X (0.129:0.129:0.129) (0.280:0.280:0.280))
(IOPATH S X (0.210:0.210:0.210) (0.325:0.325:0.325))
(IOPATH S X (0.147:0.147:0.147) (0.316:0.316:0.316))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__and2_1")
(INSTANCE _2701_)
(DELAY
(ABSOLUTE
(IOPATH A X (0.149:0.149:0.149) (0.151:0.151:0.151))
(IOPATH B X (0.113:0.113:0.114) (0.151:0.152:0.152))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__clkbuf_1")
(INSTANCE _2702_)
(DELAY
(ABSOLUTE
(IOPATH A X (0.075:0.076:0.076) (0.078:0.079:0.079))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__mux2_1")
(INSTANCE _2703_)
(DELAY
(ABSOLUTE
(IOPATH A0 X (0.151:0.151:0.151) (0.287:0.287:0.287))
(IOPATH A1 X (0.140:0.140:0.140) (0.287:0.287:0.287))
(IOPATH S X (0.212:0.212:0.212) (0.328:0.328:0.328))
(IOPATH S X (0.149:0.149:0.149) (0.318:0.318:0.318))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__and2_1")
(INSTANCE _2704_)
(DELAY
(ABSOLUTE
(IOPATH A X (0.149:0.149:0.149) (0.151:0.151:0.151))
(IOPATH B X (0.113:0.113:0.114) (0.152:0.152:0.152))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__clkbuf_1")
(INSTANCE _2705_)
(DELAY
(ABSOLUTE
(IOPATH A X (0.076:0.077:0.077) (0.079:0.079:0.080))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__dlymetal6s2s_1")
(INSTANCE _2706_)
(DELAY
(ABSOLUTE
(IOPATH A X (0.220:0.220:0.220) (0.209:0.209:0.209))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__mux2_1")
(INSTANCE _2707_)
(DELAY
(ABSOLUTE
(IOPATH A0 X (0.146:0.146:0.146) (0.284:0.284:0.284))
(IOPATH A1 X (0.134:0.134:0.134) (0.286:0.286:0.286))
(IOPATH S X (0.214:0.214:0.214) (0.331:0.331:0.331))
(IOPATH S X (0.151:0.151:0.151) (0.322:0.322:0.322))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__and2_1")
(INSTANCE _2708_)
(DELAY
(ABSOLUTE
(IOPATH A X (0.158:0.158:0.158) (0.158:0.158:0.158))
(IOPATH B X (0.119:0.119:0.120) (0.157:0.157:0.157))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__clkbuf_1")
(INSTANCE _2709_)
(DELAY
(ABSOLUTE
(IOPATH A X (0.094:0.094:0.095) (0.090:0.091:0.091))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__mux2_1")
(INSTANCE _2710_)
(DELAY
(ABSOLUTE
(IOPATH A0 X (0.153:0.153:0.153) (0.292:0.292:0.292))
(IOPATH A1 X (0.135:0.135:0.135) (0.292:0.292:0.292))
(IOPATH S X (0.220:0.220:0.220) (0.338:0.338:0.338))
(IOPATH S X (0.157:0.157:0.157) (0.329:0.329:0.329))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__and2_1")
(INSTANCE _2711_)
(DELAY
(ABSOLUTE
(IOPATH A X (0.172:0.172:0.172) (0.167:0.167:0.167))
(IOPATH B X (0.134:0.135:0.135) (0.168:0.168:0.168))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__clkbuf_1")
(INSTANCE _2712_)
(DELAY
(ABSOLUTE
(IOPATH A X (0.100:0.100:0.100) (0.094:0.095:0.095))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__mux2_1")
(INSTANCE _2713_)
(DELAY
(ABSOLUTE
(IOPATH A0 X (0.148:0.148:0.148) (0.285:0.285:0.285))
(IOPATH A1 X (0.134:0.134:0.134) (0.284:0.284:0.284))
(IOPATH S X (0.212:0.212:0.212) (0.327:0.327:0.327))
(IOPATH S X (0.149:0.149:0.149) (0.318:0.318:0.318))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__and2_1")
(INSTANCE _2714_)
(DELAY
(ABSOLUTE
(IOPATH A X (0.152:0.152:0.152) (0.154:0.154:0.154))
(IOPATH B X (0.112:0.113:0.113) (0.151:0.152:0.152))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__clkbuf_1")
(INSTANCE _2715_)
(DELAY
(ABSOLUTE
(IOPATH A X (0.077:0.077:0.077) (0.079:0.079:0.080))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__buf_2")
(INSTANCE _2716_)
(DELAY
(ABSOLUTE
(IOPATH A X (0.213:0.213:0.213) (0.192:0.192:0.192))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__mux2_1")
(INSTANCE _2717_)
(DELAY
(ABSOLUTE
(IOPATH A0 X (0.134:0.134:0.134) (0.281:0.281:0.281))
(IOPATH A1 X (0.153:0.153:0.153) (0.300:0.300:0.300))
(IOPATH S X (0.206:0.206:0.206) (0.336:0.336:0.336))
(IOPATH S X (0.154:0.154:0.154) (0.317:0.317:0.317))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__and2_1")
(INSTANCE _2718_)
(DELAY
(ABSOLUTE
(IOPATH A X (0.150:0.150:0.150) (0.153:0.153:0.153))
(IOPATH B X (0.113:0.113:0.114) (0.153:0.153:0.153))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__clkbuf_1")
(INSTANCE _2719_)
(DELAY
(ABSOLUTE
(IOPATH A X (0.082:0.082:0.082) (0.083:0.083:0.083))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__mux2_1")
(INSTANCE _2720_)
(DELAY
(ABSOLUTE
(IOPATH A0 X (0.148:0.148:0.148) (0.284:0.284:0.284))
(IOPATH A1 X (0.148:0.148:0.148) (0.292:0.292:0.292))
(IOPATH S X (0.197:0.197:0.197) (0.325:0.325:0.325))
(IOPATH S X (0.145:0.145:0.145) (0.306:0.306:0.306))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__and2_1")
(INSTANCE _2721_)
(DELAY
(ABSOLUTE
(IOPATH A X (0.152:0.152:0.152) (0.154:0.154:0.154))
(IOPATH B X (0.112:0.113:0.113) (0.151:0.151:0.151))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__clkbuf_1")
(INSTANCE _2722_)
(DELAY
(ABSOLUTE
(IOPATH A X (0.073:0.074:0.074) (0.077:0.077:0.078))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__dlymetal6s2s_1")
(INSTANCE _2723_)
(DELAY
(ABSOLUTE
(IOPATH A X (0.219:0.219:0.219) (0.208:0.208:0.208))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__mux2_1")
(INSTANCE _2724_)
(DELAY
(ABSOLUTE
(IOPATH A0 X (0.148:0.148:0.148) (0.283:0.283:0.283))
(IOPATH A1 X (0.135:0.135:0.135) (0.284:0.284:0.284))
(IOPATH S X (0.197:0.197:0.197) (0.324:0.324:0.324))
(IOPATH S X (0.145:0.145:0.145) (0.306:0.306:0.306))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__and2_1")
(INSTANCE _2725_)
(DELAY
(ABSOLUTE
(IOPATH A X (0.155:0.155:0.155) (0.156:0.156:0.156))
(IOPATH B X (0.115:0.115:0.116) (0.153:0.153:0.154))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__clkbuf_1")
(INSTANCE _2726_)
(DELAY
(ABSOLUTE
(IOPATH A X (0.078:0.079:0.079) (0.080:0.081:0.081))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__mux2_1")
(INSTANCE _2727_)
(DELAY
(ABSOLUTE
(IOPATH A0 X (0.155:0.155:0.155) (0.288:0.288:0.288))
(IOPATH A1 X (0.138:0.138:0.138) (0.284:0.284:0.284))
(IOPATH S X (0.194:0.194:0.194) (0.322:0.322:0.322))
(IOPATH S X (0.143:0.143:0.143) (0.303:0.303:0.303))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__and2_1")
(INSTANCE _2728_)
(DELAY
(ABSOLUTE
(IOPATH A X (0.157:0.157:0.157) (0.158:0.158:0.158))
(IOPATH B X (0.116:0.117:0.118) (0.154:0.154:0.155))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__clkbuf_1")
(INSTANCE _2729_)
(DELAY
(ABSOLUTE
(IOPATH A X (0.077:0.078:0.078) (0.080:0.080:0.080))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__mux2_1")
(INSTANCE _2730_)
(DELAY
(ABSOLUTE
(IOPATH A0 X (0.150:0.150:0.150) (0.286:0.286:0.286))
(IOPATH A1 X (0.132:0.132:0.132) (0.283:0.283:0.283))
(IOPATH S X (0.198:0.198:0.198) (0.326:0.326:0.326))
(IOPATH S X (0.146:0.146:0.146) (0.308:0.308:0.308))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__and2_1")
(INSTANCE _2731_)
(DELAY
(ABSOLUTE
(IOPATH A X (0.151:0.151:0.151) (0.153:0.153:0.153))
(IOPATH B X (0.111:0.112:0.113) (0.151:0.151:0.151))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__clkbuf_1")
(INSTANCE _2732_)
(DELAY
(ABSOLUTE
(IOPATH A X (0.077:0.077:0.078) (0.079:0.080:0.080))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__buf_2")
(INSTANCE _2733_)
(DELAY
(ABSOLUTE
(IOPATH A X (0.218:0.218:0.218) (0.195:0.195:0.195))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__mux2_1")
(INSTANCE _2734_)
(DELAY
(ABSOLUTE
(IOPATH A0 X (0.165:0.165:0.165) (0.299:0.299:0.299))
(IOPATH A1 X (0.160:0.160:0.160) (0.304:0.304:0.304))
(IOPATH S X (0.206:0.206:0.206) (0.335:0.335:0.335))
(IOPATH S X (0.154:0.154:0.154) (0.317:0.317:0.317))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__and2_1")
(INSTANCE _2735_)
(DELAY
(ABSOLUTE
(IOPATH A X (0.151:0.151:0.151) (0.153:0.153:0.153))
(IOPATH B X (0.113:0.114:0.115) (0.153:0.153:0.153))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__clkbuf_1")
(INSTANCE _2736_)
(DELAY
(ABSOLUTE
(IOPATH A X (0.080:0.080:0.080) (0.081:0.081:0.082))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__mux2_1")
(INSTANCE _2737_)
(DELAY
(ABSOLUTE
(IOPATH A0 X (0.144:0.144:0.144) (0.281:0.281:0.281))
(IOPATH A1 X (0.149:0.149:0.149) (0.293:0.293:0.293))
(IOPATH S X (0.199:0.199:0.199) (0.327:0.327:0.327))
(IOPATH S X (0.148:0.148:0.148) (0.309:0.309:0.309))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__and2_1")
(INSTANCE _2738_)
(DELAY
(ABSOLUTE
(IOPATH A X (0.150:0.150:0.150) (0.153:0.153:0.153))
(IOPATH B X (0.111:0.112:0.112) (0.151:0.151:0.151))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__clkbuf_1")
(INSTANCE _2739_)
(DELAY
(ABSOLUTE
(IOPATH A X (0.087:0.087:0.087) (0.086:0.086:0.086))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__clkbuf_2")
(INSTANCE _2740_)
(DELAY
(ABSOLUTE
(IOPATH A X (0.223:0.223:0.223) (0.184:0.184:0.184))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__dlymetal6s2s_1")
(INSTANCE _2741_)
(DELAY
(ABSOLUTE
(IOPATH A X (0.231:0.231:0.231) (0.214:0.214:0.214))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__mux2_1")
(INSTANCE _2742_)
(DELAY
(ABSOLUTE
(IOPATH A0 X (0.151:0.151:0.151) (0.289:0.289:0.289))
(IOPATH A1 X (0.134:0.134:0.134) (0.289:0.289:0.289))
(IOPATH S X (0.205:0.205:0.205) (0.334:0.334:0.334))
(IOPATH S X (0.153:0.153:0.153) (0.315:0.315:0.315))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__and2_1")
(INSTANCE _2743_)
(DELAY
(ABSOLUTE
(IOPATH A X (0.163:0.163:0.163) (0.163:0.163:0.163))
(IOPATH B X (0.121:0.122:0.122) (0.159:0.159:0.159))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__clkbuf_1")
(INSTANCE _2744_)
(DELAY
(ABSOLUTE
(IOPATH A X (0.090:0.091:0.091) (0.088:0.088:0.089))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__mux2_1")
(INSTANCE _2745_)
(DELAY
(ABSOLUTE
(IOPATH A0 X (0.160:0.160:0.160) (0.294:0.294:0.294))
(IOPATH A1 X (0.134:0.134:0.134) (0.285:0.285:0.285))
(IOPATH S X (0.200:0.200:0.200) (0.328:0.328:0.328))
(IOPATH S X (0.149:0.149:0.149) (0.310:0.310:0.310))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__and2_1")
(INSTANCE _2746_)
(DELAY
(ABSOLUTE
(IOPATH A X (0.164:0.164:0.164) (0.163:0.163:0.163))
(IOPATH B X (0.120:0.121:0.122) (0.158:0.158:0.158))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__clkbuf_1")
(INSTANCE _2747_)
(DELAY
(ABSOLUTE
(IOPATH A X (0.091:0.091:0.091) (0.088:0.089:0.089))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__mux2_1")
(INSTANCE _2748_)
(DELAY
(ABSOLUTE
(IOPATH A0 X (0.145:0.145:0.145) (0.282:0.282:0.282))
(IOPATH A1 X (0.128:0.128:0.128) (0.282:0.282:0.282))
(IOPATH S X (0.199:0.199:0.199) (0.327:0.327:0.327))
(IOPATH S X (0.148:0.148:0.148) (0.309:0.309:0.309))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__and2_1")
(INSTANCE _2749_)
(DELAY
(ABSOLUTE
(IOPATH A X (0.154:0.154:0.154) (0.157:0.157:0.157))
(IOPATH B X (0.111:0.112:0.112) (0.151:0.151:0.151))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__clkbuf_1")
(INSTANCE _2750_)
(DELAY
(ABSOLUTE
(IOPATH A X (0.075:0.076:0.076) (0.078:0.079:0.079))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__buf_2")
(INSTANCE _2751_)
(DELAY
(ABSOLUTE
(IOPATH A X (0.219:0.219:0.219) (0.196:0.196:0.196))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__mux2_1")
(INSTANCE _2752_)
(DELAY
(ABSOLUTE
(IOPATH A0 X (0.139:0.139:0.139) (0.278:0.278:0.278))
(IOPATH A1 X (0.130:0.130:0.130) (0.283:0.283:0.283))
(IOPATH S X (0.200:0.200:0.200) (0.328:0.328:0.328))
(IOPATH S X (0.148:0.148:0.148) (0.309:0.309:0.309))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__and2_1")
(INSTANCE _2753_)
(DELAY
(ABSOLUTE
(IOPATH A X (0.169:0.169:0.169) (0.167:0.167:0.167))
(IOPATH B X (0.126:0.126:0.126) (0.161:0.161:0.161))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__clkbuf_1")
(INSTANCE _2754_)
(DELAY
(ABSOLUTE
(IOPATH A X (0.086:0.086:0.086) (0.085:0.086:0.086))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__mux2_1")
(INSTANCE _2755_)
(DELAY
(ABSOLUTE
(IOPATH A0 X (0.157:0.157:0.157) (0.292:0.292:0.292))
(IOPATH A1 X (0.133:0.133:0.133) (0.285:0.285:0.285))
(IOPATH S X (0.202:0.202:0.202) (0.330:0.330:0.330))
(IOPATH S X (0.150:0.150:0.150) (0.311:0.311:0.311))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__and2_1")
(INSTANCE _2756_)
(DELAY
(ABSOLUTE
(IOPATH A X (0.167:0.167:0.167) (0.165:0.165:0.165))
(IOPATH B X (0.123:0.124:0.125) (0.160:0.160:0.160))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__clkbuf_1")
(INSTANCE _2757_)
(DELAY
(ABSOLUTE
(IOPATH A X (0.082:0.082:0.083) (0.083:0.083:0.084))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__dlymetal6s2s_1")
(INSTANCE _2758_)
(DELAY
(ABSOLUTE
(IOPATH A X (0.231:0.231:0.231) (0.214:0.214:0.214))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__mux2_1")
(INSTANCE _2759_)
(DELAY
(ABSOLUTE
(IOPATH A0 X (0.163:0.163:0.163) (0.297:0.297:0.297))
(IOPATH A1 X (0.152:0.152:0.152) (0.298:0.298:0.298))
(IOPATH S X (0.205:0.205:0.205) (0.334:0.334:0.334))
(IOPATH S X (0.153:0.153:0.153) (0.315:0.315:0.315))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__and2_1")
(INSTANCE _2760_)
(DELAY
(ABSOLUTE
(IOPATH A X (0.155:0.155:0.155) (0.157:0.157:0.157))
(IOPATH B X (0.113:0.114:0.115) (0.153:0.153:0.153))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__clkbuf_1")
(INSTANCE _2761_)
(DELAY
(ABSOLUTE
(IOPATH A X (0.076:0.076:0.077) (0.079:0.079:0.079))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__mux2_1")
(INSTANCE _2762_)
(DELAY
(ABSOLUTE
(IOPATH A0 X (0.158:0.158:0.158) (0.295:0.295:0.295))
(IOPATH A1 X (0.155:0.155:0.155) (0.301:0.301:0.301))
(IOPATH S X (0.207:0.207:0.207) (0.336:0.336:0.336))
(IOPATH S X (0.155:0.155:0.155) (0.318:0.318:0.318))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__and2_1")
(INSTANCE _2763_)
(DELAY
(ABSOLUTE
(IOPATH A X (0.155:0.155:0.155) (0.157:0.157:0.157))
(IOPATH B X (0.114:0.114:0.115) (0.153:0.154:0.154))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__clkbuf_1")
(INSTANCE _2764_)
(DELAY
(ABSOLUTE
(IOPATH A X (0.075:0.075:0.076) (0.078:0.078:0.079))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__mux2_1")
(INSTANCE _2765_)
(DELAY
(ABSOLUTE
(IOPATH A0 X (0.145:0.145:0.145) (0.281:0.281:0.281))
(IOPATH A1 X (0.139:0.139:0.139) (0.285:0.285:0.285))
(IOPATH S X (0.198:0.198:0.198) (0.325:0.325:0.325))
(IOPATH S X (0.147:0.147:0.147) (0.307:0.307:0.307))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__and2_1")
(INSTANCE _2766_)
(DELAY
(ABSOLUTE
(IOPATH A X (0.161:0.161:0.161) (0.162:0.162:0.162))
(IOPATH B X (0.117:0.118:0.118) (0.155:0.155:0.155))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__clkbuf_1")
(INSTANCE _2767_)
(DELAY
(ABSOLUTE
(IOPATH A X (0.077:0.078:0.078) (0.080:0.080:0.080))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__clkbuf_2")
(INSTANCE _2768_)
(DELAY
(ABSOLUTE
(IOPATH A X (0.224:0.224:0.224) (0.202:0.202:0.202))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__clkbuf_2")
(INSTANCE _2769_)
(DELAY
(ABSOLUTE
(IOPATH A X (0.205:0.205:0.205) (0.180:0.180:0.180))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__mux2_1")
(INSTANCE _2770_)
(DELAY
(ABSOLUTE
(IOPATH A0 X (0.112:0.112:0.112) (0.271:0.271:0.271))
(IOPATH A1 X (0.143:0.143:0.143) (0.290:0.290:0.290))
(IOPATH S X (0.210:0.210:0.210) (0.328:0.328:0.328))
(IOPATH S X (0.148:0.148:0.148) (0.318:0.318:0.318))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__and2_1")
(INSTANCE _2771_)
(DELAY
(ABSOLUTE
(IOPATH A X (0.154:0.154:0.154) (0.156:0.156:0.156))
(IOPATH B X (0.111:0.111:0.112) (0.150:0.151:0.151))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__clkbuf_1")
(INSTANCE _2772_)
(DELAY
(ABSOLUTE
(IOPATH A X (0.076:0.076:0.076) (0.078:0.079:0.079))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__mux2_1")
(INSTANCE _2773_)
(DELAY
(ABSOLUTE
(IOPATH A0 X (0.179:0.179:0.179) (0.312:0.312:0.312))
(IOPATH A1 X (0.135:0.135:0.135) (0.285:0.285:0.285))
(IOPATH S X (0.209:0.209:0.209) (0.327:0.327:0.327))
(IOPATH S X (0.147:0.147:0.147) (0.316:0.316:0.316))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__and2_1")
(INSTANCE _2774_)
(DELAY
(ABSOLUTE
(IOPATH A X (0.165:0.165:0.165) (0.164:0.164:0.164))
(IOPATH B X (0.121:0.123:0.124) (0.158:0.158:0.159))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__clkbuf_1")
(INSTANCE _2775_)
(DELAY
(ABSOLUTE
(IOPATH A X (0.078:0.078:0.078) (0.080:0.080:0.081))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__dlymetal6s2s_1")
(INSTANCE _2776_)
(DELAY
(ABSOLUTE
(IOPATH A X (0.226:0.226:0.226) (0.212:0.212:0.212))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__mux2_1")
(INSTANCE _2777_)
(DELAY
(ABSOLUTE
(IOPATH A0 X (0.156:0.156:0.156) (0.289:0.289:0.289))
(IOPATH A1 X (0.151:0.151:0.151) (0.295:0.295:0.295))
(IOPATH S X (0.210:0.210:0.210) (0.328:0.328:0.328))
(IOPATH S X (0.148:0.148:0.148) (0.317:0.317:0.317))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__and2_1")
(INSTANCE _2778_)
(DELAY
(ABSOLUTE
(IOPATH A X (0.160:0.160:0.160) (0.161:0.161:0.161))
(IOPATH B X (0.119:0.119:0.120) (0.156:0.157:0.157))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__clkbuf_1")
(INSTANCE _2779_)
(DELAY
(ABSOLUTE
(IOPATH A X (0.081:0.081:0.081) (0.082:0.082:0.083))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__mux2_1")
(INSTANCE _2780_)
(DELAY
(ABSOLUTE
(IOPATH A0 X (0.160:0.160:0.160) (0.292:0.292:0.292))
(IOPATH A1 X (0.143:0.143:0.143) (0.289:0.289:0.289))
(IOPATH S X (0.209:0.209:0.209) (0.327:0.327:0.327))
(IOPATH S X (0.147:0.147:0.147) (0.316:0.316:0.316))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__and2_1")
(INSTANCE _2781_)
(DELAY
(ABSOLUTE
(IOPATH A X (0.170:0.170:0.170) (0.167:0.167:0.167))
(IOPATH B X (0.128:0.128:0.129) (0.162:0.163:0.163))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__clkbuf_1")
(INSTANCE _2782_)
(DELAY
(ABSOLUTE
(IOPATH A X (0.091:0.092:0.092) (0.089:0.089:0.090))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__mux2_1")
(INSTANCE _2783_)
(DELAY
(ABSOLUTE
(IOPATH A0 X (0.157:0.157:0.157) (0.292:0.292:0.292))
(IOPATH A1 X (0.133:0.133:0.133) (0.284:0.284:0.284))
(IOPATH S X (0.208:0.208:0.208) (0.327:0.327:0.327))
(IOPATH S X (0.146:0.146:0.146) (0.316:0.316:0.316))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__and2_1")
(INSTANCE _2784_)
(DELAY
(ABSOLUTE
(IOPATH A X (0.165:0.165:0.165) (0.164:0.164:0.164))
(IOPATH B X (0.123:0.124:0.125) (0.159:0.160:0.160))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__clkbuf_1")
(INSTANCE _2785_)
(DELAY
(ABSOLUTE
(IOPATH A X (0.084:0.084:0.084) (0.084:0.084:0.085))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__buf_2")
(INSTANCE _2786_)
(DELAY
(ABSOLUTE
(IOPATH A X (0.218:0.218:0.218) (0.192:0.192:0.192))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__mux2_1")
(INSTANCE _2787_)
(DELAY
(ABSOLUTE
(IOPATH A0 X (0.152:0.152:0.152) (0.287:0.287:0.287))
(IOPATH A1 X (0.150:0.150:0.150) (0.293:0.293:0.293))
(IOPATH S X (0.199:0.199:0.199) (0.326:0.326:0.326))
(IOPATH S X (0.147:0.147:0.147) (0.307:0.307:0.307))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__and2_1")
(INSTANCE _2788_)
(DELAY
(ABSOLUTE
(IOPATH A X (0.160:0.160:0.160) (0.161:0.161:0.161))
(IOPATH B X (0.118:0.119:0.119) (0.156:0.156:0.156))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__clkbuf_1")
(INSTANCE _2789_)
(DELAY
(ABSOLUTE
(IOPATH A X (0.080:0.080:0.081) (0.082:0.082:0.082))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__mux2_1")
(INSTANCE _2790_)
(DELAY
(ABSOLUTE
(IOPATH A0 X (0.143:0.143:0.143) (0.281:0.281:0.281))
(IOPATH A1 X (0.131:0.131:0.131) (0.283:0.283:0.283))
(IOPATH S X (0.201:0.201:0.201) (0.328:0.328:0.328))
(IOPATH S X (0.149:0.149:0.149) (0.310:0.310:0.310))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__and2_1")
(INSTANCE _2791_)
(DELAY
(ABSOLUTE
(IOPATH A X (0.157:0.157:0.157) (0.158:0.158:0.158))
(IOPATH B X (0.115:0.115:0.116) (0.153:0.154:0.154))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__clkbuf_1")
(INSTANCE _2792_)
(DELAY
(ABSOLUTE
(IOPATH A X (0.075:0.075:0.076) (0.078:0.078:0.079))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__clkbuf_2")
(INSTANCE _2793_)
(DELAY
(ABSOLUTE
(IOPATH A X (0.239:0.239:0.239) (0.207:0.207:0.207))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__mux2_1")
(INSTANCE _2794_)
(DELAY
(ABSOLUTE
(IOPATH A0 X (0.129:0.129:0.129) (0.272:0.272:0.272))
(IOPATH A1 X (0.125:0.125:0.125) (0.280:0.280:0.280))
(IOPATH S X (0.200:0.200:0.200) (0.327:0.327:0.327))
(IOPATH S X (0.148:0.148:0.148) (0.308:0.308:0.308))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__and2_1")
(INSTANCE _2795_)
(DELAY
(ABSOLUTE
(IOPATH A X (0.155:0.155:0.155) (0.172:0.172:0.172))
(IOPATH B X (0.114:0.115:0.115) (0.153:0.153:0.153))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__clkbuf_1")
(INSTANCE _2796_)
(DELAY
(ABSOLUTE
(IOPATH A X (0.077:0.078:0.078) (0.080:0.080:0.080))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__mux2_1")
(INSTANCE _2797_)
(DELAY
(ABSOLUTE
(IOPATH A0 X (0.162:0.162:0.162) (0.299:0.299:0.299))
(IOPATH A1 X (0.136:0.136:0.136) (0.291:0.291:0.291))
(IOPATH S X (0.208:0.208:0.208) (0.337:0.337:0.337))
(IOPATH S X (0.157:0.157:0.157) (0.319:0.319:0.319))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__and2_1")
(INSTANCE _2798_)
(DELAY
(ABSOLUTE
(IOPATH A X (0.157:0.157:0.157) (0.173:0.173:0.173))
(IOPATH B X (0.118:0.119:0.120) (0.157:0.157:0.157))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__clkbuf_1")
(INSTANCE _2799_)
(DELAY
(ABSOLUTE
(IOPATH A X (0.086:0.086:0.086) (0.085:0.086:0.086))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__mux2_1")
(INSTANCE _2800_)
(DELAY
(ABSOLUTE
(IOPATH A0 X (0.140:0.140:0.140) (0.279:0.279:0.279))
(IOPATH A1 X (0.139:0.139:0.139) (0.288:0.288:0.288))
(IOPATH S X (0.202:0.202:0.202) (0.330:0.330:0.330))
(IOPATH S X (0.151:0.151:0.151) (0.311:0.311:0.311))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__and2_1")
(INSTANCE _2801_)
(DELAY
(ABSOLUTE
(IOPATH A X (0.152:0.152:0.152) (0.170:0.170:0.170))
(IOPATH B X (0.112:0.112:0.113) (0.151:0.151:0.152))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__clkbuf_1")
(INSTANCE _2802_)
(DELAY
(ABSOLUTE
(IOPATH A X (0.084:0.084:0.085) (0.084:0.085:0.085))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__clkbuf_2")
(INSTANCE _2803_)
(DELAY
(ABSOLUTE
(IOPATH A X (0.203:0.203:0.203) (0.179:0.179:0.179))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__mux2_1")
(INSTANCE _2804_)
(DELAY
(ABSOLUTE
(IOPATH A0 X (0.156:0.156:0.156) (0.289:0.289:0.289))
(IOPATH A1 X (0.142:0.142:0.142) (0.289:0.289:0.289))
(IOPATH S X (0.208:0.208:0.208) (0.327:0.327:0.327))
(IOPATH S X (0.147:0.147:0.147) (0.316:0.316:0.316))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__and2_1")
(INSTANCE _2805_)
(DELAY
(ABSOLUTE
(IOPATH A X (0.158:0.158:0.158) (0.175:0.175:0.175))
(IOPATH B X (0.118:0.119:0.119) (0.156:0.156:0.156))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__clkbuf_1")
(INSTANCE _2806_)
(DELAY
(ABSOLUTE
(IOPATH A X (0.077:0.077:0.078) (0.080:0.080:0.080))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__mux2_1")
(INSTANCE _2807_)
(DELAY
(ABSOLUTE
(IOPATH A0 X (0.148:0.148:0.148) (0.284:0.284:0.284))
(IOPATH A1 X (0.135:0.135:0.135) (0.284:0.284:0.284))
(IOPATH S X (0.207:0.207:0.207) (0.325:0.325:0.325))
(IOPATH S X (0.145:0.145:0.145) (0.314:0.314:0.314))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__and2_1")
(INSTANCE _2808_)
(DELAY
(ABSOLUTE
(IOPATH A X (0.160:0.160:0.160) (0.176:0.176:0.176))
(IOPATH B X (0.119:0.120:0.121) (0.157:0.157:0.157))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__clkbuf_1")
(INSTANCE _2809_)
(DELAY
(ABSOLUTE
(IOPATH A X (0.077:0.078:0.078) (0.080:0.080:0.080))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__dlymetal6s2s_1")
(INSTANCE _2810_)
(DELAY
(ABSOLUTE
(IOPATH A X (0.214:0.214:0.214) (0.205:0.205:0.205))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__mux2_1")
(INSTANCE _2811_)
(DELAY
(ABSOLUTE
(IOPATH A0 X (0.160:0.160:0.160) (0.294:0.294:0.294))
(IOPATH A1 X (0.147:0.147:0.147) (0.292:0.292:0.292))
(IOPATH S X (0.208:0.208:0.208) (0.326:0.326:0.326))
(IOPATH S X (0.146:0.146:0.146) (0.315:0.315:0.315))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__and2_1")
(INSTANCE _2812_)
(DELAY
(ABSOLUTE
(IOPATH A X (0.155:0.155:0.155) (0.156:0.156:0.156))
(IOPATH B X (0.118:0.118:0.119) (0.156:0.156:0.156))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__clkbuf_1")
(INSTANCE _2813_)
(DELAY
(ABSOLUTE
(IOPATH A X (0.095:0.096:0.096) (0.091:0.091:0.092))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__mux2_1")
(INSTANCE _2814_)
(DELAY
(ABSOLUTE
(IOPATH A0 X (0.151:0.151:0.151) (0.289:0.289:0.289))
(IOPATH A1 X (0.143:0.143:0.143) (0.292:0.292:0.292))
(IOPATH S X (0.213:0.213:0.213) (0.332:0.332:0.332))
(IOPATH S X (0.151:0.151:0.151) (0.321:0.321:0.321))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__and2_1")
(INSTANCE _2815_)
(DELAY
(ABSOLUTE
(IOPATH A X (0.149:0.149:0.149) (0.151:0.151:0.151))
(IOPATH B X (0.112:0.113:0.113) (0.152:0.152:0.152))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__clkbuf_1")
(INSTANCE _2816_)
(DELAY
(ABSOLUTE
(IOPATH A X (0.076:0.077:0.077) (0.079:0.079:0.080))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__mux2_1")
(INSTANCE _2817_)
(DELAY
(ABSOLUTE
(IOPATH A0 X (0.159:0.159:0.159) (0.292:0.292:0.292))
(IOPATH A1 X (0.149:0.149:0.149) (0.293:0.293:0.293))
(IOPATH S X (0.206:0.206:0.206) (0.325:0.325:0.325))
(IOPATH S X (0.145:0.145:0.145) (0.314:0.314:0.314))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__and2_1")
(INSTANCE _2818_)
(DELAY
(ABSOLUTE
(IOPATH A X (0.152:0.152:0.152) (0.154:0.154:0.154))
(IOPATH B X (0.114:0.115:0.115) (0.152:0.153:0.153))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__clkbuf_1")
(INSTANCE _2819_)
(DELAY
(ABSOLUTE
(IOPATH A X (0.076:0.076:0.077) (0.079:0.079:0.079))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__buf_2")
(INSTANCE _2820_)
(DELAY
(ABSOLUTE
(IOPATH A X (0.217:0.217:0.217) (0.192:0.192:0.192))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__mux2_1")
(INSTANCE _2821_)
(DELAY
(ABSOLUTE
(IOPATH A0 X (0.165:0.165:0.165) (0.302:0.302:0.302))
(IOPATH A1 X (0.160:0.160:0.160) (0.307:0.307:0.307))
(IOPATH S X (0.212:0.212:0.212) (0.342:0.342:0.342))
(IOPATH S X (0.161:0.161:0.161) (0.324:0.324:0.324))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__and2_1")
(INSTANCE _2822_)
(DELAY
(ABSOLUTE
(IOPATH A X (0.153:0.153:0.153) (0.154:0.154:0.154))
(IOPATH B X (0.118:0.119:0.120) (0.158:0.158:0.158))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__clkbuf_1")
(INSTANCE _2823_)
(DELAY
(ABSOLUTE
(IOPATH A X (0.075:0.076:0.076) (0.078:0.079:0.079))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__mux2_1")
(INSTANCE _2824_)
(DELAY
(ABSOLUTE
(IOPATH A0 X (0.139:0.139:0.139) (0.281:0.281:0.281))
(IOPATH A1 X (0.124:0.124:0.124) (0.285:0.285:0.285))
(IOPATH S X (0.205:0.205:0.205) (0.334:0.334:0.334))
(IOPATH S X (0.154:0.154:0.154) (0.316:0.316:0.316))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__and2_1")
(INSTANCE _2825_)
(DELAY
(ABSOLUTE
(IOPATH A X (0.169:0.169:0.169) (0.165:0.165:0.165))
(IOPATH B X (0.133:0.133:0.133) (0.166:0.166:0.167))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__clkbuf_1")
(INSTANCE _2826_)
(DELAY
(ABSOLUTE
(IOPATH A X (0.097:0.097:0.098) (0.093:0.093:0.093))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__buf_2")
(INSTANCE _2827_)
(DELAY
(ABSOLUTE
(IOPATH A X (0.202:0.202:0.202) (0.181:0.181:0.181))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__clkbuf_2")
(INSTANCE _2828_)
(DELAY
(ABSOLUTE
(IOPATH A X (0.255:0.255:0.255) (0.211:0.211:0.211))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__mux2_1")
(INSTANCE _2829_)
(DELAY
(ABSOLUTE
(IOPATH A0 X (0.195:0.195:0.195) (0.335:0.335:0.335))
(IOPATH A1 X (0.141:0.141:0.141) (0.291:0.291:0.291))
(IOPATH S X (0.204:0.204:0.204) (0.333:0.333:0.333))
(IOPATH S X (0.153:0.153:0.153) (0.314:0.314:0.314))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__and2_1")
(INSTANCE _2830_)
(DELAY
(ABSOLUTE
(IOPATH A X (0.160:0.160:0.160) (0.178:0.178:0.178))
(IOPATH B X (0.116:0.117:0.119) (0.155:0.155:0.155))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__clkbuf_1")
(INSTANCE _2831_)
(DELAY
(ABSOLUTE
(IOPATH A X (0.076:0.076:0.076) (0.079:0.079:0.079))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__mux2_1")
(INSTANCE _2832_)
(DELAY
(ABSOLUTE
(IOPATH A0 X (0.125:0.125:0.125) (0.285:0.285:0.285))
(IOPATH A1 X (0.148:0.148:0.148) (0.296:0.296:0.296))
(IOPATH S X (0.206:0.206:0.206) (0.335:0.335:0.335))
(IOPATH S X (0.155:0.155:0.155) (0.317:0.317:0.317))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__and2_1")
(INSTANCE _2833_)
(DELAY
(ABSOLUTE
(IOPATH A X (0.155:0.155:0.155) (0.175:0.175:0.175))
(IOPATH B X (0.112:0.113:0.113) (0.152:0.152:0.152))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__clkbuf_1")
(INSTANCE _2834_)
(DELAY
(ABSOLUTE
(IOPATH A X (0.083:0.084:0.084) (0.082:0.083:0.083))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__mux2_1")
(INSTANCE _2835_)
(DELAY
(ABSOLUTE
(IOPATH A0 X (0.141:0.141:0.141) (0.281:0.281:0.281))
(IOPATH A1 X (0.127:0.127:0.127) (0.284:0.284:0.284))
(IOPATH S X (0.203:0.203:0.203) (0.331:0.331:0.331))
(IOPATH S X (0.152:0.152:0.152) (0.313:0.313:0.313))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__and2_1")
(INSTANCE _2836_)
(DELAY
(ABSOLUTE
(IOPATH A X (0.166:0.166:0.166) (0.183:0.183:0.183))
(IOPATH B X (0.122:0.122:0.122) (0.159:0.159:0.159))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__clkbuf_1")
(INSTANCE _2837_)
(DELAY
(ABSOLUTE
(IOPATH A X (0.080:0.080:0.080) (0.082:0.082:0.082))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__clkbuf_2")
(INSTANCE _2838_)
(DELAY
(ABSOLUTE
(IOPATH A X (0.212:0.212:0.212) (0.186:0.186:0.186))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__mux2_1")
(INSTANCE _2839_)
(DELAY
(ABSOLUTE
(IOPATH A0 X (0.155:0.155:0.155) (0.292:0.292:0.292))
(IOPATH A1 X (0.137:0.137:0.137) (0.291:0.291:0.291))
(IOPATH S X (0.218:0.218:0.218) (0.336:0.336:0.336))
(IOPATH S X (0.155:0.155:0.155) (0.326:0.326:0.326))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__and2_1")
(INSTANCE _2840_)
(DELAY
(ABSOLUTE
(IOPATH A X (0.161:0.161:0.161) (0.180:0.180:0.180))
(IOPATH B X (0.118:0.119:0.119) (0.157:0.157:0.157))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__clkbuf_1")
(INSTANCE _2841_)
(DELAY
(ABSOLUTE
(IOPATH A X (0.079:0.079:0.079) (0.081:0.081:0.081))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__mux2_1")
(INSTANCE _2842_)
(DELAY
(ABSOLUTE
(IOPATH A0 X (0.155:0.155:0.155) (0.290:0.290:0.290))
(IOPATH A1 X (0.140:0.140:0.140) (0.288:0.288:0.288))
(IOPATH S X (0.212:0.212:0.212) (0.328:0.328:0.328))
(IOPATH S X (0.149:0.149:0.149) (0.319:0.319:0.319))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__and2_1")
(INSTANCE _2843_)
(DELAY
(ABSOLUTE
(IOPATH A X (0.158:0.158:0.158) (0.177:0.177:0.177))
(IOPATH B X (0.113:0.114:0.114) (0.152:0.152:0.152))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__clkbuf_1")
(INSTANCE _2844_)
(DELAY
(ABSOLUTE
(IOPATH A X (0.081:0.081:0.081) (0.082:0.082:0.082))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__dlymetal6s2s_1")
(INSTANCE _2845_)
(DELAY
(ABSOLUTE
(IOPATH A X (0.233:0.233:0.233) (0.207:0.207:0.207))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__mux2_1")
(INSTANCE _2846_)
(DELAY
(ABSOLUTE
(IOPATH A0 X (0.141:0.141:0.141) (0.279:0.279:0.279))
(IOPATH A1 X (0.135:0.135:0.135) (0.284:0.284:0.284))
(IOPATH S X (0.210:0.210:0.210) (0.326:0.326:0.326))
(IOPATH S X (0.147:0.147:0.147) (0.316:0.316:0.316))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__and2_1")
(INSTANCE _2847_)
(DELAY
(ABSOLUTE
(IOPATH A X (0.157:0.157:0.157) (0.159:0.159:0.159))
(IOPATH B X (0.114:0.114:0.114) (0.152:0.152:0.153))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__clkbuf_1")
(INSTANCE _2848_)
(DELAY
(ABSOLUTE
(IOPATH A X (0.076:0.076:0.077) (0.079:0.079:0.079))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__mux2_1")
(INSTANCE _2849_)
(DELAY
(ABSOLUTE
(IOPATH A0 X (0.157:0.157:0.157) (0.296:0.296:0.296))
(IOPATH A1 X (0.150:0.150:0.150) (0.300:0.300:0.300))
(IOPATH S X (0.222:0.222:0.222) (0.341:0.341:0.341))
(IOPATH S X (0.159:0.159:0.159) (0.331:0.331:0.331))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__and2_1")
(INSTANCE _2850_)
(DELAY
(ABSOLUTE
(IOPATH A X (0.165:0.165:0.165) (0.164:0.164:0.164))
(IOPATH B X (0.124:0.125:0.125) (0.162:0.162:0.162))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__clkbuf_1")
(INSTANCE _2851_)
(DELAY
(ABSOLUTE
(IOPATH A X (0.079:0.079:0.079) (0.081:0.081:0.081))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__mux2_1")
(INSTANCE _2852_)
(DELAY
(ABSOLUTE
(IOPATH A0 X (0.146:0.146:0.146) (0.283:0.283:0.283))
(IOPATH A1 X (0.144:0.144:0.144) (0.290:0.290:0.290))
(IOPATH S X (0.211:0.211:0.211) (0.327:0.327:0.327))
(IOPATH S X (0.148:0.148:0.148) (0.317:0.317:0.317))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__and2_1")
(INSTANCE _2853_)
(DELAY
(ABSOLUTE
(IOPATH A X (0.156:0.156:0.156) (0.158:0.158:0.158))
(IOPATH B X (0.112:0.113:0.114) (0.152:0.152:0.152))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__clkbuf_1")
(INSTANCE _2854_)
(DELAY
(ABSOLUTE
(IOPATH A X (0.078:0.079:0.079) (0.080:0.081:0.081))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__dlymetal6s2s_1")
(INSTANCE _2855_)
(DELAY
(ABSOLUTE
(IOPATH A X (0.225:0.225:0.225) (0.226:0.226:0.226))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__clkbuf_2")
(INSTANCE _2856_)
(DELAY
(ABSOLUTE
(IOPATH A X (0.218:0.218:0.218) (0.180:0.180:0.180))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__mux2_1")
(INSTANCE _2857_)
(DELAY
(ABSOLUTE
(IOPATH A0 X (0.140:0.140:0.140) (0.278:0.278:0.278))
(IOPATH A1 X (0.125:0.125:0.125) (0.281:0.281:0.281))
(IOPATH S X (0.210:0.210:0.210) (0.327:0.327:0.327))
(IOPATH S X (0.147:0.147:0.147) (0.317:0.317:0.317))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__and2_1")
(INSTANCE _2858_)
(DELAY
(ABSOLUTE
(IOPATH A X (0.168:0.168:0.168) (0.166:0.166:0.166))
(IOPATH B X (0.124:0.125:0.125) (0.160:0.160:0.161))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__clkbuf_1")
(INSTANCE _2859_)
(DELAY
(ABSOLUTE
(IOPATH A X (0.085:0.085:0.085) (0.085:0.085:0.085))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__mux2_1")
(INSTANCE _2860_)
(DELAY
(ABSOLUTE
(IOPATH A0 X (0.156:0.156:0.156) (0.290:0.290:0.290))
(IOPATH A1 X (0.147:0.147:0.147) (0.293:0.293:0.293))
(IOPATH S X (0.211:0.211:0.211) (0.328:0.328:0.328))
(IOPATH S X (0.148:0.148:0.148) (0.318:0.318:0.318))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__and2_1")
(INSTANCE _2861_)
(DELAY
(ABSOLUTE
(IOPATH A X (0.165:0.165:0.165) (0.164:0.164:0.164))
(IOPATH B X (0.122:0.122:0.123) (0.158:0.159:0.159))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__clkbuf_1")
(INSTANCE _2862_)
(DELAY
(ABSOLUTE
(IOPATH A X (0.077:0.078:0.078) (0.080:0.080:0.080))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__dlymetal6s2s_1")
(INSTANCE _2863_)
(DELAY
(ABSOLUTE
(IOPATH A X (0.201:0.201:0.201) (0.190:0.190:0.190))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__mux2_1")
(INSTANCE _2864_)
(DELAY
(ABSOLUTE
(IOPATH A0 X (0.158:0.158:0.158) (0.294:0.294:0.294))
(IOPATH A1 X (0.150:0.150:0.150) (0.297:0.297:0.297))
(IOPATH S X (0.216:0.216:0.216) (0.335:0.335:0.335))
(IOPATH S X (0.154:0.154:0.154) (0.325:0.325:0.325))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__and2_1")
(INSTANCE _2865_)
(DELAY
(ABSOLUTE
(IOPATH A X (0.157:0.157:0.157) (0.156:0.156:0.156))
(IOPATH B X (0.126:0.126:0.127) (0.162:0.162:0.162))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__clkbuf_1")
(INSTANCE _2866_)
(DELAY
(ABSOLUTE
(IOPATH A X (0.089:0.089:0.089) (0.087:0.088:0.088))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__mux2_1")
(INSTANCE _2867_)
(DELAY
(ABSOLUTE
(IOPATH A0 X (0.153:0.153:0.153) (0.288:0.288:0.288))
(IOPATH A1 X (0.150:0.150:0.150) (0.294:0.294:0.294))
(IOPATH S X (0.208:0.208:0.208) (0.324:0.324:0.324))
(IOPATH S X (0.145:0.145:0.145) (0.314:0.314:0.314))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__and2_1")
(INSTANCE _2868_)
(DELAY
(ABSOLUTE
(IOPATH A X (0.147:0.147:0.147) (0.149:0.149:0.149))
(IOPATH B X (0.113:0.114:0.114) (0.152:0.152:0.152))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__clkbuf_1")
(INSTANCE _2869_)
(DELAY
(ABSOLUTE
(IOPATH A X (0.074:0.075:0.075) (0.078:0.078:0.078))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__mux2_1")
(INSTANCE _2870_)
(DELAY
(ABSOLUTE
(IOPATH A0 X (0.149:0.149:0.149) (0.284:0.284:0.284))
(IOPATH A1 X (0.140:0.140:0.140) (0.286:0.286:0.286))
(IOPATH S X (0.208:0.208:0.208) (0.325:0.325:0.325))
(IOPATH S X (0.146:0.146:0.146) (0.315:0.315:0.315))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__and2_1")
(INSTANCE _2871_)
(DELAY
(ABSOLUTE
(IOPATH A X (0.150:0.150:0.150) (0.151:0.151:0.151))
(IOPATH B X (0.116:0.117:0.117) (0.154:0.154:0.155))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__clkbuf_1")
(INSTANCE _2872_)
(DELAY
(ABSOLUTE
(IOPATH A X (0.076:0.076:0.077) (0.079:0.079:0.080))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__buf_2")
(INSTANCE _2873_)
(DELAY
(ABSOLUTE
(IOPATH A X (0.235:0.235:0.235) (0.193:0.193:0.193))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__mux2_1")
(INSTANCE _2874_)
(DELAY
(ABSOLUTE
(IOPATH A0 X (0.146:0.146:0.146) (0.283:0.283:0.283))
(IOPATH A1 X (0.119:0.119:0.119) (0.278:0.278:0.278))
(IOPATH S X (0.202:0.202:0.202) (0.328:0.328:0.328))
(IOPATH S X (0.151:0.151:0.151) (0.310:0.310:0.310))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__and2_1")
(INSTANCE _2875_)
(DELAY
(ABSOLUTE
(IOPATH A X (0.151:0.151:0.151) (0.152:0.152:0.152))
(IOPATH B X (0.117:0.118:0.119) (0.155:0.156:0.156))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__clkbuf_1")
(INSTANCE _2876_)
(DELAY
(ABSOLUTE
(IOPATH A X (0.081:0.081:0.081) (0.082:0.082:0.083))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__mux2_1")
(INSTANCE _2877_)
(DELAY
(ABSOLUTE
(IOPATH A0 X (0.142:0.142:0.142) (0.280:0.280:0.280))
(IOPATH A1 X (0.129:0.129:0.129) (0.283:0.283:0.283))
(IOPATH S X (0.204:0.204:0.204) (0.331:0.331:0.331))
(IOPATH S X (0.153:0.153:0.153) (0.313:0.313:0.313))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__and2_1")
(INSTANCE _2878_)
(DELAY
(ABSOLUTE
(IOPATH A X (0.146:0.146:0.146) (0.148:0.148:0.148))
(IOPATH B X (0.113:0.113:0.114) (0.152:0.152:0.152))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__clkbuf_1")
(INSTANCE _2879_)
(DELAY
(ABSOLUTE
(IOPATH A X (0.076:0.076:0.076) (0.078:0.079:0.079))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__dlymetal6s2s_1")
(INSTANCE _2880_)
(DELAY
(ABSOLUTE
(IOPATH A X (0.227:0.227:0.227) (0.204:0.204:0.204))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__mux2_1")
(INSTANCE _2881_)
(DELAY
(ABSOLUTE
(IOPATH A0 X (0.138:0.138:0.138) (0.277:0.277:0.277))
(IOPATH A1 X (0.131:0.131:0.131) (0.282:0.282:0.282))
(IOPATH S X (0.202:0.202:0.202) (0.329:0.329:0.329))
(IOPATH S X (0.151:0.151:0.151) (0.311:0.311:0.311))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__and2_1")
(INSTANCE _2882_)
(DELAY
(ABSOLUTE
(IOPATH A X (0.168:0.168:0.168) (0.166:0.166:0.166))
(IOPATH B X (0.126:0.126:0.127) (0.161:0.161:0.162))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__clkbuf_1")
(INSTANCE _2883_)
(DELAY
(ABSOLUTE
(IOPATH A X (0.103:0.103:0.103) (0.096:0.096:0.096))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__mux2_1")
(INSTANCE _2884_)
(DELAY
(ABSOLUTE
(IOPATH A0 X (0.145:0.145:0.145) (0.286:0.286:0.286))
(IOPATH A1 X (0.147:0.147:0.147) (0.296:0.296:0.296))
(IOPATH S X (0.210:0.210:0.210) (0.339:0.339:0.339))
(IOPATH S X (0.159:0.159:0.159) (0.321:0.321:0.321))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__and2_1")
(INSTANCE _2885_)
(DELAY
(ABSOLUTE
(IOPATH A X (0.161:0.161:0.161) (0.161:0.161:0.161))
(IOPATH B X (0.121:0.122:0.122) (0.159:0.159:0.159))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__clkbuf_1")
(INSTANCE _2886_)
(DELAY
(ABSOLUTE
(IOPATH A X (0.090:0.090:0.090) (0.090:0.090:0.090))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__mux2_1")
(INSTANCE _2887_)
(DELAY
(ABSOLUTE
(IOPATH A0 X (0.145:0.145:0.145) (0.283:0.283:0.283))
(IOPATH A1 X (0.140:0.140:0.140) (0.288:0.288:0.288))
(IOPATH S X (0.205:0.205:0.205) (0.332:0.332:0.332))
(IOPATH S X (0.153:0.153:0.153) (0.314:0.314:0.314))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__and2_1")
(INSTANCE _2888_)
(DELAY
(ABSOLUTE
(IOPATH A X (0.153:0.153:0.153) (0.155:0.155:0.155))
(IOPATH B X (0.112:0.112:0.113) (0.151:0.151:0.151))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__clkbuf_1")
(INSTANCE _2889_)
(DELAY
(ABSOLUTE
(IOPATH A X (0.076:0.076:0.076) (0.078:0.079:0.079))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__clkbuf_2")
(INSTANCE _2890_)
(DELAY
(ABSOLUTE
(IOPATH A X (0.214:0.214:0.214) (0.177:0.177:0.177))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__mux2_1")
(INSTANCE _2891_)
(DELAY
(ABSOLUTE
(IOPATH A0 X (0.156:0.156:0.156) (0.294:0.294:0.294))
(IOPATH A1 X (0.133:0.133:0.133) (0.291:0.291:0.291))
(IOPATH S X (0.216:0.216:0.216) (0.336:0.336:0.336))
(IOPATH S X (0.154:0.154:0.154) (0.325:0.325:0.325))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__and2_1")
(INSTANCE _2892_)
(DELAY
(ABSOLUTE
(IOPATH A X (0.158:0.158:0.158) (0.159:0.159:0.159))
(IOPATH B X (0.119:0.119:0.120) (0.157:0.158:0.158))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__clkbuf_1")
(INSTANCE _2893_)
(DELAY
(ABSOLUTE
(IOPATH A X (0.077:0.078:0.078) (0.080:0.080:0.080))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__mux2_1")
(INSTANCE _2894_)
(DELAY
(ABSOLUTE
(IOPATH A0 X (0.148:0.148:0.148) (0.287:0.287:0.287))
(IOPATH A1 X (0.151:0.151:0.151) (0.297:0.297:0.297))
(IOPATH S X (0.212:0.212:0.212) (0.332:0.332:0.332))
(IOPATH S X (0.150:0.150:0.150) (0.321:0.321:0.321))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__and2_1")
(INSTANCE _2895_)
(DELAY
(ABSOLUTE
(IOPATH A X (0.156:0.156:0.156) (0.157:0.157:0.157))
(IOPATH B X (0.115:0.116:0.116) (0.154:0.154:0.154))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__clkbuf_1")
(INSTANCE _2896_)
(DELAY
(ABSOLUTE
(IOPATH A X (0.080:0.080:0.080) (0.081:0.082:0.082))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__dlymetal6s2s_1")
(INSTANCE _2897_)
(DELAY
(ABSOLUTE
(IOPATH A X (0.220:0.220:0.220) (0.200:0.200:0.200))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__mux2_1")
(INSTANCE _2898_)
(DELAY
(ABSOLUTE
(IOPATH A0 X (0.174:0.174:0.174) (0.304:0.304:0.304))
(IOPATH A1 X (0.139:0.139:0.139) (0.287:0.287:0.287))
(IOPATH S X (0.209:0.209:0.209) (0.328:0.328:0.328))
(IOPATH S X (0.148:0.148:0.148) (0.317:0.317:0.317))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__and2_1")
(INSTANCE _2899_)
(DELAY
(ABSOLUTE
(IOPATH A X (0.161:0.161:0.161) (0.161:0.161:0.161))
(IOPATH B X (0.122:0.123:0.124) (0.159:0.159:0.159))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__clkbuf_1")
(INSTANCE _2900_)
(DELAY
(ABSOLUTE
(IOPATH A X (0.088:0.088:0.088) (0.086:0.086:0.086))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__mux2_1")
(INSTANCE _2901_)
(DELAY
(ABSOLUTE
(IOPATH A0 X (0.162:0.162:0.162) (0.322:0.322:0.322))
(IOPATH A1 X (0.163:0.163:0.163) (0.310:0.310:0.310))
(IOPATH S X (0.222:0.222:0.222) (0.343:0.343:0.343))
(IOPATH S X (0.160:0.160:0.160) (0.332:0.332:0.332))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__and2_1")
(INSTANCE _2902_)
(DELAY
(ABSOLUTE
(IOPATH A X (0.165:0.165:0.165) (0.163:0.163:0.163))
(IOPATH B X (0.130:0.130:0.131) (0.166:0.166:0.166))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__clkbuf_1")
(INSTANCE _2903_)
(DELAY
(ABSOLUTE
(IOPATH A X (0.085:0.085:0.085) (0.085:0.085:0.086))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__mux2_1")
(INSTANCE _2904_)
(DELAY
(ABSOLUTE
(IOPATH A0 X (0.164:0.164:0.164) (0.299:0.299:0.299))
(IOPATH A1 X (0.149:0.149:0.149) (0.296:0.296:0.296))
(IOPATH S X (0.214:0.214:0.214) (0.334:0.334:0.334))
(IOPATH S X (0.152:0.152:0.152) (0.323:0.323:0.323))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__and2_1")
(INSTANCE _2905_)
(DELAY
(ABSOLUTE
(IOPATH A X (0.154:0.154:0.154) (0.156:0.156:0.156))
(IOPATH B X (0.117:0.117:0.118) (0.155:0.156:0.156))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__clkbuf_1")
(INSTANCE _2906_)
(DELAY
(ABSOLUTE
(IOPATH A X (0.076:0.077:0.077) (0.079:0.079:0.080))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__buf_2")
(INSTANCE _2907_)
(DELAY
(ABSOLUTE
(IOPATH A X (0.274:0.274:0.274) (0.213:0.213:0.213))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__mux2_1")
(INSTANCE _2908_)
(DELAY
(ABSOLUTE
(IOPATH A0 X (0.162:0.162:0.162) (0.296:0.296:0.296))
(IOPATH A1 X (0.122:0.122:0.122) (0.283:0.283:0.283))
(IOPATH S X (0.217:0.217:0.217) (0.342:0.342:0.342))
(IOPATH S X (0.168:0.168:0.168) (0.324:0.324:0.324))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__and2_1")
(INSTANCE _2909_)
(DELAY
(ABSOLUTE
(IOPATH A X (0.156:0.156:0.156) (0.157:0.157:0.157))
(IOPATH B X (0.117:0.118:0.119) (0.156:0.156:0.156))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__clkbuf_1")
(INSTANCE _2910_)
(DELAY
(ABSOLUTE
(IOPATH A X (0.082:0.082:0.082) (0.083:0.083:0.083))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__mux2_1")
(INSTANCE _2911_)
(DELAY
(ABSOLUTE
(IOPATH A0 X (0.148:0.148:0.148) (0.286:0.286:0.286))
(IOPATH A1 X (0.145:0.145:0.145) (0.293:0.293:0.293))
(IOPATH S X (0.217:0.217:0.217) (0.342:0.342:0.342))
(IOPATH S X (0.169:0.169:0.169) (0.324:0.324:0.324))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__and2_1")
(INSTANCE _2912_)
(DELAY
(ABSOLUTE
(IOPATH A X (0.157:0.157:0.157) (0.158:0.158:0.158))
(IOPATH B X (0.119:0.120:0.120) (0.157:0.157:0.157))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__clkbuf_1")
(INSTANCE _2913_)
(DELAY
(ABSOLUTE
(IOPATH A X (0.079:0.079:0.079) (0.081:0.081:0.081))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__clkbuf_2")
(INSTANCE _2914_)
(DELAY
(ABSOLUTE
(IOPATH A X (0.207:0.207:0.207) (0.181:0.181:0.181))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__clkbuf_2")
(INSTANCE _2915_)
(DELAY
(ABSOLUTE
(IOPATH A X (0.253:0.253:0.253) (0.220:0.220:0.220))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__mux2_1")
(INSTANCE _2916_)
(DELAY
(ABSOLUTE
(IOPATH A0 X (0.148:0.148:0.148) (0.285:0.285:0.285))
(IOPATH A1 X (0.135:0.135:0.135) (0.285:0.285:0.285))
(IOPATH S X (0.214:0.214:0.214) (0.338:0.338:0.338))
(IOPATH S X (0.165:0.165:0.165) (0.320:0.320:0.320))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__and2_1")
(INSTANCE _2917_)
(DELAY
(ABSOLUTE
(IOPATH A X (0.161:0.161:0.161) (0.178:0.178:0.178))
(IOPATH B X (0.118:0.119:0.119) (0.156:0.156:0.157))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__clkbuf_1")
(INSTANCE _2918_)
(DELAY
(ABSOLUTE
(IOPATH A X (0.079:0.079:0.080) (0.081:0.081:0.081))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__mux2_1")
(INSTANCE _2919_)
(DELAY
(ABSOLUTE
(IOPATH A0 X (0.142:0.142:0.142) (0.279:0.279:0.279))
(IOPATH A1 X (0.124:0.124:0.124) (0.279:0.279:0.279))
(IOPATH S X (0.212:0.212:0.212) (0.335:0.335:0.335))
(IOPATH S X (0.163:0.163:0.163) (0.317:0.317:0.317))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__and2_1")
(INSTANCE _2920_)
(DELAY
(ABSOLUTE
(IOPATH A X (0.155:0.155:0.155) (0.173:0.173:0.173))
(IOPATH B X (0.112:0.112:0.113) (0.151:0.151:0.151))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__clkbuf_1")
(INSTANCE _2921_)
(DELAY
(ABSOLUTE
(IOPATH A X (0.085:0.085:0.085) (0.085:0.085:0.085))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__mux2_1")
(INSTANCE _2922_)
(DELAY
(ABSOLUTE
(IOPATH A0 X (0.142:0.142:0.142) (0.280:0.280:0.280))
(IOPATH A1 X (0.133:0.133:0.133) (0.284:0.284:0.284))
(IOPATH S X (0.214:0.214:0.214) (0.338:0.338:0.338))
(IOPATH S X (0.166:0.166:0.166) (0.320:0.320:0.320))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__and2_1")
(INSTANCE _2923_)
(DELAY
(ABSOLUTE
(IOPATH A X (0.162:0.162:0.162) (0.179:0.179:0.179))
(IOPATH B X (0.119:0.120:0.120) (0.157:0.157:0.157))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__clkbuf_1")
(INSTANCE _2924_)
(DELAY
(ABSOLUTE
(IOPATH A X (0.081:0.081:0.082) (0.082:0.083:0.083))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__buf_2")
(INSTANCE _2925_)
(DELAY
(ABSOLUTE
(IOPATH A X (0.233:0.233:0.233) (0.192:0.192:0.192))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__mux2_1")
(INSTANCE _2926_)
(DELAY
(ABSOLUTE
(IOPATH A0 X (0.153:0.153:0.153) (0.292:0.292:0.292))
(IOPATH A1 X (0.151:0.151:0.151) (0.300:0.300:0.300))
(IOPATH S X (0.212:0.212:0.212) (0.341:0.341:0.341))
(IOPATH S X (0.161:0.161:0.161) (0.323:0.323:0.323))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__and2_1")
(INSTANCE _2927_)
(DELAY
(ABSOLUTE
(IOPATH A X (0.157:0.157:0.157) (0.175:0.175:0.175))
(IOPATH B X (0.117:0.117:0.118) (0.156:0.156:0.156))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__clkbuf_1")
(INSTANCE _2928_)
(DELAY
(ABSOLUTE
(IOPATH A X (0.082:0.082:0.082) (0.083:0.083:0.083))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__mux2_1")
(INSTANCE _2929_)
(DELAY
(ABSOLUTE
(IOPATH A0 X (0.154:0.154:0.154) (0.289:0.289:0.289))
(IOPATH A1 X (0.137:0.137:0.137) (0.286:0.286:0.286))
(IOPATH S X (0.203:0.203:0.203) (0.330:0.330:0.330))
(IOPATH S X (0.152:0.152:0.152) (0.312:0.312:0.312))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__and2_1")
(INSTANCE _2930_)
(DELAY
(ABSOLUTE
(IOPATH A X (0.164:0.164:0.164) (0.180:0.180:0.180))
(IOPATH B X (0.121:0.122:0.123) (0.158:0.158:0.159))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__clkbuf_1")
(INSTANCE _2931_)
(DELAY
(ABSOLUTE
(IOPATH A X (0.086:0.086:0.086) (0.086:0.086:0.086))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__dlymetal6s2s_1")
(INSTANCE _2932_)
(DELAY
(ABSOLUTE
(IOPATH A X (0.252:0.252:0.252) (0.230:0.230:0.230))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__mux2_1")
(INSTANCE _2933_)
(DELAY
(ABSOLUTE
(IOPATH A0 X (0.148:0.148:0.148) (0.284:0.284:0.284))
(IOPATH A1 X (0.149:0.149:0.149) (0.293:0.293:0.293))
(IOPATH S X (0.202:0.202:0.202) (0.329:0.329:0.329))
(IOPATH S X (0.151:0.151:0.151) (0.311:0.311:0.311))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__and2_1")
(INSTANCE _2934_)
(DELAY
(ABSOLUTE
(IOPATH A X (0.167:0.167:0.167) (0.167:0.167:0.167))
(IOPATH B X (0.118:0.119:0.119) (0.156:0.156:0.156))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__clkbuf_1")
(INSTANCE _2935_)
(DELAY
(ABSOLUTE
(IOPATH A X (0.081:0.082:0.082) (0.082:0.083:0.083))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__mux2_1")
(INSTANCE _2936_)
(DELAY
(ABSOLUTE
(IOPATH A0 X (0.114:0.114:0.114) (0.272:0.272:0.272))
(IOPATH A1 X (0.155:0.155:0.155) (0.299:0.299:0.299))
(IOPATH S X (0.204:0.204:0.204) (0.332:0.332:0.332))
(IOPATH S X (0.153:0.153:0.153) (0.314:0.314:0.314))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__and2_1")
(INSTANCE _2937_)
(DELAY
(ABSOLUTE
(IOPATH A X (0.168:0.168:0.168) (0.168:0.168:0.168))
(IOPATH B X (0.119:0.120:0.121) (0.157:0.157:0.157))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__clkbuf_1")
(INSTANCE _2938_)
(DELAY
(ABSOLUTE
(IOPATH A X (0.090:0.090:0.091) (0.087:0.087:0.087))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__mux2_1")
(INSTANCE _2939_)
(DELAY
(ABSOLUTE
(IOPATH A0 X (0.120:0.120:0.120) (0.280:0.280:0.280))
(IOPATH A1 X (0.146:0.146:0.146) (0.296:0.296:0.296))
(IOPATH S X (0.211:0.211:0.211) (0.340:0.340:0.340))
(IOPATH S X (0.160:0.160:0.160) (0.322:0.322:0.322))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__and2_1")
(INSTANCE _2940_)
(DELAY
(ABSOLUTE
(IOPATH A X (0.163:0.163:0.163) (0.164:0.164:0.164))
(IOPATH B X (0.116:0.117:0.117) (0.155:0.156:0.156))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__clkbuf_1")
(INSTANCE _2941_)
(DELAY
(ABSOLUTE
(IOPATH A X (0.079:0.079:0.080) (0.080:0.080:0.080))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__clkbuf_2")
(INSTANCE _2942_)
(DELAY
(ABSOLUTE
(IOPATH A X (0.306:0.306:0.306) (0.262:0.262:0.262))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__clkbuf_2")
(INSTANCE _2943_)
(DELAY
(ABSOLUTE
(IOPATH A X (0.237:0.237:0.237) (0.214:0.214:0.214))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__mux2_1")
(INSTANCE _2944_)
(DELAY
(ABSOLUTE
(IOPATH A0 X (0.154:0.154:0.154) (0.289:0.289:0.289))
(IOPATH A1 X (0.163:0.163:0.163) (0.304:0.304:0.304))
(IOPATH S X (0.210:0.210:0.210) (0.328:0.328:0.328))
(IOPATH S X (0.148:0.148:0.148) (0.318:0.318:0.318))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__and2_1")
(INSTANCE _2945_)
(DELAY
(ABSOLUTE
(IOPATH A X (0.167:0.167:0.167) (0.167:0.167:0.167))
(IOPATH B X (0.119:0.119:0.120) (0.156:0.157:0.157))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__clkbuf_1")
(INSTANCE _2946_)
(DELAY
(ABSOLUTE
(IOPATH A X (0.081:0.081:0.082) (0.082:0.082:0.083))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__mux2_1")
(INSTANCE _2947_)
(DELAY
(ABSOLUTE
(IOPATH A0 X (0.154:0.154:0.154) (0.290:0.290:0.290))
(IOPATH A1 X (0.159:0.159:0.159) (0.302:0.302:0.302))
(IOPATH S X (0.212:0.212:0.212) (0.331:0.331:0.331))
(IOPATH S X (0.150:0.150:0.150) (0.320:0.320:0.320))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__and2_1")
(INSTANCE _2948_)
(DELAY
(ABSOLUTE
(IOPATH A X (0.165:0.165:0.165) (0.166:0.166:0.166))
(IOPATH B X (0.117:0.118:0.119) (0.155:0.156:0.156))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__clkbuf_1")
(INSTANCE _2949_)
(DELAY
(ABSOLUTE
(IOPATH A X (0.082:0.082:0.083) (0.083:0.083:0.083))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__clkbuf_2")
(INSTANCE _2950_)
(DELAY
(ABSOLUTE
(IOPATH A X (0.193:0.193:0.193) (0.176:0.176:0.176))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__mux2_1")
(INSTANCE _2951_)
(DELAY
(ABSOLUTE
(IOPATH A0 X (0.158:0.158:0.158) (0.294:0.294:0.294))
(IOPATH A1 X (0.134:0.134:0.134) (0.286:0.286:0.286))
(IOPATH S X (0.212:0.212:0.212) (0.330:0.330:0.330))
(IOPATH S X (0.149:0.149:0.149) (0.319:0.319:0.319))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__and2_1")
(INSTANCE _2952_)
(DELAY
(ABSOLUTE
(IOPATH A X (0.152:0.152:0.152) (0.160:0.160:0.160))
(IOPATH B X (0.130:0.130:0.131) (0.164:0.164:0.164))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__clkbuf_1")
(INSTANCE _2953_)
(DELAY
(ABSOLUTE
(IOPATH A X (0.101:0.101:0.101) (0.095:0.095:0.096))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__mux2_1")
(INSTANCE _2954_)
(DELAY
(ABSOLUTE
(IOPATH A0 X (0.220:0.220:0.220) (0.391:0.391:0.391))
(IOPATH A1 X (0.146:0.146:0.146) (0.291:0.291:0.291))
(IOPATH S X (0.207:0.207:0.207) (0.325:0.325:0.325))
(IOPATH S X (0.145:0.145:0.145) (0.314:0.314:0.314))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__and2_1")
(INSTANCE _2955_)
(DELAY
(ABSOLUTE
(IOPATH A X (0.146:0.146:0.146) (0.156:0.156:0.156))
(IOPATH B X (0.122:0.125:0.128) (0.159:0.159:0.159))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__clkbuf_1")
(INSTANCE _2956_)
(DELAY
(ABSOLUTE
(IOPATH A X (0.079:0.079:0.079) (0.081:0.081:0.082))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__mux2_1")
(INSTANCE _2957_)
(DELAY
(ABSOLUTE
(IOPATH A0 X (0.152:0.152:0.152) (0.288:0.288:0.288))
(IOPATH A1 X (0.147:0.147:0.147) (0.293:0.293:0.293))
(IOPATH S X (0.210:0.210:0.210) (0.328:0.328:0.328))
(IOPATH S X (0.148:0.148:0.148) (0.317:0.317:0.317))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__and2_1")
(INSTANCE _2958_)
(DELAY
(ABSOLUTE
(IOPATH A X (0.142:0.142:0.142) (0.153:0.153:0.153))
(IOPATH B X (0.119:0.120:0.120) (0.157:0.157:0.157))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__clkbuf_1")
(INSTANCE _2959_)
(DELAY
(ABSOLUTE
(IOPATH A X (0.088:0.089:0.089) (0.087:0.087:0.088))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__buf_2")
(INSTANCE _2960_)
(DELAY
(ABSOLUTE
(IOPATH A X (0.255:0.255:0.255) (0.230:0.230:0.230))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__mux2_1")
(INSTANCE _2961_)
(DELAY
(ABSOLUTE
(IOPATH A0 X (0.117:0.117:0.117) (0.273:0.273:0.273))
(IOPATH A1 X (0.129:0.129:0.129) (0.283:0.283:0.283))
(IOPATH S X (0.202:0.202:0.202) (0.329:0.329:0.329))
(IOPATH S X (0.151:0.151:0.151) (0.311:0.311:0.311))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__and2_1")
(INSTANCE _2962_)
(DELAY
(ABSOLUTE
(IOPATH A X (0.139:0.139:0.139) (0.151:0.151:0.151))
(IOPATH B X (0.116:0.116:0.117) (0.154:0.154:0.155))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__clkbuf_1")
(INSTANCE _2963_)
(DELAY
(ABSOLUTE
(IOPATH A X (0.081:0.081:0.081) (0.081:0.081:0.081))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__mux2_1")
(INSTANCE _2964_)
(DELAY
(ABSOLUTE
(IOPATH A0 X (0.147:0.147:0.147) (0.287:0.287:0.287))
(IOPATH A1 X (0.148:0.148:0.148) (0.296:0.296:0.296))
(IOPATH S X (0.209:0.209:0.209) (0.338:0.338:0.338))
(IOPATH S X (0.158:0.158:0.158) (0.320:0.320:0.320))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__and2_1")
(INSTANCE _2965_)
(DELAY
(ABSOLUTE
(IOPATH A X (0.140:0.140:0.140) (0.152:0.152:0.152))
(IOPATH B X (0.119:0.120:0.120) (0.158:0.158:0.158))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__clkbuf_1")
(INSTANCE _2966_)
(DELAY
(ABSOLUTE
(IOPATH A X (0.080:0.081:0.081) (0.082:0.082:0.082))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__dlymetal6s2s_1")
(INSTANCE _2967_)
(DELAY
(ABSOLUTE
(IOPATH A X (0.253:0.253:0.253) (0.230:0.230:0.230))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__mux2_1")
(INSTANCE _2968_)
(DELAY
(ABSOLUTE
(IOPATH A0 X (0.145:0.145:0.145) (0.282:0.282:0.282))
(IOPATH A1 X (0.126:0.126:0.126) (0.281:0.281:0.281))
(IOPATH S X (0.202:0.202:0.202) (0.328:0.328:0.328))
(IOPATH S X (0.150:0.150:0.150) (0.310:0.310:0.310))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__and2_1")
(INSTANCE _2969_)
(DELAY
(ABSOLUTE
(IOPATH A X (0.161:0.161:0.161) (0.163:0.163:0.163))
(IOPATH B X (0.112:0.112:0.113) (0.151:0.151:0.151))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__clkbuf_1")
(INSTANCE _2970_)
(DELAY
(ABSOLUTE
(IOPATH A X (0.077:0.077:0.078) (0.079:0.080:0.080))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__mux2_1")
(INSTANCE _2971_)
(DELAY
(ABSOLUTE
(IOPATH A0 X (0.151:0.151:0.151) (0.286:0.286:0.286))
(IOPATH A1 X (0.141:0.141:0.141) (0.287:0.287:0.287))
(IOPATH S X (0.201:0.201:0.201) (0.327:0.327:0.327))
(IOPATH S X (0.150:0.150:0.150) (0.309:0.309:0.309))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__and2_1")
(INSTANCE _2972_)
(DELAY
(ABSOLUTE
(IOPATH A X (0.164:0.164:0.164) (0.165:0.165:0.165))
(IOPATH B X (0.114:0.115:0.115) (0.153:0.153:0.153))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__clkbuf_1")
(INSTANCE _2973_)
(DELAY
(ABSOLUTE
(IOPATH A X (0.079:0.080:0.080) (0.081:0.081:0.082))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__mux2_1")
(INSTANCE _2974_)
(DELAY
(ABSOLUTE
(IOPATH A0 X (0.150:0.150:0.150) (0.288:0.288:0.288))
(IOPATH A1 X (0.147:0.147:0.147) (0.294:0.294:0.294))
(IOPATH S X (0.206:0.206:0.206) (0.333:0.333:0.333))
(IOPATH S X (0.154:0.154:0.154) (0.315:0.315:0.315))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__and2_1")
(INSTANCE _2975_)
(DELAY
(ABSOLUTE
(IOPATH A X (0.170:0.170:0.170) (0.169:0.169:0.169))
(IOPATH B X (0.122:0.122:0.123) (0.159:0.159:0.159))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__clkbuf_1")
(INSTANCE _2976_)
(DELAY
(ABSOLUTE
(IOPATH A X (0.085:0.085:0.086) (0.085:0.085:0.086))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__buf_2")
(INSTANCE _2977_)
(DELAY
(ABSOLUTE
(IOPATH A X (0.250:0.250:0.250) (0.228:0.228:0.228))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__mux2_1")
(INSTANCE _2978_)
(DELAY
(ABSOLUTE
(IOPATH A0 X (0.162:0.162:0.162) (0.296:0.296:0.296))
(IOPATH A1 X (0.159:0.159:0.159) (0.302:0.302:0.302))
(IOPATH S X (0.203:0.203:0.203) (0.331:0.331:0.331))
(IOPATH S X (0.152:0.152:0.152) (0.313:0.313:0.313))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__and2_1")
(INSTANCE _2979_)
(DELAY
(ABSOLUTE
(IOPATH A X (0.169:0.169:0.169) (0.168:0.168:0.168))
(IOPATH B X (0.120:0.121:0.121) (0.157:0.158:0.158))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__clkbuf_1")
(INSTANCE _2980_)
(DELAY
(ABSOLUTE
(IOPATH A X (0.084:0.085:0.085) (0.085:0.085:0.085))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__mux2_1")
(INSTANCE _2981_)
(DELAY
(ABSOLUTE
(IOPATH A0 X (0.161:0.161:0.161) (0.294:0.294:0.294))
(IOPATH A1 X (0.122:0.122:0.122) (0.280:0.280:0.280))
(IOPATH S X (0.201:0.201:0.201) (0.328:0.328:0.328))
(IOPATH S X (0.149:0.149:0.149) (0.310:0.310:0.310))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__and2_1")
(INSTANCE _2982_)
(DELAY
(ABSOLUTE
(IOPATH A X (0.165:0.165:0.165) (0.166:0.166:0.166))
(IOPATH B X (0.116:0.117:0.118) (0.154:0.154:0.155))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__clkbuf_1")
(INSTANCE _2983_)
(DELAY
(ABSOLUTE
(IOPATH A X (0.080:0.080:0.081) (0.081:0.081:0.082))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__clkbuf_2")
(INSTANCE _2984_)
(DELAY
(ABSOLUTE
(IOPATH A X (0.200:0.200:0.200) (0.180:0.180:0.180))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__mux2_1")
(INSTANCE _2985_)
(DELAY
(ABSOLUTE
(IOPATH A0 X (0.188:0.188:0.188) (0.320:0.320:0.320))
(IOPATH A1 X (0.175:0.175:0.175) (0.317:0.317:0.317))
(IOPATH S X (0.213:0.213:0.213) (0.343:0.343:0.343))
(IOPATH S X (0.162:0.162:0.162) (0.325:0.325:0.325))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__and2_1")
(INSTANCE _2986_)
(DELAY
(ABSOLUTE
(IOPATH A X (0.140:0.140:0.140) (0.153:0.153:0.153))
(IOPATH B X (0.119:0.120:0.120) (0.158:0.158:0.158))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__clkbuf_1")
(INSTANCE _2987_)
(DELAY
(ABSOLUTE
(IOPATH A X (0.076:0.076:0.076) (0.079:0.079:0.079))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__mux2_1")
(INSTANCE _2988_)
(DELAY
(ABSOLUTE
(IOPATH A0 X (0.166:0.166:0.166) (0.297:0.297:0.297))
(IOPATH A1 X (0.153:0.153:0.153) (0.295:0.295:0.295))
(IOPATH S X (0.199:0.199:0.199) (0.326:0.326:0.326))
(IOPATH S X (0.148:0.148:0.148) (0.308:0.308:0.308))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__and2_1")
(INSTANCE _2989_)
(DELAY
(ABSOLUTE
(IOPATH A X (0.147:0.147:0.147) (0.158:0.158:0.158))
(IOPATH B X (0.121:0.122:0.123) (0.158:0.158:0.159))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__clkbuf_1")
(INSTANCE _2990_)
(DELAY
(ABSOLUTE
(IOPATH A X (0.086:0.086:0.086) (0.086:0.086:0.086))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__mux2_1")
(INSTANCE _2991_)
(DELAY
(ABSOLUTE
(IOPATH A0 X (0.143:0.143:0.143) (0.281:0.281:0.281))
(IOPATH A1 X (0.145:0.145:0.145) (0.290:0.290:0.290))
(IOPATH S X (0.200:0.200:0.200) (0.327:0.327:0.327))
(IOPATH S X (0.148:0.148:0.148) (0.309:0.309:0.309))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__and2_1")
(INSTANCE _2992_)
(DELAY
(ABSOLUTE
(IOPATH A X (0.151:0.151:0.151) (0.160:0.160:0.160))
(IOPATH B X (0.125:0.126:0.126) (0.161:0.161:0.161))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__clkbuf_1")
(INSTANCE _2993_)
(DELAY
(ABSOLUTE
(IOPATH A X (0.098:0.098:0.098) (0.093:0.093:0.094))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__buf_2")
(INSTANCE _2994_)
(DELAY
(ABSOLUTE
(IOPATH A X (0.313:0.313:0.313) (0.260:0.260:0.260))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__mux2_1")
(INSTANCE _2995_)
(DELAY
(ABSOLUTE
(IOPATH A0 X (0.156:0.156:0.156) (0.290:0.290:0.290))
(IOPATH A1 X (0.150:0.150:0.150) (0.293:0.293:0.293))
(IOPATH S X (0.217:0.217:0.217) (0.339:0.339:0.339))
(IOPATH S X (0.169:0.169:0.169) (0.321:0.321:0.321))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__and2_1")
(INSTANCE _2996_)
(DELAY
(ABSOLUTE
(IOPATH A X (0.137:0.137:0.137) (0.150:0.150:0.150))
(IOPATH B X (0.111:0.112:0.112) (0.150:0.150:0.151))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__clkbuf_1")
(INSTANCE _2997_)
(DELAY
(ABSOLUTE
(IOPATH A X (0.078:0.079:0.079) (0.080:0.081:0.081))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__mux2_1")
(INSTANCE _2998_)
(DELAY
(ABSOLUTE
(IOPATH A0 X (0.163:0.163:0.163) (0.295:0.295:0.295))
(IOPATH A1 X (0.122:0.122:0.122) (0.280:0.280:0.280))
(IOPATH S X (0.218:0.218:0.218) (0.341:0.341:0.341))
(IOPATH S X (0.170:0.170:0.170) (0.323:0.323:0.323))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__and2_1")
(INSTANCE _2999_)
(DELAY
(ABSOLUTE
(IOPATH A X (0.146:0.146:0.146) (0.157:0.157:0.157))
(IOPATH B X (0.120:0.121:0.122) (0.157:0.158:0.158))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__clkbuf_1")
(INSTANCE _3000_)
(DELAY
(ABSOLUTE
(IOPATH A X (0.079:0.079:0.079) (0.081:0.081:0.081))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__clkbuf_2")
(INSTANCE _3001_)
(DELAY
(ABSOLUTE
(IOPATH A X (0.239:0.239:0.239) (0.196:0.196:0.196))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__mux2_1")
(INSTANCE _3002_)
(DELAY
(ABSOLUTE
(IOPATH A0 X (0.149:0.149:0.149) (0.287:0.287:0.287))
(IOPATH A1 X (0.130:0.130:0.130) (0.285:0.285:0.285))
(IOPATH S X (0.221:0.221:0.221) (0.344:0.344:0.344))
(IOPATH S X (0.173:0.173:0.173) (0.327:0.327:0.327))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__and2_1")
(INSTANCE _3003_)
(DELAY
(ABSOLUTE
(IOPATH A X (0.140:0.140:0.140) (0.153:0.153:0.153))
(IOPATH B X (0.114:0.114:0.115) (0.153:0.153:0.153))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__clkbuf_1")
(INSTANCE _3004_)
(DELAY
(ABSOLUTE
(IOPATH A X (0.082:0.082:0.082) (0.083:0.083:0.083))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__mux2_1")
(INSTANCE _3005_)
(DELAY
(ABSOLUTE
(IOPATH A0 X (0.146:0.146:0.146) (0.284:0.284:0.284))
(IOPATH A1 X (0.136:0.136:0.136) (0.284:0.284:0.284))
(IOPATH S X (0.217:0.217:0.217) (0.339:0.339:0.339))
(IOPATH S X (0.169:0.169:0.169) (0.321:0.321:0.321))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__and2_1")
(INSTANCE _3006_)
(DELAY
(ABSOLUTE
(IOPATH A X (0.145:0.145:0.145) (0.158:0.158:0.158))
(IOPATH B X (0.118:0.118:0.119) (0.156:0.156:0.156))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__clkbuf_1")
(INSTANCE _3007_)
(DELAY
(ABSOLUTE
(IOPATH A X (0.081:0.081:0.081) (0.082:0.082:0.083))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__mux2_1")
(INSTANCE _3008_)
(DELAY
(ABSOLUTE
(IOPATH A0 X (0.151:0.151:0.151) (0.286:0.286:0.286))
(IOPATH A1 X (0.143:0.143:0.143) (0.289:0.289:0.289))
(IOPATH S X (0.216:0.216:0.216) (0.339:0.339:0.339))
(IOPATH S X (0.168:0.168:0.168) (0.321:0.321:0.321))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__and2_1")
(INSTANCE _3009_)
(DELAY
(ABSOLUTE
(IOPATH A X (0.141:0.141:0.141) (0.154:0.154:0.154))
(IOPATH B X (0.113:0.114:0.114) (0.152:0.152:0.152))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__clkbuf_1")
(INSTANCE _3010_)
(DELAY
(ABSOLUTE
(IOPATH A X (0.080:0.080:0.080) (0.081:0.082:0.082))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__clkbuf_2")
(INSTANCE _3011_)
(DELAY
(ABSOLUTE
(IOPATH A X (0.241:0.241:0.241) (0.218:0.218:0.218))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__mux2_1")
(INSTANCE _3012_)
(DELAY
(ABSOLUTE
(IOPATH A0 X (0.158:0.158:0.158) (0.293:0.293:0.293))
(IOPATH A1 X (0.147:0.147:0.147) (0.293:0.293:0.293))
(IOPATH S X (0.213:0.213:0.213) (0.329:0.329:0.329))
(IOPATH S X (0.150:0.150:0.150) (0.320:0.320:0.320))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__and2_1")
(INSTANCE _3013_)
(DELAY
(ABSOLUTE
(IOPATH A X (0.139:0.139:0.139) (0.153:0.153:0.153))
(IOPATH B X (0.113:0.113:0.114) (0.152:0.152:0.152))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__clkbuf_1")
(INSTANCE _3014_)
(DELAY
(ABSOLUTE
(IOPATH A X (0.075:0.075:0.075) (0.078:0.078:0.079))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__mux2_1")
(INSTANCE _3015_)
(DELAY
(ABSOLUTE
(IOPATH A0 X (0.147:0.147:0.147) (0.283:0.283:0.283))
(IOPATH A1 X (0.137:0.137:0.137) (0.284:0.284:0.284))
(IOPATH S X (0.209:0.209:0.209) (0.325:0.325:0.325))
(IOPATH S X (0.146:0.146:0.146) (0.315:0.315:0.315))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__and2_1")
(INSTANCE _3016_)
(DELAY
(ABSOLUTE
(IOPATH A X (0.141:0.141:0.141) (0.154:0.154:0.154))
(IOPATH B X (0.114:0.114:0.115) (0.152:0.153:0.153))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__clkbuf_1")
(INSTANCE _3017_)
(DELAY
(ABSOLUTE
(IOPATH A X (0.076:0.076:0.076) (0.078:0.079:0.079))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__dlymetal6s2s_1")
(INSTANCE _3018_)
(DELAY
(ABSOLUTE
(IOPATH A X (0.238:0.238:0.238) (0.221:0.221:0.221))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__mux2_1")
(INSTANCE _3019_)
(DELAY
(ABSOLUTE
(IOPATH A0 X (0.132:0.132:0.132) (0.289:0.289:0.289))
(IOPATH A1 X (0.140:0.140:0.140) (0.288:0.288:0.288))
(IOPATH S X (0.213:0.213:0.213) (0.329:0.329:0.329))
(IOPATH S X (0.150:0.150:0.150) (0.320:0.320:0.320))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__and2_1")
(INSTANCE _3020_)
(DELAY
(ABSOLUTE
(IOPATH A X (0.156:0.156:0.156) (0.156:0.156:0.156))
(IOPATH B X (0.121:0.121:0.122) (0.158:0.158:0.158))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__clkbuf_1")
(INSTANCE _3021_)
(DELAY
(ABSOLUTE
(IOPATH A X (0.079:0.079:0.080) (0.081:0.081:0.082))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__mux2_1")
(INSTANCE _3022_)
(DELAY
(ABSOLUTE
(IOPATH A0 X (0.152:0.152:0.152) (0.287:0.287:0.287))
(IOPATH A1 X (0.133:0.133:0.133) (0.282:0.282:0.282))
(IOPATH S X (0.209:0.209:0.209) (0.325:0.325:0.325))
(IOPATH S X (0.146:0.146:0.146) (0.315:0.315:0.315))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__and2_1")
(INSTANCE _3023_)
(DELAY
(ABSOLUTE
(IOPATH A X (0.153:0.153:0.153) (0.154:0.154:0.154))
(IOPATH B X (0.117:0.118:0.118) (0.155:0.155:0.155))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__clkbuf_1")
(INSTANCE _3024_)
(DELAY
(ABSOLUTE
(IOPATH A X (0.082:0.083:0.083) (0.083:0.083:0.084))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__mux2_1")
(INSTANCE _3025_)
(DELAY
(ABSOLUTE
(IOPATH A0 X (0.154:0.154:0.154) (0.301:0.301:0.301))
(IOPATH A1 X (0.149:0.149:0.149) (0.296:0.296:0.296))
(IOPATH S X (0.216:0.216:0.216) (0.334:0.334:0.334))
(IOPATH S X (0.153:0.153:0.153) (0.324:0.324:0.324))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__and2_1")
(INSTANCE _3026_)
(DELAY
(ABSOLUTE
(IOPATH A X (0.151:0.151:0.151) (0.152:0.152:0.152))
(IOPATH B X (0.116:0.117:0.117) (0.155:0.155:0.155))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__clkbuf_1")
(INSTANCE _3027_)
(DELAY
(ABSOLUTE
(IOPATH A X (0.086:0.087:0.087) (0.086:0.086:0.086))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__buf_2")
(INSTANCE _3028_)
(DELAY
(ABSOLUTE
(IOPATH A X (0.241:0.241:0.241) (0.198:0.198:0.198))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__mux2_1")
(INSTANCE _3029_)
(DELAY
(ABSOLUTE
(IOPATH A0 X (0.136:0.136:0.136) (0.292:0.292:0.292))
(IOPATH A1 X (0.143:0.143:0.143) (0.289:0.289:0.289))
(IOPATH S X (0.199:0.199:0.199) (0.326:0.326:0.326))
(IOPATH S X (0.147:0.147:0.147) (0.308:0.308:0.308))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__and2_1")
(INSTANCE _3030_)
(DELAY
(ABSOLUTE
(IOPATH A X (0.154:0.154:0.154) (0.155:0.155:0.155))
(IOPATH B X (0.118:0.119:0.119) (0.156:0.156:0.156))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__clkbuf_1")
(INSTANCE _3031_)
(DELAY
(ABSOLUTE
(IOPATH A X (0.081:0.081:0.081) (0.082:0.082:0.083))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__mux2_1")
(INSTANCE _3032_)
(DELAY
(ABSOLUTE
(IOPATH A0 X (0.176:0.176:0.176) (0.310:0.310:0.310))
(IOPATH A1 X (0.144:0.144:0.144) (0.297:0.297:0.297))
(IOPATH S X (0.210:0.210:0.210) (0.340:0.340:0.340))
(IOPATH S X (0.159:0.159:0.159) (0.322:0.322:0.322))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__and2_1")
(INSTANCE _3033_)
(DELAY
(ABSOLUTE
(IOPATH A X (0.154:0.154:0.154) (0.155:0.155:0.155))
(IOPATH B X (0.121:0.122:0.123) (0.160:0.160:0.160))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__clkbuf_1")
(INSTANCE _3034_)
(DELAY
(ABSOLUTE
(IOPATH A X (0.087:0.087:0.087) (0.085:0.085:0.085))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__mux2_1")
(INSTANCE _3035_)
(DELAY
(ABSOLUTE
(IOPATH A0 X (0.171:0.171:0.171) (0.305:0.305:0.305))
(IOPATH A1 X (0.149:0.149:0.149) (0.298:0.298:0.298))
(IOPATH S X (0.208:0.208:0.208) (0.337:0.337:0.337))
(IOPATH S X (0.156:0.156:0.156) (0.319:0.319:0.319))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__and2_1")
(INSTANCE _3036_)
(DELAY
(ABSOLUTE
(IOPATH A X (0.162:0.162:0.162) (0.159:0.159:0.159))
(IOPATH B X (0.115:0.116:0.117) (0.155:0.155:0.155))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__clkbuf_1")
(INSTANCE _3037_)
(DELAY
(ABSOLUTE
(IOPATH A X (0.077:0.078:0.078) (0.079:0.080:0.080))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__mux2_1")
(INSTANCE _3038_)
(DELAY
(ABSOLUTE
(IOPATH A0 X (0.154:0.154:0.154) (0.290:0.290:0.290))
(IOPATH A1 X (0.156:0.156:0.156) (0.300:0.300:0.300))
(IOPATH S X (0.201:0.201:0.201) (0.330:0.330:0.330))
(IOPATH S X (0.150:0.150:0.150) (0.311:0.311:0.311))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__and2_1")
(INSTANCE _3039_)
(DELAY
(ABSOLUTE
(IOPATH A X (0.160:0.160:0.160) (0.157:0.157:0.157))
(IOPATH B X (0.111:0.112:0.112) (0.151:0.151:0.151))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__clkbuf_1")
(INSTANCE _3040_)
(DELAY
(ABSOLUTE
(IOPATH A X (0.080:0.080:0.081) (0.081:0.082:0.082))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__mux2_1")
(INSTANCE _3041_)
(DELAY
(ABSOLUTE
(IOPATH A0 X (0.142:0.142:0.142) (0.282:0.282:0.282))
(IOPATH A1 X (0.130:0.130:0.130) (0.286:0.286:0.286))
(IOPATH S X (0.204:0.204:0.204) (0.332:0.332:0.332))
(IOPATH S X (0.152:0.152:0.152) (0.314:0.314:0.314))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__and2_1")
(INSTANCE _3042_)
(DELAY
(ABSOLUTE
(IOPATH A X (0.165:0.165:0.165) (0.161:0.161:0.161))
(IOPATH B X (0.117:0.117:0.117) (0.155:0.155:0.155))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__clkbuf_1")
(INSTANCE _3043_)
(DELAY
(ABSOLUTE
(IOPATH A X (0.085:0.085:0.086) (0.085:0.085:0.085))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__clkbuf_4")
(INSTANCE _3044_)
(DELAY
(ABSOLUTE
(IOPATH A X (0.321:0.321:0.321) (0.260:0.260:0.260))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__dlymetal6s2s_1")
(INSTANCE _3045_)
(DELAY
(ABSOLUTE
(IOPATH A X (0.238:0.238:0.238) (0.230:0.230:0.230))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__dlymetal6s2s_1")
(INSTANCE _3046_)
(DELAY
(ABSOLUTE
(IOPATH A X (0.253:0.253:0.253) (0.219:0.219:0.219))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__clkbuf_1")
(INSTANCE _3047_)
(DELAY
(ABSOLUTE
(IOPATH A X (0.191:0.191:0.191) (0.147:0.147:0.147))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__clkbuf_1")
(INSTANCE _3048_)
(DELAY
(ABSOLUTE
(IOPATH A X (0.214:0.214:0.214) (0.169:0.169:0.169))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__or3b_1")
(INSTANCE _3049_)
(DELAY
(ABSOLUTE
(IOPATH A X (0.153:0.153:0.153) (0.400:0.400:0.400))
(IOPATH B X (0.152:0.152:0.152) (0.380:0.380:0.380))
(IOPATH C_N X (0.193:0.193:0.193) (0.386:0.386:0.386))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__or3b_1")
(INSTANCE _3050_)
(DELAY
(ABSOLUTE
(IOPATH A X (0.159:0.159:0.159) (0.399:0.399:0.399))
(IOPATH B X (0.138:0.138:0.138) (0.364:0.364:0.364))
(IOPATH C_N X (0.178:0.178:0.178) (0.370:0.370:0.370))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__a21bo_1")
(INSTANCE _3051_)
(DELAY
(ABSOLUTE
(IOPATH A1 X (0.128:0.128:0.129) (0.196:0.196:0.196))
(IOPATH A2 X (0.127:0.128:0.128) (0.216:0.216:0.216))
(IOPATH B1_N X (0.217:0.217:0.217) (0.247:0.247:0.247))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__o211a_1")
(INSTANCE _3052_)
(DELAY
(ABSOLUTE
(IOPATH A1 X (0.187:0.187:0.187) (0.240:0.240:0.240))
(IOPATH A2 X (0.154:0.154:0.154) (0.214:0.214:0.214))
(IOPATH B1 X (0.200:0.200:0.200) (0.191:0.191:0.191))
(IOPATH C1 X (0.136:0.136:0.136) (0.107:0.108:0.108))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__dlymetal6s2s_1")
(INSTANCE _3053_)
(DELAY
(ABSOLUTE
(IOPATH A X (0.283:0.283:0.283) (0.234:0.234:0.234))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__dlymetal6s2s_1")
(INSTANCE _3054_)
(DELAY
(ABSOLUTE
(IOPATH A X (0.272:0.272:0.272) (0.227:0.227:0.227))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__o21a_1")
(INSTANCE _3055_)
(DELAY
(ABSOLUTE
(IOPATH A1 X (0.169:0.169:0.169) (0.211:0.211:0.211))
(IOPATH A2 X (0.139:0.139:0.139) (0.188:0.188:0.188))
(IOPATH B1 X (0.163:0.163:0.163) (0.135:0.135:0.135))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__dlymetal6s2s_1")
(INSTANCE _3056_)
(DELAY
(ABSOLUTE
(IOPATH A X (0.231:0.231:0.231) (0.206:0.206:0.206))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__clkbuf_1")
(INSTANCE _3057_)
(DELAY
(ABSOLUTE
(IOPATH A X (0.202:0.202:0.202) (0.173:0.173:0.173))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__a21bo_1")
(INSTANCE _3058_)
(DELAY
(ABSOLUTE
(IOPATH A1 X (0.194:0.194:0.194) (0.225:0.225:0.225))
(IOPATH A2 X (0.181:0.181:0.181) (0.235:0.235:0.235))
(IOPATH B1_N X (0.244:0.244:0.244) (0.266:0.266:0.266))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__o221a_1")
(INSTANCE _3059_)
(DELAY
(ABSOLUTE
(IOPATH A1 X (0.210:0.210:0.210) (0.272:0.272:0.272))
(IOPATH A2 X (0.205:0.205:0.205) (0.255:0.255:0.255))
(IOPATH B1 X (0.161:0.162:0.162) (0.207:0.208:0.209))
(IOPATH B2 X (0.177:0.177:0.177) (0.206:0.206:0.207))
(IOPATH C1 X (0.180:0.180:0.180) (0.131:0.131:0.131))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__o21a_1")
(INSTANCE _3060_)
(DELAY
(ABSOLUTE
(IOPATH A1 X (0.186:0.186:0.186) (0.223:0.223:0.223))
(IOPATH A2 X (0.159:0.159:0.159) (0.204:0.204:0.204))
(IOPATH B1 X (0.180:0.180:0.180) (0.147:0.147:0.147))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__a21bo_1")
(INSTANCE _3061_)
(DELAY
(ABSOLUTE
(IOPATH A1 X (0.189:0.189:0.189) (0.222:0.222:0.222))
(IOPATH A2 X (0.181:0.181:0.181) (0.236:0.236:0.236))
(IOPATH B1_N X (0.239:0.239:0.239) (0.263:0.263:0.263))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__o221a_1")
(INSTANCE _3062_)
(DELAY
(ABSOLUTE
(IOPATH A1 X (0.210:0.210:0.210) (0.271:0.271:0.271))
(IOPATH A2 X (0.201:0.201:0.201) (0.251:0.251:0.251))
(IOPATH B1 X (0.167:0.167:0.167) (0.211:0.212:0.213))
(IOPATH B2 X (0.174:0.174:0.174) (0.204:0.204:0.205))
(IOPATH C1 X (0.179:0.179:0.179) (0.130:0.130:0.130))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__o21a_1")
(INSTANCE _3063_)
(DELAY
(ABSOLUTE
(IOPATH A1 X (0.176:0.176:0.176) (0.216:0.216:0.216))
(IOPATH A2 X (0.132:0.132:0.132) (0.178:0.178:0.178))
(IOPATH B1 X (0.170:0.170:0.170) (0.140:0.140:0.140))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__a21bo_1")
(INSTANCE _3064_)
(DELAY
(ABSOLUTE
(IOPATH A1 X (0.189:0.189:0.189) (0.221:0.221:0.221))
(IOPATH A2 X (0.183:0.183:0.183) (0.239:0.239:0.239))
(IOPATH B1_N X (0.238:0.238:0.238) (0.262:0.262:0.262))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__o221a_1")
(INSTANCE _3065_)
(DELAY
(ABSOLUTE
(IOPATH A1 X (0.217:0.217:0.217) (0.277:0.277:0.277))
(IOPATH A2 X (0.204:0.204:0.204) (0.254:0.254:0.254))
(IOPATH B1 X (0.169:0.170:0.170) (0.214:0.215:0.216))
(IOPATH B2 X (0.180:0.181:0.181) (0.210:0.210:0.211))
(IOPATH C1 X (0.186:0.186:0.186) (0.135:0.135:0.135))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__clkbuf_2")
(INSTANCE _3066_)
(DELAY
(ABSOLUTE
(IOPATH A X (0.203:0.203:0.203) (0.167:0.167:0.167))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__o21a_1")
(INSTANCE _3067_)
(DELAY
(ABSOLUTE
(IOPATH A1 X (0.190:0.190:0.190) (0.226:0.226:0.226))
(IOPATH A2 X (0.140:0.140:0.140) (0.183:0.183:0.183))
(IOPATH B1 X (0.184:0.184:0.184) (0.149:0.149:0.149))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__a21bo_1")
(INSTANCE _3068_)
(DELAY
(ABSOLUTE
(IOPATH A1 X (0.167:0.167:0.167) (0.206:0.206:0.206))
(IOPATH A2 X (0.168:0.168:0.168) (0.229:0.229:0.229))
(IOPATH B1_N X (0.216:0.216:0.216) (0.246:0.246:0.246))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__o221a_1")
(INSTANCE _3069_)
(DELAY
(ABSOLUTE
(IOPATH A1 X (0.196:0.196:0.196) (0.268:0.268:0.268))
(IOPATH A2 X (0.195:0.195:0.195) (0.246:0.246:0.246))
(IOPATH B1 X (0.174:0.175:0.175) (0.217:0.218:0.219))
(IOPATH B2 X (0.171:0.171:0.172) (0.204:0.204:0.204))
(IOPATH C1 X (0.185:0.185:0.185) (0.135:0.135:0.135))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__o21a_1")
(INSTANCE _3070_)
(DELAY
(ABSOLUTE
(IOPATH A1 X (0.192:0.192:0.192) (0.228:0.228:0.228))
(IOPATH A2 X (0.141:0.141:0.141) (0.184:0.184:0.184))
(IOPATH B1 X (0.186:0.186:0.186) (0.151:0.151:0.151))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__clkbuf_1")
(INSTANCE _3071_)
(DELAY
(ABSOLUTE
(IOPATH A X (0.195:0.195:0.195) (0.169:0.169:0.169))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__a21bo_1")
(INSTANCE _3072_)
(DELAY
(ABSOLUTE
(IOPATH A1 X (0.157:0.157:0.157) (0.198:0.198:0.198))
(IOPATH A2 X (0.157:0.157:0.157) (0.220:0.220:0.220))
(IOPATH B1_N X (0.205:0.205:0.205) (0.237:0.237:0.237))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__dlymetal6s2s_1")
(INSTANCE _3073_)
(DELAY
(ABSOLUTE
(IOPATH A X (0.248:0.248:0.248) (0.220:0.220:0.220))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__o221a_1")
(INSTANCE _3074_)
(DELAY
(ABSOLUTE
(IOPATH A1 X (0.190:0.190:0.190) (0.263:0.263:0.263))
(IOPATH A2 X (0.190:0.190:0.190) (0.242:0.242:0.242))
(IOPATH B1 X (0.169:0.170:0.170) (0.213:0.214:0.215))
(IOPATH B2 X (0.161:0.162:0.162) (0.196:0.196:0.196))
(IOPATH C1 X (0.195:0.195:0.195) (0.131:0.131:0.131))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__dlymetal6s2s_1")
(INSTANCE _3075_)
(DELAY
(ABSOLUTE
(IOPATH A X (0.246:0.246:0.246) (0.214:0.214:0.214))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__dlymetal6s2s_1")
(INSTANCE _3076_)
(DELAY
(ABSOLUTE
(IOPATH A X (0.256:0.256:0.256) (0.219:0.219:0.219))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__o21a_1")
(INSTANCE _3077_)
(DELAY
(ABSOLUTE
(IOPATH A1 X (0.179:0.179:0.179) (0.218:0.218:0.218))
(IOPATH A2 X (0.148:0.148:0.148) (0.190:0.190:0.190))
(IOPATH B1 X (0.180:0.180:0.180) (0.146:0.146:0.146))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__dlymetal6s2s_1")
(INSTANCE _3078_)
(DELAY
(ABSOLUTE
(IOPATH A X (0.232:0.232:0.232) (0.207:0.207:0.207))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__a21bo_1")
(INSTANCE _3079_)
(DELAY
(ABSOLUTE
(IOPATH A1 X (0.161:0.161:0.161) (0.201:0.201:0.201))
(IOPATH A2 X (0.160:0.160:0.160) (0.223:0.223:0.223))
(IOPATH B1_N X (0.209:0.209:0.209) (0.240:0.240:0.240))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__o221a_1")
(INSTANCE _3080_)
(DELAY
(ABSOLUTE
(IOPATH A1 X (0.196:0.196:0.196) (0.268:0.268:0.268))
(IOPATH A2 X (0.193:0.193:0.193) (0.245:0.245:0.245))
(IOPATH B1 X (0.175:0.176:0.176) (0.218:0.219:0.220))
(IOPATH B2 X (0.169:0.170:0.170) (0.203:0.203:0.203))
(IOPATH C1 X (0.202:0.202:0.202) (0.135:0.135:0.135))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__o21a_1")
(INSTANCE _3081_)
(DELAY
(ABSOLUTE
(IOPATH A1 X (0.175:0.175:0.175) (0.215:0.215:0.215))
(IOPATH A2 X (0.144:0.144:0.144) (0.187:0.187:0.187))
(IOPATH B1 X (0.176:0.176:0.176) (0.144:0.144:0.144))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__a21bo_1")
(INSTANCE _3082_)
(DELAY
(ABSOLUTE
(IOPATH A1 X (0.149:0.149:0.149) (0.192:0.192:0.192))
(IOPATH A2 X (0.145:0.145:0.145) (0.211:0.211:0.211))
(IOPATH B1_N X (0.197:0.197:0.197) (0.230:0.230:0.230))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__o221a_1")
(INSTANCE _3083_)
(DELAY
(ABSOLUTE
(IOPATH A1 X (0.193:0.193:0.193) (0.265:0.265:0.265))
(IOPATH A2 X (0.190:0.190:0.190) (0.242:0.242:0.242))
(IOPATH B1 X (0.171:0.171:0.171) (0.214:0.215:0.216))
(IOPATH B2 X (0.161:0.162:0.162) (0.196:0.197:0.197))
(IOPATH C1 X (0.198:0.198:0.198) (0.133:0.133:0.133))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__o21a_1")
(INSTANCE _3084_)
(DELAY
(ABSOLUTE
(IOPATH A1 X (0.160:0.160:0.160) (0.204:0.204:0.204))
(IOPATH A2 X (0.128:0.128:0.128) (0.175:0.175:0.175))
(IOPATH B1 X (0.161:0.161:0.161) (0.133:0.133:0.133))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__a21bo_1")
(INSTANCE _3085_)
(DELAY
(ABSOLUTE
(IOPATH A1 X (0.156:0.156:0.156) (0.197:0.197:0.197))
(IOPATH A2 X (0.163:0.163:0.163) (0.226:0.226:0.226))
(IOPATH B1_N X (0.203:0.203:0.203) (0.235:0.235:0.235))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__o221a_1")
(INSTANCE _3086_)
(DELAY
(ABSOLUTE
(IOPATH A1 X (0.190:0.190:0.190) (0.262:0.262:0.262))
(IOPATH A2 X (0.187:0.187:0.187) (0.240:0.240:0.240))
(IOPATH B1 X (0.161:0.162:0.162) (0.207:0.208:0.209))
(IOPATH B2 X (0.161:0.161:0.161) (0.195:0.195:0.196))
(IOPATH C1 X (0.195:0.195:0.195) (0.131:0.131:0.131))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__o21a_1")
(INSTANCE _3087_)
(DELAY
(ABSOLUTE
(IOPATH A1 X (0.189:0.189:0.189) (0.225:0.225:0.225))
(IOPATH A2 X (0.148:0.148:0.148) (0.189:0.189:0.189))
(IOPATH B1 X (0.190:0.190:0.190) (0.153:0.153:0.153))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__a21bo_1")
(INSTANCE _3088_)
(DELAY
(ABSOLUTE
(IOPATH A1 X (0.159:0.159:0.159) (0.199:0.199:0.199))
(IOPATH A2 X (0.157:0.157:0.157) (0.220:0.220:0.220))
(IOPATH B1_N X (0.206:0.206:0.206) (0.238:0.238:0.238))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__o221a_1")
(INSTANCE _3089_)
(DELAY
(ABSOLUTE
(IOPATH A1 X (0.216:0.216:0.216) (0.277:0.277:0.277))
(IOPATH A2 X (0.213:0.213:0.213) (0.261:0.261:0.261))
(IOPATH B1 X (0.185:0.185:0.185) (0.226:0.227:0.228))
(IOPATH B2 X (0.174:0.174:0.175) (0.207:0.207:0.207))
(IOPATH C1 X (0.207:0.207:0.207) (0.139:0.139:0.139))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__o21a_1")
(INSTANCE _3090_)
(DELAY
(ABSOLUTE
(IOPATH A1 X (0.188:0.188:0.188) (0.225:0.225:0.225))
(IOPATH A2 X (0.143:0.143:0.143) (0.186:0.186:0.186))
(IOPATH B1 X (0.189:0.189:0.189) (0.152:0.152:0.152))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__a21bo_1")
(INSTANCE _3091_)
(DELAY
(ABSOLUTE
(IOPATH A1 X (0.149:0.149:0.149) (0.192:0.192:0.192))
(IOPATH A2 X (0.134:0.134:0.134) (0.208:0.208:0.208))
(IOPATH B1_N X (0.224:0.224:0.224) (0.240:0.240:0.240))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__o221a_1")
(INSTANCE _3092_)
(DELAY
(ABSOLUTE
(IOPATH A1 X (0.216:0.216:0.216) (0.277:0.277:0.277))
(IOPATH A2 X (0.216:0.216:0.216) (0.264:0.264:0.264))
(IOPATH B1 X (0.184:0.185:0.185) (0.226:0.227:0.228))
(IOPATH B2 X (0.170:0.171:0.171) (0.204:0.205:0.205))
(IOPATH C1 X (0.238:0.238:0.238) (0.183:0.183:0.183))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__or3b_1")
(INSTANCE _3093_)
(DELAY
(ABSOLUTE
(IOPATH A X (0.144:0.144:0.144) (0.390:0.390:0.390))
(IOPATH B X (0.142:0.142:0.142) (0.379:0.379:0.379))
(IOPATH C_N X (0.196:0.196:0.196) (0.383:0.383:0.383))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__or3b_1")
(INSTANCE _3094_)
(DELAY
(ABSOLUTE
(IOPATH A X (0.155:0.155:0.155) (0.394:0.394:0.394))
(IOPATH B X (0.131:0.131:0.131) (0.357:0.357:0.357))
(IOPATH C_N X (0.176:0.176:0.176) (0.366:0.366:0.366))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__a21bo_1")
(INSTANCE _3095_)
(DELAY
(ABSOLUTE
(IOPATH A1 X (0.131:0.132:0.132) (0.199:0.199:0.199))
(IOPATH A2 X (0.133:0.133:0.133) (0.220:0.220:0.220))
(IOPATH B1_N X (0.224:0.224:0.224) (0.252:0.252:0.252))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__o211a_1")
(INSTANCE _3096_)
(DELAY
(ABSOLUTE
(IOPATH A1 X (0.183:0.183:0.183) (0.237:0.237:0.237))
(IOPATH A2 X (0.137:0.137:0.137) (0.199:0.199:0.199))
(IOPATH B1 X (0.189:0.189:0.189) (0.152:0.152:0.152))
(IOPATH C1 X (0.136:0.136:0.136) (0.107:0.108:0.108))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__or2b_1")
(INSTANCE _3097_)
(DELAY
(ABSOLUTE
(IOPATH A X (0.150:0.150:0.150) (0.259:0.259:0.259))
(IOPATH B_N X (0.183:0.183:0.183) (0.246:0.246:0.246))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__and3b_1")
(INSTANCE _3098_)
(DELAY
(ABSOLUTE
(IOPATH A_N X (0.236:0.236:0.236) (0.206:0.206:0.206))
(IOPATH B X (0.153:0.154:0.155) (0.164:0.164:0.164))
(IOPATH C X (0.199:0.199:0.199) (0.205:0.205:0.205))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__clkbuf_1")
(INSTANCE _3099_)
(DELAY
(ABSOLUTE
(IOPATH A X (0.095:0.095:0.096) (0.091:0.091:0.092))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__or2_1")
(INSTANCE _3100_)
(DELAY
(ABSOLUTE
(IOPATH A X (0.155:0.155:0.155) (0.259:0.259:0.259))
(IOPATH B X (0.129:0.129:0.129) (0.226:0.226:0.226))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__and3_1")
(INSTANCE _3101_)
(DELAY
(ABSOLUTE
(IOPATH A X (0.188:0.188:0.188) (0.178:0.178:0.178))
(IOPATH B X (0.217:0.217:0.217) (0.227:0.227:0.227))
(IOPATH C X (0.169:0.169:0.169) (0.190:0.190:0.190))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__clkbuf_1")
(INSTANCE _3102_)
(DELAY
(ABSOLUTE
(IOPATH A X (0.114:0.114:0.115) (0.102:0.103:0.104))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__and2_1")
(INSTANCE _3103_)
(DELAY
(ABSOLUTE
(IOPATH A X (0.243:0.243:0.243) (0.229:0.229:0.229))
(IOPATH B X (0.205:0.205:0.205) (0.209:0.209:0.209))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__nand2_1")
(INSTANCE _3104_)
(DELAY
(ABSOLUTE
(IOPATH A Y (0.098:0.098:0.098) (0.100:0.100:0.100))
(IOPATH B Y (0.088:0.089:0.089) (0.084:0.084:0.084))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__or2_1")
(INSTANCE _3105_)
(DELAY
(ABSOLUTE
(IOPATH A X (0.136:0.136:0.136) (0.242:0.242:0.242))
(IOPATH B X (0.113:0.114:0.114) (0.209:0.209:0.209))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__and3_1")
(INSTANCE _3106_)
(DELAY
(ABSOLUTE
(IOPATH A X (0.211:0.211:0.211) (0.180:0.180:0.180))
(IOPATH B X (0.173:0.174:0.174) (0.179:0.184:0.189))
(IOPATH C X (0.172:0.172:0.172) (0.192:0.192:0.192))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__clkbuf_1")
(INSTANCE _3107_)
(DELAY
(ABSOLUTE
(IOPATH A X (0.097:0.097:0.097) (0.093:0.093:0.094))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__and3_2")
(INSTANCE _3108_)
(DELAY
(ABSOLUTE
(IOPATH A X (0.354:0.354:0.354) (0.285:0.285:0.285))
(IOPATH B X (0.377:0.377:0.377) (0.324:0.324:0.324))
(IOPATH C X (0.344:0.344:0.344) (0.322:0.322:0.322))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__nand2_2")
(INSTANCE _3109_)
(DELAY
(ABSOLUTE
(IOPATH A Y (0.178:0.178:0.178) (0.157:0.157:0.157))
(IOPATH B Y (0.168:0.168:0.169) (0.150:0.150:0.150))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__clkbuf_2")
(INSTANCE _3110_)
(DELAY
(ABSOLUTE
(IOPATH A X (0.212:0.212:0.212) (0.194:0.197:0.199))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__clkbuf_2")
(INSTANCE _3111_)
(DELAY
(ABSOLUTE
(IOPATH A X (0.235:0.235:0.235) (0.190:0.190:0.190))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__o211a_1")
(INSTANCE _3112_)
(DELAY
(ABSOLUTE
(IOPATH A1 X (0.255:0.255:0.255) (0.308:0.308:0.308))
(IOPATH A2 X (0.226:0.226:0.226) (0.276:0.277:0.278))
(IOPATH B1 X (0.229:0.229:0.229) (0.191:0.191:0.191))
(IOPATH C1 X (0.221:0.221:0.221) (0.171:0.171:0.171))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__and3_4")
(INSTANCE _3113_)
(DELAY
(ABSOLUTE
(IOPATH A X (0.333:0.333:0.333) (0.253:0.253:0.253))
(IOPATH B X (0.324:0.324:0.324) (0.252:0.252:0.252))
(IOPATH C X (0.300:0.300:0.300) (0.246:0.246:0.247))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__clkbuf_1")
(INSTANCE _3114_)
(DELAY
(ABSOLUTE
(IOPATH A X (0.195:0.195:0.195) (0.149:0.150:0.151))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__or2_1")
(INSTANCE _3115_)
(DELAY
(ABSOLUTE
(IOPATH A X (0.111:0.111:0.111) (0.228:0.228:0.228))
(IOPATH B X (0.132:0.132:0.132) (0.223:0.223:0.223))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__o211a_1")
(INSTANCE _3116_)
(DELAY
(ABSOLUTE
(IOPATH A1 X (0.166:0.166:0.166) (0.224:0.224:0.224))
(IOPATH A2 X (0.153:0.153:0.153) (0.223:0.223:0.223))
(IOPATH B1 X (0.141:0.141:0.141) (0.126:0.126:0.126))
(IOPATH C1 X (0.159:0.159:0.159) (0.128:0.128:0.128))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__or2_1")
(INSTANCE _3117_)
(DELAY
(ABSOLUTE
(IOPATH A X (0.119:0.119:0.119) (0.233:0.233:0.233))
(IOPATH B X (0.134:0.134:0.134) (0.225:0.225:0.225))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__o211a_1")
(INSTANCE _3118_)
(DELAY
(ABSOLUTE
(IOPATH A1 X (0.169:0.169:0.169) (0.226:0.226:0.226))
(IOPATH A2 X (0.151:0.151:0.151) (0.221:0.221:0.221))
(IOPATH B1 X (0.139:0.139:0.139) (0.124:0.124:0.125))
(IOPATH C1 X (0.157:0.157:0.157) (0.126:0.126:0.126))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__or2_1")
(INSTANCE _3119_)
(DELAY
(ABSOLUTE
(IOPATH A X (0.108:0.108:0.108) (0.224:0.224:0.224))
(IOPATH B X (0.126:0.126:0.126) (0.217:0.217:0.217))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__o211a_1")
(INSTANCE _3120_)
(DELAY
(ABSOLUTE
(IOPATH A1 X (0.167:0.167:0.167) (0.224:0.224:0.224))
(IOPATH A2 X (0.146:0.146:0.146) (0.217:0.217:0.217))
(IOPATH B1 X (0.132:0.132:0.132) (0.118:0.118:0.119))
(IOPATH C1 X (0.152:0.152:0.152) (0.123:0.123:0.123))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__or2_1")
(INSTANCE _3121_)
(DELAY
(ABSOLUTE
(IOPATH A X (0.117:0.117:0.117) (0.232:0.232:0.232))
(IOPATH B X (0.133:0.133:0.133) (0.224:0.224:0.224))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__o211a_1")
(INSTANCE _3122_)
(DELAY
(ABSOLUTE
(IOPATH A1 X (0.175:0.175:0.175) (0.231:0.231:0.231))
(IOPATH A2 X (0.148:0.148:0.148) (0.218:0.218:0.218))
(IOPATH B1 X (0.136:0.136:0.136) (0.122:0.122:0.122))
(IOPATH C1 X (0.154:0.154:0.154) (0.124:0.124:0.124))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__dlymetal6s2s_1")
(INSTANCE _3123_)
(DELAY
(ABSOLUTE
(IOPATH A X (0.235:0.235:0.235) (0.223:0.226:0.229))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__or2_1")
(INSTANCE _3124_)
(DELAY
(ABSOLUTE
(IOPATH A X (0.115:0.115:0.115) (0.230:0.230:0.230))
(IOPATH B X (0.130:0.130:0.130) (0.222:0.222:0.222))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__dlymetal6s2s_1")
(INSTANCE _3125_)
(DELAY
(ABSOLUTE
(IOPATH A X (0.248:0.248:0.248) (0.221:0.221:0.221))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__o211a_1")
(INSTANCE _3126_)
(DELAY
(ABSOLUTE
(IOPATH A1 X (0.163:0.163:0.163) (0.221:0.221:0.221))
(IOPATH A2 X (0.156:0.156:0.156) (0.215:0.215:0.215))
(IOPATH B1 X (0.132:0.132:0.132) (0.119:0.119:0.119))
(IOPATH C1 X (0.165:0.165:0.165) (0.123:0.123:0.123))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__clkbuf_1")
(INSTANCE _3127_)
(DELAY
(ABSOLUTE
(IOPATH A X (0.242:0.242:0.242) (0.174:0.175:0.176))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__or2_1")
(INSTANCE _3128_)
(DELAY
(ABSOLUTE
(IOPATH A X (0.116:0.116:0.116) (0.230:0.230:0.230))
(IOPATH B X (0.144:0.144:0.144) (0.235:0.235:0.235))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__o211a_1")
(INSTANCE _3129_)
(DELAY
(ABSOLUTE
(IOPATH A1 X (0.167:0.167:0.167) (0.225:0.225:0.225))
(IOPATH A2 X (0.156:0.156:0.156) (0.215:0.215:0.215))
(IOPATH B1 X (0.132:0.132:0.132) (0.119:0.119:0.119))
(IOPATH C1 X (0.165:0.165:0.165) (0.123:0.123:0.123))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__or2_1")
(INSTANCE _3130_)
(DELAY
(ABSOLUTE
(IOPATH A X (0.108:0.108:0.108) (0.224:0.224:0.224))
(IOPATH B X (0.140:0.140:0.140) (0.231:0.231:0.231))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__o211a_1")
(INSTANCE _3131_)
(DELAY
(ABSOLUTE
(IOPATH A1 X (0.178:0.178:0.178) (0.234:0.234:0.234))
(IOPATH A2 X (0.165:0.165:0.165) (0.224:0.224:0.224))
(IOPATH B1 X (0.140:0.140:0.140) (0.125:0.125:0.125))
(IOPATH C1 X (0.174:0.174:0.174) (0.130:0.130:0.130))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__or2_1")
(INSTANCE _3132_)
(DELAY
(ABSOLUTE
(IOPATH A X (0.102:0.102:0.102) (0.221:0.221:0.221))
(IOPATH B X (0.139:0.139:0.139) (0.230:0.230:0.230))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__o211a_1")
(INSTANCE _3133_)
(DELAY
(ABSOLUTE
(IOPATH A1 X (0.166:0.166:0.166) (0.224:0.224:0.224))
(IOPATH A2 X (0.154:0.154:0.154) (0.213:0.214:0.214))
(IOPATH B1 X (0.128:0.128:0.129) (0.116:0.116:0.116))
(IOPATH C1 X (0.163:0.163:0.163) (0.121:0.121:0.121))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__or2_1")
(INSTANCE _3134_)
(DELAY
(ABSOLUTE
(IOPATH A X (0.098:0.098:0.098) (0.218:0.218:0.218))
(IOPATH B X (0.137:0.137:0.137) (0.228:0.228:0.228))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__o211a_1")
(INSTANCE _3135_)
(DELAY
(ABSOLUTE
(IOPATH A1 X (0.161:0.161:0.161) (0.219:0.219:0.219))
(IOPATH A2 X (0.155:0.155:0.155) (0.215:0.215:0.215))
(IOPATH B1 X (0.129:0.130:0.130) (0.116:0.116:0.116))
(IOPATH C1 X (0.165:0.165:0.165) (0.123:0.123:0.123))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__or2_1")
(INSTANCE _3136_)
(DELAY
(ABSOLUTE
(IOPATH A X (0.099:0.099:0.099) (0.219:0.219:0.219))
(IOPATH B X (0.139:0.139:0.139) (0.230:0.230:0.230))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__o211a_1")
(INSTANCE _3137_)
(DELAY
(ABSOLUTE
(IOPATH A1 X (0.171:0.171:0.171) (0.228:0.228:0.228))
(IOPATH A2 X (0.147:0.147:0.147) (0.225:0.228:0.230))
(IOPATH B1 X (0.133:0.133:0.133) (0.119:0.119:0.119))
(IOPATH C1 X (0.161:0.161:0.161) (0.132:0.132:0.132))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__or2_1")
(INSTANCE _3138_)
(DELAY
(ABSOLUTE
(IOPATH A X (0.104:0.104:0.104) (0.221:0.221:0.221))
(IOPATH B X (0.124:0.124:0.124) (0.216:0.217:0.218))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__o211a_1")
(INSTANCE _3139_)
(DELAY
(ABSOLUTE
(IOPATH A1 X (0.168:0.168:0.168) (0.225:0.225:0.225))
(IOPATH A2 X (0.144:0.145:0.145) (0.222:0.225:0.228))
(IOPATH B1 X (0.130:0.130:0.130) (0.117:0.117:0.117))
(IOPATH C1 X (0.159:0.159:0.159) (0.129:0.129:0.129))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__or2_1")
(INSTANCE _3140_)
(DELAY
(ABSOLUTE
(IOPATH A X (0.108:0.108:0.108) (0.226:0.226:0.226))
(IOPATH B X (0.129:0.130:0.130) (0.223:0.223:0.224))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__o211a_1")
(INSTANCE _3141_)
(DELAY
(ABSOLUTE
(IOPATH A1 X (0.169:0.169:0.169) (0.226:0.226:0.226))
(IOPATH A2 X (0.145:0.145:0.145) (0.223:0.226:0.228))
(IOPATH B1 X (0.132:0.133:0.133) (0.119:0.119:0.119))
(IOPATH C1 X (0.159:0.159:0.159) (0.130:0.130:0.130))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__clkbuf_4")
(INSTANCE _3142_)
(DELAY
(ABSOLUTE
(IOPATH A X (0.277:0.277:0.277) (0.228:0.228:0.228))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__clkbuf_4")
(INSTANCE _3143_)
(DELAY
(ABSOLUTE
(IOPATH A X (0.289:0.289:0.289) (0.234:0.234:0.234))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__or2_4")
(INSTANCE _3144_)
(DELAY
(ABSOLUTE
(IOPATH A X (0.364:0.364:0.364) (0.400:0.400:0.400))
(IOPATH B X (0.363:0.363:0.363) (0.380:0.380:0.380))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__or3_4")
(INSTANCE _3145_)
(DELAY
(ABSOLUTE
(IOPATH A X (0.255:0.255:0.255) (0.483:0.483:0.483))
(IOPATH B X (0.253:0.253:0.253) (0.463:0.463:0.463))
(IOPATH C X (0.251:0.251:0.251) (0.424:0.424:0.424))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__or3_1")
(INSTANCE _3146_)
(DELAY
(ABSOLUTE
(IOPATH A X (0.222:0.222:0.222) (0.457:0.457:0.457))
(IOPATH B X (0.211:0.211:0.211) (0.430:0.430:0.430))
(IOPATH C X (0.160:0.161:0.161) (0.372:0.372:0.372))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__buf_6")
(INSTANCE _3147_)
(DELAY
(ABSOLUTE
(IOPATH A X (0.258:0.258:0.258) (0.212:0.212:0.212))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__nor2_8")
(INSTANCE _3148_)
(DELAY
(ABSOLUTE
(IOPATH A Y (0.422:0.422:0.422) (0.158:0.158:0.158))
(IOPATH B Y (0.374:0.374:0.374) (0.122:0.122:0.122))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__clkinv_2")
(INSTANCE _3149_)
(DELAY
(ABSOLUTE
(IOPATH A Y (0.067:0.067:0.067) (0.077:0.077:0.077))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__inv_2")
(INSTANCE _3150_)
(DELAY
(ABSOLUTE
(IOPATH A Y (0.048:0.048:0.048) (0.036:0.036:0.036))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__dlymetal6s2s_1")
(INSTANCE _3151_)
(DELAY
(ABSOLUTE
(IOPATH A X (0.265:0.265:0.265) (0.244:0.244:0.244))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__clkbuf_2")
(INSTANCE _3152_)
(DELAY
(ABSOLUTE
(IOPATH A X (0.242:0.242:0.242) (0.204:0.204:0.204))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__or3b_2")
(INSTANCE _3153_)
(DELAY
(ABSOLUTE
(IOPATH A X (0.224:0.224:0.224) (0.554:0.554:0.554))
(IOPATH B X (0.197:0.197:0.197) (0.534:0.534:0.534))
(IOPATH C_N X (0.269:0.269:0.269) (0.528:0.528:0.528))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__buf_12")
(INSTANCE _3154_)
(DELAY
(ABSOLUTE
(IOPATH A X (0.211:0.211:0.211) (0.201:0.201:0.201))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__clkinv_2")
(INSTANCE _3155_)
(DELAY
(ABSOLUTE
(IOPATH A Y (0.113:0.113:0.113) (0.132:0.132:0.132))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__nand2_8")
(INSTANCE _3156_)
(DELAY
(ABSOLUTE
(IOPATH A Y (0.174:0.174:0.174) (0.147:0.147:0.147))
(IOPATH B Y (0.190:0.190:0.190) (0.134:0.134:0.134))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__nor2_2")
(INSTANCE _3157_)
(DELAY
(ABSOLUTE
(IOPATH A Y (0.325:0.325:0.325) (0.126:0.126:0.126))
(IOPATH B Y (0.316:0.317:0.318) (0.116:0.117:0.118))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__clkbuf_2")
(INSTANCE _3158_)
(DELAY
(ABSOLUTE
(IOPATH A X (0.261:0.261:0.261) (0.183:0.184:0.185))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__nand2_2")
(INSTANCE _3159_)
(DELAY
(ABSOLUTE
(IOPATH A Y (0.154:0.154:0.154) (0.129:0.129:0.129))
(IOPATH B Y (0.164:0.164:0.164) (0.133:0.133:0.133))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__clkbuf_4")
(INSTANCE _3160_)
(DELAY
(ABSOLUTE
(IOPATH A X (0.309:0.310:0.311) (0.269:0.270:0.270))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__nor2_2")
(INSTANCE _3161_)
(DELAY
(ABSOLUTE
(IOPATH A Y (0.277:0.277:0.277) (0.115:0.115:0.115))
(IOPATH B Y (0.276:0.276:0.276) (0.119:0.119:0.119))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__clkbuf_4")
(INSTANCE _3162_)
(DELAY
(ABSOLUTE
(IOPATH A X (0.355:0.355:0.355) (0.258:0.260:0.261))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__clkinv_2")
(INSTANCE _3163_)
(DELAY
(ABSOLUTE
(IOPATH A Y (0.113:0.113:0.113) (0.133:0.133:0.133))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__nand2_8")
(INSTANCE _3164_)
(DELAY
(ABSOLUTE
(IOPATH A Y (0.152:0.152:0.152) (0.112:0.112:0.112))
(IOPATH B Y (0.159:0.159:0.159) (0.123:0.123:0.123))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__nor2_4")
(INSTANCE _3165_)
(DELAY
(ABSOLUTE
(IOPATH A Y (0.339:0.339:0.339) (0.103:0.103:0.104))
(IOPATH B Y (0.311:0.311:0.312) (0.102:0.103:0.104))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__clkbuf_4")
(INSTANCE _3166_)
(DELAY
(ABSOLUTE
(IOPATH A X (0.351:0.352:0.352) (0.245:0.246:0.246))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__nor2_1")
(INSTANCE _3167_)
(DELAY
(ABSOLUTE
(IOPATH A Y (0.323:0.323:0.323) (0.166:0.166:0.166))
(IOPATH B Y (0.314:0.314:0.314) (0.167:0.167:0.167))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__or2_1")
(INSTANCE _3168_)
(DELAY
(ABSOLUTE
(IOPATH A X (0.158:0.159:0.159) (0.248:0.248:0.249))
(IOPATH B X (0.129:0.129:0.129) (0.220:0.220:0.220))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__a22o_1")
(INSTANCE _3169_)
(DELAY
(ABSOLUTE
(IOPATH A1 X (0.201:0.201:0.201) (0.245:0.245:0.245))
(IOPATH A2 X (0.224:0.224:0.224) (0.297:0.297:0.297))
(IOPATH B1 X (0.164:0.164:0.165) (0.211:0.211:0.211))
(IOPATH B2 X (0.183:0.183:0.183) (0.231:0.231:0.231))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__a221o_4")
(INSTANCE _3170_)
(DELAY
(ABSOLUTE
(IOPATH A1 X (0.469:0.469:0.469) (0.584:0.584:0.584))
(IOPATH A2 X (0.411:0.411:0.411) (0.607:0.607:0.607))
(IOPATH B1 X (0.432:0.432:0.432) (0.550:0.550:0.550))
(IOPATH B2 X (0.460:0.460:0.460) (0.610:0.610:0.610))
(IOPATH C1 X (0.342:0.342:0.342) (0.498:0.498:0.498))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__nor2_8")
(INSTANCE _3171_)
(DELAY
(ABSOLUTE
(IOPATH A Y (0.382:0.382:0.382) (0.148:0.148:0.148))
(IOPATH B Y (0.360:0.360:0.361) (0.110:0.111:0.111))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__nor3_2")
(INSTANCE _3172_)
(DELAY
(ABSOLUTE
(IOPATH A Y (0.417:0.417:0.417) (0.146:0.146:0.146))
(IOPATH B Y (0.396:0.396:0.396) (0.142:0.142:0.142))
(IOPATH C Y (0.350:0.350:0.350) (0.135:0.135:0.135))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__clkbuf_2")
(INSTANCE _3173_)
(DELAY
(ABSOLUTE
(IOPATH A X (0.269:0.269:0.269) (0.189:0.190:0.192))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__and2b_1")
(INSTANCE _3174_)
(DELAY
(ABSOLUTE
(IOPATH A_N X (0.344:0.344:0.344) (0.295:0.295:0.295))
(IOPATH B X (0.280:0.280:0.280) (0.271:0.271:0.271))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__and3_4")
(INSTANCE _3175_)
(DELAY
(ABSOLUTE
(IOPATH A X (0.436:0.436:0.436) (0.271:0.272:0.272))
(IOPATH B X (0.385:0.385:0.385) (0.286:0.286:0.286))
(IOPATH C X (0.389:0.389:0.389) (0.297:0.297:0.297))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__clkbuf_2")
(INSTANCE _3176_)
(DELAY
(ABSOLUTE
(IOPATH A X (0.225:0.225:0.225) (0.182:0.182:0.183))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__a22o_1")
(INSTANCE _3177_)
(DELAY
(ABSOLUTE
(IOPATH A1 X (0.142:0.142:0.142) (0.207:0.207:0.207))
(IOPATH A2 X (0.215:0.215:0.215) (0.248:0.254:0.261))
(IOPATH B1 X (0.142:0.142:0.142) (0.190:0.190:0.190))
(IOPATH B2 X (0.121:0.121:0.121) (0.190:0.190:0.190))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__nor2_8")
(INSTANCE _3178_)
(DELAY
(ABSOLUTE
(IOPATH A Y (0.312:0.312:0.312) (0.098:0.098:0.098))
(IOPATH B Y (0.298:0.299:0.300) (0.102:0.103:0.104))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__buf_4")
(INSTANCE _3179_)
(DELAY
(ABSOLUTE
(IOPATH A X (0.340:0.340:0.341) (0.259:0.259:0.259))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__nor2_4")
(INSTANCE _3180_)
(DELAY
(ABSOLUTE
(IOPATH A Y (0.296:0.296:0.296) (0.127:0.127:0.127))
(IOPATH B Y (0.285:0.286:0.287) (0.103:0.104:0.105))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__a32o_1")
(INSTANCE _3181_)
(DELAY
(ABSOLUTE
(IOPATH A1 X (0.158:0.158:0.158) (0.216:0.216:0.216))
(IOPATH A2 X (0.229:0.229:0.229) (0.262:0.262:0.263))
(IOPATH A3 X (0.221:0.221:0.221) (0.298:0.298:0.298))
(IOPATH B1 X (0.182:0.182:0.182) (0.218:0.221:0.225))
(IOPATH B2 X (0.130:0.130:0.130) (0.211:0.211:0.211))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__nor2_2")
(INSTANCE _3182_)
(DELAY
(ABSOLUTE
(IOPATH A Y (0.273:0.273:0.273) (0.136:0.136:0.136))
(IOPATH B Y (0.224:0.224:0.224) (0.100:0.100:0.100))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__a22o_1")
(INSTANCE _3183_)
(DELAY
(ABSOLUTE
(IOPATH A1 X (0.187:0.187:0.187) (0.240:0.240:0.240))
(IOPATH A2 X (0.265:0.265:0.265) (0.284:0.284:0.284))
(IOPATH B1 X (0.231:0.231:0.231) (0.230:0.236:0.241))
(IOPATH B2 X (0.181:0.181:0.181) (0.231:0.231:0.231))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__a221o_4")
(INSTANCE _3184_)
(DELAY
(ABSOLUTE
(IOPATH A1 X (0.237:0.237:0.237) (0.449:0.449:0.449))
(IOPATH A2 X (0.309:0.310:0.310) (0.514:0.515:0.516))
(IOPATH B1 X (0.300:0.300:0.300) (0.438:0.439:0.441))
(IOPATH B2 X (0.254:0.254:0.254) (0.475:0.475:0.475))
(IOPATH C1 X (0.206:0.206:0.206) (0.413:0.413:0.413))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__or3_1")
(INSTANCE _3185_)
(DELAY
(ABSOLUTE
(IOPATH A X (0.143:0.144:0.144) (0.411:0.412:0.412))
(IOPATH B X (0.146:0.147:0.148) (0.389:0.389:0.390))
(IOPATH C X (0.166:0.167:0.168) (0.372:0.373:0.374))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__buf_12")
(INSTANCE _3186_)
(DELAY
(ABSOLUTE
(IOPATH A X (0.169:0.169:0.169) (0.176:0.176:0.177))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__a22o_1")
(INSTANCE _3187_)
(DELAY
(ABSOLUTE
(IOPATH A1 X (0.136:0.136:0.136) (0.202:0.202:0.202))
(IOPATH A2 X (0.210:0.210:0.210) (0.243:0.250:0.256))
(IOPATH B1 X (0.172:0.172:0.172) (0.202:0.202:0.203))
(IOPATH B2 X (0.130:0.130:0.130) (0.193:0.193:0.193))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__a32o_1")
(INSTANCE _3188_)
(DELAY
(ABSOLUTE
(IOPATH A1 X (0.178:0.178:0.178) (0.229:0.229:0.229))
(IOPATH A2 X (0.251:0.251:0.252) (0.277:0.278:0.278))
(IOPATH A3 X (0.243:0.243:0.243) (0.313:0.313:0.313))
(IOPATH B1 X (0.204:0.204:0.204) (0.233:0.236:0.240))
(IOPATH B2 X (0.147:0.147:0.147) (0.224:0.224:0.224))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__a22o_1")
(INSTANCE _3189_)
(DELAY
(ABSOLUTE
(IOPATH A1 X (0.168:0.168:0.168) (0.227:0.227:0.227))
(IOPATH A2 X (0.245:0.245:0.245) (0.270:0.271:0.271))
(IOPATH B1 X (0.211:0.211:0.211) (0.217:0.223:0.228))
(IOPATH B2 X (0.163:0.163:0.163) (0.219:0.219:0.219))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__a221o_4")
(INSTANCE _3190_)
(DELAY
(ABSOLUTE
(IOPATH A1 X (0.254:0.254:0.254) (0.461:0.461:0.461))
(IOPATH A2 X (0.325:0.325:0.325) (0.526:0.527:0.528))
(IOPATH B1 X (0.315:0.316:0.316) (0.449:0.450:0.452))
(IOPATH B2 X (0.258:0.258:0.258) (0.480:0.480:0.480))
(IOPATH C1 X (0.211:0.211:0.211) (0.421:0.421:0.421))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__or3_2")
(INSTANCE _3191_)
(DELAY
(ABSOLUTE
(IOPATH A X (0.178:0.178:0.179) (0.559:0.559:0.559))
(IOPATH B X (0.191:0.192:0.193) (0.540:0.540:0.541))
(IOPATH C X (0.215:0.216:0.217) (0.522:0.523:0.524))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__buf_12")
(INSTANCE _3192_)
(DELAY
(ABSOLUTE
(IOPATH A X (0.173:0.173:0.173) (0.194:0.194:0.194))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__a22o_1")
(INSTANCE _3193_)
(DELAY
(ABSOLUTE
(IOPATH A1 X (0.165:0.165:0.165) (0.226:0.226:0.226))
(IOPATH A2 X (0.244:0.244:0.244) (0.271:0.277:0.284))
(IOPATH B1 X (0.207:0.207:0.207) (0.229:0.230:0.230))
(IOPATH B2 X (0.164:0.164:0.164) (0.220:0.220:0.220))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__a32o_1")
(INSTANCE _3194_)
(DELAY
(ABSOLUTE
(IOPATH A1 X (0.177:0.177:0.177) (0.228:0.228:0.228))
(IOPATH A2 X (0.245:0.245:0.245) (0.273:0.273:0.274))
(IOPATH A3 X (0.237:0.237:0.237) (0.309:0.309:0.309))
(IOPATH B1 X (0.198:0.198:0.198) (0.229:0.232:0.235))
(IOPATH B2 X (0.149:0.149:0.149) (0.224:0.224:0.224))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__a22o_1")
(INSTANCE _3195_)
(DELAY
(ABSOLUTE
(IOPATH A1 X (0.160:0.160:0.160) (0.221:0.221:0.221))
(IOPATH A2 X (0.236:0.236:0.236) (0.263:0.263:0.264))
(IOPATH B1 X (0.201:0.201:0.201) (0.210:0.216:0.221))
(IOPATH B2 X (0.151:0.151:0.151) (0.211:0.211:0.211))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__a221o_4")
(INSTANCE _3196_)
(DELAY
(ABSOLUTE
(IOPATH A1 X (0.231:0.231:0.231) (0.444:0.444:0.444))
(IOPATH A2 X (0.303:0.303:0.304) (0.508:0.509:0.510))
(IOPATH B1 X (0.294:0.294:0.294) (0.432:0.433:0.435))
(IOPATH B2 X (0.237:0.237:0.237) (0.463:0.463:0.463))
(IOPATH C1 X (0.187:0.187:0.187) (0.401:0.402:0.402))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__or3_1")
(INSTANCE _3197_)
(DELAY
(ABSOLUTE
(IOPATH A X (0.177:0.177:0.178) (0.434:0.435:0.435))
(IOPATH B X (0.172:0.173:0.174) (0.408:0.409:0.409))
(IOPATH C X (0.186:0.187:0.188) (0.390:0.391:0.392))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__buf_12")
(INSTANCE _3198_)
(DELAY
(ABSOLUTE
(IOPATH A X (0.174:0.174:0.174) (0.183:0.183:0.183))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__clkbuf_8")
(INSTANCE _3199_)
(DELAY
(ABSOLUTE
(IOPATH A X (0.326:0.326:0.326) (0.225:0.233:0.241))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__a22o_2")
(INSTANCE _3200_)
(DELAY
(ABSOLUTE
(IOPATH A1 X (0.255:0.255:0.255) (0.315:0.315:0.315))
(IOPATH A2 X (0.294:0.294:0.294) (0.373:0.373:0.373))
(IOPATH B1 X (0.266:0.266:0.266) (0.305:0.305:0.305))
(IOPATH B2 X (0.246:0.246:0.246) (0.305:0.305:0.305))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__clkbuf_2")
(INSTANCE _3201_)
(DELAY
(ABSOLUTE
(IOPATH A X (0.221:0.221:0.221) (0.165:0.171:0.178))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__a22o_1")
(INSTANCE _3202_)
(DELAY
(ABSOLUTE
(IOPATH A1 X (0.209:0.209:0.209) (0.252:0.252:0.252))
(IOPATH A2 X (0.260:0.260:0.260) (0.284:0.285:0.286))
(IOPATH B1 X (0.201:0.201:0.201) (0.232:0.232:0.232))
(IOPATH B2 X (0.177:0.177:0.177) (0.230:0.230:0.230))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__a221o_1")
(INSTANCE _3203_)
(DELAY
(ABSOLUTE
(IOPATH A1 X (0.149:0.149:0.149) (0.314:0.314:0.314))
(IOPATH A2 X (0.199:0.199:0.199) (0.387:0.387:0.387))
(IOPATH B1 X (0.216:0.216:0.216) (0.312:0.315:0.318))
(IOPATH B2 X (0.152:0.152:0.152) (0.322:0.322:0.322))
(IOPATH C1 X (0.141:0.141:0.141) (0.279:0.279:0.280))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__a211o_4")
(INSTANCE _3204_)
(DELAY
(ABSOLUTE
(IOPATH A1 X (0.322:0.322:0.322) (0.451:0.451:0.451))
(IOPATH A2 X (0.372:0.372:0.372) (0.505:0.505:0.505))
(IOPATH B1 X (0.325:0.325:0.325) (0.461:0.461:0.462))
(IOPATH C1 X (0.288:0.289:0.290) (0.400:0.401:0.401))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__a22o_2")
(INSTANCE _3205_)
(DELAY
(ABSOLUTE
(IOPATH A1 X (0.200:0.200:0.200) (0.279:0.279:0.279))
(IOPATH A2 X (0.250:0.250:0.250) (0.345:0.345:0.345))
(IOPATH B1 X (0.216:0.216:0.216) (0.273:0.273:0.273))
(IOPATH B2 X (0.194:0.194:0.194) (0.271:0.271:0.271))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__a22o_1")
(INSTANCE _3206_)
(DELAY
(ABSOLUTE
(IOPATH A1 X (0.213:0.213:0.213) (0.258:0.258:0.258))
(IOPATH A2 X (0.258:0.258:0.258) (0.317:0.317:0.317))
(IOPATH B1 X (0.229:0.229:0.229) (0.249:0.249:0.249))
(IOPATH B2 X (0.209:0.209:0.209) (0.250:0.250:0.250))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__a22o_2")
(INSTANCE _3207_)
(DELAY
(ABSOLUTE
(IOPATH A1 X (0.303:0.303:0.303) (0.338:0.338:0.338))
(IOPATH A2 X (0.338:0.338:0.338) (0.390:0.390:0.390))
(IOPATH B1 X (0.353:0.353:0.353) (0.358:0.358:0.358))
(IOPATH B2 X (0.298:0.298:0.298) (0.330:0.330:0.330))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__or3_1")
(INSTANCE _3208_)
(DELAY
(ABSOLUTE
(IOPATH A X (0.168:0.168:0.168) (0.423:0.423:0.423))
(IOPATH B X (0.176:0.176:0.176) (0.405:0.405:0.406))
(IOPATH C X (0.197:0.197:0.198) (0.387:0.388:0.388))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__buf_12")
(INSTANCE _3209_)
(DELAY
(ABSOLUTE
(IOPATH A X (0.167:0.167:0.167) (0.176:0.176:0.176))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__nor2_1")
(INSTANCE _3210_)
(DELAY
(ABSOLUTE
(IOPATH A Y (0.120:0.120:0.120) (0.064:0.064:0.064))
(IOPATH B Y (0.110:0.110:0.110) (0.059:0.059:0.059))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__a2bb2o_1")
(INSTANCE _3211_)
(DELAY
(ABSOLUTE
(IOPATH A1_N X (0.316:0.316:0.316) (0.345:0.345:0.345))
(IOPATH A2_N X (0.280:0.281:0.281) (0.321:0.321:0.321))
(IOPATH B1 X (0.209:0.209:0.209) (0.330:0.330:0.330))
(IOPATH B2 X (0.200:0.200:0.201) (0.302:0.302:0.303))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__nand2_4")
(INSTANCE _3212_)
(DELAY
(ABSOLUTE
(IOPATH A Y (0.214:0.214:0.214) (0.176:0.176:0.176))
(IOPATH B Y (0.211:0.212:0.213) (0.161:0.161:0.161))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__a221o_1")
(INSTANCE _3213_)
(DELAY
(ABSOLUTE
(IOPATH A1 X (0.204:0.204:0.204) (0.349:0.349:0.349))
(IOPATH A2 X (0.253:0.253:0.253) (0.385:0.391:0.397))
(IOPATH B1 X (0.223:0.223:0.223) (0.340:0.341:0.341))
(IOPATH B2 X (0.161:0.161:0.161) (0.334:0.334:0.334))
(IOPATH C1 X (0.177:0.177:0.178) (0.326:0.327:0.328))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__a221o_1")
(INSTANCE _3214_)
(DELAY
(ABSOLUTE
(IOPATH A1 X (0.123:0.123:0.123) (0.293:0.293:0.293))
(IOPATH A2 X (0.175:0.175:0.175) (0.366:0.366:0.366))
(IOPATH B1 X (0.147:0.147:0.147) (0.293:0.293:0.293))
(IOPATH B2 X (0.121:0.121:0.121) (0.298:0.298:0.298))
(IOPATH C1 X (0.104:0.105:0.106) (0.256:0.256:0.257))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__a22o_1")
(INSTANCE _3215_)
(DELAY
(ABSOLUTE
(IOPATH A1 X (0.281:0.281:0.281) (0.298:0.298:0.298))
(IOPATH A2 X (0.317:0.317:0.317) (0.349:0.349:0.349))
(IOPATH B1 X (0.326:0.326:0.326) (0.318:0.318:0.318))
(IOPATH B2 X (0.288:0.288:0.288) (0.296:0.296:0.296))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__or2_1")
(INSTANCE _3216_)
(DELAY
(ABSOLUTE
(IOPATH A X (0.142:0.143:0.144) (0.264:0.264:0.265))
(IOPATH B X (0.197:0.197:0.197) (0.282:0.283:0.283))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__buf_12")
(INSTANCE _3217_)
(DELAY
(ABSOLUTE
(IOPATH A X (0.171:0.171:0.171) (0.167:0.167:0.167))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__a22o_1")
(INSTANCE _3218_)
(DELAY
(ABSOLUTE
(IOPATH A1 X (0.164:0.164:0.164) (0.227:0.227:0.227))
(IOPATH A2 X (0.213:0.213:0.213) (0.291:0.291:0.291))
(IOPATH B1 X (0.179:0.179:0.179) (0.219:0.219:0.219))
(IOPATH B2 X (0.156:0.156:0.156) (0.217:0.217:0.217))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__a22o_2")
(INSTANCE _3219_)
(DELAY
(ABSOLUTE
(IOPATH A1 X (0.214:0.214:0.214) (0.289:0.289:0.289))
(IOPATH A2 X (0.259:0.259:0.259) (0.349:0.349:0.349))
(IOPATH B1 X (0.230:0.230:0.230) (0.282:0.282:0.282))
(IOPATH B2 X (0.235:0.235:0.235) (0.296:0.296:0.296))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__a22o_2")
(INSTANCE _3220_)
(DELAY
(ABSOLUTE
(IOPATH A1 X (0.224:0.224:0.224) (0.296:0.296:0.296))
(IOPATH A2 X (0.259:0.259:0.259) (0.348:0.348:0.348))
(IOPATH B1 X (0.285:0.285:0.285) (0.289:0.290:0.292))
(IOPATH B2 X (0.242:0.242:0.242) (0.301:0.301:0.301))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__or3_1")
(INSTANCE _3221_)
(DELAY
(ABSOLUTE
(IOPATH A X (0.160:0.160:0.160) (0.419:0.419:0.419))
(IOPATH B X (0.172:0.172:0.172) (0.405:0.405:0.406))
(IOPATH C X (0.171:0.171:0.172) (0.369:0.369:0.370))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__buf_12")
(INSTANCE _3222_)
(DELAY
(ABSOLUTE
(IOPATH A X (0.167:0.167:0.168) (0.176:0.176:0.177))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__a22o_1")
(INSTANCE _3223_)
(DELAY
(ABSOLUTE
(IOPATH A1 X (0.143:0.143:0.143) (0.208:0.208:0.208))
(IOPATH A2 X (0.178:0.178:0.178) (0.259:0.259:0.259))
(IOPATH B1 X (0.185:0.185:0.185) (0.227:0.227:0.227))
(IOPATH B2 X (0.141:0.141:0.141) (0.202:0.202:0.202))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__a22o_2")
(INSTANCE _3224_)
(DELAY
(ABSOLUTE
(IOPATH A1 X (0.290:0.290:0.290) (0.333:0.333:0.333))
(IOPATH A2 X (0.368:0.368:0.368) (0.376:0.377:0.377))
(IOPATH B1 X (0.306:0.306:0.306) (0.326:0.326:0.326))
(IOPATH B2 X (0.291:0.291:0.291) (0.329:0.329:0.329))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__a221o_4")
(INSTANCE _3225_)
(DELAY
(ABSOLUTE
(IOPATH A1 X (0.300:0.300:0.300) (0.490:0.490:0.490))
(IOPATH A2 X (0.338:0.338:0.338) (0.569:0.569:0.569))
(IOPATH B1 X (0.315:0.315:0.315) (0.478:0.478:0.478))
(IOPATH B2 X (0.334:0.334:0.334) (0.529:0.529:0.529))
(IOPATH C1 X (0.296:0.296:0.296) (0.473:0.474:0.474))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__a211o_4")
(INSTANCE _3226_)
(DELAY
(ABSOLUTE
(IOPATH A1 X (0.392:0.392:0.392) (0.503:0.503:0.503))
(IOPATH A2 X (0.400:0.400:0.401) (0.537:0.538:0.539))
(IOPATH B1 X (0.321:0.321:0.322) (0.467:0.468:0.468))
(IOPATH C1 X (0.358:0.359:0.360) (0.458:0.459:0.460))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__mux2_2")
(INSTANCE _3227_)
(DELAY
(ABSOLUTE
(IOPATH A0 X (0.214:0.214:0.214) (0.298:0.298:0.298))
(IOPATH A1 X (0.248:0.248:0.248) (0.335:0.335:0.335))
(IOPATH S X (0.255:0.255:0.255) (0.372:0.372:0.372))
(IOPATH S X (0.203:0.203:0.203) (0.301:0.301:0.301))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__buf_1")
(INSTANCE _3228_)
(DELAY
(ABSOLUTE
(IOPATH A X (0.964:0.966:0.967) (0.553:0.553:0.554))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__and2b_1")
(INSTANCE _3229_)
(DELAY
(ABSOLUTE
(IOPATH A_N X (0.280:0.280:0.280) (0.254:0.254:0.254))
(IOPATH B X (0.217:0.217:0.217) (0.227:0.227:0.227))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__a21oi_4")
(INSTANCE _3230_)
(DELAY
(ABSOLUTE
(IOPATH A1 Y (0.287:0.287:0.287) (0.122:0.122:0.122))
(IOPATH A2 Y (0.309:0.309:0.309) (0.152:0.152:0.152))
(IOPATH B1 Y (0.239:0.239:0.239) (0.071:0.072:0.072))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__inv_6")
(INSTANCE _3231_)
(DELAY
(ABSOLUTE
(IOPATH A Y (0.205:0.216:0.228) (0.156:0.157:0.159))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__clkbuf_2")
(INSTANCE _3232_)
(DELAY
(ABSOLUTE
(IOPATH A X (0.232:0.232:0.232) (0.189:0.189:0.189))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__mux2_1")
(INSTANCE _3233_)
(DELAY
(ABSOLUTE
(IOPATH A0 X (0.126:0.126:0.126) (0.274:0.274:0.274))
(IOPATH A1 X (0.164:0.164:0.164) (0.306:0.306:0.306))
(IOPATH S X (0.210:0.210:0.210) (0.330:0.330:0.330))
(IOPATH S X (0.149:0.149:0.149) (0.319:0.319:0.319))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__clkbuf_1")
(INSTANCE _3234_)
(DELAY
(ABSOLUTE
(IOPATH A X (0.090:0.091:0.092) (0.098:0.098:0.098))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__mux2_1")
(INSTANCE _3235_)
(DELAY
(ABSOLUTE
(IOPATH A0 X (0.131:0.131:0.131) (0.275:0.275:0.275))
(IOPATH A1 X (0.152:0.152:0.152) (0.297:0.297:0.297))
(IOPATH S X (0.209:0.209:0.209) (0.328:0.328:0.328))
(IOPATH S X (0.147:0.147:0.147) (0.317:0.317:0.317))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__clkbuf_1")
(INSTANCE _3236_)
(DELAY
(ABSOLUTE
(IOPATH A X (0.081:0.082:0.082) (0.092:0.092:0.092))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__mux2_1")
(INSTANCE _3237_)
(DELAY
(ABSOLUTE
(IOPATH A0 X (0.138:0.138:0.138) (0.286:0.286:0.286))
(IOPATH A1 X (0.165:0.165:0.165) (0.311:0.311:0.311))
(IOPATH S X (0.219:0.219:0.219) (0.341:0.341:0.341))
(IOPATH S X (0.158:0.158:0.158) (0.330:0.330:0.330))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__clkbuf_1")
(INSTANCE _3238_)
(DELAY
(ABSOLUTE
(IOPATH A X (0.092:0.092:0.093) (0.100:0.100:0.100))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__mux2_1")
(INSTANCE _3239_)
(DELAY
(ABSOLUTE
(IOPATH A0 X (0.133:0.133:0.133) (0.279:0.279:0.279))
(IOPATH A1 X (0.155:0.155:0.155) (0.300:0.300:0.300))
(IOPATH S X (0.213:0.213:0.213) (0.333:0.333:0.333))
(IOPATH S X (0.151:0.151:0.151) (0.322:0.322:0.322))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__clkbuf_1")
(INSTANCE _3240_)
(DELAY
(ABSOLUTE
(IOPATH A X (0.080:0.080:0.081) (0.092:0.092:0.092))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__mux2_1")
(INSTANCE _3241_)
(DELAY
(ABSOLUTE
(IOPATH A0 X (0.146:0.146:0.146) (0.292:0.292:0.292))
(IOPATH A1 X (0.152:0.152:0.152) (0.304:0.304:0.304))
(IOPATH S X (0.224:0.224:0.224) (0.346:0.346:0.346))
(IOPATH S X (0.163:0.163:0.163) (0.335:0.335:0.335))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__clkbuf_1")
(INSTANCE _3242_)
(DELAY
(ABSOLUTE
(IOPATH A X (0.080:0.081:0.081) (0.093:0.093:0.093))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__clkbuf_2")
(INSTANCE _3243_)
(DELAY
(ABSOLUTE
(IOPATH A X (0.248:0.248:0.248) (0.200:0.200:0.200))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__mux2_1")
(INSTANCE _3244_)
(DELAY
(ABSOLUTE
(IOPATH A0 X (0.134:0.134:0.134) (0.279:0.279:0.279))
(IOPATH A1 X (0.118:0.118:0.118) (0.282:0.282:0.282))
(IOPATH S X (0.219:0.219:0.219) (0.335:0.335:0.335))
(IOPATH S X (0.156:0.156:0.156) (0.326:0.326:0.326))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__clkbuf_1")
(INSTANCE _3245_)
(DELAY
(ABSOLUTE
(IOPATH A X (0.074:0.075:0.075) (0.088:0.088:0.088))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__mux2_1")
(INSTANCE _3246_)
(DELAY
(ABSOLUTE
(IOPATH A0 X (0.128:0.128:0.128) (0.275:0.275:0.275))
(IOPATH A1 X (0.125:0.125:0.125) (0.283:0.283:0.283))
(IOPATH S X (0.218:0.218:0.218) (0.333:0.333:0.333))
(IOPATH S X (0.154:0.154:0.154) (0.324:0.324:0.324))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__clkbuf_1")
(INSTANCE _3247_)
(DELAY
(ABSOLUTE
(IOPATH A X (0.075:0.076:0.076) (0.088:0.088:0.089))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__mux2_1")
(INSTANCE _3248_)
(DELAY
(ABSOLUTE
(IOPATH A0 X (0.126:0.126:0.126) (0.277:0.277:0.277))
(IOPATH A1 X (0.124:0.124:0.124) (0.286:0.286:0.286))
(IOPATH S X (0.221:0.221:0.221) (0.337:0.337:0.337))
(IOPATH S X (0.157:0.157:0.157) (0.328:0.328:0.328))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__clkbuf_1")
(INSTANCE _3249_)
(DELAY
(ABSOLUTE
(IOPATH A X (0.074:0.074:0.075) (0.088:0.088:0.088))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__mux2_1")
(INSTANCE _3250_)
(DELAY
(ABSOLUTE
(IOPATH A0 X (0.119:0.119:0.119) (0.271:0.271:0.271))
(IOPATH A1 X (0.124:0.124:0.124) (0.282:0.282:0.282))
(IOPATH S X (0.217:0.217:0.217) (0.332:0.332:0.332))
(IOPATH S X (0.153:0.153:0.153) (0.323:0.323:0.323))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__clkbuf_1")
(INSTANCE _3251_)
(DELAY
(ABSOLUTE
(IOPATH A X (0.080:0.080:0.081) (0.091:0.092:0.092))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__mux2_1")
(INSTANCE _3252_)
(DELAY
(ABSOLUTE
(IOPATH A0 X (0.122:0.122:0.122) (0.274:0.274:0.274))
(IOPATH A1 X (0.125:0.125:0.125) (0.285:0.285:0.285))
(IOPATH S X (0.219:0.219:0.219) (0.335:0.335:0.335))
(IOPATH S X (0.156:0.156:0.156) (0.326:0.326:0.326))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__clkbuf_1")
(INSTANCE _3253_)
(DELAY
(ABSOLUTE
(IOPATH A X (0.076:0.076:0.077) (0.089:0.089:0.089))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__clkbuf_2")
(INSTANCE _3254_)
(DELAY
(ABSOLUTE
(IOPATH A X (0.239:0.239:0.239) (0.194:0.194:0.194))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__mux2_1")
(INSTANCE _3255_)
(DELAY
(ABSOLUTE
(IOPATH A0 X (0.126:0.126:0.126) (0.274:0.274:0.274))
(IOPATH A1 X (0.148:0.148:0.148) (0.294:0.294:0.294))
(IOPATH S X (0.213:0.213:0.213) (0.331:0.331:0.331))
(IOPATH S X (0.151:0.151:0.151) (0.320:0.320:0.320))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__clkbuf_1")
(INSTANCE _3256_)
(DELAY
(ABSOLUTE
(IOPATH A X (0.075:0.076:0.077) (0.088:0.089:0.089))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__mux2_1")
(INSTANCE _3257_)
(DELAY
(ABSOLUTE
(IOPATH A0 X (0.184:0.184:0.184) (0.331:0.331:0.331))
(IOPATH A1 X (0.181:0.181:0.181) (0.340:0.340:0.340))
(IOPATH S X (0.271:0.271:0.271) (0.389:0.389:0.389))
(IOPATH S X (0.208:0.208:0.208) (0.378:0.378:0.378))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__clkbuf_1")
(INSTANCE _3258_)
(DELAY
(ABSOLUTE
(IOPATH A X (0.094:0.094:0.094) (0.106:0.106:0.106))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__o21a_4")
(INSTANCE _3259_)
(DELAY
(ABSOLUTE
(IOPATH A1 X (0.416:0.416:0.416) (0.342:0.342:0.342))
(IOPATH A2 X (0.384:0.385:0.386) (0.328:0.329:0.329))
(IOPATH B1 X (0.411:0.411:0.412) (0.268:0.269:0.270))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__nand3_4")
(INSTANCE _3260_)
(DELAY
(ABSOLUTE
(IOPATH A Y (0.252:0.252:0.252) (0.321:0.321:0.321))
(IOPATH B Y (0.275:0.275:0.275) (0.302:0.302:0.302))
(IOPATH C Y (0.249:0.251:0.252) (0.295:0.296:0.296))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__buf_2")
(INSTANCE _3261_)
(DELAY
(ABSOLUTE
(IOPATH A X (0.241:0.245:0.249) (0.273:0.275:0.278))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__nor2_4")
(INSTANCE _3262_)
(DELAY
(ABSOLUTE
(IOPATH A Y (0.286:0.287:0.287) (0.099:0.100:0.101))
(IOPATH B Y (0.246:0.246:0.246) (0.092:0.092:0.092))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__clkbuf_2")
(INSTANCE _3263_)
(DELAY
(ABSOLUTE
(IOPATH A X (0.223:0.223:0.223) (0.155:0.156:0.157))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__or2_2")
(INSTANCE _3264_)
(DELAY
(ABSOLUTE
(IOPATH A X (0.267:0.268:0.269) (0.431:0.431:0.431))
(IOPATH B X (0.293:0.297:0.301) (0.484:0.488:0.491))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__clkbuf_1")
(INSTANCE _3265_)
(DELAY
(ABSOLUTE
(IOPATH A X (0.221:0.222:0.222) (0.183:0.183:0.184))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__or2_1")
(INSTANCE _3266_)
(DELAY
(ABSOLUTE
(IOPATH A X (0.162:0.162:0.162) (0.302:0.302:0.302))
(IOPATH B X (0.138:0.138:0.138) (0.229:0.229:0.229))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__clkbuf_2")
(INSTANCE _3267_)
(DELAY
(ABSOLUTE
(IOPATH A X (0.224:0.226:0.227) (0.155:0.167:0.178))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__clkbuf_4")
(INSTANCE _3268_)
(DELAY
(ABSOLUTE
(IOPATH A X (0.311:0.311:0.311) (0.264:0.264:0.264))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__buf_6")
(INSTANCE _3269_)
(DELAY
(ABSOLUTE
(IOPATH A X (0.308:0.308:0.308) (0.250:0.250:0.250))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__buf_6")
(INSTANCE _3270_)
(DELAY
(ABSOLUTE
(IOPATH A X (0.253:0.253:0.253) (0.204:0.204:0.204))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__o211a_1")
(INSTANCE _3271_)
(DELAY
(ABSOLUTE
(IOPATH A1 X (0.159:0.159:0.159) (0.218:0.218:0.218))
(IOPATH A2 X (0.141:0.141:0.141) (0.208:0.208:0.208))
(IOPATH B1 X (0.136:0.136:0.136) (0.122:0.122:0.122))
(IOPATH C1 X (0.164:0.164:0.164) (0.119:0.119:0.119))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__or2_1")
(INSTANCE _3272_)
(DELAY
(ABSOLUTE
(IOPATH A X (0.163:0.163:0.163) (0.305:0.305:0.305))
(IOPATH B X (0.139:0.139:0.139) (0.231:0.231:0.231))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__o211a_1")
(INSTANCE _3273_)
(DELAY
(ABSOLUTE
(IOPATH A1 X (0.160:0.160:0.160) (0.220:0.220:0.220))
(IOPATH A2 X (0.145:0.145:0.145) (0.212:0.212:0.212))
(IOPATH B1 X (0.141:0.141:0.141) (0.126:0.126:0.126))
(IOPATH C1 X (0.169:0.169:0.169) (0.123:0.123:0.123))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__or2_1")
(INSTANCE _3274_)
(DELAY
(ABSOLUTE
(IOPATH A X (0.153:0.153:0.153) (0.293:0.293:0.293))
(IOPATH B X (0.129:0.129:0.129) (0.221:0.221:0.221))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__o211a_1")
(INSTANCE _3275_)
(DELAY
(ABSOLUTE
(IOPATH A1 X (0.165:0.165:0.165) (0.223:0.223:0.223))
(IOPATH A2 X (0.143:0.143:0.143) (0.210:0.210:0.210))
(IOPATH B1 X (0.135:0.136:0.136) (0.121:0.121:0.121))
(IOPATH C1 X (0.167:0.167:0.167) (0.121:0.121:0.121))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__or2_1")
(INSTANCE _3276_)
(DELAY
(ABSOLUTE
(IOPATH A X (0.162:0.162:0.162) (0.304:0.304:0.304))
(IOPATH B X (0.138:0.138:0.138) (0.229:0.229:0.229))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__o211a_1")
(INSTANCE _3277_)
(DELAY
(ABSOLUTE
(IOPATH A1 X (0.158:0.158:0.158) (0.218:0.218:0.218))
(IOPATH A2 X (0.139:0.139:0.139) (0.206:0.206:0.206))
(IOPATH B1 X (0.134:0.134:0.134) (0.120:0.120:0.120))
(IOPATH C1 X (0.162:0.162:0.162) (0.118:0.118:0.118))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__or2_1")
(INSTANCE _3278_)
(DELAY
(ABSOLUTE
(IOPATH A X (0.162:0.162:0.162) (0.308:0.308:0.308))
(IOPATH B X (0.137:0.137:0.137) (0.228:0.228:0.228))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__clkbuf_2")
(INSTANCE _3279_)
(DELAY
(ABSOLUTE
(IOPATH A X (0.199:0.199:0.199) (0.172:0.172:0.172))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__buf_8")
(INSTANCE _3280_)
(DELAY
(ABSOLUTE
(IOPATH A X (0.258:0.258:0.258) (0.208:0.208:0.208))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__clkbuf_2")
(INSTANCE _3281_)
(DELAY
(ABSOLUTE
(IOPATH A X (0.234:0.234:0.234) (0.192:0.192:0.192))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__o211a_1")
(INSTANCE _3282_)
(DELAY
(ABSOLUTE
(IOPATH A1 X (0.149:0.149:0.149) (0.210:0.210:0.210))
(IOPATH A2 X (0.138:0.138:0.138) (0.205:0.205:0.205))
(IOPATH B1 X (0.132:0.132:0.132) (0.118:0.119:0.119))
(IOPATH C1 X (0.153:0.153:0.153) (0.124:0.124:0.124))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__or2_1")
(INSTANCE _3283_)
(DELAY
(ABSOLUTE
(IOPATH A X (0.155:0.155:0.155) (0.298:0.298:0.298))
(IOPATH B X (0.135:0.135:0.135) (0.241:0.242:0.242))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__o211a_1")
(INSTANCE _3284_)
(DELAY
(ABSOLUTE
(IOPATH A1 X (0.155:0.155:0.155) (0.215:0.215:0.215))
(IOPATH A2 X (0.169:0.169:0.169) (0.204:0.205:0.206))
(IOPATH B1 X (0.130:0.130:0.130) (0.116:0.117:0.117))
(IOPATH C1 X (0.152:0.152:0.152) (0.124:0.124:0.124))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__or2_1")
(INSTANCE _3285_)
(DELAY
(ABSOLUTE
(IOPATH A X (0.158:0.158:0.158) (0.300:0.300:0.300))
(IOPATH B X (0.140:0.140:0.140) (0.246:0.246:0.247))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__o211a_1")
(INSTANCE _3286_)
(DELAY
(ABSOLUTE
(IOPATH A1 X (0.157:0.157:0.157) (0.218:0.218:0.218))
(IOPATH A2 X (0.176:0.176:0.176) (0.210:0.212:0.213))
(IOPATH B1 X (0.139:0.139:0.139) (0.124:0.124:0.124))
(IOPATH C1 X (0.160:0.160:0.160) (0.130:0.130:0.130))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__or2_1")
(INSTANCE _3287_)
(DELAY
(ABSOLUTE
(IOPATH A X (0.152:0.152:0.152) (0.293:0.293:0.293))
(IOPATH B X (0.133:0.133:0.133) (0.239:0.239:0.239))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__o211a_1")
(INSTANCE _3288_)
(DELAY
(ABSOLUTE
(IOPATH A1 X (0.150:0.150:0.150) (0.212:0.212:0.212))
(IOPATH A2 X (0.171:0.171:0.171) (0.206:0.207:0.209))
(IOPATH B1 X (0.132:0.132:0.132) (0.118:0.118:0.118))
(IOPATH C1 X (0.155:0.155:0.155) (0.126:0.126:0.126))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__nor2_8")
(INSTANCE _3289_)
(DELAY
(ABSOLUTE
(IOPATH A Y (0.323:0.323:0.323) (0.119:0.119:0.119))
(IOPATH B Y (0.328:0.328:0.328) (0.120:0.120:0.120))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__or3_4")
(INSTANCE _3290_)
(DELAY
(ABSOLUTE
(IOPATH A X (0.298:0.298:0.298) (0.527:0.527:0.528))
(IOPATH B X (0.293:0.293:0.293) (0.482:0.482:0.482))
(IOPATH C X (0.243:0.243:0.243) (0.434:0.434:0.435))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__clkbuf_2")
(INSTANCE _3291_)
(DELAY
(ABSOLUTE
(IOPATH A X (0.199:0.199:0.199) (0.187:0.187:0.187))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__nor3_4")
(INSTANCE _3292_)
(DELAY
(ABSOLUTE
(IOPATH A Y (0.576:0.576:0.576) (0.174:0.174:0.174))
(IOPATH B Y (0.532:0.532:0.533) (0.166:0.166:0.166))
(IOPATH C Y (0.477:0.477:0.477) (0.115:0.115:0.115))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__dlymetal6s2s_1")
(INSTANCE _3293_)
(DELAY
(ABSOLUTE
(IOPATH A X (0.270:0.270:0.270) (0.201:0.211:0.222))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__or2_1")
(INSTANCE _3294_)
(DELAY
(ABSOLUTE
(IOPATH A X (0.121:0.121:0.121) (0.231:0.231:0.231))
(IOPATH B X (0.128:0.128:0.128) (0.220:0.220:0.220))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__o211a_1")
(INSTANCE _3295_)
(DELAY
(ABSOLUTE
(IOPATH A1 X (0.196:0.196:0.196) (0.283:0.283:0.283))
(IOPATH A2 X (0.141:0.141:0.141) (0.209:0.209:0.209))
(IOPATH B1 X (0.132:0.132:0.132) (0.118:0.118:0.118))
(IOPATH C1 X (0.154:0.154:0.154) (0.126:0.126:0.126))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__or2_1")
(INSTANCE _3296_)
(DELAY
(ABSOLUTE
(IOPATH A X (0.130:0.130:0.130) (0.239:0.239:0.239))
(IOPATH B X (0.133:0.133:0.133) (0.225:0.225:0.225))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__dlymetal6s2s_1")
(INSTANCE _3297_)
(DELAY
(ABSOLUTE
(IOPATH A X (0.259:0.259:0.259) (0.226:0.226:0.226))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__o211a_1")
(INSTANCE _3298_)
(DELAY
(ABSOLUTE
(IOPATH A1 X (0.197:0.197:0.197) (0.285:0.285:0.285))
(IOPATH A2 X (0.142:0.142:0.142) (0.210:0.210:0.210))
(IOPATH B1 X (0.135:0.135:0.135) (0.121:0.121:0.121))
(IOPATH C1 X (0.173:0.173:0.173) (0.129:0.129:0.129))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__or2_1")
(INSTANCE _3299_)
(DELAY
(ABSOLUTE
(IOPATH A X (0.116:0.116:0.116) (0.227:0.227:0.227))
(IOPATH B X (0.125:0.125:0.125) (0.217:0.217:0.217))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__o211a_1")
(INSTANCE _3300_)
(DELAY
(ABSOLUTE
(IOPATH A1 X (0.196:0.196:0.196) (0.283:0.283:0.283))
(IOPATH A2 X (0.141:0.141:0.141) (0.209:0.209:0.209))
(IOPATH B1 X (0.131:0.131:0.131) (0.117:0.117:0.117))
(IOPATH C1 X (0.172:0.172:0.172) (0.129:0.129:0.129))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__or2_1")
(INSTANCE _3301_)
(DELAY
(ABSOLUTE
(IOPATH A X (0.118:0.118:0.118) (0.231:0.231:0.231))
(IOPATH B X (0.131:0.131:0.131) (0.223:0.223:0.223))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__o211a_1")
(INSTANCE _3302_)
(DELAY
(ABSOLUTE
(IOPATH A1 X (0.200:0.200:0.200) (0.289:0.289:0.289))
(IOPATH A2 X (0.144:0.144:0.144) (0.212:0.212:0.212))
(IOPATH B1 X (0.136:0.136:0.136) (0.122:0.122:0.122))
(IOPATH C1 X (0.175:0.175:0.175) (0.131:0.131:0.131))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__or2_1")
(INSTANCE _3303_)
(DELAY
(ABSOLUTE
(IOPATH A X (0.106:0.106:0.106) (0.224:0.224:0.224))
(IOPATH B X (0.128:0.128:0.128) (0.221:0.221:0.221))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__o211a_1")
(INSTANCE _3304_)
(DELAY
(ABSOLUTE
(IOPATH A1 X (0.200:0.200:0.200) (0.291:0.291:0.291))
(IOPATH A2 X (0.142:0.142:0.142) (0.210:0.210:0.210))
(IOPATH B1 X (0.133:0.133:0.133) (0.119:0.120:0.120))
(IOPATH C1 X (0.173:0.173:0.173) (0.129:0.129:0.129))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__or2_1")
(INSTANCE _3305_)
(DELAY
(ABSOLUTE
(IOPATH A X (0.120:0.120:0.120) (0.233:0.233:0.233))
(IOPATH B X (0.188:0.188:0.188) (0.229:0.238:0.248))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__o211a_1")
(INSTANCE _3306_)
(DELAY
(ABSOLUTE
(IOPATH A1 X (0.203:0.203:0.203) (0.292:0.292:0.292))
(IOPATH A2 X (0.154:0.154:0.154) (0.235:0.235:0.235))
(IOPATH B1 X (0.140:0.141:0.142) (0.125:0.125:0.125))
(IOPATH C1 X (0.178:0.178:0.178) (0.133:0.133:0.133))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__or2_1")
(INSTANCE _3307_)
(DELAY
(ABSOLUTE
(IOPATH A X (0.117:0.117:0.117) (0.228:0.228:0.228))
(IOPATH B X (0.181:0.182:0.182) (0.222:0.232:0.241))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__buf_4")
(INSTANCE _3308_)
(DELAY
(ABSOLUTE
(IOPATH A X (0.317:0.318:0.320) (0.219:0.231:0.242))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__buf_4")
(INSTANCE _3309_)
(DELAY
(ABSOLUTE
(IOPATH A X (0.341:0.341:0.341) (0.258:0.258:0.258))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__clkbuf_4")
(INSTANCE _3310_)
(DELAY
(ABSOLUTE
(IOPATH A X (0.302:0.302:0.302) (0.244:0.244:0.244))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__clkbuf_2")
(INSTANCE _3311_)
(DELAY
(ABSOLUTE
(IOPATH A X (0.286:0.286:0.286) (0.244:0.244:0.244))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__o211a_1")
(INSTANCE _3312_)
(DELAY
(ABSOLUTE
(IOPATH A1 X (0.197:0.197:0.197) (0.285:0.285:0.285))
(IOPATH A2 X (0.150:0.150:0.150) (0.230:0.231:0.231))
(IOPATH B1 X (0.133:0.134:0.135) (0.119:0.119:0.119))
(IOPATH C1 X (0.182:0.182:0.182) (0.155:0.155:0.155))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__or2_1")
(INSTANCE _3313_)
(DELAY
(ABSOLUTE
(IOPATH A X (0.135:0.135:0.135) (0.243:0.243:0.243))
(IOPATH B X (0.182:0.182:0.182) (0.223:0.233:0.242))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__o211a_1")
(INSTANCE _3314_)
(DELAY
(ABSOLUTE
(IOPATH A1 X (0.195:0.195:0.195) (0.283:0.283:0.283))
(IOPATH A2 X (0.147:0.147:0.148) (0.228:0.228:0.229))
(IOPATH B1 X (0.131:0.132:0.133) (0.117:0.117:0.118))
(IOPATH C1 X (0.179:0.179:0.179) (0.153:0.153:0.153))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__nor2_1")
(INSTANCE _3315_)
(DELAY
(ABSOLUTE
(IOPATH A Y (0.326:0.326:0.326) (0.154:0.154:0.154))
(IOPATH B Y (0.281:0.281:0.281) (0.118:0.118:0.118))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__clkbuf_2")
(INSTANCE _3316_)
(DELAY
(ABSOLUTE
(IOPATH A X (0.234:0.235:0.235) (0.165:0.170:0.175))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__or2_1")
(INSTANCE _3317_)
(DELAY
(ABSOLUTE
(IOPATH A X (0.200:0.200:0.200) (0.308:0.308:0.308))
(IOPATH B X (0.198:0.201:0.204) (0.349:0.352:0.355))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__dlymetal6s2s_1")
(INSTANCE _3318_)
(DELAY
(ABSOLUTE
(IOPATH A X (0.202:0.202:0.202) (0.196:0.196:0.197))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__or2_1")
(INSTANCE _3319_)
(DELAY
(ABSOLUTE
(IOPATH A X (0.161:0.161:0.161) (0.302:0.302:0.302))
(IOPATH B X (0.133:0.133:0.133) (0.225:0.225:0.225))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__o211a_1")
(INSTANCE _3320_)
(DELAY
(ABSOLUTE
(IOPATH A1 X (0.155:0.155:0.155) (0.217:0.217:0.217))
(IOPATH A2 X (0.147:0.147:0.147) (0.214:0.214:0.214))
(IOPATH B1 X (0.141:0.141:0.141) (0.125:0.126:0.126))
(IOPATH C1 X (0.187:0.187:0.187) (0.159:0.159:0.159))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__or2_1")
(INSTANCE _3321_)
(DELAY
(ABSOLUTE
(IOPATH A X (0.162:0.162:0.162) (0.305:0.305:0.305))
(IOPATH B X (0.133:0.133:0.133) (0.226:0.226:0.226))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__o211a_1")
(INSTANCE _3322_)
(DELAY
(ABSOLUTE
(IOPATH A1 X (0.157:0.157:0.157) (0.218:0.218:0.218))
(IOPATH A2 X (0.146:0.146:0.146) (0.213:0.213:0.213))
(IOPATH B1 X (0.140:0.140:0.140) (0.125:0.125:0.125))
(IOPATH C1 X (0.186:0.186:0.186) (0.158:0.158:0.158))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__or2_1")
(INSTANCE _3323_)
(DELAY
(ABSOLUTE
(IOPATH A X (0.153:0.153:0.153) (0.294:0.294:0.294))
(IOPATH B X (0.124:0.124:0.124) (0.217:0.217:0.217))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__o211a_1")
(INSTANCE _3324_)
(DELAY
(ABSOLUTE
(IOPATH A1 X (0.159:0.159:0.159) (0.219:0.219:0.219))
(IOPATH A2 X (0.144:0.144:0.144) (0.211:0.211:0.211))
(IOPATH B1 X (0.134:0.134:0.135) (0.120:0.120:0.120))
(IOPATH C1 X (0.183:0.183:0.183) (0.156:0.156:0.156))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__or2_1")
(INSTANCE _3325_)
(DELAY
(ABSOLUTE
(IOPATH A X (0.169:0.169:0.169) (0.312:0.312:0.312))
(IOPATH B X (0.139:0.139:0.139) (0.231:0.231:0.231))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__clkbuf_2")
(INSTANCE _3326_)
(DELAY
(ABSOLUTE
(IOPATH A X (0.195:0.195:0.195) (0.178:0.178:0.178))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__o211a_1")
(INSTANCE _3327_)
(DELAY
(ABSOLUTE
(IOPATH A1 X (0.148:0.148:0.148) (0.210:0.210:0.210))
(IOPATH A2 X (0.141:0.141:0.141) (0.208:0.208:0.208))
(IOPATH B1 X (0.137:0.137:0.137) (0.123:0.123:0.123))
(IOPATH C1 X (0.147:0.147:0.147) (0.117:0.117:0.117))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__or2_1")
(INSTANCE _3328_)
(DELAY
(ABSOLUTE
(IOPATH A X (0.156:0.156:0.156) (0.301:0.301:0.301))
(IOPATH B X (0.125:0.125:0.125) (0.218:0.218:0.218))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__o211a_1")
(INSTANCE _3329_)
(DELAY
(ABSOLUTE
(IOPATH A1 X (0.154:0.154:0.154) (0.215:0.215:0.215))
(IOPATH A2 X (0.140:0.140:0.140) (0.207:0.207:0.207))
(IOPATH B1 X (0.131:0.131:0.131) (0.117:0.118:0.118))
(IOPATH C1 X (0.146:0.146:0.146) (0.117:0.117:0.117))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__or2_1")
(INSTANCE _3330_)
(DELAY
(ABSOLUTE
(IOPATH A X (0.154:0.154:0.154) (0.296:0.296:0.296))
(IOPATH B X (0.115:0.115:0.115) (0.217:0.217:0.218))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__o211a_1")
(INSTANCE _3331_)
(DELAY
(ABSOLUTE
(IOPATH A1 X (0.156:0.156:0.156) (0.216:0.216:0.216))
(IOPATH A2 X (0.176:0.176:0.176) (0.213:0.217:0.222))
(IOPATH B1 X (0.132:0.133:0.133) (0.118:0.119:0.119))
(IOPATH C1 X (0.148:0.148:0.148) (0.118:0.118:0.118))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__or2_1")
(INSTANCE _3332_)
(DELAY
(ABSOLUTE
(IOPATH A X (0.161:0.161:0.161) (0.302:0.302:0.302))
(IOPATH B X (0.123:0.123:0.124) (0.226:0.226:0.227))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__o211a_1")
(INSTANCE _3333_)
(DELAY
(ABSOLUTE
(IOPATH A1 X (0.154:0.154:0.154) (0.215:0.215:0.215))
(IOPATH A2 X (0.176:0.176:0.176) (0.212:0.217:0.221))
(IOPATH B1 X (0.135:0.135:0.135) (0.121:0.121:0.121))
(IOPATH C1 X (0.147:0.147:0.147) (0.118:0.118:0.118))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__or2_1")
(INSTANCE _3334_)
(DELAY
(ABSOLUTE
(IOPATH A X (0.162:0.162:0.162) (0.302:0.302:0.302))
(IOPATH B X (0.124:0.124:0.124) (0.226:0.227:0.227))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__o211a_1")
(INSTANCE _3335_)
(DELAY
(ABSOLUTE
(IOPATH A1 X (0.156:0.156:0.156) (0.217:0.217:0.217))
(IOPATH A2 X (0.181:0.181:0.181) (0.217:0.221:0.225))
(IOPATH B1 X (0.140:0.140:0.140) (0.125:0.125:0.125))
(IOPATH C1 X (0.152:0.152:0.152) (0.121:0.121:0.121))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__nor2_1")
(INSTANCE _3336_)
(DELAY
(ABSOLUTE
(IOPATH A Y (0.101:0.101:0.101) (0.063:0.063:0.063))
(IOPATH B Y (0.141:0.145:0.148) (0.075:0.075:0.075))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__or2_2")
(INSTANCE _3337_)
(DELAY
(ABSOLUTE
(IOPATH A X (0.214:0.215:0.216) (0.392:0.393:0.393))
(IOPATH B X (0.204:0.204:0.204) (0.348:0.348:0.348))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__dlymetal6s2s_1")
(INSTANCE _3338_)
(DELAY
(ABSOLUTE
(IOPATH A X (0.251:0.251:0.251) (0.228:0.228:0.228))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__and3_4")
(INSTANCE _3339_)
(DELAY
(ABSOLUTE
(IOPATH A X (0.362:0.362:0.362) (0.250:0.250:0.250))
(IOPATH B X (0.389:0.389:0.389) (0.281:0.281:0.281))
(IOPATH C X (0.408:0.408:0.409) (0.285:0.286:0.286))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__dlymetal6s2s_1")
(INSTANCE _3340_)
(DELAY
(ABSOLUTE
(IOPATH A X (0.260:0.260:0.260) (0.228:0.228:0.229))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__clkbuf_4")
(INSTANCE _3341_)
(DELAY
(ABSOLUTE
(IOPATH A X (0.301:0.301:0.301) (0.243:0.243:0.243))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__o221a_1")
(INSTANCE _3342_)
(DELAY
(ABSOLUTE
(IOPATH A1 X (0.230:0.230:0.230) (0.327:0.327:0.327))
(IOPATH A2 X (0.212:0.212:0.212) (0.259:0.259:0.259))
(IOPATH B1 X (0.213:0.213:0.213) (0.239:0.239:0.239))
(IOPATH B2 X (0.188:0.188:0.188) (0.209:0.209:0.209))
(IOPATH C1 X (0.192:0.192:0.192) (0.140:0.140:0.140))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__o221a_1")
(INSTANCE _3343_)
(DELAY
(ABSOLUTE
(IOPATH A1 X (0.237:0.237:0.237) (0.334:0.334:0.334))
(IOPATH A2 X (0.218:0.218:0.218) (0.264:0.264:0.264))
(IOPATH B1 X (0.219:0.219:0.219) (0.244:0.244:0.244))
(IOPATH B2 X (0.184:0.184:0.184) (0.208:0.208:0.208))
(IOPATH C1 X (0.198:0.198:0.198) (0.145:0.145:0.145))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__buf_6")
(INSTANCE _3344_)
(DELAY
(ABSOLUTE
(IOPATH A X (0.242:0.242:0.242) (0.193:0.193:0.193))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__clkbuf_2")
(INSTANCE _3345_)
(DELAY
(ABSOLUTE
(IOPATH A X (0.212:0.212:0.212) (0.178:0.178:0.178))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__o221a_1")
(INSTANCE _3346_)
(DELAY
(ABSOLUTE
(IOPATH A1 X (0.226:0.226:0.226) (0.324:0.324:0.324))
(IOPATH A2 X (0.208:0.208:0.208) (0.256:0.256:0.256))
(IOPATH B1 X (0.210:0.210:0.210) (0.236:0.236:0.236))
(IOPATH B2 X (0.166:0.166:0.166) (0.194:0.194:0.194))
(IOPATH C1 X (0.174:0.174:0.174) (0.124:0.124:0.124))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__o221a_1")
(INSTANCE _3347_)
(DELAY
(ABSOLUTE
(IOPATH A1 X (0.236:0.236:0.236) (0.335:0.335:0.335))
(IOPATH A2 X (0.217:0.217:0.217) (0.263:0.263:0.263))
(IOPATH B1 X (0.218:0.218:0.218) (0.243:0.243:0.243))
(IOPATH B2 X (0.186:0.186:0.186) (0.208:0.208:0.208))
(IOPATH C1 X (0.182:0.182:0.182) (0.130:0.130:0.130))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__o221a_1")
(INSTANCE _3348_)
(DELAY
(ABSOLUTE
(IOPATH A1 X (0.252:0.252:0.252) (0.348:0.348:0.348))
(IOPATH A2 X (0.232:0.232:0.232) (0.275:0.275:0.275))
(IOPATH B1 X (0.233:0.233:0.233) (0.256:0.256:0.256))
(IOPATH B2 X (0.200:0.200:0.200) (0.221:0.221:0.221))
(IOPATH C1 X (0.198:0.198:0.198) (0.141:0.141:0.141))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__o221a_1")
(INSTANCE _3349_)
(DELAY
(ABSOLUTE
(IOPATH A1 X (0.234:0.234:0.234) (0.332:0.332:0.332))
(IOPATH A2 X (0.192:0.192:0.192) (0.259:0.259:0.259))
(IOPATH B1 X (0.230:0.230:0.230) (0.248:0.248:0.249))
(IOPATH B2 X (0.176:0.176:0.176) (0.203:0.203:0.203))
(IOPATH C1 X (0.181:0.181:0.181) (0.130:0.130:0.130))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__o221a_1")
(INSTANCE _3350_)
(DELAY
(ABSOLUTE
(IOPATH A1 X (0.252:0.252:0.252) (0.343:0.343:0.343))
(IOPATH A2 X (0.211:0.211:0.211) (0.273:0.273:0.273))
(IOPATH B1 X (0.249:0.249:0.249) (0.263:0.264:0.265))
(IOPATH B2 X (0.192:0.192:0.192) (0.216:0.216:0.216))
(IOPATH C1 X (0.200:0.200:0.200) (0.143:0.143:0.143))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__buf_4")
(INSTANCE _3351_)
(DELAY
(ABSOLUTE
(IOPATH A X (0.272:0.272:0.272) (0.225:0.225:0.225))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__o221a_1")
(INSTANCE _3352_)
(DELAY
(ABSOLUTE
(IOPATH A1 X (0.233:0.233:0.233) (0.329:0.329:0.329))
(IOPATH A2 X (0.191:0.191:0.191) (0.258:0.258:0.259))
(IOPATH B1 X (0.229:0.229:0.229) (0.247:0.248:0.249))
(IOPATH B2 X (0.171:0.171:0.171) (0.200:0.200:0.200))
(IOPATH C1 X (0.203:0.203:0.203) (0.135:0.135:0.135))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__dlymetal6s2s_1")
(INSTANCE _3353_)
(DELAY
(ABSOLUTE
(IOPATH A X (0.191:0.191:0.191) (0.179:0.179:0.179))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__inv_2")
(INSTANCE _3354_)
(DELAY
(ABSOLUTE
(IOPATH A Y (0.118:0.118:0.118) (0.073:0.073:0.073))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__inv_2")
(INSTANCE _3355_)
(DELAY
(ABSOLUTE
(IOPATH A Y (0.059:0.059:0.059) (0.048:0.048:0.048))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__or2b_1")
(INSTANCE _3356_)
(DELAY
(ABSOLUTE
(IOPATH A X (0.178:0.178:0.178) (0.306:0.306:0.306))
(IOPATH B_N X (0.172:0.172:0.172) (0.252:0.252:0.252))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__o221a_1")
(INSTANCE _3357_)
(DELAY
(ABSOLUTE
(IOPATH A1 X (0.271:0.271:0.271) (0.311:0.311:0.311))
(IOPATH A2 X (0.242:0.242:0.242) (0.291:0.291:0.291))
(IOPATH B1 X (0.371:0.371:0.371) (0.379:0.379:0.379))
(IOPATH B2 X (0.226:0.226:0.226) (0.245:0.245:0.245))
(IOPATH C1 X (0.221:0.222:0.223) (0.154:0.154:0.154))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__nand2_1")
(INSTANCE _3358_)
(DELAY
(ABSOLUTE
(IOPATH A Y (0.094:0.094:0.094) (0.095:0.095:0.095))
(IOPATH B Y (0.185:0.185:0.185) (0.149:0.149:0.149))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__or2_1")
(INSTANCE _3359_)
(DELAY
(ABSOLUTE
(IOPATH A X (0.155:0.155:0.155) (0.259:0.259:0.259))
(IOPATH B X (0.208:0.208:0.208) (0.332:0.332:0.332))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__and2b_1")
(INSTANCE _3360_)
(DELAY
(ABSOLUTE
(IOPATH A_N X (0.190:0.190:0.190) (0.180:0.180:0.180))
(IOPATH B X (0.212:0.212:0.212) (0.266:0.266:0.266))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__and2b_1")
(INSTANCE _3361_)
(DELAY
(ABSOLUTE
(IOPATH A_N X (0.303:0.303:0.303) (0.258:0.258:0.258))
(IOPATH B X (0.143:0.143:0.143) (0.165:0.165:0.165))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__a2111o_1")
(INSTANCE _3362_)
(DELAY
(ABSOLUTE
(IOPATH A1 X (0.131:0.131:0.131) (0.364:0.364:0.364))
(IOPATH A2 X (0.267:0.267:0.267) (0.513:0.513:0.513))
(IOPATH B1 X (0.114:0.115:0.117) (0.387:0.387:0.388))
(IOPATH C1 X (0.106:0.106:0.106) (0.347:0.347:0.347))
(IOPATH D1 X (0.127:0.127:0.127) (0.302:0.302:0.302))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__and2b_1")
(INSTANCE _3363_)
(DELAY
(ABSOLUTE
(IOPATH A_N X (0.196:0.196:0.196) (0.194:0.194:0.194))
(IOPATH B X (0.211:0.211:0.211) (0.283:0.283:0.283))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__and2b_1")
(INSTANCE _3364_)
(DELAY
(ABSOLUTE
(IOPATH A_N X (0.186:0.186:0.186) (0.183:0.183:0.183))
(IOPATH B X (0.194:0.194:0.194) (0.246:0.246:0.246))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__and2b_1")
(INSTANCE _3365_)
(DELAY
(ABSOLUTE
(IOPATH A_N X (0.271:0.271:0.271) (0.240:0.240:0.240))
(IOPATH B X (0.130:0.130:0.130) (0.153:0.153:0.153))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__a2111o_1")
(INSTANCE _3366_)
(DELAY
(ABSOLUTE
(IOPATH A1 X (0.180:0.180:0.180) (0.389:0.389:0.389))
(IOPATH A2 X (0.153:0.153:0.153) (0.433:0.433:0.433))
(IOPATH B1 X (0.115:0.117:0.119) (0.391:0.392:0.392))
(IOPATH C1 X (0.111:0.112:0.113) (0.353:0.353:0.353))
(IOPATH D1 X (0.103:0.103:0.103) (0.297:0.297:0.297))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__a2111oi_2")
(INSTANCE _3367_)
(DELAY
(ABSOLUTE
(IOPATH A1 Y (0.403:0.411:0.419) (0.079:0.087:0.095))
(IOPATH A2 Y (0.460:0.460:0.460) (0.084:0.085:0.086))
(IOPATH B1 Y (0.438:0.439:0.440) (0.057:0.059:0.061))
(IOPATH C1 Y (0.388:0.388:0.389) (0.056:0.057:0.058))
(IOPATH D1 Y (0.323:0.323:0.323) (0.075:0.075:0.075))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__nor4_1")
(INSTANCE _3368_)
(DELAY
(ABSOLUTE
(IOPATH A Y (0.275:0.275:0.275) (0.100:0.100:0.100))
(IOPATH B Y (0.263:0.263:0.263) (0.092:0.092:0.092))
(IOPATH C Y (0.244:0.244:0.244) (0.087:0.087:0.087))
(IOPATH D Y (0.183:0.183:0.183) (0.074:0.074:0.074))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__and3_1")
(INSTANCE _3369_)
(DELAY
(ABSOLUTE
(IOPATH A X (0.288:0.288:0.288) (0.232:0.232:0.232))
(IOPATH B X (0.295:0.295:0.295) (0.242:0.243:0.243))
(IOPATH C X (0.260:0.261:0.262) (0.236:0.237:0.239))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__buf_2")
(INSTANCE _3370_)
(DELAY
(ABSOLUTE
(IOPATH A X (0.457:0.458:0.458) (0.304:0.305:0.305))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__a21boi_2")
(INSTANCE _3371_)
(DELAY
(ABSOLUTE
(IOPATH A1 Y (0.852:0.855:0.859) (0.286:0.287:0.288))
(IOPATH A2 Y (0.801:0.808:0.815) (0.355:0.362:0.369))
(IOPATH B1_N Y (0.914:0.914:0.914) (0.396:0.396:0.396))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__clkbuf_2")
(INSTANCE _3372_)
(DELAY
(ABSOLUTE
(IOPATH A X (0.208:0.208:0.208) (0.183:0.183:0.183))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__clkbuf_4")
(INSTANCE _3373_)
(DELAY
(ABSOLUTE
(IOPATH A X (0.358:0.358:0.358) (0.300:0.300:0.300))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__nand2_1")
(INSTANCE _3374_)
(DELAY
(ABSOLUTE
(IOPATH A Y (0.114:0.114:0.114) (0.117:0.117:0.117))
(IOPATH B Y (0.184:0.184:0.184) (0.171:0.171:0.171))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__o211a_1")
(INSTANCE _3375_)
(DELAY
(ABSOLUTE
(IOPATH A1 X (0.170:0.170:0.170) (0.227:0.227:0.227))
(IOPATH A2 X (0.211:0.212:0.213) (0.262:0.286:0.311))
(IOPATH B1 X (0.192:0.192:0.192) (0.184:0.184:0.184))
(IOPATH C1 X (0.136:0.139:0.143) (0.118:0.126:0.134))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__xnor2_1")
(INSTANCE _3376_)
(DELAY
(ABSOLUTE
(IOPATH A Y (0.132:0.132:0.132) (0.137:0.137:0.137))
(IOPATH A Y (0.152:0.152:0.152) (0.091:0.091:0.091))
(IOPATH B Y (0.111:0.115:0.118) (0.130:0.138:0.146))
(IOPATH B Y (0.130:0.138:0.145) (0.062:0.065:0.068))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__nand3_1")
(INSTANCE _3377_)
(DELAY
(ABSOLUTE
(IOPATH A Y (0.162:0.162:0.162) (0.168:0.168:0.168))
(IOPATH B Y (0.109:0.113:0.116) (0.111:0.112:0.112))
(IOPATH C Y (0.095:0.103:0.110) (0.148:0.151:0.154))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__and3_1")
(INSTANCE _3378_)
(DELAY
(ABSOLUTE
(IOPATH A X (0.167:0.167:0.167) (0.164:0.164:0.164))
(IOPATH B X (0.141:0.150:0.158) (0.153:0.157:0.160))
(IOPATH C X (0.158:0.160:0.162) (0.190:0.205:0.220))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__clkbuf_1")
(INSTANCE _3379_)
(DELAY
(ABSOLUTE
(IOPATH A X (0.076:0.077:0.077) (0.078:0.079:0.080))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__and4_1")
(INSTANCE _3380_)
(DELAY
(ABSOLUTE
(IOPATH A X (0.270:0.270:0.270) (0.201:0.201:0.201))
(IOPATH B X (0.284:0.284:0.284) (0.233:0.233:0.233))
(IOPATH C X (0.282:0.282:0.282) (0.247:0.247:0.247))
(IOPATH D X (0.278:0.278:0.278) (0.252:0.253:0.254))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__and2_1")
(INSTANCE _3381_)
(DELAY
(ABSOLUTE
(IOPATH A X (0.237:0.237:0.237) (0.209:0.209:0.209))
(IOPATH B X (0.224:0.226:0.229) (0.225:0.240:0.255))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__a31o_1")
(INSTANCE _3382_)
(DELAY
(ABSOLUTE
(IOPATH A1 X (0.161:0.161:0.161) (0.188:0.188:0.188))
(IOPATH A2 X (0.164:0.164:0.164) (0.215:0.215:0.215))
(IOPATH A3 X (0.207:0.207:0.207) (0.283:0.283:0.283))
(IOPATH B1 X (0.118:0.118:0.118) (0.191:0.191:0.191))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__and3b_1")
(INSTANCE _3383_)
(DELAY
(ABSOLUTE
(IOPATH A_N X (0.220:0.221:0.222) (0.197:0.197:0.197))
(IOPATH B X (0.188:0.188:0.188) (0.185:0.185:0.185))
(IOPATH C X (0.160:0.161:0.161) (0.173:0.174:0.174))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__clkbuf_1")
(INSTANCE _3384_)
(DELAY
(ABSOLUTE
(IOPATH A X (0.085:0.085:0.085) (0.084:0.085:0.085))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__and2_1")
(INSTANCE _3385_)
(DELAY
(ABSOLUTE
(IOPATH A X (0.162:0.162:0.162) (0.157:0.157:0.157))
(IOPATH B X (0.160:0.160:0.160) (0.174:0.175:0.176))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__or2_1")
(INSTANCE _3386_)
(DELAY
(ABSOLUTE
(IOPATH A X (0.127:0.127:0.127) (0.236:0.236:0.236))
(IOPATH B X (0.122:0.122:0.122) (0.216:0.217:0.218))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__and3b_1")
(INSTANCE _3387_)
(DELAY
(ABSOLUTE
(IOPATH A_N X (0.200:0.201:0.201) (0.174:0.174:0.174))
(IOPATH B X (0.179:0.179:0.179) (0.179:0.179:0.179))
(IOPATH C X (0.153:0.153:0.153) (0.175:0.175:0.175))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__clkbuf_1")
(INSTANCE _3388_)
(DELAY
(ABSOLUTE
(IOPATH A X (0.077:0.078:0.078) (0.079:0.080:0.080))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__and3_1")
(INSTANCE _3389_)
(DELAY
(ABSOLUTE
(IOPATH A X (0.160:0.160:0.160) (0.145:0.145:0.145))
(IOPATH B X (0.169:0.169:0.169) (0.170:0.170:0.170))
(IOPATH C X (0.168:0.168:0.168) (0.184:0.185:0.186))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__or2_1")
(INSTANCE _3390_)
(DELAY
(ABSOLUTE
(IOPATH A X (0.124:0.124:0.124) (0.234:0.234:0.234))
(IOPATH B X (0.099:0.099:0.099) (0.208:0.208:0.208))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__and3b_1")
(INSTANCE _3391_)
(DELAY
(ABSOLUTE
(IOPATH A_N X (0.226:0.226:0.226) (0.187:0.187:0.187))
(IOPATH B X (0.186:0.186:0.187) (0.184:0.184:0.184))
(IOPATH C X (0.161:0.161:0.161) (0.178:0.178:0.178))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__clkbuf_1")
(INSTANCE _3392_)
(DELAY
(ABSOLUTE
(IOPATH A X (0.089:0.090:0.090) (0.087:0.088:0.089))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__and2_1")
(INSTANCE _3393_)
(DELAY
(ABSOLUTE
(IOPATH A X (0.199:0.199:0.199) (0.176:0.176:0.176))
(IOPATH B X (0.201:0.201:0.201) (0.212:0.212:0.212))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__or2_1")
(INSTANCE _3394_)
(DELAY
(ABSOLUTE
(IOPATH A X (0.112:0.112:0.112) (0.226:0.226:0.226))
(IOPATH B X (0.110:0.110:0.110) (0.221:0.221:0.221))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__and3b_1")
(INSTANCE _3395_)
(DELAY
(ABSOLUTE
(IOPATH A_N X (0.213:0.214:0.214) (0.191:0.191:0.191))
(IOPATH B X (0.180:0.180:0.180) (0.179:0.179:0.180))
(IOPATH C X (0.153:0.153:0.153) (0.175:0.175:0.175))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__clkbuf_1")
(INSTANCE _3396_)
(DELAY
(ABSOLUTE
(IOPATH A X (0.080:0.080:0.080) (0.080:0.081:0.082))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__or2_1")
(INSTANCE _3397_)
(DELAY
(ABSOLUTE
(IOPATH A X (0.136:0.136:0.136) (0.243:0.243:0.243))
(IOPATH B X (0.123:0.123:0.123) (0.218:0.218:0.218))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__nand2_1")
(INSTANCE _3398_)
(DELAY
(ABSOLUTE
(IOPATH A Y (0.092:0.092:0.092) (0.094:0.094:0.094))
(IOPATH B Y (0.092:0.093:0.093) (0.086:0.086:0.086))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__and3_1")
(INSTANCE _3399_)
(DELAY
(ABSOLUTE
(IOPATH A X (0.187:0.187:0.187) (0.168:0.168:0.168))
(IOPATH B X (0.154:0.154:0.155) (0.169:0.170:0.170))
(IOPATH C X (0.164:0.165:0.165) (0.186:0.190:0.193))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__clkbuf_1")
(INSTANCE _3400_)
(DELAY
(ABSOLUTE
(IOPATH A X (0.083:0.083:0.083) (0.083:0.084:0.085))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__inv_2")
(INSTANCE _3401_)
(DELAY
(ABSOLUTE
(IOPATH A Y (0.058:0.058:0.058) (0.046:0.046:0.046))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__a31o_1")
(INSTANCE _3402_)
(DELAY
(ABSOLUTE
(IOPATH A1 X (0.156:0.156:0.156) (0.181:0.181:0.181))
(IOPATH A2 X (0.169:0.169:0.169) (0.217:0.217:0.217))
(IOPATH A3 X (0.158:0.158:0.158) (0.221:0.221:0.221))
(IOPATH B1 X (0.139:0.139:0.139) (0.218:0.218:0.219))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__a21oi_1")
(INSTANCE _3403_)
(DELAY
(ABSOLUTE
(IOPATH A1 Y (0.120:0.120:0.120) (0.053:0.053:0.053))
(IOPATH A2 Y (0.147:0.150:0.153) (0.067:0.068:0.068))
(IOPATH B1 Y (0.113:0.113:0.114) (0.039:0.039:0.040))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__dlymetal6s2s_1")
(INSTANCE _3404_)
(DELAY
(ABSOLUTE
(IOPATH A X (0.189:0.189:0.189) (0.178:0.178:0.178))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__inv_2")
(INSTANCE _3405_)
(DELAY
(ABSOLUTE
(IOPATH A Y (0.156:0.156:0.156) (0.085:0.085:0.085))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__inv_2")
(INSTANCE _3406_)
(DELAY
(ABSOLUTE
(IOPATH A Y (0.063:0.063:0.063) (0.051:0.051:0.051))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__or2b_1")
(INSTANCE _3407_)
(DELAY
(ABSOLUTE
(IOPATH A X (0.183:0.183:0.183) (0.327:0.327:0.327))
(IOPATH B_N X (0.160:0.160:0.160) (0.241:0.241:0.241))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__o221a_1")
(INSTANCE _3408_)
(DELAY
(ABSOLUTE
(IOPATH A1 X (0.259:0.259:0.259) (0.305:0.305:0.305))
(IOPATH A2 X (0.236:0.236:0.236) (0.297:0.297:0.297))
(IOPATH B1 X (0.361:0.361:0.361) (0.377:0.377:0.377))
(IOPATH B2 X (0.214:0.214:0.214) (0.238:0.238:0.238))
(IOPATH C1 X (0.205:0.207:0.209) (0.145:0.146:0.146))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__nand2_1")
(INSTANCE _3409_)
(DELAY
(ABSOLUTE
(IOPATH A Y (0.088:0.088:0.088) (0.090:0.090:0.090))
(IOPATH B Y (0.223:0.223:0.223) (0.172:0.172:0.172))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__or2_1")
(INSTANCE _3410_)
(DELAY
(ABSOLUTE
(IOPATH A X (0.135:0.135:0.135) (0.242:0.242:0.242))
(IOPATH B X (0.207:0.207:0.207) (0.360:0.360:0.360))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__and2b_1")
(INSTANCE _3411_)
(DELAY
(ABSOLUTE
(IOPATH A_N X (0.205:0.205:0.205) (0.202:0.202:0.202))
(IOPATH B X (0.221:0.221:0.221) (0.296:0.296:0.296))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__and2b_1")
(INSTANCE _3412_)
(DELAY
(ABSOLUTE
(IOPATH A_N X (0.293:0.293:0.293) (0.258:0.258:0.258))
(IOPATH B X (0.158:0.158:0.158) (0.175:0.175:0.175))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__a2111o_1")
(INSTANCE _3413_)
(DELAY
(ABSOLUTE
(IOPATH A1 X (0.131:0.131:0.131) (0.364:0.364:0.364))
(IOPATH A2 X (0.269:0.269:0.269) (0.517:0.517:0.517))
(IOPATH B1 X (0.111:0.113:0.114) (0.385:0.386:0.386))
(IOPATH C1 X (0.114:0.114:0.114) (0.350:0.350:0.350))
(IOPATH D1 X (0.126:0.126:0.126) (0.302:0.302:0.302))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__and2b_1")
(INSTANCE _3414_)
(DELAY
(ABSOLUTE
(IOPATH A_N X (0.191:0.191:0.191) (0.190:0.190:0.190))
(IOPATH B X (0.194:0.194:0.194) (0.245:0.245:0.245))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__and2b_1")
(INSTANCE _3415_)
(DELAY
(ABSOLUTE
(IOPATH A_N X (0.179:0.179:0.179) (0.173:0.173:0.173))
(IOPATH B X (0.201:0.201:0.201) (0.261:0.261:0.261))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__dlymetal6s2s_1")
(INSTANCE _3416_)
(DELAY
(ABSOLUTE
(IOPATH A X (0.197:0.197:0.197) (0.183:0.183:0.183))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__and2b_1")
(INSTANCE _3417_)
(DELAY
(ABSOLUTE
(IOPATH A_N X (0.293:0.293:0.293) (0.254:0.254:0.254))
(IOPATH B X (0.156:0.156:0.156) (0.177:0.177:0.177))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__a2111o_1")
(INSTANCE _3418_)
(DELAY
(ABSOLUTE
(IOPATH A1 X (0.168:0.168:0.168) (0.393:0.393:0.393))
(IOPATH A2 X (0.182:0.182:0.182) (0.467:0.467:0.467))
(IOPATH B1 X (0.135:0.136:0.138) (0.414:0.414:0.414))
(IOPATH C1 X (0.129:0.131:0.132) (0.374:0.375:0.375))
(IOPATH D1 X (0.125:0.125:0.125) (0.320:0.320:0.320))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__a2111oi_2")
(INSTANCE _3419_)
(DELAY
(ABSOLUTE
(IOPATH A1 Y (0.379:0.392:0.405) (0.077:0.088:0.099))
(IOPATH A2 Y (0.434:0.435:0.436) (0.076:0.078:0.080))
(IOPATH B1 Y (0.414:0.415:0.416) (0.055:0.056:0.058))
(IOPATH C1 Y (0.369:0.370:0.371) (0.062:0.063:0.064))
(IOPATH D1 Y (0.289:0.289:0.289) (0.061:0.061:0.061))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__and4_1")
(INSTANCE _3420_)
(DELAY
(ABSOLUTE
(IOPATH A X (0.303:0.303:0.303) (0.224:0.224:0.224))
(IOPATH B X (0.315:0.315:0.315) (0.255:0.255:0.255))
(IOPATH C X (0.330:0.330:0.330) (0.255:0.257:0.258))
(IOPATH D X (0.291:0.291:0.291) (0.265:0.265:0.265))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__a21boi_2")
(INSTANCE _3421_)
(DELAY
(ABSOLUTE
(IOPATH A1 Y (0.194:0.197:0.200) (0.088:0.089:0.089))
(IOPATH A2 Y (0.197:0.203:0.209) (0.131:0.133:0.136))
(IOPATH B1_N Y (0.244:0.244:0.245) (0.185:0.186:0.187))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__nand2_1")
(INSTANCE _3422_)
(DELAY
(ABSOLUTE
(IOPATH A Y (0.104:0.104:0.104) (0.106:0.106:0.106))
(IOPATH B Y (0.110:0.111:0.112) (0.105:0.105:0.106))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__o211a_1")
(INSTANCE _3423_)
(DELAY
(ABSOLUTE
(IOPATH A1 X (0.171:0.171:0.171) (0.228:0.228:0.228))
(IOPATH A2 X (0.154:0.154:0.154) (0.202:0.210:0.217))
(IOPATH B1 X (0.198:0.198:0.198) (0.189:0.189:0.189))
(IOPATH C1 X (0.140:0.141:0.142) (0.116:0.118:0.119))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__and3_1")
(INSTANCE _3424_)
(DELAY
(ABSOLUTE
(IOPATH A X (0.174:0.175:0.175) (0.156:0.157:0.159))
(IOPATH B X (0.169:0.170:0.170) (0.171:0.175:0.178))
(IOPATH C X (0.208:0.211:0.215) (0.178:0.185:0.192))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__nor2_1")
(INSTANCE _3425_)
(DELAY
(ABSOLUTE
(IOPATH A Y (0.178:0.178:0.178) (0.107:0.107:0.107))
(IOPATH B Y (0.124:0.125:0.126) (0.052:0.053:0.054))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__dlymetal6s2s_1")
(INSTANCE _3426_)
(DELAY
(ABSOLUTE
(IOPATH A X (0.211:0.211:0.211) (0.179:0.188:0.197))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__xnor2_1")
(INSTANCE _3427_)
(DELAY
(ABSOLUTE
(IOPATH A Y (0.124:0.124:0.124) (0.125:0.125:0.125))
(IOPATH A Y (0.145:0.145:0.145) (0.082:0.082:0.082))
(IOPATH B Y (0.113:0.113:0.114) (0.126:0.127:0.129))
(IOPATH B Y (0.130:0.131:0.132) (0.063:0.063:0.064))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__and2_1")
(INSTANCE _3428_)
(DELAY
(ABSOLUTE
(IOPATH A X (0.176:0.176:0.176) (0.169:0.169:0.169))
(IOPATH B X (0.142:0.151:0.160) (0.162:0.165:0.168))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__clkbuf_1")
(INSTANCE _3429_)
(DELAY
(ABSOLUTE
(IOPATH A X (0.103:0.103:0.103) (0.097:0.097:0.097))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__and3_1")
(INSTANCE _3430_)
(DELAY
(ABSOLUTE
(IOPATH A X (0.241:0.241:0.241) (0.193:0.193:0.193))
(IOPATH B X (0.250:0.250:0.250) (0.219:0.219:0.219))
(IOPATH C X (0.248:0.248:0.248) (0.233:0.234:0.235))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__a21boi_1")
(INSTANCE _3431_)
(DELAY
(ABSOLUTE
(IOPATH A1 Y (0.137:0.137:0.137) (0.087:0.087:0.087))
(IOPATH A2 Y (0.151:0.152:0.152) (0.085:0.085:0.085))
(IOPATH B1_N Y (0.181:0.181:0.181) (0.143:0.143:0.143))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__o21a_1")
(INSTANCE _3432_)
(DELAY
(ABSOLUTE
(IOPATH A1 X (0.145:0.145:0.145) (0.193:0.193:0.193))
(IOPATH A2 X (0.123:0.123:0.123) (0.173:0.174:0.174))
(IOPATH B1 X (0.120:0.123:0.126) (0.094:0.099:0.104))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__and3_1")
(INSTANCE _3433_)
(DELAY
(ABSOLUTE
(IOPATH A X (0.222:0.222:0.222) (0.183:0.183:0.183))
(IOPATH B X (0.240:0.240:0.240) (0.216:0.216:0.216))
(IOPATH C X (0.233:0.233:0.233) (0.226:0.227:0.228))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__a21o_1")
(INSTANCE _3434_)
(DELAY
(ABSOLUTE
(IOPATH A1 X (0.131:0.131:0.131) (0.164:0.164:0.164))
(IOPATH A2 X (0.129:0.129:0.129) (0.180:0.181:0.182))
(IOPATH B1 X (0.094:0.094:0.094) (0.150:0.150:0.150))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__and3b_1")
(INSTANCE _3435_)
(DELAY
(ABSOLUTE
(IOPATH A_N X (0.208:0.209:0.209) (0.187:0.187:0.187))
(IOPATH B X (0.177:0.177:0.177) (0.175:0.175:0.175))
(IOPATH C X (0.147:0.147:0.148) (0.164:0.164:0.165))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__clkbuf_1")
(INSTANCE _3436_)
(DELAY
(ABSOLUTE
(IOPATH A X (0.089:0.089:0.090) (0.086:0.087:0.088))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__and2_1")
(INSTANCE _3437_)
(DELAY
(ABSOLUTE
(IOPATH A X (0.204:0.204:0.204) (0.180:0.180:0.180))
(IOPATH B X (0.203:0.203:0.203) (0.199:0.200:0.201))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__or2_1")
(INSTANCE _3438_)
(DELAY
(ABSOLUTE
(IOPATH A X (0.116:0.116:0.116) (0.224:0.224:0.224))
(IOPATH B X (0.112:0.112:0.112) (0.206:0.206:0.207))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__and3b_1")
(INSTANCE _3439_)
(DELAY
(ABSOLUTE
(IOPATH A_N X (0.234:0.234:0.235) (0.205:0.205:0.205))
(IOPATH B X (0.202:0.202:0.202) (0.193:0.193:0.193))
(IOPATH C X (0.173:0.173:0.173) (0.188:0.188:0.188))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__clkbuf_1")
(INSTANCE _3440_)
(DELAY
(ABSOLUTE
(IOPATH A X (0.110:0.110:0.110) (0.100:0.101:0.101))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__a21boi_1")
(INSTANCE _3441_)
(DELAY
(ABSOLUTE
(IOPATH A1 Y (0.138:0.138:0.138) (0.088:0.088:0.088))
(IOPATH A2 Y (0.147:0.147:0.148) (0.081:0.081:0.081))
(IOPATH B1_N Y (0.180:0.180:0.180) (0.143:0.143:0.143))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__o21a_1")
(INSTANCE _3442_)
(DELAY
(ABSOLUTE
(IOPATH A1 X (0.146:0.146:0.146) (0.194:0.194:0.194))
(IOPATH A2 X (0.118:0.118:0.118) (0.169:0.169:0.169))
(IOPATH B1 X (0.117:0.120:0.124) (0.092:0.097:0.103))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__and3_1")
(INSTANCE _3443_)
(DELAY
(ABSOLUTE
(IOPATH A X (0.220:0.220:0.220) (0.181:0.181:0.181))
(IOPATH B X (0.242:0.242:0.242) (0.218:0.218:0.218))
(IOPATH C X (0.229:0.229:0.229) (0.223:0.223:0.224))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__a31o_1")
(INSTANCE _3444_)
(DELAY
(ABSOLUTE
(IOPATH A1 X (0.158:0.158:0.158) (0.185:0.185:0.185))
(IOPATH A2 X (0.151:0.151:0.151) (0.204:0.204:0.204))
(IOPATH A3 X (0.150:0.150:0.151) (0.215:0.216:0.217))
(IOPATH B1 X (0.106:0.106:0.106) (0.180:0.180:0.180))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__and3b_1")
(INSTANCE _3445_)
(DELAY
(ABSOLUTE
(IOPATH A_N X (0.269:0.270:0.270) (0.226:0.226:0.226))
(IOPATH B X (0.228:0.228:0.229) (0.191:0.200:0.209))
(IOPATH C X (0.209:0.210:0.210) (0.205:0.205:0.206))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__clkbuf_1")
(INSTANCE _3446_)
(DELAY
(ABSOLUTE
(IOPATH A X (0.151:0.151:0.151) (0.126:0.126:0.127))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__a21oi_1")
(INSTANCE _3447_)
(DELAY
(ABSOLUTE
(IOPATH A1 Y (0.160:0.160:0.160) (0.097:0.097:0.097))
(IOPATH A2 Y (0.174:0.175:0.176) (0.093:0.093:0.093))
(IOPATH B1 Y (0.177:0.177:0.177) (0.085:0.085:0.085))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__o21a_1")
(INSTANCE _3448_)
(DELAY
(ABSOLUTE
(IOPATH A1 X (0.144:0.144:0.144) (0.192:0.192:0.192))
(IOPATH A2 X (0.122:0.122:0.122) (0.172:0.172:0.173))
(IOPATH B1 X (0.134:0.136:0.138) (0.104:0.108:0.113))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__and4b_1")
(INSTANCE _3449_)
(DELAY
(ABSOLUTE
(IOPATH A_N X (0.331:0.333:0.336) (0.222:0.225:0.228))
(IOPATH B X (0.181:0.181:0.181) (0.188:0.188:0.188))
(IOPATH C X (0.187:0.187:0.187) (0.203:0.203:0.203))
(IOPATH D X (0.230:0.231:0.231) (0.214:0.214:0.214))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__o32a_1")
(INSTANCE _3450_)
(DELAY
(ABSOLUTE
(IOPATH A1 X (0.236:0.236:0.236) (0.387:0.387:0.387))
(IOPATH A2 X (0.212:0.212:0.212) (0.371:0.371:0.372))
(IOPATH A3 X (0.148:0.148:0.148) (0.302:0.302:0.303))
(IOPATH B1 X (0.246:0.246:0.246) (0.238:0.238:0.238))
(IOPATH B2 X (0.157:0.157:0.157) (0.176:0.176:0.176))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__or4b_2")
(INSTANCE _3451_)
(DELAY
(ABSOLUTE
(IOPATH A X (0.192:0.193:0.193) (0.765:0.766:0.766))
(IOPATH B X (0.355:0.358:0.360) (0.790:0.810:0.830))
(IOPATH C X (0.230:0.231:0.231) (0.714:0.719:0.725))
(IOPATH D_N X (0.274:0.276:0.279) (0.688:0.689:0.689))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__nor2_1")
(INSTANCE _3452_)
(DELAY
(ABSOLUTE
(IOPATH A Y (0.150:0.150:0.150) (0.096:0.096:0.096))
(IOPATH B Y (0.145:0.145:0.146) (0.063:0.063:0.064))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__or2_1")
(INSTANCE _3453_)
(DELAY
(ABSOLUTE
(IOPATH A X (0.133:0.133:0.133) (0.264:0.264:0.264))
(IOPATH B X (0.144:0.145:0.146) (0.272:0.273:0.273))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__o211a_1")
(INSTANCE _3454_)
(DELAY
(ABSOLUTE
(IOPATH A1 X (0.164:0.164:0.164) (0.228:0.228:0.228))
(IOPATH A2 X (0.136:0.137:0.137) (0.193:0.198:0.204))
(IOPATH B1 X (0.193:0.193:0.193) (0.185:0.185:0.185))
(IOPATH C1 X (0.132:0.132:0.132) (0.108:0.108:0.108))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__nor2_1")
(INSTANCE _3455_)
(DELAY
(ABSOLUTE
(IOPATH A Y (0.325:0.325:0.325) (0.155:0.155:0.155))
(IOPATH B Y (0.317:0.317:0.317) (0.119:0.120:0.122))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__or2_1")
(INSTANCE _3456_)
(DELAY
(ABSOLUTE
(IOPATH A X (0.156:0.156:0.156) (0.235:0.239:0.243))
(IOPATH B X (0.141:0.141:0.141) (0.238:0.238:0.239))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__a21oi_1")
(INSTANCE _3457_)
(DELAY
(ABSOLUTE
(IOPATH A1 Y (0.141:0.141:0.141) (0.069:0.069:0.069))
(IOPATH A2 Y (0.142:0.143:0.143) (0.066:0.067:0.067))
(IOPATH B1 Y (0.109:0.109:0.109) (0.036:0.036:0.036))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__and3_1")
(INSTANCE _3458_)
(DELAY
(ABSOLUTE
(IOPATH A X (0.194:0.194:0.194) (0.172:0.172:0.172))
(IOPATH B X (0.220:0.220:0.220) (0.210:0.210:0.210))
(IOPATH C X (0.216:0.216:0.216) (0.202:0.207:0.212))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__a21bo_1")
(INSTANCE _3459_)
(DELAY
(ABSOLUTE
(IOPATH A1 X (0.123:0.123:0.123) (0.178:0.178:0.178))
(IOPATH A2 X (0.140:0.140:0.140) (0.219:0.219:0.219))
(IOPATH B1_N X (0.191:0.191:0.191) (0.229:0.229:0.229))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__or2_1")
(INSTANCE _3460_)
(DELAY
(ABSOLUTE
(IOPATH A X (0.151:0.152:0.153) (0.291:0.291:0.291))
(IOPATH B X (0.113:0.114:0.114) (0.223:0.223:0.223))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__a21o_1")
(INSTANCE _3461_)
(DELAY
(ABSOLUTE
(IOPATH A1 X (0.147:0.147:0.147) (0.177:0.177:0.177))
(IOPATH A2 X (0.122:0.122:0.122) (0.185:0.185:0.185))
(IOPATH B1 X (0.114:0.114:0.114) (0.180:0.180:0.180))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__o21a_1")
(INSTANCE _3462_)
(DELAY
(ABSOLUTE
(IOPATH A1 X (0.125:0.125:0.125) (0.181:0.181:0.182))
(IOPATH A2 X (0.105:0.105:0.105) (0.163:0.163:0.163))
(IOPATH B1 X (0.150:0.150:0.150) (0.119:0.119:0.119))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__buf_6")
(INSTANCE _3463_)
(DELAY
(ABSOLUTE
(IOPATH A X (0.247:0.247:0.247) (0.222:0.222:0.222))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__a22o_1")
(INSTANCE _3464_)
(DELAY
(ABSOLUTE
(IOPATH A1 X (0.203:0.203:0.203) (0.243:0.243:0.243))
(IOPATH A2 X (0.204:0.204:0.204) (0.246:0.250:0.255))
(IOPATH B1 X (0.131:0.131:0.131) (0.187:0.187:0.187))
(IOPATH B2 X (0.144:0.144:0.144) (0.211:0.211:0.211))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__and2_1")
(INSTANCE _3465_)
(DELAY
(ABSOLUTE
(IOPATH A X (0.205:0.205:0.205) (0.184:0.184:0.184))
(IOPATH B X (0.172:0.173:0.173) (0.184:0.185:0.185))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__clkbuf_1")
(INSTANCE _3466_)
(DELAY
(ABSOLUTE
(IOPATH A X (0.141:0.142:0.142) (0.120:0.121:0.121))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__o21a_1")
(INSTANCE _3467_)
(DELAY
(ABSOLUTE
(IOPATH A1 X (0.190:0.190:0.190) (0.226:0.226:0.226))
(IOPATH A2 X (0.163:0.163:0.163) (0.212:0.212:0.212))
(IOPATH B1 X (0.168:0.168:0.168) (0.138:0.138:0.138))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__a22o_1")
(INSTANCE _3468_)
(DELAY
(ABSOLUTE
(IOPATH A1 X (0.194:0.194:0.194) (0.237:0.237:0.237))
(IOPATH A2 X (0.155:0.156:0.157) (0.269:0.269:0.269))
(IOPATH B1 X (0.179:0.179:0.179) (0.188:0.192:0.197))
(IOPATH B2 X (0.127:0.127:0.127) (0.194:0.195:0.196))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__and2_1")
(INSTANCE _3469_)
(DELAY
(ABSOLUTE
(IOPATH A X (0.165:0.165:0.165) (0.160:0.160:0.160))
(IOPATH B X (0.130:0.131:0.132) (0.157:0.157:0.158))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__clkbuf_1")
(INSTANCE _3470_)
(DELAY
(ABSOLUTE
(IOPATH A X (0.097:0.097:0.097) (0.092:0.092:0.092))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__and2_1")
(INSTANCE _3471_)
(DELAY
(ABSOLUTE
(IOPATH A X (0.190:0.190:0.190) (0.184:0.184:0.184))
(IOPATH B X (0.156:0.156:0.156) (0.185:0.185:0.185))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__clkbuf_1")
(INSTANCE _3472_)
(DELAY
(ABSOLUTE
(IOPATH A X (0.098:0.098:0.099) (0.092:0.093:0.093))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__and2_1")
(INSTANCE _3473_)
(DELAY
(ABSOLUTE
(IOPATH A X (0.187:0.187:0.187) (0.183:0.183:0.183))
(IOPATH B X (0.153:0.153:0.153) (0.183:0.183:0.183))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__clkbuf_1")
(INSTANCE _3474_)
(DELAY
(ABSOLUTE
(IOPATH A X (0.090:0.091:0.091) (0.088:0.088:0.088))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__clkbuf_1")
(INSTANCE _3475_)
(DELAY
(ABSOLUTE
(IOPATH A X (0.182:0.182:0.182) (0.153:0.153:0.153))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__and2_1")
(INSTANCE _3476_)
(DELAY
(ABSOLUTE
(IOPATH A X (0.177:0.177:0.177) (0.167:0.167:0.167))
(IOPATH B X (0.155:0.155:0.155) (0.169:0.169:0.169))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__clkbuf_1")
(INSTANCE _3477_)
(DELAY
(ABSOLUTE
(IOPATH A X (0.088:0.088:0.088) (0.088:0.088:0.088))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__and2_1")
(INSTANCE _3478_)
(DELAY
(ABSOLUTE
(IOPATH A X (0.221:0.221:0.221) (0.192:0.192:0.192))
(IOPATH B X (0.197:0.197:0.197) (0.193:0.193:0.193))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__clkbuf_1")
(INSTANCE _3479_)
(DELAY
(ABSOLUTE
(IOPATH A X (0.099:0.099:0.099) (0.099:0.099:0.100))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__and2_1")
(INSTANCE _3480_)
(DELAY
(ABSOLUTE
(IOPATH A X (0.204:0.204:0.204) (0.183:0.183:0.183))
(IOPATH B X (0.195:0.195:0.195) (0.194:0.194:0.194))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__clkbuf_1")
(INSTANCE _3481_)
(DELAY
(ABSOLUTE
(IOPATH A X (0.100:0.100:0.100) (0.097:0.097:0.098))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__and2_1")
(INSTANCE _3482_)
(DELAY
(ABSOLUTE
(IOPATH A X (0.147:0.147:0.147) (0.147:0.147:0.147))
(IOPATH B X (0.138:0.138:0.138) (0.158:0.158:0.158))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__clkbuf_1")
(INSTANCE _3483_)
(DELAY
(ABSOLUTE
(IOPATH A X (0.081:0.081:0.081) (0.082:0.082:0.082))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__and2_1")
(INSTANCE _3484_)
(DELAY
(ABSOLUTE
(IOPATH A X (0.145:0.145:0.145) (0.146:0.146:0.146))
(IOPATH B X (0.143:0.143:0.143) (0.163:0.163:0.163))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__clkbuf_1")
(INSTANCE _3485_)
(DELAY
(ABSOLUTE
(IOPATH A X (0.084:0.084:0.084) (0.084:0.084:0.085))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__clkbuf_1")
(INSTANCE _3486_)
(DELAY
(ABSOLUTE
(IOPATH A X (0.186:0.186:0.186) (0.155:0.155:0.155))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__and2_1")
(INSTANCE _3487_)
(DELAY
(ABSOLUTE
(IOPATH A X (0.153:0.153:0.153) (0.152:0.152:0.152))
(IOPATH B X (0.140:0.140:0.140) (0.159:0.159:0.159))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__clkbuf_1")
(INSTANCE _3488_)
(DELAY
(ABSOLUTE
(IOPATH A X (0.079:0.080:0.080) (0.081:0.081:0.082))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__and2_1")
(INSTANCE _3489_)
(DELAY
(ABSOLUTE
(IOPATH A X (0.150:0.150:0.150) (0.150:0.150:0.150))
(IOPATH B X (0.134:0.134:0.134) (0.155:0.155:0.155))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__clkbuf_1")
(INSTANCE _3490_)
(DELAY
(ABSOLUTE
(IOPATH A X (0.078:0.078:0.078) (0.080:0.080:0.080))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__and2_1")
(INSTANCE _3491_)
(DELAY
(ABSOLUTE
(IOPATH A X (0.147:0.147:0.147) (0.148:0.148:0.148))
(IOPATH B X (0.127:0.127:0.127) (0.150:0.150:0.150))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__clkbuf_1")
(INSTANCE _3492_)
(DELAY
(ABSOLUTE
(IOPATH A X (0.077:0.078:0.078) (0.080:0.080:0.080))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__and2_1")
(INSTANCE _3493_)
(DELAY
(ABSOLUTE
(IOPATH A X (0.153:0.153:0.153) (0.152:0.152:0.152))
(IOPATH B X (0.140:0.140:0.140) (0.159:0.159:0.159))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__clkbuf_1")
(INSTANCE _3494_)
(DELAY
(ABSOLUTE
(IOPATH A X (0.083:0.084:0.084) (0.084:0.084:0.084))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__and2_1")
(INSTANCE _3495_)
(DELAY
(ABSOLUTE
(IOPATH A X (0.148:0.148:0.148) (0.149:0.149:0.149))
(IOPATH B X (0.141:0.141:0.141) (0.160:0.160:0.160))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__clkbuf_1")
(INSTANCE _3496_)
(DELAY
(ABSOLUTE
(IOPATH A X (0.076:0.076:0.076) (0.079:0.079:0.079))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__clkbuf_1")
(INSTANCE _3497_)
(DELAY
(ABSOLUTE
(IOPATH A X (0.195:0.195:0.195) (0.160:0.160:0.160))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__and2_1")
(INSTANCE _3498_)
(DELAY
(ABSOLUTE
(IOPATH A X (0.175:0.175:0.175) (0.167:0.167:0.167))
(IOPATH B X (0.161:0.161:0.161) (0.173:0.173:0.173))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__clkbuf_1")
(INSTANCE _3499_)
(DELAY
(ABSOLUTE
(IOPATH A X (0.086:0.086:0.087) (0.087:0.087:0.087))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__and2_1")
(INSTANCE _3500_)
(DELAY
(ABSOLUTE
(IOPATH A X (0.157:0.157:0.157) (0.155:0.155:0.155))
(IOPATH B X (0.145:0.145:0.145) (0.163:0.163:0.163))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__clkbuf_1")
(INSTANCE _3501_)
(DELAY
(ABSOLUTE
(IOPATH A X (0.089:0.089:0.089) (0.087:0.087:0.088))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__and2_1")
(INSTANCE _3502_)
(DELAY
(ABSOLUTE
(IOPATH A X (0.154:0.154:0.154) (0.153:0.153:0.153))
(IOPATH B X (0.142:0.142:0.142) (0.161:0.161:0.161))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__clkbuf_1")
(INSTANCE _3503_)
(DELAY
(ABSOLUTE
(IOPATH A X (0.081:0.081:0.081) (0.082:0.082:0.083))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__and2_1")
(INSTANCE _3504_)
(DELAY
(ABSOLUTE
(IOPATH A X (0.151:0.151:0.151) (0.151:0.151:0.151))
(IOPATH B X (0.152:0.152:0.152) (0.172:0.172:0.172))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__clkbuf_1")
(INSTANCE _3505_)
(DELAY
(ABSOLUTE
(IOPATH A X (0.083:0.083:0.083) (0.083:0.083:0.083))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__and2_1")
(INSTANCE _3506_)
(DELAY
(ABSOLUTE
(IOPATH A X (0.155:0.155:0.155) (0.154:0.154:0.154))
(IOPATH B X (0.162:0.162:0.162) (0.181:0.181:0.181))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__clkbuf_1")
(INSTANCE _3507_)
(DELAY
(ABSOLUTE
(IOPATH A X (0.087:0.087:0.087) (0.086:0.086:0.086))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__clkbuf_1")
(INSTANCE _3508_)
(DELAY
(ABSOLUTE
(IOPATH A X (0.209:0.209:0.209) (0.166:0.166:0.166))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__and2_1")
(INSTANCE _3509_)
(DELAY
(ABSOLUTE
(IOPATH A X (0.146:0.146:0.146) (0.147:0.147:0.147))
(IOPATH B X (0.135:0.135:0.135) (0.155:0.155:0.155))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__clkbuf_2")
(INSTANCE _3510_)
(DELAY
(ABSOLUTE
(IOPATH A X (0.279:0.279:0.280) (0.236:0.236:0.236))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__and2_1")
(INSTANCE _3511_)
(DELAY
(ABSOLUTE
(IOPATH A X (0.162:0.162:0.162) (0.159:0.159:0.159))
(IOPATH B X (0.155:0.155:0.155) (0.171:0.171:0.171))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__clkbuf_1")
(INSTANCE _3512_)
(DELAY
(ABSOLUTE
(IOPATH A X (0.113:0.113:0.113) (0.102:0.102:0.102))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__and2_1")
(INSTANCE _3513_)
(DELAY
(ABSOLUTE
(IOPATH A X (0.178:0.178:0.178) (0.169:0.169:0.169))
(IOPATH B X (0.174:0.174:0.174) (0.185:0.185:0.185))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__clkbuf_1")
(INSTANCE _3514_)
(DELAY
(ABSOLUTE
(IOPATH A X (0.106:0.106:0.106) (0.099:0.099:0.099))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__and2_1")
(INSTANCE _3515_)
(DELAY
(ABSOLUTE
(IOPATH A X (0.176:0.176:0.176) (0.168:0.168:0.168))
(IOPATH B X (0.174:0.174:0.174) (0.186:0.186:0.186))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__clkbuf_1")
(INSTANCE _3516_)
(DELAY
(ABSOLUTE
(IOPATH A X (0.095:0.095:0.095) (0.092:0.092:0.093))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__and2_1")
(INSTANCE _3517_)
(DELAY
(ABSOLUTE
(IOPATH A X (0.200:0.200:0.200) (0.183:0.183:0.183))
(IOPATH B X (0.224:0.224:0.224) (0.227:0.227:0.227))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__clkbuf_1")
(INSTANCE _3518_)
(DELAY
(ABSOLUTE
(IOPATH A X (0.109:0.109:0.110) (0.102:0.102:0.103))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__clkbuf_2")
(INSTANCE _3519_)
(DELAY
(ABSOLUTE
(IOPATH A X (0.452:0.452:0.452) (0.372:0.372:0.372))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__buf_2")
(INSTANCE _3520_)
(DELAY
(ABSOLUTE
(IOPATH A X (0.434:0.434:0.434) (0.369:0.369:0.369))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__dlymetal6s2s_1")
(INSTANCE _3521_)
(DELAY
(ABSOLUTE
(IOPATH A X (0.237:0.237:0.237) (0.258:0.258:0.258))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__dlymetal6s2s_1")
(INSTANCE _3522_)
(DELAY
(ABSOLUTE
(IOPATH A X (0.221:0.221:0.221) (0.196:0.196:0.196))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__clkbuf_4")
(INSTANCE _3523_)
(DELAY
(ABSOLUTE
(IOPATH A X (0.442:0.442:0.442) (0.374:0.374:0.374))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__nand3b_2")
(INSTANCE _3524_)
(DELAY
(ABSOLUTE
(IOPATH A_N Y (0.268:0.268:0.268) (0.356:0.356:0.356))
(IOPATH B Y (0.224:0.224:0.224) (0.249:0.249:0.249))
(IOPATH C Y (0.256:0.256:0.256) (0.248:0.248:0.248))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__clkbuf_2")
(INSTANCE _3525_)
(DELAY
(ABSOLUTE
(IOPATH A X (0.219:0.223:0.227) (0.230:0.230:0.230))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__buf_2")
(INSTANCE _3526_)
(DELAY
(ABSOLUTE
(IOPATH A X (0.504:0.504:0.504) (0.427:0.427:0.427))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__buf_2")
(INSTANCE _3527_)
(DELAY
(ABSOLUTE
(IOPATH A X (0.372:0.372:0.372) (0.284:0.284:0.284))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__nor3b_4")
(INSTANCE _3528_)
(DELAY
(ABSOLUTE
(IOPATH A Y (0.506:0.506:0.506) (0.154:0.154:0.154))
(IOPATH B Y (0.463:0.463:0.463) (0.102:0.102:0.102))
(IOPATH C_N Y (0.522:0.522:0.522) (0.237:0.237:0.237))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__clkbuf_2")
(INSTANCE _3529_)
(DELAY
(ABSOLUTE
(IOPATH A X (0.255:0.255:0.255) (0.156:0.167:0.178))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__nand2_1")
(INSTANCE _3530_)
(DELAY
(ABSOLUTE
(IOPATH A Y (0.106:0.106:0.106) (0.108:0.108:0.108))
(IOPATH B Y (0.100:0.100:0.100) (0.094:0.094:0.094))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__or2_1")
(INSTANCE _3531_)
(DELAY
(ABSOLUTE
(IOPATH A X (0.130:0.130:0.130) (0.236:0.236:0.236))
(IOPATH B X (0.113:0.113:0.113) (0.206:0.206:0.206))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__or3b_2")
(INSTANCE _3532_)
(DELAY
(ABSOLUTE
(IOPATH A X (0.284:0.284:0.284) (0.640:0.640:0.640))
(IOPATH B X (0.221:0.226:0.230) (0.580:0.580:0.580))
(IOPATH C_N X (0.316:0.316:0.316) (0.560:0.560:0.560))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__clkbuf_2")
(INSTANCE _3533_)
(DELAY
(ABSOLUTE
(IOPATH A X (0.215:0.216:0.216) (0.205:0.205:0.205))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__a21o_1")
(INSTANCE _3534_)
(DELAY
(ABSOLUTE
(IOPATH A1 X (0.114:0.115:0.115) (0.160:0.164:0.167))
(IOPATH A2 X (0.105:0.105:0.105) (0.174:0.174:0.174))
(IOPATH B1 X (0.106:0.106:0.106) (0.174:0.174:0.174))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__dlymetal6s2s_1")
(INSTANCE _3535_)
(DELAY
(ABSOLUTE
(IOPATH A X (0.233:0.233:0.233) (0.221:0.221:0.221))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__o211a_1")
(INSTANCE _3536_)
(DELAY
(ABSOLUTE
(IOPATH A1 X (0.167:0.167:0.167) (0.225:0.225:0.225))
(IOPATH A2 X (0.148:0.148:0.148) (0.213:0.213:0.213))
(IOPATH B1 X (0.139:0.139:0.139) (0.118:0.118:0.119))
(IOPATH C1 X (0.177:0.177:0.177) (0.132:0.132:0.132))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__clkbuf_2")
(INSTANCE _3537_)
(DELAY
(ABSOLUTE
(IOPATH A X (0.191:0.191:0.192) (0.187:0.187:0.187))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__xor2_1")
(INSTANCE _3538_)
(DELAY
(ABSOLUTE
(IOPATH A X (0.237:0.237:0.237) (0.118:0.118:0.118))
(IOPATH A X (0.235:0.235:0.235) (0.192:0.192:0.192))
(IOPATH B X (0.209:0.209:0.209) (0.103:0.103:0.103))
(IOPATH B X (0.229:0.229:0.229) (0.164:0.164:0.164))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__xnor2_1")
(INSTANCE _3539_)
(DELAY
(ABSOLUTE
(IOPATH A Y (0.115:0.116:0.116) (0.127:0.131:0.135))
(IOPATH A Y (0.151:0.155:0.158) (0.072:0.073:0.073))
(IOPATH B Y (0.141:0.142:0.143) (0.121:0.127:0.132))
(IOPATH B Y (0.130:0.135:0.140) (0.087:0.088:0.089))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__or2_1")
(INSTANCE _3540_)
(DELAY
(ABSOLUTE
(IOPATH A X (0.125:0.125:0.125) (0.242:0.242:0.242))
(IOPATH B X (0.097:0.108:0.119) (0.206:0.208:0.211))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__o211a_1")
(INSTANCE _3541_)
(DELAY
(ABSOLUTE
(IOPATH A1 X (0.170:0.170:0.170) (0.228:0.228:0.228))
(IOPATH A2 X (0.145:0.145:0.145) (0.211:0.211:0.211))
(IOPATH B1 X (0.140:0.140:0.140) (0.125:0.125:0.125))
(IOPATH C1 X (0.175:0.175:0.175) (0.130:0.130:0.130))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__and2_1")
(INSTANCE _3542_)
(DELAY
(ABSOLUTE
(IOPATH A X (0.144:0.144:0.144) (0.145:0.145:0.145))
(IOPATH B X (0.132:0.132:0.132) (0.152:0.152:0.152))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__a31o_1")
(INSTANCE _3543_)
(DELAY
(ABSOLUTE
(IOPATH A1 X (0.212:0.212:0.212) (0.222:0.222:0.222))
(IOPATH A2 X (0.202:0.202:0.202) (0.240:0.240:0.240))
(IOPATH A3 X (0.219:0.220:0.222) (0.249:0.254:0.259))
(IOPATH B1 X (0.137:0.137:0.137) (0.209:0.210:0.210))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__nand2_1")
(INSTANCE _3544_)
(DELAY
(ABSOLUTE
(IOPATH A Y (0.114:0.114:0.114) (0.117:0.117:0.117))
(IOPATH B Y (0.110:0.110:0.110) (0.105:0.105:0.105))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__or2_1")
(INSTANCE _3545_)
(DELAY
(ABSOLUTE
(IOPATH A X (0.125:0.125:0.125) (0.232:0.232:0.232))
(IOPATH B X (0.111:0.111:0.111) (0.204:0.204:0.204))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__and2_1")
(INSTANCE _3546_)
(DELAY
(ABSOLUTE
(IOPATH A X (0.170:0.170:0.171) (0.171:0.174:0.177))
(IOPATH B X (0.155:0.155:0.155) (0.176:0.176:0.176))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__nand2_1")
(INSTANCE _3547_)
(DELAY
(ABSOLUTE
(IOPATH A Y (0.108:0.108:0.109) (0.100:0.100:0.101))
(IOPATH B Y (0.112:0.112:0.113) (0.100:0.100:0.100))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__or2_1")
(INSTANCE _3548_)
(DELAY
(ABSOLUTE
(IOPATH A X (0.111:0.112:0.112) (0.226:0.227:0.227))
(IOPATH B X (0.107:0.107:0.107) (0.205:0.205:0.205))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__a21o_1")
(INSTANCE _3549_)
(DELAY
(ABSOLUTE
(IOPATH A1 X (0.123:0.124:0.124) (0.168:0.168:0.169))
(IOPATH A2 X (0.108:0.108:0.108) (0.177:0.177:0.177))
(IOPATH B1 X (0.109:0.109:0.109) (0.177:0.177:0.177))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__o211a_1")
(INSTANCE _3550_)
(DELAY
(ABSOLUTE
(IOPATH A1 X (0.167:0.167:0.167) (0.224:0.224:0.224))
(IOPATH A2 X (0.139:0.139:0.139) (0.205:0.205:0.205))
(IOPATH B1 X (0.131:0.131:0.131) (0.111:0.112:0.112))
(IOPATH C1 X (0.168:0.168:0.168) (0.125:0.125:0.125))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__clkbuf_2")
(INSTANCE _3551_)
(DELAY
(ABSOLUTE
(IOPATH A X (0.271:0.272:0.272) (0.170:0.180:0.191))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__nor2_1")
(INSTANCE _3552_)
(DELAY
(ABSOLUTE
(IOPATH A Y (0.128:0.128:0.128) (0.077:0.077:0.077))
(IOPATH B Y (0.099:0.099:0.099) (0.056:0.056:0.056))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__and2_1")
(INSTANCE _3553_)
(DELAY
(ABSOLUTE
(IOPATH A X (0.174:0.174:0.174) (0.165:0.165:0.165))
(IOPATH B X (0.156:0.156:0.156) (0.168:0.168:0.168))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__nor2_1")
(INSTANCE _3554_)
(DELAY
(ABSOLUTE
(IOPATH A Y (0.202:0.206:0.209) (0.085:0.085:0.085))
(IOPATH B Y (0.191:0.191:0.192) (0.074:0.074:0.074))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__a21oi_1")
(INSTANCE _3555_)
(DELAY
(ABSOLUTE
(IOPATH A1 Y (0.149:0.152:0.155) (0.077:0.078:0.078))
(IOPATH A2 Y (0.168:0.169:0.169) (0.082:0.082:0.083))
(IOPATH B1 Y (0.134:0.135:0.136) (0.074:0.074:0.074))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__a31o_1")
(INSTANCE _3556_)
(DELAY
(ABSOLUTE
(IOPATH A1 X (0.138:0.139:0.140) (0.179:0.182:0.185))
(IOPATH A2 X (0.146:0.147:0.148) (0.210:0.211:0.211))
(IOPATH A3 X (0.173:0.173:0.173) (0.213:0.214:0.215))
(IOPATH B1 X (0.112:0.112:0.112) (0.192:0.192:0.192))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__o221a_1")
(INSTANCE _3557_)
(DELAY
(ABSOLUTE
(IOPATH A1 X (0.203:0.203:0.203) (0.267:0.267:0.267))
(IOPATH A2 X (0.187:0.187:0.187) (0.248:0.248:0.248))
(IOPATH B1 X (0.184:0.186:0.188) (0.216:0.219:0.222))
(IOPATH B2 X (0.157:0.158:0.158) (0.192:0.193:0.193))
(IOPATH C1 X (0.197:0.197:0.197) (0.132:0.132:0.132))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__and2_1")
(INSTANCE _3558_)
(DELAY
(ABSOLUTE
(IOPATH A X (0.183:0.183:0.183) (0.170:0.170:0.170))
(IOPATH B X (0.179:0.179:0.179) (0.185:0.185:0.185))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__nor2_1")
(INSTANCE _3559_)
(DELAY
(ABSOLUTE
(IOPATH A Y (0.122:0.122:0.122) (0.072:0.072:0.072))
(IOPATH B Y (0.104:0.104:0.104) (0.061:0.061:0.061))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__nor2_1")
(INSTANCE _3560_)
(DELAY
(ABSOLUTE
(IOPATH A Y (0.218:0.218:0.219) (0.087:0.087:0.087))
(IOPATH B Y (0.199:0.200:0.201) (0.082:0.082:0.082))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__or2_1")
(INSTANCE _3561_)
(DELAY
(ABSOLUTE
(IOPATH A X (0.130:0.130:0.130) (0.237:0.237:0.237))
(IOPATH B X (0.110:0.110:0.110) (0.205:0.205:0.205))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__a31o_1")
(INSTANCE _3562_)
(DELAY
(ABSOLUTE
(IOPATH A1 X (0.162:0.162:0.162) (0.187:0.187:0.187))
(IOPATH A2 X (0.156:0.156:0.156) (0.206:0.206:0.206))
(IOPATH A3 X (0.137:0.137:0.137) (0.211:0.211:0.211))
(IOPATH B1 X (0.100:0.100:0.100) (0.179:0.179:0.180))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__a31o_1")
(INSTANCE _3563_)
(DELAY
(ABSOLUTE
(IOPATH A1 X (0.239:0.240:0.240) (0.236:0.237:0.237))
(IOPATH A2 X (0.243:0.243:0.243) (0.266:0.266:0.266))
(IOPATH A3 X (0.271:0.271:0.271) (0.276:0.277:0.278))
(IOPATH B1 X (0.184:0.184:0.185) (0.237:0.237:0.238))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__xnor2_1")
(INSTANCE _3564_)
(DELAY
(ABSOLUTE
(IOPATH A Y (0.149:0.149:0.149) (0.128:0.128:0.129))
(IOPATH A Y (0.157:0.158:0.158) (0.107:0.107:0.107))
(IOPATH B Y (0.139:0.139:0.140) (0.139:0.140:0.140))
(IOPATH B Y (0.151:0.152:0.152) (0.085:0.085:0.086))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__nand2_1")
(INSTANCE _3565_)
(DELAY
(ABSOLUTE
(IOPATH A Y (0.072:0.073:0.073) (0.065:0.065:0.065))
(IOPATH B Y (0.059:0.064:0.069) (0.050:0.059:0.067))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__o211a_1")
(INSTANCE _3566_)
(DELAY
(ABSOLUTE
(IOPATH A1 X (0.170:0.170:0.170) (0.227:0.227:0.227))
(IOPATH A2 X (0.145:0.145:0.145) (0.211:0.211:0.211))
(IOPATH B1 X (0.137:0.138:0.138) (0.114:0.118:0.122))
(IOPATH C1 X (0.174:0.174:0.174) (0.130:0.130:0.130))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__a21oi_2")
(INSTANCE _3567_)
(DELAY
(ABSOLUTE
(IOPATH A1 Y (0.208:0.208:0.209) (0.121:0.121:0.121))
(IOPATH A2 Y (0.229:0.230:0.231) (0.108:0.109:0.109))
(IOPATH B1 Y (0.183:0.184:0.184) (0.062:0.062:0.062))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__nor2_1")
(INSTANCE _3568_)
(DELAY
(ABSOLUTE
(IOPATH A Y (0.217:0.217:0.217) (0.099:0.099:0.099))
(IOPATH B Y (0.199:0.199:0.199) (0.088:0.088:0.088))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__nand2_1")
(INSTANCE _3569_)
(DELAY
(ABSOLUTE
(IOPATH A Y (0.126:0.126:0.126) (0.122:0.122:0.122))
(IOPATH B Y (0.129:0.129:0.129) (0.117:0.117:0.117))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__and2b_1")
(INSTANCE _3570_)
(DELAY
(ABSOLUTE
(IOPATH A_N X (0.248:0.249:0.250) (0.248:0.248:0.248))
(IOPATH B X (0.192:0.193:0.193) (0.210:0.211:0.213))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__nor2_1")
(INSTANCE _3571_)
(DELAY
(ABSOLUTE
(IOPATH A Y (0.106:0.114:0.122) (0.076:0.076:0.076))
(IOPATH B Y (0.099:0.099:0.099) (0.055:0.055:0.055))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__a21o_1")
(INSTANCE _3572_)
(DELAY
(ABSOLUTE
(IOPATH A1 X (0.141:0.141:0.141) (0.151:0.160:0.169))
(IOPATH A2 X (0.127:0.127:0.127) (0.181:0.181:0.181))
(IOPATH B1 X (0.100:0.100:0.100) (0.163:0.163:0.163))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__or2_1")
(INSTANCE _3573_)
(DELAY
(ABSOLUTE
(IOPATH A X (0.130:0.130:0.130) (0.238:0.238:0.238))
(IOPATH B X (0.174:0.174:0.174) (0.219:0.228:0.238))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__o211a_1")
(INSTANCE _3574_)
(DELAY
(ABSOLUTE
(IOPATH A1 X (0.154:0.154:0.154) (0.211:0.214:0.217))
(IOPATH A2 X (0.123:0.124:0.124) (0.193:0.193:0.193))
(IOPATH B1 X (0.135:0.136:0.136) (0.121:0.121:0.121))
(IOPATH C1 X (0.171:0.171:0.171) (0.127:0.127:0.127))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__xor2_1")
(INSTANCE _3575_)
(DELAY
(ABSOLUTE
(IOPATH A X (0.248:0.248:0.248) (0.131:0.131:0.131))
(IOPATH A X (0.244:0.244:0.244) (0.202:0.202:0.202))
(IOPATH B X (0.217:0.217:0.217) (0.115:0.115:0.115))
(IOPATH B X (0.237:0.237:0.237) (0.171:0.171:0.171))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__inv_2")
(INSTANCE _3576_)
(DELAY
(ABSOLUTE
(IOPATH A Y (0.060:0.066:0.072) (0.059:0.059:0.060))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__a211o_1")
(INSTANCE _3577_)
(DELAY
(ABSOLUTE
(IOPATH A1 X (0.198:0.198:0.198) (0.291:0.300:0.308))
(IOPATH A2 X (0.181:0.182:0.182) (0.344:0.345:0.346))
(IOPATH B1 X (0.133:0.134:0.135) (0.304:0.305:0.305))
(IOPATH C1 X (0.175:0.175:0.175) (0.269:0.270:0.271))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__a21o_1")
(INSTANCE _3578_)
(DELAY
(ABSOLUTE
(IOPATH A1 X (0.138:0.138:0.138) (0.150:0.159:0.168))
(IOPATH A2 X (0.127:0.127:0.128) (0.189:0.190:0.191))
(IOPATH B1 X (0.113:0.113:0.113) (0.154:0.155:0.156))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__nand2_1")
(INSTANCE _3579_)
(DELAY
(ABSOLUTE
(IOPATH A Y (0.056:0.056:0.056) (0.042:0.043:0.044))
(IOPATH B Y (0.056:0.056:0.056) (0.046:0.046:0.046))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__a21o_1")
(INSTANCE _3580_)
(DELAY
(ABSOLUTE
(IOPATH A1 X (0.129:0.129:0.130) (0.173:0.173:0.174))
(IOPATH A2 X (0.115:0.116:0.116) (0.174:0.175:0.175))
(IOPATH B1 X (0.116:0.116:0.116) (0.182:0.182:0.182))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__clkbuf_2")
(INSTANCE _3581_)
(DELAY
(ABSOLUTE
(IOPATH A X (0.228:0.228:0.228) (0.202:0.202:0.202))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__o211a_1")
(INSTANCE _3582_)
(DELAY
(ABSOLUTE
(IOPATH A1 X (0.167:0.167:0.167) (0.224:0.224:0.224))
(IOPATH A2 X (0.137:0.137:0.137) (0.203:0.203:0.203))
(IOPATH B1 X (0.131:0.132:0.132) (0.112:0.112:0.112))
(IOPATH C1 X (0.156:0.156:0.156) (0.129:0.129:0.129))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__nand2_1")
(INSTANCE _3583_)
(DELAY
(ABSOLUTE
(IOPATH A Y (0.095:0.095:0.095) (0.096:0.096:0.096))
(IOPATH B Y (0.090:0.090:0.090) (0.086:0.086:0.086))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__nand2_1")
(INSTANCE _3584_)
(DELAY
(ABSOLUTE
(IOPATH A Y (0.126:0.126:0.126) (0.130:0.130:0.130))
(IOPATH B Y (0.120:0.120:0.120) (0.115:0.115:0.115))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__or2_1")
(INSTANCE _3585_)
(DELAY
(ABSOLUTE
(IOPATH A X (0.185:0.185:0.185) (0.283:0.283:0.283))
(IOPATH B X (0.170:0.170:0.170) (0.254:0.254:0.254))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__and4_1")
(INSTANCE _3586_)
(DELAY
(ABSOLUTE
(IOPATH A X (0.162:0.162:0.162) (0.145:0.149:0.153))
(IOPATH B X (0.182:0.183:0.183) (0.179:0.179:0.180))
(IOPATH C X (0.188:0.189:0.189) (0.197:0.200:0.204))
(IOPATH D X (0.194:0.194:0.194) (0.205:0.205:0.205))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__a22o_1")
(INSTANCE _3587_)
(DELAY
(ABSOLUTE
(IOPATH A1 X (0.122:0.123:0.123) (0.199:0.203:0.206))
(IOPATH A2 X (0.137:0.138:0.138) (0.229:0.230:0.231))
(IOPATH B1 X (0.125:0.125:0.126) (0.182:0.185:0.188))
(IOPATH B2 X (0.128:0.128:0.128) (0.199:0.199:0.199))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__or3b_1")
(INSTANCE _3588_)
(DELAY
(ABSOLUTE
(IOPATH A X (0.121:0.121:0.121) (0.390:0.391:0.391))
(IOPATH B X (0.144:0.144:0.145) (0.397:0.397:0.398))
(IOPATH C_N X (0.173:0.173:0.173) (0.362:0.362:0.363))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__o211a_1")
(INSTANCE _3589_)
(DELAY
(ABSOLUTE
(IOPATH A1 X (0.170:0.170:0.170) (0.227:0.227:0.227))
(IOPATH A2 X (0.144:0.144:0.144) (0.212:0.212:0.212))
(IOPATH B1 X (0.138:0.138:0.138) (0.134:0.134:0.134))
(IOPATH C1 X (0.159:0.159:0.159) (0.131:0.131:0.131))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__and3_1")
(INSTANCE _3590_)
(DELAY
(ABSOLUTE
(IOPATH A X (0.208:0.210:0.212) (0.160:0.167:0.174))
(IOPATH B X (0.185:0.185:0.186) (0.191:0.195:0.199))
(IOPATH C X (0.189:0.189:0.189) (0.205:0.205:0.205))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__and3_1")
(INSTANCE _3591_)
(DELAY
(ABSOLUTE
(IOPATH A X (0.192:0.192:0.192) (0.147:0.147:0.148))
(IOPATH B X (0.164:0.165:0.165) (0.170:0.170:0.170))
(IOPATH C X (0.158:0.158:0.158) (0.175:0.176:0.177))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__nand2_1")
(INSTANCE _3592_)
(DELAY
(ABSOLUTE
(IOPATH A Y (0.075:0.075:0.075) (0.076:0.076:0.076))
(IOPATH B Y (0.079:0.079:0.079) (0.076:0.076:0.076))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__a21oi_1")
(INSTANCE _3593_)
(DELAY
(ABSOLUTE
(IOPATH A1 Y (0.144:0.146:0.148) (0.063:0.064:0.065))
(IOPATH A2 Y (0.180:0.181:0.182) (0.090:0.091:0.091))
(IOPATH B1 Y (0.142:0.143:0.144) (0.077:0.077:0.077))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__and3_1")
(INSTANCE _3594_)
(DELAY
(ABSOLUTE
(IOPATH A X (0.185:0.185:0.185) (0.164:0.164:0.164))
(IOPATH B X (0.171:0.171:0.171) (0.170:0.170:0.170))
(IOPATH C X (0.163:0.163:0.163) (0.188:0.188:0.188))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__a221o_1")
(INSTANCE _3595_)
(DELAY
(ABSOLUTE
(IOPATH A1 X (0.185:0.185:0.185) (0.327:0.327:0.327))
(IOPATH A2 X (0.166:0.166:0.166) (0.348:0.348:0.348))
(IOPATH B1 X (0.154:0.156:0.158) (0.288:0.292:0.295))
(IOPATH B2 X (0.142:0.142:0.142) (0.313:0.314:0.314))
(IOPATH C1 X (0.101:0.102:0.102) (0.252:0.253:0.253))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__a21o_1")
(INSTANCE _3596_)
(DELAY
(ABSOLUTE
(IOPATH A1 X (0.162:0.162:0.162) (0.190:0.191:0.191))
(IOPATH A2 X (0.134:0.134:0.135) (0.187:0.188:0.189))
(IOPATH B1 X (0.103:0.104:0.105) (0.171:0.171:0.171))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__nand2_1")
(INSTANCE _3597_)
(DELAY
(ABSOLUTE
(IOPATH A Y (0.171:0.171:0.171) (0.168:0.168:0.168))
(IOPATH B Y (0.156:0.156:0.156) (0.137:0.137:0.137))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__or2_1")
(INSTANCE _3598_)
(DELAY
(ABSOLUTE
(IOPATH A X (0.129:0.129:0.129) (0.236:0.236:0.236))
(IOPATH B X (0.102:0.102:0.102) (0.199:0.199:0.199))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__and2_1")
(INSTANCE _3599_)
(DELAY
(ABSOLUTE
(IOPATH A X (0.163:0.163:0.164) (0.178:0.181:0.184))
(IOPATH B X (0.128:0.129:0.129) (0.160:0.160:0.160))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__nand2_1")
(INSTANCE _3600_)
(DELAY
(ABSOLUTE
(IOPATH A Y (0.143:0.143:0.143) (0.120:0.121:0.121))
(IOPATH B Y (0.146:0.146:0.146) (0.121:0.122:0.122))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__or2_1")
(INSTANCE _3601_)
(DELAY
(ABSOLUTE
(IOPATH A X (0.104:0.104:0.105) (0.226:0.226:0.226))
(IOPATH B X (0.100:0.100:0.100) (0.204:0.204:0.205))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__a21o_1")
(INSTANCE _3602_)
(DELAY
(ABSOLUTE
(IOPATH A1 X (0.144:0.144:0.144) (0.189:0.190:0.190))
(IOPATH A2 X (0.116:0.116:0.116) (0.183:0.183:0.183))
(IOPATH B1 X (0.110:0.110:0.110) (0.173:0.173:0.173))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__o211a_1")
(INSTANCE _3603_)
(DELAY
(ABSOLUTE
(IOPATH A1 X (0.164:0.164:0.164) (0.222:0.222:0.222))
(IOPATH A2 X (0.146:0.146:0.146) (0.214:0.214:0.214))
(IOPATH B1 X (0.136:0.136:0.136) (0.115:0.116:0.116))
(IOPATH C1 X (0.161:0.161:0.161) (0.133:0.133:0.133))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__nor2_1")
(INSTANCE _3604_)
(DELAY
(ABSOLUTE
(IOPATH A Y (0.181:0.181:0.181) (0.098:0.098:0.098))
(IOPATH B Y (0.151:0.151:0.151) (0.069:0.069:0.069))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__nand2_1")
(INSTANCE _3605_)
(DELAY
(ABSOLUTE
(IOPATH A Y (0.110:0.110:0.110) (0.110:0.110:0.110))
(IOPATH B Y (0.098:0.098:0.098) (0.088:0.088:0.088))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__and2b_1")
(INSTANCE _3606_)
(DELAY
(ABSOLUTE
(IOPATH A_N X (0.205:0.209:0.214) (0.213:0.213:0.213))
(IOPATH B X (0.147:0.147:0.148) (0.174:0.178:0.182))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__a21oi_1")
(INSTANCE _3607_)
(DELAY
(ABSOLUTE
(IOPATH A1 Y (0.154:0.156:0.159) (0.087:0.087:0.088))
(IOPATH A2 Y (0.167:0.168:0.168) (0.088:0.089:0.089))
(IOPATH B1 Y (0.113:0.113:0.113) (0.043:0.043:0.043))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__a31o_1")
(INSTANCE _3608_)
(DELAY
(ABSOLUTE
(IOPATH A1 X (0.163:0.163:0.163) (0.206:0.208:0.211))
(IOPATH A2 X (0.165:0.166:0.166) (0.231:0.231:0.231))
(IOPATH A3 X (0.145:0.145:0.145) (0.212:0.212:0.212))
(IOPATH B1 X (0.117:0.117:0.117) (0.196:0.196:0.196))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__o221a_1")
(INSTANCE _3609_)
(DELAY
(ABSOLUTE
(IOPATH A1 X (0.207:0.207:0.207) (0.270:0.270:0.270))
(IOPATH A2 X (0.195:0.195:0.195) (0.254:0.254:0.254))
(IOPATH B1 X (0.185:0.187:0.190) (0.215:0.221:0.227))
(IOPATH B2 X (0.166:0.167:0.167) (0.200:0.201:0.201))
(IOPATH C1 X (0.205:0.205:0.205) (0.138:0.138:0.138))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__nand2_1")
(INSTANCE _3610_)
(DELAY
(ABSOLUTE
(IOPATH A Y (0.116:0.116:0.116) (0.118:0.118:0.118))
(IOPATH B Y (0.105:0.105:0.105) (0.097:0.097:0.097))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__or2_1")
(INSTANCE _3611_)
(DELAY
(ABSOLUTE
(IOPATH A X (0.128:0.128:0.128) (0.235:0.235:0.235))
(IOPATH B X (0.106:0.106:0.106) (0.202:0.202:0.202))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__nand2_1")
(INSTANCE _3612_)
(DELAY
(ABSOLUTE
(IOPATH A Y (0.115:0.118:0.122) (0.098:0.099:0.099))
(IOPATH B Y (0.110:0.110:0.110) (0.083:0.083:0.083))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__a31o_1")
(INSTANCE _3613_)
(DELAY
(ABSOLUTE
(IOPATH A1 X (0.175:0.175:0.176) (0.214:0.217:0.219))
(IOPATH A2 X (0.178:0.178:0.179) (0.240:0.240:0.241))
(IOPATH A3 X (0.162:0.162:0.162) (0.229:0.233:0.237))
(IOPATH B1 X (0.136:0.136:0.136) (0.191:0.195:0.198))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__xor2_1")
(INSTANCE _3614_)
(DELAY
(ABSOLUTE
(IOPATH A X (0.158:0.158:0.159) (0.075:0.075:0.076))
(IOPATH A X (0.146:0.147:0.147) (0.166:0.166:0.167))
(IOPATH B X (0.126:0.126:0.127) (0.060:0.060:0.061))
(IOPATH B X (0.143:0.144:0.144) (0.135:0.135:0.136))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__or2_1")
(INSTANCE _3615_)
(DELAY
(ABSOLUTE
(IOPATH A X (0.126:0.126:0.126) (0.234:0.234:0.234))
(IOPATH B X (0.170:0.170:0.170) (0.215:0.224:0.234))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__o211a_1")
(INSTANCE _3616_)
(DELAY
(ABSOLUTE
(IOPATH A1 X (0.177:0.177:0.177) (0.243:0.243:0.243))
(IOPATH A2 X (0.144:0.146:0.148) (0.200:0.201:0.203))
(IOPATH B1 X (0.140:0.141:0.142) (0.124:0.124:0.124))
(IOPATH C1 X (0.167:0.167:0.167) (0.138:0.138:0.138))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__a311o_1")
(INSTANCE _3617_)
(DELAY
(ABSOLUTE
(IOPATH A1 X (0.213:0.213:0.214) (0.314:0.317:0.319))
(IOPATH A2 X (0.216:0.216:0.217) (0.357:0.357:0.357))
(IOPATH A3 X (0.200:0.200:0.200) (0.374:0.378:0.382))
(IOPATH B1 X (0.153:0.154:0.155) (0.347:0.348:0.349))
(IOPATH C1 X (0.164:0.164:0.164) (0.290:0.293:0.296))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__xor2_1")
(INSTANCE _3618_)
(DELAY
(ABSOLUTE
(IOPATH A X (0.217:0.217:0.217) (0.110:0.110:0.110))
(IOPATH A X (0.215:0.215:0.215) (0.186:0.186:0.186))
(IOPATH B X (0.186:0.186:0.186) (0.089:0.089:0.089))
(IOPATH B X (0.206:0.206:0.206) (0.155:0.155:0.155))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__a21o_1")
(INSTANCE _3619_)
(DELAY
(ABSOLUTE
(IOPATH A1 X (0.115:0.116:0.116) (0.161:0.164:0.167))
(IOPATH A2 X (0.116:0.117:0.118) (0.178:0.180:0.181))
(IOPATH B1 X (0.105:0.106:0.107) (0.149:0.154:0.158))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__a31oi_1")
(INSTANCE _3620_)
(DELAY
(ABSOLUTE
(IOPATH A1 Y (0.141:0.144:0.147) (0.083:0.084:0.084))
(IOPATH A2 Y (0.169:0.170:0.172) (0.087:0.087:0.088))
(IOPATH A3 Y (0.166:0.170:0.174) (0.107:0.109:0.110))
(IOPATH B1 Y (0.163:0.163:0.163) (0.060:0.060:0.060))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__buf_6")
(INSTANCE _3621_)
(DELAY
(ABSOLUTE
(IOPATH A X (0.274:0.274:0.274) (0.211:0.211:0.211))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__buf_6")
(INSTANCE _3622_)
(DELAY
(ABSOLUTE
(IOPATH A X (0.312:0.312:0.312) (0.230:0.230:0.230))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__o21ai_1")
(INSTANCE _3623_)
(DELAY
(ABSOLUTE
(IOPATH A1 Y (0.141:0.141:0.141) (0.091:0.091:0.091))
(IOPATH A2 Y (0.126:0.136:0.145) (0.104:0.104:0.104))
(IOPATH B1 Y (0.104:0.104:0.104) (0.107:0.107:0.107))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__a21oi_1")
(INSTANCE _3624_)
(DELAY
(ABSOLUTE
(IOPATH A1 Y (0.103:0.104:0.104) (0.049:0.049:0.049))
(IOPATH A2 Y (0.130:0.133:0.136) (0.071:0.075:0.079))
(IOPATH B1 Y (0.103:0.110:0.116) (0.043:0.045:0.047))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__nor3b_2")
(INSTANCE _3625_)
(DELAY
(ABSOLUTE
(IOPATH A Y (0.381:0.381:0.381) (0.100:0.100:0.100))
(IOPATH B Y (0.378:0.378:0.378) (0.137:0.137:0.137))
(IOPATH C_N Y (0.424:0.424:0.424) (0.246:0.246:0.246))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__clkbuf_2")
(INSTANCE _3626_)
(DELAY
(ABSOLUTE
(IOPATH A X (0.244:0.244:0.244) (0.159:0.166:0.173))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__nand2_1")
(INSTANCE _3627_)
(DELAY
(ABSOLUTE
(IOPATH A Y (0.110:0.110:0.110) (0.111:0.111:0.111))
(IOPATH B Y (0.109:0.109:0.109) (0.103:0.103:0.103))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__or2_1")
(INSTANCE _3628_)
(DELAY
(ABSOLUTE
(IOPATH A X (0.131:0.131:0.131) (0.238:0.238:0.238))
(IOPATH B X (0.120:0.120:0.120) (0.213:0.213:0.213))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__or3b_1")
(INSTANCE _3629_)
(DELAY
(ABSOLUTE
(IOPATH A X (0.181:0.181:0.181) (0.439:0.439:0.439))
(IOPATH B X (0.225:0.225:0.225) (0.451:0.451:0.451))
(IOPATH C_N X (0.260:0.260:0.260) (0.442:0.442:0.442))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__clkbuf_2")
(INSTANCE _3630_)
(DELAY
(ABSOLUTE
(IOPATH A X (0.195:0.195:0.196) (0.184:0.184:0.184))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__a21o_1")
(INSTANCE _3631_)
(DELAY
(ABSOLUTE
(IOPATH A1 X (0.113:0.113:0.114) (0.160:0.162:0.165))
(IOPATH A2 X (0.103:0.103:0.103) (0.172:0.172:0.172))
(IOPATH B1 X (0.099:0.099:0.099) (0.164:0.164:0.164))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__o211a_1")
(INSTANCE _3632_)
(DELAY
(ABSOLUTE
(IOPATH A1 X (0.195:0.195:0.195) (0.248:0.248:0.248))
(IOPATH A2 X (0.162:0.162:0.162) (0.227:0.227:0.227))
(IOPATH B1 X (0.150:0.151:0.151) (0.126:0.126:0.127))
(IOPATH C1 X (0.180:0.180:0.180) (0.147:0.147:0.147))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__clkbuf_2")
(INSTANCE _3633_)
(DELAY
(ABSOLUTE
(IOPATH A X (0.197:0.197:0.197) (0.186:0.186:0.186))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__xor2_1")
(INSTANCE _3634_)
(DELAY
(ABSOLUTE
(IOPATH A X (0.235:0.235:0.235) (0.117:0.117:0.117))
(IOPATH A X (0.234:0.234:0.234) (0.191:0.191:0.191))
(IOPATH B X (0.209:0.209:0.209) (0.105:0.105:0.105))
(IOPATH B X (0.230:0.230:0.230) (0.165:0.165:0.165))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__xnor2_1")
(INSTANCE _3635_)
(DELAY
(ABSOLUTE
(IOPATH A Y (0.111:0.111:0.112) (0.125:0.128:0.130))
(IOPATH A Y (0.142:0.145:0.147) (0.069:0.070:0.070))
(IOPATH B Y (0.134:0.135:0.136) (0.116:0.121:0.126))
(IOPATH B Y (0.117:0.122:0.127) (0.079:0.080:0.081))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__or2_1")
(INSTANCE _3636_)
(DELAY
(ABSOLUTE
(IOPATH A X (0.140:0.140:0.140) (0.256:0.256:0.256))
(IOPATH B X (0.106:0.116:0.126) (0.215:0.218:0.220))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__clkbuf_2")
(INSTANCE _3637_)
(DELAY
(ABSOLUTE
(IOPATH A X (0.190:0.190:0.190) (0.173:0.173:0.173))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__o211a_1")
(INSTANCE _3638_)
(DELAY
(ABSOLUTE
(IOPATH A1 X (0.168:0.168:0.168) (0.225:0.225:0.225))
(IOPATH A2 X (0.141:0.141:0.141) (0.208:0.208:0.208))
(IOPATH B1 X (0.137:0.137:0.137) (0.123:0.123:0.123))
(IOPATH C1 X (0.144:0.144:0.144) (0.114:0.114:0.114))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__and2_1")
(INSTANCE _3639_)
(DELAY
(ABSOLUTE
(IOPATH A X (0.144:0.144:0.144) (0.145:0.145:0.145))
(IOPATH B X (0.135:0.135:0.135) (0.155:0.155:0.155))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__a31o_1")
(INSTANCE _3640_)
(DELAY
(ABSOLUTE
(IOPATH A1 X (0.199:0.199:0.199) (0.213:0.213:0.213))
(IOPATH A2 X (0.196:0.196:0.196) (0.237:0.237:0.237))
(IOPATH A3 X (0.210:0.211:0.212) (0.243:0.248:0.253))
(IOPATH B1 X (0.128:0.128:0.128) (0.203:0.203:0.204))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__nand2_1")
(INSTANCE _3641_)
(DELAY
(ABSOLUTE
(IOPATH A Y (0.115:0.115:0.115) (0.118:0.118:0.118))
(IOPATH B Y (0.104:0.104:0.104) (0.084:0.084:0.084))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__or2_1")
(INSTANCE _3642_)
(DELAY
(ABSOLUTE
(IOPATH A X (0.127:0.127:0.127) (0.235:0.235:0.235))
(IOPATH B X (0.116:0.116:0.116) (0.209:0.209:0.209))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__and2_1")
(INSTANCE _3643_)
(DELAY
(ABSOLUTE
(IOPATH A X (0.168:0.168:0.169) (0.168:0.172:0.176))
(IOPATH B X (0.153:0.154:0.154) (0.175:0.175:0.175))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__nand2_1")
(INSTANCE _3644_)
(DELAY
(ABSOLUTE
(IOPATH A Y (0.102:0.103:0.103) (0.092:0.093:0.094))
(IOPATH B Y (0.108:0.108:0.108) (0.096:0.096:0.096))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__or2_1")
(INSTANCE _3645_)
(DELAY
(ABSOLUTE
(IOPATH A X (0.105:0.106:0.107) (0.223:0.223:0.224))
(IOPATH B X (0.105:0.105:0.105) (0.203:0.203:0.203))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__a21o_1")
(INSTANCE _3646_)
(DELAY
(ABSOLUTE
(IOPATH A1 X (0.126:0.127:0.128) (0.170:0.170:0.170))
(IOPATH A2 X (0.113:0.113:0.113) (0.180:0.180:0.180))
(IOPATH B1 X (0.111:0.111:0.111) (0.174:0.174:0.174))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__o211a_1")
(INSTANCE _3647_)
(DELAY
(ABSOLUTE
(IOPATH A1 X (0.171:0.171:0.171) (0.228:0.228:0.228))
(IOPATH A2 X (0.141:0.141:0.141) (0.208:0.208:0.208))
(IOPATH B1 X (0.132:0.133:0.133) (0.113:0.113:0.113))
(IOPATH C1 X (0.143:0.143:0.143) (0.114:0.114:0.114))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__clkbuf_2")
(INSTANCE _3648_)
(DELAY
(ABSOLUTE
(IOPATH A X (0.242:0.242:0.242) (0.158:0.165:0.172))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__nor2_1")
(INSTANCE _3649_)
(DELAY
(ABSOLUTE
(IOPATH A Y (0.114:0.114:0.114) (0.071:0.071:0.071))
(IOPATH B Y (0.089:0.089:0.089) (0.042:0.042:0.042))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__and2_1")
(INSTANCE _3650_)
(DELAY
(ABSOLUTE
(IOPATH A X (0.174:0.174:0.174) (0.166:0.166:0.166))
(IOPATH B X (0.144:0.144:0.144) (0.172:0.172:0.172))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__nor2_1")
(INSTANCE _3651_)
(DELAY
(ABSOLUTE
(IOPATH A Y (0.194:0.198:0.203) (0.077:0.077:0.077))
(IOPATH B Y (0.186:0.186:0.186) (0.071:0.071:0.072))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__a21oi_1")
(INSTANCE _3652_)
(DELAY
(ABSOLUTE
(IOPATH A1 Y (0.127:0.130:0.133) (0.068:0.069:0.069))
(IOPATH A2 Y (0.145:0.145:0.145) (0.071:0.072:0.073))
(IOPATH B1 Y (0.111:0.111:0.112) (0.061:0.061:0.062))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__a31o_1")
(INSTANCE _3653_)
(DELAY
(ABSOLUTE
(IOPATH A1 X (0.143:0.143:0.144) (0.182:0.185:0.189))
(IOPATH A2 X (0.149:0.149:0.150) (0.212:0.212:0.213))
(IOPATH A3 X (0.176:0.176:0.176) (0.215:0.216:0.217))
(IOPATH B1 X (0.119:0.119:0.119) (0.199:0.199:0.199))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__o221a_1")
(INSTANCE _3654_)
(DELAY
(ABSOLUTE
(IOPATH A1 X (0.207:0.207:0.207) (0.268:0.268:0.268))
(IOPATH A2 X (0.188:0.188:0.188) (0.245:0.245:0.245))
(IOPATH B1 X (0.170:0.170:0.170) (0.216:0.216:0.216))
(IOPATH B2 X (0.162:0.163:0.163) (0.195:0.195:0.196))
(IOPATH C1 X (0.201:0.201:0.201) (0.134:0.134:0.134))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__and2_1")
(INSTANCE _3655_)
(DELAY
(ABSOLUTE
(IOPATH A X (0.185:0.185:0.185) (0.171:0.171:0.171))
(IOPATH B X (0.175:0.175:0.175) (0.180:0.180:0.180))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__nor2_1")
(INSTANCE _3656_)
(DELAY
(ABSOLUTE
(IOPATH A Y (0.127:0.127:0.127) (0.074:0.074:0.074))
(IOPATH B Y (0.104:0.104:0.104) (0.060:0.060:0.060))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__nor2_1")
(INSTANCE _3657_)
(DELAY
(ABSOLUTE
(IOPATH A Y (0.249:0.249:0.250) (0.096:0.096:0.096))
(IOPATH B Y (0.229:0.230:0.232) (0.093:0.093:0.093))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__or2_1")
(INSTANCE _3658_)
(DELAY
(ABSOLUTE
(IOPATH A X (0.136:0.136:0.136) (0.242:0.242:0.242))
(IOPATH B X (0.105:0.105:0.105) (0.204:0.204:0.204))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__a31o_1")
(INSTANCE _3659_)
(DELAY
(ABSOLUTE
(IOPATH A1 X (0.162:0.162:0.162) (0.187:0.187:0.187))
(IOPATH A2 X (0.159:0.159:0.159) (0.209:0.209:0.209))
(IOPATH A3 X (0.137:0.137:0.138) (0.211:0.212:0.212))
(IOPATH B1 X (0.099:0.099:0.099) (0.178:0.179:0.179))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__a31o_1")
(INSTANCE _3660_)
(DELAY
(ABSOLUTE
(IOPATH A1 X (0.242:0.242:0.243) (0.238:0.239:0.239))
(IOPATH A2 X (0.249:0.249:0.249) (0.269:0.269:0.270))
(IOPATH A3 X (0.276:0.276:0.276) (0.279:0.279:0.280))
(IOPATH B1 X (0.190:0.191:0.192) (0.241:0.241:0.242))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__xnor2_1")
(INSTANCE _3661_)
(DELAY
(ABSOLUTE
(IOPATH A Y (0.153:0.153:0.153) (0.130:0.130:0.131))
(IOPATH A Y (0.155:0.155:0.156) (0.111:0.111:0.111))
(IOPATH B Y (0.137:0.138:0.138) (0.139:0.139:0.140))
(IOPATH B Y (0.146:0.147:0.147) (0.084:0.084:0.084))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__nand2_1")
(INSTANCE _3662_)
(DELAY
(ABSOLUTE
(IOPATH A Y (0.090:0.090:0.090) (0.085:0.085:0.085))
(IOPATH B Y (0.078:0.084:0.091) (0.066:0.076:0.085))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__o211a_1")
(INSTANCE _3663_)
(DELAY
(ABSOLUTE
(IOPATH A1 X (0.170:0.170:0.170) (0.227:0.227:0.227))
(IOPATH A2 X (0.142:0.142:0.142) (0.209:0.209:0.209))
(IOPATH B1 X (0.139:0.139:0.140) (0.119:0.121:0.124))
(IOPATH C1 X (0.144:0.144:0.144) (0.115:0.115:0.115))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__a21oi_2")
(INSTANCE _3664_)
(DELAY
(ABSOLUTE
(IOPATH A1 Y (0.204:0.205:0.205) (0.127:0.127:0.127))
(IOPATH A2 Y (0.225:0.225:0.226) (0.108:0.108:0.108))
(IOPATH B1 Y (0.177:0.177:0.178) (0.061:0.061:0.061))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__nor2_1")
(INSTANCE _3665_)
(DELAY
(ABSOLUTE
(IOPATH A Y (0.211:0.211:0.211) (0.094:0.094:0.094))
(IOPATH B Y (0.194:0.194:0.194) (0.086:0.086:0.086))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__nand2_1")
(INSTANCE _3666_)
(DELAY
(ABSOLUTE
(IOPATH A Y (0.119:0.119:0.119) (0.115:0.115:0.115))
(IOPATH B Y (0.125:0.125:0.125) (0.114:0.114:0.114))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__and2b_1")
(INSTANCE _3667_)
(DELAY
(ABSOLUTE
(IOPATH A_N X (0.236:0.236:0.237) (0.240:0.240:0.240))
(IOPATH B X (0.179:0.180:0.180) (0.201:0.202:0.203))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__nor2_1")
(INSTANCE _3668_)
(DELAY
(ABSOLUTE
(IOPATH A Y (0.137:0.146:0.156) (0.089:0.089:0.090))
(IOPATH B Y (0.128:0.128:0.128) (0.064:0.064:0.064))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__a21o_1")
(INSTANCE _3669_)
(DELAY
(ABSOLUTE
(IOPATH A1 X (0.151:0.151:0.151) (0.160:0.170:0.181))
(IOPATH A2 X (0.134:0.134:0.134) (0.188:0.188:0.188))
(IOPATH B1 X (0.114:0.114:0.114) (0.177:0.177:0.177))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__or2_1")
(INSTANCE _3670_)
(DELAY
(ABSOLUTE
(IOPATH A X (0.126:0.126:0.126) (0.235:0.235:0.235))
(IOPATH B X (0.161:0.161:0.161) (0.216:0.222:0.228))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__o211a_1")
(INSTANCE _3671_)
(DELAY
(ABSOLUTE
(IOPATH A1 X (0.162:0.162:0.162) (0.211:0.214:0.218))
(IOPATH A2 X (0.125:0.125:0.125) (0.193:0.193:0.193))
(IOPATH B1 X (0.132:0.132:0.133) (0.119:0.119:0.119))
(IOPATH C1 X (0.144:0.144:0.144) (0.114:0.114:0.114))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__xor2_1")
(INSTANCE _3672_)
(DELAY
(ABSOLUTE
(IOPATH A X (0.231:0.231:0.231) (0.121:0.121:0.121))
(IOPATH A X (0.228:0.228:0.228) (0.195:0.195:0.195))
(IOPATH B X (0.204:0.204:0.204) (0.110:0.110:0.110))
(IOPATH B X (0.224:0.224:0.224) (0.168:0.168:0.168))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__inv_2")
(INSTANCE _3673_)
(DELAY
(ABSOLUTE
(IOPATH A Y (0.060:0.065:0.071) (0.059:0.059:0.060))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__a211o_1")
(INSTANCE _3674_)
(DELAY
(ABSOLUTE
(IOPATH A1 X (0.203:0.204:0.204) (0.296:0.306:0.315))
(IOPATH A2 X (0.187:0.188:0.189) (0.348:0.349:0.349))
(IOPATH B1 X (0.141:0.142:0.143) (0.310:0.310:0.310))
(IOPATH C1 X (0.182:0.182:0.182) (0.274:0.274:0.275))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__a21o_1")
(INSTANCE _3675_)
(DELAY
(ABSOLUTE
(IOPATH A1 X (0.138:0.138:0.138) (0.151:0.161:0.171))
(IOPATH A2 X (0.127:0.128:0.128) (0.189:0.190:0.190))
(IOPATH B1 X (0.114:0.114:0.114) (0.154:0.155:0.156))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__nand2_1")
(INSTANCE _3676_)
(DELAY
(ABSOLUTE
(IOPATH A Y (0.060:0.060:0.061) (0.047:0.048:0.048))
(IOPATH B Y (0.061:0.061:0.062) (0.050:0.050:0.051))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__a21o_1")
(INSTANCE _3677_)
(DELAY
(ABSOLUTE
(IOPATH A1 X (0.137:0.138:0.138) (0.178:0.179:0.180))
(IOPATH A2 X (0.121:0.122:0.123) (0.180:0.180:0.181))
(IOPATH B1 X (0.117:0.117:0.117) (0.180:0.180:0.180))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__o211a_1")
(INSTANCE _3678_)
(DELAY
(ABSOLUTE
(IOPATH A1 X (0.181:0.181:0.181) (0.236:0.236:0.236))
(IOPATH A2 X (0.143:0.143:0.143) (0.210:0.210:0.210))
(IOPATH B1 X (0.137:0.138:0.138) (0.117:0.117:0.117))
(IOPATH C1 X (0.146:0.146:0.146) (0.116:0.116:0.116))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__nand2_1")
(INSTANCE _3679_)
(DELAY
(ABSOLUTE
(IOPATH A Y (0.100:0.100:0.100) (0.101:0.101:0.101))
(IOPATH B Y (0.098:0.098:0.098) (0.093:0.093:0.093))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__nand2_1")
(INSTANCE _3680_)
(DELAY
(ABSOLUTE
(IOPATH A Y (0.121:0.121:0.121) (0.125:0.125:0.125))
(IOPATH B Y (0.116:0.116:0.116) (0.110:0.110:0.110))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__or2_1")
(INSTANCE _3681_)
(DELAY
(ABSOLUTE
(IOPATH A X (0.183:0.183:0.183) (0.281:0.281:0.281))
(IOPATH B X (0.168:0.168:0.168) (0.253:0.253:0.253))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__and4_1")
(INSTANCE _3682_)
(DELAY
(ABSOLUTE
(IOPATH A X (0.150:0.150:0.150) (0.134:0.134:0.134))
(IOPATH B X (0.180:0.181:0.181) (0.178:0.178:0.179))
(IOPATH C X (0.182:0.183:0.183) (0.191:0.195:0.198))
(IOPATH D X (0.189:0.189:0.189) (0.201:0.201:0.202))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__a22o_1")
(INSTANCE _3683_)
(DELAY
(ABSOLUTE
(IOPATH A1 X (0.134:0.134:0.135) (0.209:0.212:0.215))
(IOPATH A2 X (0.148:0.148:0.149) (0.239:0.239:0.240))
(IOPATH B1 X (0.131:0.132:0.133) (0.187:0.190:0.193))
(IOPATH B2 X (0.136:0.136:0.136) (0.206:0.206:0.206))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__or3b_1")
(INSTANCE _3684_)
(DELAY
(ABSOLUTE
(IOPATH A X (0.111:0.111:0.111) (0.379:0.380:0.381))
(IOPATH B X (0.132:0.132:0.132) (0.380:0.380:0.380))
(IOPATH C_N X (0.166:0.167:0.167) (0.354:0.355:0.355))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__dlymetal6s2s_1")
(INSTANCE _3685_)
(DELAY
(ABSOLUTE
(IOPATH A X (0.265:0.265:0.265) (0.233:0.233:0.233))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__clkbuf_2")
(INSTANCE _3686_)
(DELAY
(ABSOLUTE
(IOPATH A X (0.220:0.220:0.220) (0.184:0.184:0.184))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__o211a_1")
(INSTANCE _3687_)
(DELAY
(ABSOLUTE
(IOPATH A1 X (0.177:0.177:0.177) (0.232:0.232:0.232))
(IOPATH A2 X (0.140:0.140:0.140) (0.208:0.208:0.208))
(IOPATH B1 X (0.134:0.134:0.134) (0.130:0.130:0.130))
(IOPATH C1 X (0.151:0.151:0.151) (0.122:0.122:0.122))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__and3_1")
(INSTANCE _3688_)
(DELAY
(ABSOLUTE
(IOPATH A X (0.198:0.200:0.202) (0.155:0.161:0.167))
(IOPATH B X (0.178:0.179:0.179) (0.186:0.189:0.193))
(IOPATH C X (0.183:0.183:0.183) (0.202:0.202:0.202))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__and3_1")
(INSTANCE _3689_)
(DELAY
(ABSOLUTE
(IOPATH A X (0.201:0.201:0.201) (0.150:0.151:0.151))
(IOPATH B X (0.158:0.158:0.158) (0.165:0.165:0.165))
(IOPATH C X (0.155:0.155:0.155) (0.173:0.173:0.174))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__nand2_1")
(INSTANCE _3690_)
(DELAY
(ABSOLUTE
(IOPATH A Y (0.084:0.084:0.084) (0.085:0.085:0.085))
(IOPATH B Y (0.082:0.082:0.082) (0.080:0.080:0.080))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__a21oi_1")
(INSTANCE _3691_)
(DELAY
(ABSOLUTE
(IOPATH A1 Y (0.132:0.135:0.137) (0.062:0.062:0.062))
(IOPATH A2 Y (0.165:0.166:0.166) (0.083:0.084:0.084))
(IOPATH B1 Y (0.128:0.128:0.129) (0.069:0.069:0.069))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__and3_1")
(INSTANCE _3692_)
(DELAY
(ABSOLUTE
(IOPATH A X (0.180:0.180:0.180) (0.160:0.160:0.160))
(IOPATH B X (0.171:0.171:0.171) (0.170:0.170:0.170))
(IOPATH C X (0.164:0.164:0.164) (0.188:0.188:0.188))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__a221o_1")
(INSTANCE _3693_)
(DELAY
(ABSOLUTE
(IOPATH A1 X (0.190:0.190:0.190) (0.333:0.333:0.333))
(IOPATH A2 X (0.172:0.172:0.172) (0.355:0.355:0.355))
(IOPATH B1 X (0.155:0.158:0.161) (0.295:0.298:0.301))
(IOPATH B2 X (0.147:0.147:0.147) (0.320:0.320:0.321))
(IOPATH C1 X (0.109:0.109:0.109) (0.260:0.261:0.261))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__a21o_1")
(INSTANCE _3694_)
(DELAY
(ABSOLUTE
(IOPATH A1 X (0.168:0.169:0.169) (0.196:0.196:0.197))
(IOPATH A2 X (0.139:0.139:0.140) (0.191:0.192:0.192))
(IOPATH B1 X (0.111:0.112:0.113) (0.176:0.177:0.177))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__nand2_1")
(INSTANCE _3695_)
(DELAY
(ABSOLUTE
(IOPATH A Y (0.160:0.160:0.160) (0.156:0.156:0.156))
(IOPATH B Y (0.150:0.150:0.150) (0.133:0.133:0.133))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__or2_1")
(INSTANCE _3696_)
(DELAY
(ABSOLUTE
(IOPATH A X (0.131:0.131:0.131) (0.239:0.239:0.239))
(IOPATH B X (0.108:0.108:0.108) (0.206:0.206:0.206))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__and2_1")
(INSTANCE _3697_)
(DELAY
(ABSOLUTE
(IOPATH A X (0.180:0.180:0.181) (0.187:0.190:0.192))
(IOPATH B X (0.149:0.149:0.150) (0.174:0.174:0.174))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__nand2_1")
(INSTANCE _3698_)
(DELAY
(ABSOLUTE
(IOPATH A Y (0.155:0.155:0.156) (0.131:0.132:0.132))
(IOPATH B Y (0.161:0.162:0.162) (0.138:0.138:0.138))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__or2_1")
(INSTANCE _3699_)
(DELAY
(ABSOLUTE
(IOPATH A X (0.103:0.103:0.104) (0.223:0.224:0.224))
(IOPATH B X (0.104:0.104:0.105) (0.204:0.204:0.204))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__a21o_1")
(INSTANCE _3700_)
(DELAY
(ABSOLUTE
(IOPATH A1 X (0.135:0.135:0.136) (0.185:0.185:0.185))
(IOPATH A2 X (0.103:0.103:0.103) (0.172:0.172:0.172))
(IOPATH B1 X (0.101:0.101:0.101) (0.166:0.166:0.166))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__o211a_1")
(INSTANCE _3701_)
(DELAY
(ABSOLUTE
(IOPATH A1 X (0.176:0.176:0.176) (0.232:0.232:0.232))
(IOPATH A2 X (0.141:0.141:0.141) (0.209:0.209:0.209))
(IOPATH B1 X (0.130:0.130:0.131) (0.111:0.111:0.111))
(IOPATH C1 X (0.152:0.152:0.152) (0.123:0.123:0.123))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__nor2_1")
(INSTANCE _3702_)
(DELAY
(ABSOLUTE
(IOPATH A Y (0.209:0.209:0.209) (0.105:0.105:0.105))
(IOPATH B Y (0.180:0.180:0.180) (0.077:0.077:0.077))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__nand2_1")
(INSTANCE _3703_)
(DELAY
(ABSOLUTE
(IOPATH A Y (0.118:0.118:0.118) (0.119:0.119:0.119))
(IOPATH B Y (0.109:0.109:0.109) (0.098:0.098:0.098))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__and2b_1")
(INSTANCE _3704_)
(DELAY
(ABSOLUTE
(IOPATH A_N X (0.225:0.229:0.232) (0.231:0.231:0.231))
(IOPATH B X (0.166:0.167:0.167) (0.190:0.193:0.196))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__a21oi_1")
(INSTANCE _3705_)
(DELAY
(ABSOLUTE
(IOPATH A1 Y (0.155:0.157:0.159) (0.087:0.087:0.088))
(IOPATH A2 Y (0.176:0.176:0.176) (0.093:0.094:0.094))
(IOPATH B1 Y (0.121:0.121:0.121) (0.049:0.049:0.049))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__a31o_1")
(INSTANCE _3706_)
(DELAY
(ABSOLUTE
(IOPATH A1 X (0.160:0.161:0.161) (0.203:0.205:0.207))
(IOPATH A2 X (0.169:0.169:0.170) (0.236:0.236:0.237))
(IOPATH A3 X (0.150:0.150:0.150) (0.217:0.217:0.217))
(IOPATH B1 X (0.119:0.119:0.119) (0.200:0.200:0.200))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__o221a_1")
(INSTANCE _3707_)
(DELAY
(ABSOLUTE
(IOPATH A1 X (0.215:0.215:0.215) (0.276:0.276:0.276))
(IOPATH A2 X (0.194:0.194:0.194) (0.252:0.252:0.252))
(IOPATH B1 X (0.189:0.191:0.194) (0.220:0.224:0.229))
(IOPATH B2 X (0.169:0.169:0.170) (0.202:0.203:0.203))
(IOPATH C1 X (0.208:0.208:0.208) (0.139:0.139:0.139))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__nand2_1")
(INSTANCE _3708_)
(DELAY
(ABSOLUTE
(IOPATH A Y (0.120:0.120:0.120) (0.121:0.121:0.121))
(IOPATH B Y (0.112:0.112:0.112) (0.100:0.100:0.100))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__or2_1")
(INSTANCE _3709_)
(DELAY
(ABSOLUTE
(IOPATH A X (0.127:0.127:0.127) (0.235:0.235:0.235))
(IOPATH B X (0.104:0.104:0.104) (0.203:0.203:0.203))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__nand2_1")
(INSTANCE _3710_)
(DELAY
(ABSOLUTE
(IOPATH A Y (0.112:0.114:0.117) (0.096:0.097:0.097))
(IOPATH B Y (0.104:0.104:0.104) (0.078:0.078:0.078))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__a31o_1")
(INSTANCE _3711_)
(DELAY
(ABSOLUTE
(IOPATH A1 X (0.174:0.174:0.175) (0.212:0.214:0.216))
(IOPATH A2 X (0.183:0.183:0.183) (0.246:0.246:0.247))
(IOPATH A3 X (0.166:0.167:0.167) (0.235:0.238:0.241))
(IOPATH B1 X (0.145:0.145:0.145) (0.195:0.198:0.201))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__xor2_1")
(INSTANCE _3712_)
(DELAY
(ABSOLUTE
(IOPATH A X (0.162:0.163:0.165) (0.075:0.076:0.076))
(IOPATH A X (0.151:0.152:0.152) (0.165:0.166:0.168))
(IOPATH B X (0.134:0.134:0.135) (0.064:0.064:0.064))
(IOPATH B X (0.151:0.152:0.152) (0.138:0.138:0.139))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__or2_1")
(INSTANCE _3713_)
(DELAY
(ABSOLUTE
(IOPATH A X (0.130:0.130:0.130) (0.237:0.237:0.237))
(IOPATH B X (0.157:0.157:0.157) (0.212:0.218:0.224))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__o211a_1")
(INSTANCE _3714_)
(DELAY
(ABSOLUTE
(IOPATH A1 X (0.162:0.162:0.162) (0.228:0.228:0.228))
(IOPATH A2 X (0.137:0.138:0.140) (0.191:0.192:0.194))
(IOPATH B1 X (0.129:0.130:0.130) (0.116:0.116:0.116))
(IOPATH C1 X (0.149:0.149:0.149) (0.121:0.121:0.121))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__a311o_1")
(INSTANCE _3715_)
(DELAY
(ABSOLUTE
(IOPATH A1 X (0.219:0.220:0.220) (0.318:0.320:0.322))
(IOPATH A2 X (0.229:0.229:0.230) (0.369:0.369:0.369))
(IOPATH A3 X (0.212:0.212:0.213) (0.386:0.388:0.391))
(IOPATH B1 X (0.158:0.159:0.160) (0.352:0.353:0.354))
(IOPATH C1 X (0.181:0.181:0.181) (0.300:0.303:0.305))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__xor2_1")
(INSTANCE _3716_)
(DELAY
(ABSOLUTE
(IOPATH A X (0.234:0.234:0.234) (0.118:0.118:0.118))
(IOPATH A X (0.231:0.231:0.231) (0.192:0.192:0.192))
(IOPATH B X (0.200:0.200:0.200) (0.094:0.094:0.094))
(IOPATH B X (0.219:0.219:0.219) (0.159:0.159:0.159))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__a21oi_1")
(INSTANCE _3717_)
(DELAY
(ABSOLUTE
(IOPATH A1 Y (0.141:0.144:0.146) (0.076:0.077:0.077))
(IOPATH A2 Y (0.155:0.156:0.157) (0.076:0.077:0.078))
(IOPATH B1 Y (0.122:0.127:0.132) (0.065:0.066:0.067))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__a31o_1")
(INSTANCE _3718_)
(DELAY
(ABSOLUTE
(IOPATH A1 X (0.151:0.151:0.152) (0.189:0.191:0.194))
(IOPATH A2 X (0.153:0.154:0.155) (0.214:0.216:0.217))
(IOPATH A3 X (0.176:0.177:0.178) (0.219:0.224:0.229))
(IOPATH B1 X (0.123:0.123:0.123) (0.203:0.203:0.203))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__or2_1")
(INSTANCE _3719_)
(DELAY
(ABSOLUTE
(IOPATH A X (0.131:0.131:0.131) (0.239:0.239:0.239))
(IOPATH B X (0.162:0.162:0.162) (0.217:0.223:0.229))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__o211a_1")
(INSTANCE _3720_)
(DELAY
(ABSOLUTE
(IOPATH A1 X (0.157:0.159:0.161) (0.214:0.217:0.220))
(IOPATH A2 X (0.126:0.127:0.128) (0.194:0.195:0.196))
(IOPATH B1 X (0.135:0.136:0.136) (0.121:0.121:0.121))
(IOPATH C1 X (0.154:0.154:0.154) (0.124:0.124:0.124))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__nor3_1")
(INSTANCE _3721_)
(DELAY
(ABSOLUTE
(IOPATH A Y (0.287:0.287:0.287) (0.126:0.126:0.126))
(IOPATH B Y (0.286:0.286:0.286) (0.121:0.121:0.121))
(IOPATH C Y (0.220:0.220:0.220) (0.079:0.079:0.079))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__clkbuf_2")
(INSTANCE _3722_)
(DELAY
(ABSOLUTE
(IOPATH A X (0.228:0.228:0.229) (0.159:0.168:0.178))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__clkbuf_2")
(INSTANCE _3723_)
(DELAY
(ABSOLUTE
(IOPATH A X (0.188:0.188:0.188) (0.166:0.166:0.166))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__nand2_1")
(INSTANCE _3724_)
(DELAY
(ABSOLUTE
(IOPATH A Y (0.119:0.119:0.119) (0.117:0.117:0.117))
(IOPATH B Y (0.113:0.113:0.113) (0.099:0.099:0.099))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__or2_1")
(INSTANCE _3725_)
(DELAY
(ABSOLUTE
(IOPATH A X (0.121:0.121:0.121) (0.229:0.229:0.229))
(IOPATH B X (0.100:0.100:0.100) (0.200:0.200:0.200))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__or3_1")
(INSTANCE _3726_)
(DELAY
(ABSOLUTE
(IOPATH A X (0.210:0.210:0.210) (0.448:0.448:0.448))
(IOPATH B X (0.218:0.218:0.218) (0.485:0.485:0.485))
(IOPATH C X (0.154:0.154:0.155) (0.353:0.353:0.353))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__clkbuf_2")
(INSTANCE _3727_)
(DELAY
(ABSOLUTE
(IOPATH A X (0.178:0.178:0.179) (0.172:0.172:0.172))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__a21o_1")
(INSTANCE _3728_)
(DELAY
(ABSOLUTE
(IOPATH A1 X (0.120:0.121:0.121) (0.165:0.166:0.168))
(IOPATH A2 X (0.102:0.102:0.102) (0.171:0.171:0.171))
(IOPATH B1 X (0.098:0.098:0.098) (0.162:0.162:0.162))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__o211a_1")
(INSTANCE _3729_)
(DELAY
(ABSOLUTE
(IOPATH A1 X (0.171:0.171:0.171) (0.227:0.227:0.227))
(IOPATH A2 X (0.140:0.140:0.140) (0.208:0.208:0.208))
(IOPATH B1 X (0.129:0.130:0.130) (0.110:0.110:0.111))
(IOPATH C1 X (0.151:0.151:0.151) (0.122:0.122:0.122))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__xnor2_1")
(INSTANCE _3730_)
(DELAY
(ABSOLUTE
(IOPATH A Y (0.185:0.185:0.185) (0.175:0.175:0.175))
(IOPATH A Y (0.251:0.251:0.251) (0.135:0.135:0.135))
(IOPATH B Y (0.168:0.168:0.168) (0.151:0.151:0.151))
(IOPATH B Y (0.214:0.214:0.214) (0.104:0.104:0.104))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__nand2_1")
(INSTANCE _3731_)
(DELAY
(ABSOLUTE
(IOPATH A Y (0.074:0.076:0.078) (0.065:0.066:0.066))
(IOPATH B Y (0.075:0.080:0.084) (0.066:0.076:0.086))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__or2_1")
(INSTANCE _3732_)
(DELAY
(ABSOLUTE
(IOPATH A X (0.118:0.119:0.119) (0.239:0.240:0.242))
(IOPATH B X (0.113:0.126:0.138) (0.214:0.218:0.222))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__a21o_1")
(INSTANCE _3733_)
(DELAY
(ABSOLUTE
(IOPATH A1 X (0.103:0.104:0.105) (0.149:0.153:0.156))
(IOPATH A2 X (0.107:0.107:0.108) (0.176:0.176:0.176))
(IOPATH B1 X (0.101:0.101:0.101) (0.165:0.165:0.165))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__clkbuf_2")
(INSTANCE _3734_)
(DELAY
(ABSOLUTE
(IOPATH A X (0.210:0.210:0.210) (0.176:0.176:0.176))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__o211a_1")
(INSTANCE _3735_)
(DELAY
(ABSOLUTE
(IOPATH A1 X (0.166:0.166:0.166) (0.223:0.223:0.223))
(IOPATH A2 X (0.139:0.139:0.139) (0.206:0.206:0.206))
(IOPATH B1 X (0.129:0.129:0.129) (0.110:0.110:0.111))
(IOPATH C1 X (0.146:0.146:0.146) (0.117:0.117:0.117))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__nand2_1")
(INSTANCE _3736_)
(DELAY
(ABSOLUTE
(IOPATH A Y (0.090:0.090:0.090) (0.090:0.090:0.090))
(IOPATH B Y (0.077:0.077:0.077) (0.076:0.076:0.076))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__o21ai_1")
(INSTANCE _3737_)
(DELAY
(ABSOLUTE
(IOPATH A1 Y (0.249:0.251:0.252) (0.128:0.129:0.129))
(IOPATH A2 Y (0.231:0.235:0.239) (0.111:0.126:0.141))
(IOPATH B1 Y (0.146:0.152:0.157) (0.105:0.106:0.106))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__nand2_1")
(INSTANCE _3738_)
(DELAY
(ABSOLUTE
(IOPATH A Y (0.116:0.116:0.116) (0.119:0.119:0.119))
(IOPATH B Y (0.117:0.117:0.117) (0.112:0.112:0.112))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__or2_1")
(INSTANCE _3739_)
(DELAY
(ABSOLUTE
(IOPATH A X (0.122:0.122:0.122) (0.229:0.229:0.229))
(IOPATH B X (0.113:0.113:0.113) (0.205:0.205:0.205))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__and2_1")
(INSTANCE _3740_)
(DELAY
(ABSOLUTE
(IOPATH A X (0.175:0.176:0.176) (0.176:0.178:0.180))
(IOPATH B X (0.158:0.158:0.159) (0.178:0.178:0.178))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__nand2_1")
(INSTANCE _3741_)
(DELAY
(ABSOLUTE
(IOPATH A Y (0.122:0.125:0.129) (0.125:0.134:0.142))
(IOPATH B Y (0.117:0.117:0.118) (0.105:0.105:0.105))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__or2_1")
(INSTANCE _3742_)
(DELAY
(ABSOLUTE
(IOPATH A X (0.129:0.136:0.143) (0.235:0.238:0.241))
(IOPATH B X (0.109:0.109:0.109) (0.206:0.206:0.206))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__a21o_1")
(INSTANCE _3743_)
(DELAY
(ABSOLUTE
(IOPATH A1 X (0.120:0.121:0.122) (0.166:0.171:0.177))
(IOPATH A2 X (0.104:0.104:0.105) (0.173:0.173:0.173))
(IOPATH B1 X (0.100:0.100:0.100) (0.164:0.164:0.164))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__o211a_1")
(INSTANCE _3744_)
(DELAY
(ABSOLUTE
(IOPATH A1 X (0.183:0.183:0.183) (0.238:0.238:0.238))
(IOPATH A2 X (0.150:0.150:0.150) (0.217:0.217:0.217))
(IOPATH B1 X (0.140:0.141:0.141) (0.119:0.119:0.120))
(IOPATH C1 X (0.158:0.158:0.158) (0.126:0.126:0.126))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__nor2_1")
(INSTANCE _3745_)
(DELAY
(ABSOLUTE
(IOPATH A Y (0.113:0.113:0.113) (0.068:0.068:0.068))
(IOPATH B Y (0.089:0.089:0.089) (0.052:0.052:0.052))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__and2_1")
(INSTANCE _3746_)
(DELAY
(ABSOLUTE
(IOPATH A X (0.169:0.169:0.169) (0.160:0.160:0.160))
(IOPATH B X (0.157:0.157:0.157) (0.169:0.169:0.169))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__nor2_1")
(INSTANCE _3747_)
(DELAY
(ABSOLUTE
(IOPATH A Y (0.199:0.201:0.203) (0.079:0.080:0.080))
(IOPATH B Y (0.189:0.189:0.189) (0.073:0.073:0.073))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__a21oi_1")
(INSTANCE _3748_)
(DELAY
(ABSOLUTE
(IOPATH A1 Y (0.142:0.144:0.146) (0.074:0.075:0.076))
(IOPATH A2 Y (0.161:0.166:0.172) (0.080:0.081:0.081))
(IOPATH B1 Y (0.124:0.125:0.126) (0.069:0.069:0.069))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__a31o_1")
(INSTANCE _3749_)
(DELAY
(ABSOLUTE
(IOPATH A1 X (0.140:0.141:0.141) (0.182:0.184:0.186))
(IOPATH A2 X (0.149:0.149:0.150) (0.213:0.218:0.224))
(IOPATH A3 X (0.173:0.173:0.173) (0.213:0.214:0.214))
(IOPATH B1 X (0.113:0.113:0.113) (0.193:0.193:0.193))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__clkbuf_4")
(INSTANCE _3750_)
(DELAY
(ABSOLUTE
(IOPATH A X (0.294:0.294:0.294) (0.242:0.242:0.242))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__o221a_1")
(INSTANCE _3751_)
(DELAY
(ABSOLUTE
(IOPATH A1 X (0.211:0.211:0.211) (0.272:0.272:0.272))
(IOPATH A2 X (0.192:0.192:0.192) (0.252:0.252:0.252))
(IOPATH B1 X (0.185:0.188:0.190) (0.219:0.223:0.226))
(IOPATH B2 X (0.162:0.163:0.163) (0.197:0.197:0.198))
(IOPATH C1 X (0.196:0.196:0.196) (0.144:0.144:0.144))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__and2_1")
(INSTANCE _3752_)
(DELAY
(ABSOLUTE
(IOPATH A X (0.201:0.201:0.201) (0.182:0.182:0.182))
(IOPATH B X (0.179:0.179:0.179) (0.183:0.183:0.183))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__nor2_1")
(INSTANCE _3753_)
(DELAY
(ABSOLUTE
(IOPATH A Y (0.135:0.135:0.135) (0.078:0.078:0.078))
(IOPATH B Y (0.105:0.105:0.105) (0.053:0.053:0.053))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__nor2_1")
(INSTANCE _3754_)
(DELAY
(ABSOLUTE
(IOPATH A Y (0.233:0.233:0.233) (0.099:0.099:0.099))
(IOPATH B Y (0.207:0.210:0.213) (0.091:0.091:0.091))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__or2_1")
(INSTANCE _3755_)
(DELAY
(ABSOLUTE
(IOPATH A X (0.125:0.125:0.125) (0.232:0.232:0.232))
(IOPATH B X (0.110:0.110:0.110) (0.204:0.204:0.204))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__a31o_1")
(INSTANCE _3756_)
(DELAY
(ABSOLUTE
(IOPATH A1 X (0.158:0.158:0.158) (0.183:0.183:0.183))
(IOPATH A2 X (0.157:0.157:0.157) (0.207:0.207:0.207))
(IOPATH A3 X (0.133:0.133:0.133) (0.207:0.207:0.207))
(IOPATH B1 X (0.097:0.097:0.097) (0.176:0.176:0.177))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__a31o_1")
(INSTANCE _3757_)
(DELAY
(ABSOLUTE
(IOPATH A1 X (0.231:0.239:0.246) (0.231:0.234:0.237))
(IOPATH A2 X (0.218:0.218:0.218) (0.251:0.252:0.252))
(IOPATH A3 X (0.243:0.243:0.243) (0.260:0.261:0.262))
(IOPATH B1 X (0.155:0.156:0.157) (0.221:0.222:0.222))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__xnor2_1")
(INSTANCE _3758_)
(DELAY
(ABSOLUTE
(IOPATH A Y (0.152:0.152:0.152) (0.131:0.131:0.132))
(IOPATH A Y (0.160:0.161:0.161) (0.110:0.110:0.110))
(IOPATH B Y (0.133:0.133:0.133) (0.132:0.133:0.133))
(IOPATH B Y (0.145:0.145:0.146) (0.079:0.079:0.080))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__nand2_1")
(INSTANCE _3759_)
(DELAY
(ABSOLUTE
(IOPATH A Y (0.085:0.085:0.085) (0.078:0.078:0.078))
(IOPATH B Y (0.070:0.075:0.081) (0.059:0.069:0.078))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__o211a_1")
(INSTANCE _3760_)
(DELAY
(ABSOLUTE
(IOPATH A1 X (0.168:0.168:0.168) (0.225:0.225:0.225))
(IOPATH A2 X (0.138:0.138:0.138) (0.206:0.206:0.206))
(IOPATH B1 X (0.133:0.134:0.134) (0.113:0.116:0.120))
(IOPATH C1 X (0.146:0.146:0.146) (0.116:0.116:0.116))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__a21oi_1")
(INSTANCE _3761_)
(DELAY
(ABSOLUTE
(IOPATH A1 Y (0.246:0.247:0.248) (0.160:0.160:0.160))
(IOPATH A2 Y (0.268:0.269:0.269) (0.128:0.129:0.129))
(IOPATH B1 Y (0.237:0.238:0.238) (0.088:0.088:0.089))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__or2_1")
(INSTANCE _3762_)
(DELAY
(ABSOLUTE
(IOPATH A X (0.193:0.193:0.193) (0.288:0.288:0.288))
(IOPATH B X (0.171:0.171:0.171) (0.257:0.257:0.257))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__nand2_1")
(INSTANCE _3763_)
(DELAY
(ABSOLUTE
(IOPATH A Y (0.109:0.109:0.109) (0.111:0.111:0.111))
(IOPATH B Y (0.101:0.101:0.101) (0.091:0.091:0.091))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__and2_1")
(INSTANCE _3764_)
(DELAY
(ABSOLUTE
(IOPATH A X (0.185:0.185:0.185) (0.181:0.181:0.181))
(IOPATH B X (0.174:0.174:0.175) (0.190:0.193:0.196))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__clkbuf_2")
(INSTANCE _3765_)
(DELAY
(ABSOLUTE
(IOPATH A X (0.217:0.217:0.217) (0.152:0.161:0.170))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__o21ai_1")
(INSTANCE _3766_)
(DELAY
(ABSOLUTE
(IOPATH A1 Y (0.138:0.148:0.157) (0.108:0.109:0.111))
(IOPATH A2 Y (0.121:0.121:0.122) (0.067:0.067:0.067))
(IOPATH B1 Y (0.088:0.088:0.088) (0.080:0.080:0.080))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__a21o_1")
(INSTANCE _3767_)
(DELAY
(ABSOLUTE
(IOPATH A1 X (0.164:0.166:0.168) (0.171:0.182:0.192))
(IOPATH A2 X (0.137:0.137:0.137) (0.189:0.189:0.189))
(IOPATH B1 X (0.102:0.105:0.109) (0.158:0.163:0.167))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__o211a_1")
(INSTANCE _3768_)
(DELAY
(ABSOLUTE
(IOPATH A1 X (0.161:0.161:0.161) (0.219:0.219:0.219))
(IOPATH A2 X (0.140:0.140:0.140) (0.208:0.208:0.208))
(IOPATH B1 X (0.135:0.135:0.136) (0.115:0.115:0.115))
(IOPATH C1 X (0.148:0.148:0.148) (0.118:0.118:0.118))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__clkbuf_2")
(INSTANCE _3769_)
(DELAY
(ABSOLUTE
(IOPATH A X (0.197:0.197:0.197) (0.173:0.173:0.173))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__xor2_1")
(INSTANCE _3770_)
(DELAY
(ABSOLUTE
(IOPATH A X (0.282:0.282:0.282) (0.151:0.151:0.151))
(IOPATH A X (0.277:0.277:0.277) (0.216:0.216:0.216))
(IOPATH B X (0.246:0.246:0.246) (0.128:0.128:0.128))
(IOPATH B X (0.265:0.265:0.265) (0.180:0.180:0.180))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__nand2_1")
(INSTANCE _3771_)
(DELAY
(ABSOLUTE
(IOPATH A Y (0.100:0.111:0.123) (0.128:0.131:0.133))
(IOPATH B Y (0.109:0.112:0.115) (0.090:0.091:0.091))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__nand2_1")
(INSTANCE _3772_)
(DELAY
(ABSOLUTE
(IOPATH A Y (0.096:0.096:0.096) (0.088:0.088:0.088))
(IOPATH B Y (0.093:0.101:0.109) (0.077:0.078:0.079))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__xnor2_1")
(INSTANCE _3773_)
(DELAY
(ABSOLUTE
(IOPATH A Y (0.159:0.160:0.161) (0.135:0.144:0.153))
(IOPATH A Y (0.174:0.182:0.190) (0.115:0.117:0.118))
(IOPATH B Y (0.123:0.124:0.124) (0.127:0.130:0.132))
(IOPATH B Y (0.151:0.153:0.155) (0.068:0.069:0.070))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__or2_1")
(INSTANCE _3774_)
(DELAY
(ABSOLUTE
(IOPATH A X (0.133:0.133:0.133) (0.240:0.240:0.240))
(IOPATH B X (0.118:0.118:0.118) (0.220:0.220:0.220))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__o211a_1")
(INSTANCE _3775_)
(DELAY
(ABSOLUTE
(IOPATH A1 X (0.162:0.162:0.162) (0.228:0.228:0.228))
(IOPATH A2 X (0.127:0.136:0.145) (0.193:0.199:0.204))
(IOPATH B1 X (0.131:0.131:0.131) (0.117:0.117:0.118))
(IOPATH C1 X (0.147:0.147:0.147) (0.117:0.117:0.117))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__nand2_1")
(INSTANCE _3776_)
(DELAY
(ABSOLUTE
(IOPATH A Y (0.107:0.107:0.107) (0.111:0.111:0.111))
(IOPATH B Y (0.099:0.099:0.099) (0.094:0.094:0.094))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__or2_1")
(INSTANCE _3777_)
(DELAY
(ABSOLUTE
(IOPATH A X (0.168:0.168:0.168) (0.270:0.270:0.270))
(IOPATH B X (0.148:0.148:0.148) (0.238:0.238:0.238))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__nand2_1")
(INSTANCE _3778_)
(DELAY
(ABSOLUTE
(IOPATH A Y (0.088:0.092:0.096) (0.075:0.076:0.076))
(IOPATH B Y (0.098:0.098:0.098) (0.080:0.080:0.080))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__a32o_1")
(INSTANCE _3779_)
(DELAY
(ABSOLUTE
(IOPATH A1 X (0.190:0.190:0.190) (0.245:0.245:0.245))
(IOPATH A2 X (0.183:0.183:0.184) (0.262:0.269:0.277))
(IOPATH A3 X (0.218:0.219:0.221) (0.272:0.280:0.289))
(IOPATH B1 X (0.163:0.163:0.163) (0.223:0.223:0.223))
(IOPATH B2 X (0.185:0.185:0.185) (0.251:0.251:0.251))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__xnor2_1")
(INSTANCE _3780_)
(DELAY
(ABSOLUTE
(IOPATH A Y (0.123:0.124:0.125) (0.130:0.130:0.131))
(IOPATH A Y (0.169:0.169:0.170) (0.077:0.077:0.078))
(IOPATH B Y (0.123:0.123:0.124) (0.125:0.125:0.126))
(IOPATH B Y (0.148:0.148:0.148) (0.068:0.069:0.069))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__or2_1")
(INSTANCE _3781_)
(DELAY
(ABSOLUTE
(IOPATH A X (0.137:0.137:0.137) (0.244:0.244:0.244))
(IOPATH B X (0.117:0.117:0.117) (0.219:0.219:0.219))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__clkbuf_2")
(INSTANCE _3782_)
(DELAY
(ABSOLUTE
(IOPATH A X (0.212:0.212:0.212) (0.177:0.177:0.177))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__o211a_1")
(INSTANCE _3783_)
(DELAY
(ABSOLUTE
(IOPATH A1 X (0.168:0.168:0.168) (0.234:0.234:0.234))
(IOPATH A2 X (0.132:0.141:0.150) (0.198:0.200:0.202))
(IOPATH B1 X (0.137:0.137:0.137) (0.123:0.123:0.123))
(IOPATH C1 X (0.153:0.153:0.153) (0.122:0.122:0.122))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__and3_1")
(INSTANCE _3784_)
(DELAY
(ABSOLUTE
(IOPATH A X (0.216:0.217:0.219) (0.162:0.170:0.179))
(IOPATH B X (0.177:0.177:0.177) (0.183:0.187:0.191))
(IOPATH C X (0.182:0.182:0.182) (0.201:0.201:0.201))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__and3_1")
(INSTANCE _3785_)
(DELAY
(ABSOLUTE
(IOPATH A X (0.194:0.194:0.194) (0.148:0.149:0.150))
(IOPATH B X (0.159:0.159:0.159) (0.164:0.164:0.164))
(IOPATH C X (0.155:0.156:0.156) (0.173:0.174:0.175))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__or2b_1")
(INSTANCE _3786_)
(DELAY
(ABSOLUTE
(IOPATH A X (0.113:0.113:0.113) (0.223:0.224:0.224))
(IOPATH B_N X (0.159:0.162:0.165) (0.232:0.232:0.232))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__and2_1")
(INSTANCE _3787_)
(DELAY
(ABSOLUTE
(IOPATH A X (0.153:0.153:0.153) (0.153:0.153:0.153))
(IOPATH B X (0.136:0.136:0.136) (0.155:0.155:0.155))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__a31o_1")
(INSTANCE _3788_)
(DELAY
(ABSOLUTE
(IOPATH A1 X (0.190:0.190:0.190) (0.209:0.209:0.209))
(IOPATH A2 X (0.175:0.175:0.175) (0.222:0.222:0.222))
(IOPATH A3 X (0.159:0.159:0.159) (0.230:0.230:0.230))
(IOPATH B1 X (0.102:0.102:0.102) (0.184:0.184:0.184))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__a31o_1")
(INSTANCE _3789_)
(DELAY
(ABSOLUTE
(IOPATH A1 X (0.154:0.154:0.154) (0.189:0.190:0.190))
(IOPATH A2 X (0.133:0.133:0.133) (0.200:0.200:0.201))
(IOPATH A3 X (0.146:0.147:0.147) (0.211:0.211:0.212))
(IOPATH B1 X (0.095:0.096:0.097) (0.179:0.179:0.180))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__a21o_1")
(INSTANCE _3790_)
(DELAY
(ABSOLUTE
(IOPATH A1 X (0.196:0.196:0.196) (0.210:0.210:0.211))
(IOPATH A2 X (0.175:0.176:0.176) (0.214:0.215:0.216))
(IOPATH B1 X (0.144:0.145:0.146) (0.193:0.193:0.194))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__nand2_1")
(INSTANCE _3791_)
(DELAY
(ABSOLUTE
(IOPATH A Y (0.121:0.121:0.121) (0.125:0.125:0.125))
(IOPATH B Y (0.111:0.111:0.111) (0.105:0.105:0.105))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__or2_1")
(INSTANCE _3792_)
(DELAY
(ABSOLUTE
(IOPATH A X (0.129:0.129:0.129) (0.235:0.235:0.235))
(IOPATH B X (0.110:0.110:0.110) (0.202:0.202:0.202))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__and2_1")
(INSTANCE _3793_)
(DELAY
(ABSOLUTE
(IOPATH A X (0.169:0.170:0.171) (0.170:0.174:0.179))
(IOPATH B X (0.153:0.154:0.154) (0.175:0.175:0.175))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__nand2_1")
(INSTANCE _3794_)
(DELAY
(ABSOLUTE
(IOPATH A Y (0.101:0.102:0.102) (0.097:0.097:0.097))
(IOPATH B Y (0.099:0.100:0.100) (0.089:0.089:0.089))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__or2_1")
(INSTANCE _3795_)
(DELAY
(ABSOLUTE
(IOPATH A X (0.116:0.116:0.117) (0.229:0.229:0.229))
(IOPATH B X (0.104:0.104:0.104) (0.202:0.202:0.202))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__and2_1")
(INSTANCE _3796_)
(DELAY
(ABSOLUTE
(IOPATH A X (0.126:0.126:0.127) (0.141:0.142:0.144))
(IOPATH B X (0.115:0.115:0.115) (0.150:0.150:0.150))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__or2_1")
(INSTANCE _3797_)
(DELAY
(ABSOLUTE
(IOPATH A X (0.128:0.128:0.128) (0.236:0.236:0.236))
(IOPATH B X (0.113:0.113:0.113) (0.214:0.214:0.214))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__o211a_1")
(INSTANCE _3798_)
(DELAY
(ABSOLUTE
(IOPATH A1 X (0.164:0.164:0.164) (0.230:0.230:0.230))
(IOPATH A2 X (0.125:0.125:0.125) (0.192:0.192:0.193))
(IOPATH B1 X (0.132:0.132:0.132) (0.118:0.118:0.118))
(IOPATH C1 X (0.150:0.150:0.150) (0.120:0.120:0.120))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__nor2_1")
(INSTANCE _3799_)
(DELAY
(ABSOLUTE
(IOPATH A Y (0.219:0.219:0.219) (0.113:0.113:0.113))
(IOPATH B Y (0.187:0.187:0.187) (0.079:0.079:0.079))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__and2_1")
(INSTANCE _3800_)
(DELAY
(ABSOLUTE
(IOPATH A X (0.175:0.175:0.175) (0.167:0.167:0.167))
(IOPATH B X (0.151:0.151:0.151) (0.164:0.164:0.164))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__nor2_1")
(INSTANCE _3801_)
(DELAY
(ABSOLUTE
(IOPATH A Y (0.172:0.176:0.179) (0.106:0.106:0.106))
(IOPATH B Y (0.152:0.152:0.152) (0.063:0.063:0.063))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__a21oi_1")
(INSTANCE _3802_)
(DELAY
(ABSOLUTE
(IOPATH A1 Y (0.133:0.137:0.140) (0.071:0.071:0.072))
(IOPATH A2 Y (0.147:0.148:0.150) (0.070:0.071:0.071))
(IOPATH B1 Y (0.112:0.118:0.123) (0.060:0.060:0.060))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__a31o_1")
(INSTANCE _3803_)
(DELAY
(ABSOLUTE
(IOPATH A1 X (0.142:0.143:0.144) (0.182:0.186:0.190))
(IOPATH A2 X (0.145:0.145:0.146) (0.208:0.210:0.211))
(IOPATH A3 X (0.166:0.166:0.166) (0.210:0.217:0.223))
(IOPATH B1 X (0.103:0.103:0.104) (0.198:0.198:0.198))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__o221a_1")
(INSTANCE _3804_)
(DELAY
(ABSOLUTE
(IOPATH A1 X (0.199:0.199:0.199) (0.263:0.263:0.263))
(IOPATH A2 X (0.184:0.184:0.184) (0.246:0.246:0.246))
(IOPATH B1 X (0.175:0.177:0.180) (0.211:0.213:0.215))
(IOPATH B2 X (0.155:0.156:0.157) (0.191:0.191:0.192))
(IOPATH C1 X (0.189:0.189:0.189) (0.139:0.139:0.139))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__and2_1")
(INSTANCE _3805_)
(DELAY
(ABSOLUTE
(IOPATH A X (0.166:0.166:0.166) (0.165:0.165:0.165))
(IOPATH B X (0.147:0.147:0.147) (0.164:0.164:0.164))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__nor2_1")
(INSTANCE _3806_)
(DELAY
(ABSOLUTE
(IOPATH A Y (0.183:0.183:0.183) (0.103:0.103:0.103))
(IOPATH B Y (0.152:0.152:0.152) (0.078:0.078:0.078))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__nor2_1")
(INSTANCE _3807_)
(DELAY
(ABSOLUTE
(IOPATH A Y (0.129:0.129:0.129) (0.053:0.053:0.053))
(IOPATH B Y (0.120:0.123:0.127) (0.073:0.073:0.073))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__a21o_1")
(INSTANCE _3808_)
(DELAY
(ABSOLUTE
(IOPATH A1 X (0.140:0.140:0.140) (0.172:0.172:0.172))
(IOPATH A2 X (0.127:0.127:0.127) (0.177:0.177:0.177))
(IOPATH B1 X (0.085:0.085:0.086) (0.147:0.147:0.147))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__a21oi_1")
(INSTANCE _3809_)
(DELAY
(ABSOLUTE
(IOPATH A1 Y (0.183:0.183:0.184) (0.100:0.100:0.100))
(IOPATH A2 Y (0.193:0.193:0.193) (0.092:0.092:0.092))
(IOPATH B1 Y (0.158:0.159:0.159) (0.045:0.045:0.046))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__or2_1")
(INSTANCE _3810_)
(DELAY
(ABSOLUTE
(IOPATH A X (0.154:0.154:0.154) (0.241:0.245:0.249))
(IOPATH B X (0.138:0.140:0.142) (0.216:0.221:0.227))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__xnor2_1")
(INSTANCE _3811_)
(DELAY
(ABSOLUTE
(IOPATH A Y (0.139:0.139:0.139) (0.122:0.126:0.130))
(IOPATH A Y (0.164:0.168:0.172) (0.092:0.092:0.093))
(IOPATH B Y (0.122:0.123:0.123) (0.131:0.131:0.131))
(IOPATH B Y (0.156:0.156:0.156) (0.068:0.068:0.068))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__or2_1")
(INSTANCE _3812_)
(DELAY
(ABSOLUTE
(IOPATH A X (0.128:0.128:0.128) (0.236:0.236:0.236))
(IOPATH B X (0.116:0.116:0.116) (0.218:0.218:0.218))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__o211a_1")
(INSTANCE _3813_)
(DELAY
(ABSOLUTE
(IOPATH A1 X (0.162:0.162:0.162) (0.228:0.228:0.228))
(IOPATH A2 X (0.127:0.136:0.145) (0.193:0.195:0.197))
(IOPATH B1 X (0.130:0.130:0.131) (0.117:0.117:0.117))
(IOPATH C1 X (0.147:0.147:0.147) (0.118:0.118:0.118))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__nand2_1")
(INSTANCE _3814_)
(DELAY
(ABSOLUTE
(IOPATH A Y (0.097:0.097:0.097) (0.097:0.097:0.097))
(IOPATH B Y (0.086:0.086:0.086) (0.083:0.083:0.083))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__o31a_1")
(INSTANCE _3815_)
(DELAY
(ABSOLUTE
(IOPATH A1 X (0.197:0.197:0.197) (0.315:0.319:0.323))
(IOPATH A2 X (0.179:0.181:0.183) (0.289:0.295:0.301))
(IOPATH A3 X (0.166:0.166:0.166) (0.256:0.260:0.263))
(IOPATH B1 X (0.155:0.155:0.155) (0.119:0.123:0.128))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__xor2_1")
(INSTANCE _3816_)
(DELAY
(ABSOLUTE
(IOPATH A X (0.203:0.203:0.203) (0.105:0.105:0.105))
(IOPATH A X (0.201:0.201:0.201) (0.182:0.182:0.182))
(IOPATH B X (0.173:0.173:0.173) (0.085:0.085:0.085))
(IOPATH B X (0.193:0.193:0.193) (0.152:0.152:0.152))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__xnor2_1")
(INSTANCE _3817_)
(DELAY
(ABSOLUTE
(IOPATH A Y (0.122:0.122:0.122) (0.122:0.126:0.130))
(IOPATH A Y (0.155:0.159:0.163) (0.076:0.077:0.077))
(IOPATH B Y (0.140:0.141:0.142) (0.122:0.126:0.130))
(IOPATH B Y (0.139:0.142:0.146) (0.085:0.086:0.087))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__or2_1")
(INSTANCE _3818_)
(DELAY
(ABSOLUTE
(IOPATH A X (0.131:0.131:0.131) (0.239:0.239:0.239))
(IOPATH B X (0.116:0.116:0.116) (0.218:0.218:0.218))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__o211a_1")
(INSTANCE _3819_)
(DELAY
(ABSOLUTE
(IOPATH A1 X (0.162:0.162:0.162) (0.229:0.229:0.229))
(IOPATH A2 X (0.125:0.134:0.142) (0.193:0.194:0.196))
(IOPATH B1 X (0.131:0.132:0.132) (0.118:0.118:0.118))
(IOPATH C1 X (0.148:0.148:0.148) (0.118:0.118:0.118))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__and2b_1")
(INSTANCE _3820_)
(DELAY
(ABSOLUTE
(IOPATH A_N X (0.366:0.366:0.366) (0.290:0.290:0.290))
(IOPATH B X (0.238:0.238:0.238) (0.241:0.241:0.241))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__nand2_1")
(INSTANCE _3821_)
(DELAY
(ABSOLUTE
(IOPATH A Y (0.315:0.315:0.315) (0.286:0.286:0.286))
(IOPATH B Y (0.166:0.166:0.166) (0.152:0.152:0.152))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__clkbuf_4")
(INSTANCE _3822_)
(DELAY
(ABSOLUTE
(IOPATH A X (0.322:0.332:0.342) (0.283:0.316:0.349))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__or2b_1")
(INSTANCE _3823_)
(DELAY
(ABSOLUTE
(IOPATH A X (0.197:0.197:0.197) (0.296:0.296:0.296))
(IOPATH B_N X (0.295:0.295:0.295) (0.331:0.331:0.331))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__clkbuf_2")
(INSTANCE _3824_)
(DELAY
(ABSOLUTE
(IOPATH A X (0.235:0.235:0.235) (0.204:0.204:0.204))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__nor2_2")
(INSTANCE _3825_)
(DELAY
(ABSOLUTE
(IOPATH A Y (0.302:0.302:0.302) (0.133:0.133:0.133))
(IOPATH B Y (0.261:0.261:0.261) (0.105:0.105:0.105))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__dlymetal6s2s_1")
(INSTANCE _3826_)
(DELAY
(ABSOLUTE
(IOPATH A X (0.255:0.255:0.255) (0.203:0.207:0.212))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__buf_2")
(INSTANCE _3827_)
(DELAY
(ABSOLUTE
(IOPATH A X (0.274:0.274:0.274) (0.214:0.214:0.214))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__nand2_1")
(INSTANCE _3828_)
(DELAY
(ABSOLUTE
(IOPATH A Y (0.132:0.132:0.132) (0.132:0.132:0.132))
(IOPATH B Y (0.122:0.122:0.122) (0.110:0.110:0.110))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__or2_1")
(INSTANCE _3829_)
(DELAY
(ABSOLUTE
(IOPATH A X (0.130:0.130:0.130) (0.237:0.237:0.237))
(IOPATH B X (0.109:0.109:0.109) (0.204:0.204:0.204))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__or2_2")
(INSTANCE _3830_)
(DELAY
(ABSOLUTE
(IOPATH A X (0.273:0.281:0.289) (0.434:0.462:0.489))
(IOPATH B X (0.279:0.279:0.280) (0.412:0.412:0.412))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__clkbuf_2")
(INSTANCE _3831_)
(DELAY
(ABSOLUTE
(IOPATH A X (0.224:0.224:0.224) (0.198:0.198:0.199))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__a21o_1")
(INSTANCE _3832_)
(DELAY
(ABSOLUTE
(IOPATH A1 X (0.132:0.133:0.133) (0.175:0.178:0.181))
(IOPATH A2 X (0.112:0.113:0.113) (0.180:0.180:0.180))
(IOPATH B1 X (0.109:0.109:0.109) (0.173:0.173:0.173))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__o211a_1")
(INSTANCE _3833_)
(DELAY
(ABSOLUTE
(IOPATH A1 X (0.167:0.167:0.167) (0.224:0.224:0.224))
(IOPATH A2 X (0.159:0.159:0.159) (0.219:0.219:0.219))
(IOPATH B1 X (0.134:0.135:0.135) (0.114:0.115:0.115))
(IOPATH C1 X (0.150:0.150:0.150) (0.120:0.120:0.120))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__xnor2_1")
(INSTANCE _3834_)
(DELAY
(ABSOLUTE
(IOPATH A Y (0.187:0.187:0.187) (0.176:0.176:0.176))
(IOPATH A Y (0.255:0.255:0.255) (0.137:0.137:0.137))
(IOPATH B Y (0.177:0.177:0.177) (0.160:0.160:0.160))
(IOPATH B Y (0.225:0.225:0.225) (0.113:0.113:0.113))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__nand2_1")
(INSTANCE _3835_)
(DELAY
(ABSOLUTE
(IOPATH A Y (0.078:0.081:0.084) (0.069:0.069:0.070))
(IOPATH B Y (0.077:0.082:0.086) (0.068:0.078:0.089))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__or2_1")
(INSTANCE _3836_)
(DELAY
(ABSOLUTE
(IOPATH A X (0.116:0.116:0.117) (0.236:0.238:0.241))
(IOPATH B X (0.109:0.122:0.135) (0.209:0.213:0.218))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__a21o_1")
(INSTANCE _3837_)
(DELAY
(ABSOLUTE
(IOPATH A1 X (0.102:0.102:0.103) (0.148:0.152:0.155))
(IOPATH A2 X (0.103:0.104:0.104) (0.172:0.172:0.172))
(IOPATH B1 X (0.101:0.101:0.101) (0.166:0.166:0.166))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__clkbuf_2")
(INSTANCE _3838_)
(DELAY
(ABSOLUTE
(IOPATH A X (0.208:0.208:0.208) (0.175:0.175:0.175))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__o211a_1")
(INSTANCE _3839_)
(DELAY
(ABSOLUTE
(IOPATH A1 X (0.181:0.181:0.181) (0.236:0.236:0.236))
(IOPATH A2 X (0.158:0.158:0.158) (0.217:0.217:0.217))
(IOPATH B1 X (0.130:0.130:0.130) (0.110:0.111:0.111))
(IOPATH C1 X (0.147:0.147:0.147) (0.117:0.117:0.117))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__nand2_1")
(INSTANCE _3840_)
(DELAY
(ABSOLUTE
(IOPATH A Y (0.087:0.087:0.087) (0.086:0.086:0.086))
(IOPATH B Y (0.083:0.083:0.083) (0.079:0.079:0.079))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__o21ai_1")
(INSTANCE _3841_)
(DELAY
(ABSOLUTE
(IOPATH A1 Y (0.233:0.235:0.238) (0.122:0.123:0.123))
(IOPATH A2 Y (0.212:0.216:0.220) (0.105:0.119:0.133))
(IOPATH B1 Y (0.134:0.138:0.142) (0.097:0.097:0.097))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__nand2_1")
(INSTANCE _3842_)
(DELAY
(ABSOLUTE
(IOPATH A Y (0.115:0.115:0.115) (0.119:0.119:0.119))
(IOPATH B Y (0.115:0.115:0.115) (0.110:0.110:0.110))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__or2_1")
(INSTANCE _3843_)
(DELAY
(ABSOLUTE
(IOPATH A X (0.128:0.128:0.128) (0.234:0.234:0.234))
(IOPATH B X (0.117:0.117:0.117) (0.209:0.209:0.209))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__and2_1")
(INSTANCE _3844_)
(DELAY
(ABSOLUTE
(IOPATH A X (0.181:0.182:0.182) (0.179:0.181:0.184))
(IOPATH B X (0.167:0.167:0.167) (0.183:0.183:0.183))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__nand2_1")
(INSTANCE _3845_)
(DELAY
(ABSOLUTE
(IOPATH A Y (0.109:0.112:0.116) (0.112:0.119:0.127))
(IOPATH B Y (0.110:0.111:0.111) (0.101:0.101:0.101))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__or2_1")
(INSTANCE _3846_)
(DELAY
(ABSOLUTE
(IOPATH A X (0.128:0.134:0.140) (0.234:0.237:0.240))
(IOPATH B X (0.115:0.115:0.115) (0.210:0.211:0.211))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__a21o_1")
(INSTANCE _3847_)
(DELAY
(ABSOLUTE
(IOPATH A1 X (0.131:0.131:0.132) (0.173:0.178:0.183))
(IOPATH A2 X (0.119:0.119:0.120) (0.185:0.185:0.185))
(IOPATH B1 X (0.115:0.115:0.115) (0.178:0.178:0.178))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__o211a_1")
(INSTANCE _3848_)
(DELAY
(ABSOLUTE
(IOPATH A1 X (0.170:0.170:0.170) (0.227:0.227:0.227))
(IOPATH A2 X (0.156:0.156:0.156) (0.216:0.216:0.216))
(IOPATH B1 X (0.134:0.134:0.134) (0.114:0.114:0.115))
(IOPATH C1 X (0.146:0.146:0.146) (0.116:0.116:0.116))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__nor2_1")
(INSTANCE _3849_)
(DELAY
(ABSOLUTE
(IOPATH A Y (0.116:0.116:0.116) (0.070:0.070:0.070))
(IOPATH B Y (0.093:0.093:0.093) (0.055:0.055:0.055))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__and2_1")
(INSTANCE _3850_)
(DELAY
(ABSOLUTE
(IOPATH A X (0.182:0.182:0.182) (0.170:0.170:0.170))
(IOPATH B X (0.173:0.173:0.173) (0.179:0.179:0.179))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__nor2_1")
(INSTANCE _3851_)
(DELAY
(ABSOLUTE
(IOPATH A Y (0.215:0.217:0.219) (0.084:0.084:0.084))
(IOPATH B Y (0.206:0.206:0.207) (0.082:0.083:0.083))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__a21oi_1")
(INSTANCE _3852_)
(DELAY
(ABSOLUTE
(IOPATH A1 Y (0.137:0.140:0.142) (0.071:0.072:0.073))
(IOPATH A2 Y (0.153:0.158:0.163) (0.075:0.075:0.076))
(IOPATH B1 Y (0.123:0.123:0.123) (0.069:0.069:0.069))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__a31o_1")
(INSTANCE _3853_)
(DELAY
(ABSOLUTE
(IOPATH A1 X (0.139:0.140:0.141) (0.181:0.184:0.186))
(IOPATH A2 X (0.145:0.146:0.147) (0.209:0.214:0.219))
(IOPATH A3 X (0.177:0.177:0.177) (0.216:0.216:0.217))
(IOPATH B1 X (0.131:0.131:0.131) (0.217:0.217:0.217))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__o221a_1")
(INSTANCE _3854_)
(DELAY
(ABSOLUTE
(IOPATH A1 X (0.198:0.198:0.198) (0.262:0.262:0.262))
(IOPATH A2 X (0.204:0.204:0.204) (0.253:0.253:0.253))
(IOPATH B1 X (0.179:0.181:0.184) (0.214:0.219:0.223))
(IOPATH B2 X (0.158:0.159:0.159) (0.193:0.193:0.194))
(IOPATH C1 X (0.192:0.192:0.192) (0.141:0.141:0.141))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__clkbuf_2")
(INSTANCE _3855_)
(DELAY
(ABSOLUTE
(IOPATH A X (0.242:0.242:0.242) (0.213:0.213:0.213))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__and2_1")
(INSTANCE _3856_)
(DELAY
(ABSOLUTE
(IOPATH A X (0.175:0.175:0.175) (0.166:0.166:0.166))
(IOPATH B X (0.164:0.164:0.164) (0.175:0.175:0.175))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__nor2_1")
(INSTANCE _3857_)
(DELAY
(ABSOLUTE
(IOPATH A Y (0.127:0.127:0.127) (0.067:0.067:0.067))
(IOPATH B Y (0.098:0.098:0.098) (0.057:0.057:0.057))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__nor2_1")
(INSTANCE _3858_)
(DELAY
(ABSOLUTE
(IOPATH A Y (0.221:0.221:0.221) (0.084:0.084:0.084))
(IOPATH B Y (0.203:0.204:0.204) (0.083:0.083:0.083))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__or2_1")
(INSTANCE _3859_)
(DELAY
(ABSOLUTE
(IOPATH A X (0.131:0.131:0.131) (0.239:0.239:0.239))
(IOPATH B X (0.119:0.119:0.119) (0.212:0.212:0.212))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__a31o_1")
(INSTANCE _3860_)
(DELAY
(ABSOLUTE
(IOPATH A1 X (0.163:0.163:0.163) (0.187:0.187:0.187))
(IOPATH A2 X (0.160:0.160:0.160) (0.210:0.210:0.210))
(IOPATH A3 X (0.137:0.137:0.137) (0.211:0.211:0.211))
(IOPATH B1 X (0.104:0.104:0.104) (0.181:0.181:0.181))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__a31o_1")
(INSTANCE _3861_)
(DELAY
(ABSOLUTE
(IOPATH A1 X (0.248:0.254:0.261) (0.238:0.241:0.244))
(IOPATH A2 X (0.242:0.242:0.242) (0.265:0.265:0.265))
(IOPATH A3 X (0.268:0.268:0.268) (0.275:0.275:0.275))
(IOPATH B1 X (0.177:0.178:0.179) (0.233:0.234:0.234))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__xor2_1")
(INSTANCE _3862_)
(DELAY
(ABSOLUTE
(IOPATH A X (0.161:0.161:0.162) (0.105:0.105:0.106))
(IOPATH A X (0.178:0.178:0.178) (0.164:0.164:0.164))
(IOPATH B X (0.152:0.152:0.153) (0.089:0.090:0.090))
(IOPATH B X (0.169:0.169:0.169) (0.155:0.156:0.156))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__or2_1")
(INSTANCE _3863_)
(DELAY
(ABSOLUTE
(IOPATH A X (0.128:0.128:0.128) (0.235:0.235:0.235))
(IOPATH B X (0.130:0.130:0.130) (0.221:0.221:0.221))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__o211a_1")
(INSTANCE _3864_)
(DELAY
(ABSOLUTE
(IOPATH A1 X (0.172:0.172:0.172) (0.239:0.239:0.239))
(IOPATH A2 X (0.142:0.144:0.145) (0.195:0.201:0.206))
(IOPATH B1 X (0.134:0.134:0.134) (0.120:0.120:0.120))
(IOPATH C1 X (0.150:0.150:0.150) (0.119:0.119:0.119))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__a21oi_1")
(INSTANCE _3865_)
(DELAY
(ABSOLUTE
(IOPATH A1 Y (0.258:0.259:0.259) (0.164:0.164:0.164))
(IOPATH A2 Y (0.287:0.288:0.288) (0.141:0.142:0.142))
(IOPATH B1 Y (0.246:0.246:0.246) (0.079:0.079:0.079))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__nor2_1")
(INSTANCE _3866_)
(DELAY
(ABSOLUTE
(IOPATH A Y (0.208:0.208:0.208) (0.114:0.114:0.114))
(IOPATH B Y (0.177:0.177:0.177) (0.086:0.086:0.086))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__nand2_1")
(INSTANCE _3867_)
(DELAY
(ABSOLUTE
(IOPATH A Y (0.129:0.129:0.129) (0.133:0.133:0.133))
(IOPATH B Y (0.117:0.117:0.117) (0.110:0.110:0.110))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__and2b_1")
(INSTANCE _3868_)
(DELAY
(ABSOLUTE
(IOPATH A_N X (0.246:0.251:0.256) (0.242:0.242:0.242))
(IOPATH B X (0.187:0.187:0.187) (0.204:0.209:0.214))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__nor2_1")
(INSTANCE _3869_)
(DELAY
(ABSOLUTE
(IOPATH A Y (0.127:0.138:0.149) (0.095:0.097:0.099))
(IOPATH B Y (0.114:0.114:0.114) (0.061:0.061:0.061))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__a21o_1")
(INSTANCE _3870_)
(DELAY
(ABSOLUTE
(IOPATH A1 X (0.156:0.158:0.160) (0.160:0.172:0.184))
(IOPATH A2 X (0.129:0.129:0.129) (0.182:0.182:0.183))
(IOPATH B1 X (0.104:0.104:0.104) (0.167:0.167:0.167))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__or2_1")
(INSTANCE _3871_)
(DELAY
(ABSOLUTE
(IOPATH A X (0.127:0.127:0.127) (0.235:0.235:0.235))
(IOPATH B X (0.131:0.131:0.131) (0.223:0.223:0.223))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__o211a_1")
(INSTANCE _3872_)
(DELAY
(ABSOLUTE
(IOPATH A1 X (0.157:0.157:0.157) (0.210:0.216:0.222))
(IOPATH A2 X (0.121:0.122:0.122) (0.191:0.191:0.191))
(IOPATH B1 X (0.131:0.131:0.131) (0.118:0.118:0.118))
(IOPATH C1 X (0.147:0.147:0.147) (0.117:0.117:0.117))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__xor2_2")
(INSTANCE _3873_)
(DELAY
(ABSOLUTE
(IOPATH A X (0.227:0.227:0.227) (0.116:0.116:0.116))
(IOPATH A X (0.212:0.212:0.212) (0.201:0.201:0.201))
(IOPATH B X (0.207:0.207:0.207) (0.121:0.121:0.121))
(IOPATH B X (0.221:0.221:0.221) (0.179:0.179:0.179))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__a21oi_1")
(INSTANCE _3874_)
(DELAY
(ABSOLUTE
(IOPATH A1 Y (0.227:0.239:0.250) (0.154:0.157:0.159))
(IOPATH A2 Y (0.237:0.237:0.237) (0.094:0.094:0.094))
(IOPATH B1 Y (0.218:0.222:0.225) (0.100:0.100:0.100))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__xor2_1")
(INSTANCE _3875_)
(DELAY
(ABSOLUTE
(IOPATH A X (0.154:0.161:0.169) (0.092:0.094:0.096))
(IOPATH A X (0.165:0.167:0.168) (0.158:0.166:0.173))
(IOPATH B X (0.145:0.153:0.160) (0.099:0.101:0.102))
(IOPATH B X (0.174:0.175:0.176) (0.150:0.158:0.165))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__or2_1")
(INSTANCE _3876_)
(DELAY
(ABSOLUTE
(IOPATH A X (0.144:0.144:0.144) (0.248:0.248:0.248))
(IOPATH B X (0.129:0.129:0.129) (0.221:0.221:0.221))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__o211a_1")
(INSTANCE _3877_)
(DELAY
(ABSOLUTE
(IOPATH A1 X (0.170:0.170:0.170) (0.237:0.237:0.237))
(IOPATH A2 X (0.139:0.141:0.143) (0.194:0.201:0.208))
(IOPATH B1 X (0.132:0.132:0.133) (0.118:0.118:0.119))
(IOPATH C1 X (0.148:0.148:0.148) (0.118:0.118:0.118))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__nand2_1")
(INSTANCE _3878_)
(DELAY
(ABSOLUTE
(IOPATH A Y (0.130:0.130:0.130) (0.135:0.135:0.135))
(IOPATH B Y (0.116:0.116:0.116) (0.110:0.110:0.110))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__or2_1")
(INSTANCE _3879_)
(DELAY
(ABSOLUTE
(IOPATH A X (0.211:0.211:0.211) (0.301:0.301:0.301))
(IOPATH B X (0.189:0.189:0.189) (0.265:0.265:0.265))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__a22oi_1")
(INSTANCE _3880_)
(DELAY
(ABSOLUTE
(IOPATH A1 Y (0.220:0.220:0.220) (0.123:0.123:0.123))
(IOPATH A2 Y (0.238:0.238:0.238) (0.132:0.132:0.132))
(IOPATH B1 Y (0.181:0.187:0.194) (0.107:0.110:0.112))
(IOPATH B2 Y (0.207:0.213:0.220) (0.118:0.120:0.122))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__a21oi_1")
(INSTANCE _3881_)
(DELAY
(ABSOLUTE
(IOPATH A1 Y (0.144:0.149:0.153) (0.076:0.077:0.078))
(IOPATH A2 Y (0.168:0.168:0.168) (0.089:0.089:0.089))
(IOPATH B1 Y (0.136:0.138:0.139) (0.066:0.067:0.068))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__a31o_1")
(INSTANCE _3882_)
(DELAY
(ABSOLUTE
(IOPATH A1 X (0.143:0.143:0.144) (0.183:0.187:0.192))
(IOPATH A2 X (0.158:0.158:0.158) (0.218:0.218:0.218))
(IOPATH A3 X (0.168:0.170:0.171) (0.225:0.226:0.227))
(IOPATH B1 X (0.116:0.116:0.116) (0.196:0.196:0.196))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__or2_1")
(INSTANCE _3883_)
(DELAY
(ABSOLUTE
(IOPATH A X (0.133:0.133:0.133) (0.240:0.240:0.240))
(IOPATH B X (0.149:0.149:0.149) (0.216:0.220:0.224))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__buf_2")
(INSTANCE _3884_)
(DELAY
(ABSOLUTE
(IOPATH A X (0.241:0.241:0.241) (0.200:0.200:0.200))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__o211a_1")
(INSTANCE _3885_)
(DELAY
(ABSOLUTE
(IOPATH A1 X (0.156:0.158:0.160) (0.215:0.217:0.218))
(IOPATH A2 X (0.122:0.123:0.123) (0.191:0.191:0.192))
(IOPATH B1 X (0.132:0.132:0.132) (0.118:0.118:0.118))
(IOPATH C1 X (0.157:0.157:0.157) (0.118:0.118:0.118))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__and3_1")
(INSTANCE _3886_)
(DELAY
(ABSOLUTE
(IOPATH A X (0.201:0.204:0.207) (0.158:0.166:0.175))
(IOPATH B X (0.186:0.187:0.187) (0.191:0.196:0.201))
(IOPATH C X (0.197:0.197:0.197) (0.213:0.213:0.213))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__and3_1")
(INSTANCE _3887_)
(DELAY
(ABSOLUTE
(IOPATH A X (0.192:0.192:0.192) (0.147:0.147:0.147))
(IOPATH B X (0.163:0.163:0.163) (0.168:0.168:0.169))
(IOPATH C X (0.156:0.156:0.157) (0.174:0.175:0.176))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__nand2_1")
(INSTANCE _3888_)
(DELAY
(ABSOLUTE
(IOPATH A Y (0.087:0.087:0.087) (0.088:0.088:0.088))
(IOPATH B Y (0.084:0.084:0.084) (0.082:0.082:0.082))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__a21oi_1")
(INSTANCE _3889_)
(DELAY
(ABSOLUTE
(IOPATH A1 Y (0.144:0.147:0.149) (0.067:0.067:0.068))
(IOPATH A2 Y (0.173:0.177:0.181) (0.084:0.084:0.085))
(IOPATH B1 Y (0.139:0.142:0.146) (0.072:0.072:0.072))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__a22o_1")
(INSTANCE _3890_)
(DELAY
(ABSOLUTE
(IOPATH A1 X (0.181:0.181:0.181) (0.229:0.229:0.229))
(IOPATH A2 X (0.156:0.156:0.156) (0.233:0.233:0.233))
(IOPATH B1 X (0.159:0.159:0.159) (0.195:0.195:0.195))
(IOPATH B2 X (0.151:0.151:0.151) (0.207:0.207:0.207))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__a22o_1")
(INSTANCE _3891_)
(DELAY
(ABSOLUTE
(IOPATH A1 X (0.149:0.151:0.154) (0.202:0.204:0.206))
(IOPATH A2 X (0.140:0.140:0.140) (0.222:0.223:0.224))
(IOPATH B1 X (0.114:0.115:0.116) (0.172:0.172:0.172))
(IOPATH B2 X (0.143:0.143:0.143) (0.209:0.209:0.209))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__a21o_1")
(INSTANCE _3892_)
(DELAY
(ABSOLUTE
(IOPATH A1 X (0.154:0.155:0.155) (0.185:0.185:0.186))
(IOPATH A2 X (0.128:0.128:0.129) (0.183:0.184:0.184))
(IOPATH B1 X (0.098:0.098:0.099) (0.163:0.163:0.163))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__nand2_1")
(INSTANCE _3893_)
(DELAY
(ABSOLUTE
(IOPATH A Y (0.164:0.164:0.164) (0.147:0.147:0.147))
(IOPATH B Y (0.146:0.146:0.146) (0.131:0.131:0.131))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__or2_1")
(INSTANCE _3894_)
(DELAY
(ABSOLUTE
(IOPATH A X (0.139:0.139:0.139) (0.245:0.245:0.245))
(IOPATH B X (0.107:0.107:0.107) (0.203:0.203:0.203))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__and2_1")
(INSTANCE _3895_)
(DELAY
(ABSOLUTE
(IOPATH A X (0.169:0.170:0.170) (0.179:0.181:0.183))
(IOPATH B X (0.139:0.139:0.140) (0.167:0.167:0.167))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__nand2_1")
(INSTANCE _3896_)
(DELAY
(ABSOLUTE
(IOPATH A Y (0.147:0.147:0.148) (0.123:0.123:0.124))
(IOPATH B Y (0.154:0.154:0.155) (0.131:0.131:0.131))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__or2_1")
(INSTANCE _3897_)
(DELAY
(ABSOLUTE
(IOPATH A X (0.095:0.095:0.096) (0.217:0.218:0.218))
(IOPATH B X (0.097:0.097:0.097) (0.199:0.199:0.199))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__and2_1")
(INSTANCE _3898_)
(DELAY
(ABSOLUTE
(IOPATH A X (0.157:0.157:0.158) (0.173:0.174:0.174))
(IOPATH B X (0.123:0.123:0.123) (0.156:0.156:0.156))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__or2_1")
(INSTANCE _3899_)
(DELAY
(ABSOLUTE
(IOPATH A X (0.137:0.137:0.137) (0.244:0.244:0.244))
(IOPATH B X (0.150:0.150:0.150) (0.217:0.221:0.225))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__o211a_1")
(INSTANCE _3900_)
(DELAY
(ABSOLUTE
(IOPATH A1 X (0.170:0.170:0.170) (0.237:0.237:0.237))
(IOPATH A2 X (0.127:0.127:0.127) (0.193:0.193:0.194))
(IOPATH B1 X (0.133:0.133:0.133) (0.119:0.119:0.119))
(IOPATH C1 X (0.158:0.158:0.158) (0.118:0.118:0.118))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__nor2_1")
(INSTANCE _3901_)
(DELAY
(ABSOLUTE
(IOPATH A Y (0.207:0.207:0.207) (0.118:0.118:0.118))
(IOPATH B Y (0.173:0.173:0.173) (0.086:0.086:0.086))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__nand2_1")
(INSTANCE _3902_)
(DELAY
(ABSOLUTE
(IOPATH A Y (0.137:0.137:0.137) (0.142:0.142:0.142))
(IOPATH B Y (0.120:0.120:0.120) (0.113:0.113:0.113))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__and2b_1")
(INSTANCE _3903_)
(DELAY
(ABSOLUTE
(IOPATH A_N X (0.234:0.239:0.244) (0.232:0.232:0.232))
(IOPATH B X (0.174:0.175:0.175) (0.197:0.203:0.209))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__a21oi_1")
(INSTANCE _3904_)
(DELAY
(ABSOLUTE
(IOPATH A1 Y (0.185:0.187:0.188) (0.101:0.101:0.102))
(IOPATH A2 Y (0.206:0.206:0.207) (0.107:0.107:0.107))
(IOPATH B1 Y (0.154:0.155:0.155) (0.062:0.062:0.062))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__a31o_1")
(INSTANCE _3905_)
(DELAY
(ABSOLUTE
(IOPATH A1 X (0.165:0.166:0.166) (0.205:0.207:0.209))
(IOPATH A2 X (0.174:0.174:0.175) (0.239:0.239:0.240))
(IOPATH A3 X (0.159:0.159:0.159) (0.223:0.223:0.223))
(IOPATH B1 X (0.141:0.141:0.141) (0.225:0.225:0.225))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__o221a_1")
(INSTANCE _3906_)
(DELAY
(ABSOLUTE
(IOPATH A1 X (0.204:0.204:0.204) (0.267:0.267:0.267))
(IOPATH A2 X (0.202:0.202:0.202) (0.251:0.251:0.251))
(IOPATH B1 X (0.189:0.191:0.193) (0.213:0.217:0.222))
(IOPATH B2 X (0.160:0.160:0.160) (0.193:0.193:0.194))
(IOPATH C1 X (0.190:0.190:0.190) (0.139:0.139:0.139))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__nand2_1")
(INSTANCE _3907_)
(DELAY
(ABSOLUTE
(IOPATH A Y (0.089:0.089:0.089) (0.088:0.088:0.088))
(IOPATH B Y (0.083:0.083:0.083) (0.079:0.079:0.079))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__or2_1")
(INSTANCE _3908_)
(DELAY
(ABSOLUTE
(IOPATH A X (0.149:0.149:0.149) (0.256:0.256:0.256))
(IOPATH B X (0.133:0.133:0.133) (0.226:0.226:0.226))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__nand2_1")
(INSTANCE _3909_)
(DELAY
(ABSOLUTE
(IOPATH A Y (0.141:0.141:0.141) (0.119:0.119:0.119))
(IOPATH B Y (0.124:0.124:0.125) (0.098:0.098:0.098))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__a31o_1")
(INSTANCE _3910_)
(DELAY
(ABSOLUTE
(IOPATH A1 X (0.169:0.170:0.170) (0.208:0.209:0.211))
(IOPATH A2 X (0.178:0.178:0.179) (0.241:0.242:0.242))
(IOPATH A3 X (0.164:0.164:0.165) (0.234:0.240:0.246))
(IOPATH B1 X (0.138:0.138:0.138) (0.194:0.199:0.203))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__xor2_1")
(INSTANCE _3911_)
(DELAY
(ABSOLUTE
(IOPATH A X (0.162:0.162:0.162) (0.075:0.075:0.075))
(IOPATH A X (0.144:0.144:0.144) (0.172:0.172:0.172))
(IOPATH B X (0.123:0.124:0.124) (0.059:0.059:0.060))
(IOPATH B X (0.141:0.141:0.141) (0.134:0.135:0.135))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__or2_1")
(INSTANCE _3912_)
(DELAY
(ABSOLUTE
(IOPATH A X (0.146:0.146:0.146) (0.252:0.252:0.252))
(IOPATH B X (0.156:0.156:0.156) (0.223:0.226:0.230))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__o211a_1")
(INSTANCE _3913_)
(DELAY
(ABSOLUTE
(IOPATH A1 X (0.185:0.185:0.185) (0.250:0.250:0.250))
(IOPATH A2 X (0.140:0.140:0.140) (0.208:0.208:0.208))
(IOPATH B1 X (0.150:0.150:0.150) (0.132:0.132:0.132))
(IOPATH C1 X (0.173:0.173:0.173) (0.129:0.129:0.129))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__a311o_1")
(INSTANCE _3914_)
(DELAY
(ABSOLUTE
(IOPATH A1 X (0.208:0.208:0.208) (0.308:0.310:0.312))
(IOPATH A2 X (0.217:0.218:0.218) (0.359:0.359:0.359))
(IOPATH A3 X (0.203:0.203:0.204) (0.380:0.385:0.390))
(IOPATH B1 X (0.157:0.157:0.158) (0.351:0.352:0.353))
(IOPATH C1 X (0.167:0.167:0.167) (0.293:0.297:0.301))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__xor2_1")
(INSTANCE _3915_)
(DELAY
(ABSOLUTE
(IOPATH A X (0.209:0.209:0.209) (0.108:0.108:0.108))
(IOPATH A X (0.205:0.205:0.205) (0.186:0.186:0.186))
(IOPATH B X (0.173:0.173:0.173) (0.084:0.084:0.084))
(IOPATH B X (0.193:0.193:0.193) (0.151:0.151:0.151))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__a21o_1")
(INSTANCE _3916_)
(DELAY
(ABSOLUTE
(IOPATH A1 X (0.126:0.126:0.126) (0.177:0.177:0.177))
(IOPATH A2 X (0.116:0.117:0.118) (0.178:0.180:0.181))
(IOPATH B1 X (0.103:0.104:0.105) (0.148:0.153:0.157))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__a31oi_1")
(INSTANCE _3917_)
(DELAY
(ABSOLUTE
(IOPATH A1 Y (0.164:0.164:0.164) (0.098:0.098:0.098))
(IOPATH A2 Y (0.176:0.178:0.179) (0.090:0.091:0.092))
(IOPATH A3 Y (0.172:0.176:0.180) (0.108:0.109:0.110))
(IOPATH B1 Y (0.171:0.171:0.171) (0.064:0.064:0.064))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__o21ai_1")
(INSTANCE _3918_)
(DELAY
(ABSOLUTE
(IOPATH A1 Y (0.164:0.164:0.164) (0.101:0.101:0.101))
(IOPATH A2 Y (0.160:0.160:0.160) (0.096:0.096:0.096))
(IOPATH B1 Y (0.122:0.122:0.122) (0.126:0.126:0.126))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__a21oi_1")
(INSTANCE _3919_)
(DELAY
(ABSOLUTE
(IOPATH A1 Y (0.121:0.121:0.122) (0.055:0.055:0.056))
(IOPATH A2 Y (0.148:0.151:0.154) (0.081:0.085:0.089))
(IOPATH B1 Y (0.124:0.130:0.136) (0.054:0.057:0.060))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__or3b_1")
(INSTANCE _3920_)
(DELAY
(ABSOLUTE
(IOPATH A X (0.175:0.175:0.175) (0.427:0.427:0.427))
(IOPATH B X (0.162:0.162:0.162) (0.410:0.410:0.410))
(IOPATH C_N X (0.339:0.339:0.339) (0.464:0.464:0.464))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__buf_2")
(INSTANCE _3921_)
(DELAY
(ABSOLUTE
(IOPATH A X (0.489:0.489:0.489) (0.338:0.338:0.338))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__nor2_1")
(INSTANCE _3922_)
(DELAY
(ABSOLUTE
(IOPATH A Y (0.261:0.261:0.261) (0.117:0.117:0.117))
(IOPATH B Y (0.307:0.307:0.307) (0.184:0.184:0.184))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__clkbuf_2")
(INSTANCE _3923_)
(DELAY
(ABSOLUTE
(IOPATH A X (0.256:0.257:0.257) (0.190:0.206:0.222))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__clkbuf_2")
(INSTANCE _3924_)
(DELAY
(ABSOLUTE
(IOPATH A X (0.201:0.201:0.201) (0.179:0.179:0.179))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__nand2_1")
(INSTANCE _3925_)
(DELAY
(ABSOLUTE
(IOPATH A Y (0.144:0.144:0.144) (0.144:0.144:0.144))
(IOPATH B Y (0.133:0.133:0.133) (0.120:0.120:0.120))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__or2_1")
(INSTANCE _3926_)
(DELAY
(ABSOLUTE
(IOPATH A X (0.135:0.135:0.135) (0.242:0.242:0.242))
(IOPATH B X (0.112:0.112:0.112) (0.208:0.208:0.208))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__or2_2")
(INSTANCE _3927_)
(DELAY
(ABSOLUTE
(IOPATH A X (0.190:0.190:0.190) (0.365:0.365:0.365))
(IOPATH B X (0.259:0.259:0.259) (0.406:0.406:0.406))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__clkbuf_2")
(INSTANCE _3928_)
(DELAY
(ABSOLUTE
(IOPATH A X (0.181:0.182:0.183) (0.171:0.171:0.171))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__a21o_1")
(INSTANCE _3929_)
(DELAY
(ABSOLUTE
(IOPATH A1 X (0.131:0.131:0.132) (0.177:0.180:0.183))
(IOPATH A2 X (0.109:0.109:0.109) (0.177:0.177:0.177))
(IOPATH B1 X (0.103:0.103:0.103) (0.167:0.167:0.167))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__o211a_1")
(INSTANCE _3930_)
(DELAY
(ABSOLUTE
(IOPATH A1 X (0.181:0.181:0.181) (0.237:0.237:0.237))
(IOPATH A2 X (0.149:0.149:0.149) (0.217:0.217:0.217))
(IOPATH B1 X (0.139:0.139:0.140) (0.118:0.118:0.119))
(IOPATH C1 X (0.165:0.165:0.165) (0.124:0.124:0.124))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__xnor2_1")
(INSTANCE _3931_)
(DELAY
(ABSOLUTE
(IOPATH A Y (0.185:0.185:0.185) (0.171:0.171:0.171))
(IOPATH A Y (0.256:0.256:0.256) (0.132:0.132:0.132))
(IOPATH B Y (0.178:0.178:0.178) (0.160:0.160:0.160))
(IOPATH B Y (0.230:0.230:0.230) (0.113:0.113:0.113))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__nand2_1")
(INSTANCE _3932_)
(DELAY
(ABSOLUTE
(IOPATH A Y (0.086:0.089:0.093) (0.074:0.075:0.075))
(IOPATH B Y (0.082:0.085:0.089) (0.072:0.083:0.094))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__or2_1")
(INSTANCE _3933_)
(DELAY
(ABSOLUTE
(IOPATH A X (0.121:0.122:0.122) (0.242:0.245:0.248))
(IOPATH B X (0.113:0.126:0.139) (0.213:0.216:0.220))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__clkbuf_2")
(INSTANCE _3934_)
(DELAY
(ABSOLUTE
(IOPATH A X (0.198:0.199:0.200) (0.182:0.183:0.183))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__a21o_1")
(INSTANCE _3935_)
(DELAY
(ABSOLUTE
(IOPATH A1 X (0.102:0.103:0.103) (0.149:0.152:0.156))
(IOPATH A2 X (0.103:0.104:0.104) (0.172:0.172:0.172))
(IOPATH B1 X (0.102:0.102:0.102) (0.169:0.169:0.169))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__o211a_1")
(INSTANCE _3936_)
(DELAY
(ABSOLUTE
(IOPATH A1 X (0.185:0.185:0.185) (0.240:0.240:0.240))
(IOPATH A2 X (0.146:0.146:0.146) (0.213:0.213:0.213))
(IOPATH B1 X (0.134:0.134:0.134) (0.114:0.114:0.114))
(IOPATH C1 X (0.162:0.162:0.162) (0.121:0.121:0.121))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__nand2_1")
(INSTANCE _3937_)
(DELAY
(ABSOLUTE
(IOPATH A Y (0.091:0.091:0.091) (0.094:0.094:0.094))
(IOPATH B Y (0.090:0.090:0.090) (0.087:0.087:0.087))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__o21ai_1")
(INSTANCE _3938_)
(DELAY
(ABSOLUTE
(IOPATH A1 Y (0.249:0.252:0.254) (0.131:0.132:0.132))
(IOPATH A2 Y (0.226:0.229:0.232) (0.111:0.126:0.141))
(IOPATH B1 Y (0.146:0.149:0.152) (0.105:0.106:0.106))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__nand2_1")
(INSTANCE _3939_)
(DELAY
(ABSOLUTE
(IOPATH A Y (0.121:0.121:0.121) (0.122:0.122:0.122))
(IOPATH B Y (0.121:0.121:0.121) (0.114:0.114:0.114))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__or2_1")
(INSTANCE _3940_)
(DELAY
(ABSOLUTE
(IOPATH A X (0.116:0.116:0.116) (0.223:0.223:0.223))
(IOPATH B X (0.106:0.106:0.106) (0.199:0.199:0.199))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__and2_1")
(INSTANCE _3941_)
(DELAY
(ABSOLUTE
(IOPATH A X (0.179:0.179:0.180) (0.179:0.180:0.182))
(IOPATH B X (0.157:0.157:0.157) (0.177:0.177:0.177))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__nand2_1")
(INSTANCE _3942_)
(DELAY
(ABSOLUTE
(IOPATH A Y (0.118:0.122:0.125) (0.121:0.129:0.138))
(IOPATH B Y (0.114:0.114:0.115) (0.103:0.103:0.103))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__or2_1")
(INSTANCE _3943_)
(DELAY
(ABSOLUTE
(IOPATH A X (0.134:0.140:0.147) (0.240:0.243:0.246))
(IOPATH B X (0.114:0.114:0.114) (0.212:0.212:0.213))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__a21o_1")
(INSTANCE _3944_)
(DELAY
(ABSOLUTE
(IOPATH A1 X (0.120:0.121:0.121) (0.165:0.170:0.176))
(IOPATH A2 X (0.107:0.107:0.108) (0.175:0.175:0.176))
(IOPATH B1 X (0.104:0.104:0.104) (0.171:0.171:0.171))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__clkbuf_2")
(INSTANCE _3945_)
(DELAY
(ABSOLUTE
(IOPATH A X (0.237:0.237:0.237) (0.192:0.192:0.192))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__clkbuf_2")
(INSTANCE _3946_)
(DELAY
(ABSOLUTE
(IOPATH A X (0.206:0.206:0.206) (0.182:0.182:0.182))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__o211a_1")
(INSTANCE _3947_)
(DELAY
(ABSOLUTE
(IOPATH A1 X (0.175:0.175:0.175) (0.231:0.231:0.231))
(IOPATH A2 X (0.143:0.143:0.143) (0.211:0.211:0.211))
(IOPATH B1 X (0.132:0.132:0.132) (0.112:0.112:0.113))
(IOPATH C1 X (0.155:0.155:0.155) (0.126:0.126:0.126))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__nor2_1")
(INSTANCE _3948_)
(DELAY
(ABSOLUTE
(IOPATH A Y (0.115:0.115:0.115) (0.068:0.068:0.068))
(IOPATH B Y (0.095:0.095:0.095) (0.055:0.055:0.055))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__and2_1")
(INSTANCE _3949_)
(DELAY
(ABSOLUTE
(IOPATH A X (0.177:0.177:0.177) (0.164:0.164:0.164))
(IOPATH B X (0.170:0.170:0.170) (0.177:0.177:0.177))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__nor2_1")
(INSTANCE _3950_)
(DELAY
(ABSOLUTE
(IOPATH A Y (0.187:0.189:0.190) (0.079:0.079:0.079))
(IOPATH B Y (0.179:0.179:0.179) (0.075:0.075:0.075))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__a21oi_1")
(INSTANCE _3951_)
(DELAY
(ABSOLUTE
(IOPATH A1 Y (0.138:0.140:0.141) (0.075:0.076:0.077))
(IOPATH A2 Y (0.152:0.158:0.163) (0.076:0.077:0.077))
(IOPATH B1 Y (0.116:0.116:0.117) (0.063:0.063:0.064))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__a31o_1")
(INSTANCE _3952_)
(DELAY
(ABSOLUTE
(IOPATH A1 X (0.145:0.146:0.146) (0.186:0.187:0.189))
(IOPATH A2 X (0.148:0.149:0.149) (0.212:0.217:0.223))
(IOPATH A3 X (0.170:0.170:0.170) (0.213:0.213:0.213))
(IOPATH B1 X (0.118:0.118:0.118) (0.200:0.200:0.200))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__o221a_1")
(INSTANCE _3953_)
(DELAY
(ABSOLUTE
(IOPATH A1 X (0.206:0.206:0.206) (0.268:0.268:0.268))
(IOPATH A2 X (0.200:0.200:0.200) (0.262:0.262:0.262))
(IOPATH B1 X (0.183:0.185:0.188) (0.219:0.221:0.224))
(IOPATH B2 X (0.163:0.163:0.164) (0.197:0.198:0.198))
(IOPATH C1 X (0.197:0.197:0.197) (0.145:0.145:0.145))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__and2_1")
(INSTANCE _3954_)
(DELAY
(ABSOLUTE
(IOPATH A X (0.193:0.193:0.193) (0.178:0.178:0.178))
(IOPATH B X (0.181:0.181:0.181) (0.186:0.186:0.186))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__nor2_1")
(INSTANCE _3955_)
(DELAY
(ABSOLUTE
(IOPATH A Y (0.130:0.130:0.130) (0.078:0.078:0.078))
(IOPATH B Y (0.106:0.106:0.106) (0.061:0.061:0.061))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__nor2_1")
(INSTANCE _3956_)
(DELAY
(ABSOLUTE
(IOPATH A Y (0.258:0.258:0.258) (0.099:0.099:0.099))
(IOPATH B Y (0.238:0.240:0.242) (0.094:0.094:0.094))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__or2_1")
(INSTANCE _3957_)
(DELAY
(ABSOLUTE
(IOPATH A X (0.123:0.123:0.123) (0.230:0.230:0.230))
(IOPATH B X (0.113:0.113:0.113) (0.206:0.206:0.206))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__a31o_1")
(INSTANCE _3958_)
(DELAY
(ABSOLUTE
(IOPATH A1 X (0.156:0.156:0.156) (0.181:0.181:0.181))
(IOPATH A2 X (0.154:0.154:0.154) (0.205:0.205:0.205))
(IOPATH A3 X (0.136:0.136:0.136) (0.210:0.210:0.210))
(IOPATH B1 X (0.104:0.104:0.104) (0.181:0.181:0.182))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__a31o_2")
(INSTANCE _3959_)
(DELAY
(ABSOLUTE
(IOPATH A1 X (0.248:0.256:0.265) (0.259:0.263:0.266))
(IOPATH A2 X (0.233:0.233:0.233) (0.282:0.282:0.282))
(IOPATH A3 X (0.256:0.256:0.256) (0.293:0.293:0.294))
(IOPATH B1 X (0.162:0.162:0.163) (0.256:0.257:0.257))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__xor2_1")
(INSTANCE _3960_)
(DELAY
(ABSOLUTE
(IOPATH A X (0.160:0.161:0.161) (0.110:0.110:0.110))
(IOPATH A X (0.179:0.179:0.179) (0.166:0.166:0.167))
(IOPATH B X (0.141:0.141:0.142) (0.081:0.081:0.082))
(IOPATH B X (0.159:0.159:0.160) (0.147:0.148:0.149))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__or2_1")
(INSTANCE _3961_)
(DELAY
(ABSOLUTE
(IOPATH A X (0.130:0.130:0.130) (0.238:0.238:0.238))
(IOPATH B X (0.125:0.125:0.125) (0.229:0.229:0.229))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__o211a_1")
(INSTANCE _3962_)
(DELAY
(ABSOLUTE
(IOPATH A1 X (0.159:0.159:0.159) (0.225:0.225:0.225))
(IOPATH A2 X (0.135:0.137:0.139) (0.190:0.196:0.203))
(IOPATH B1 X (0.129:0.129:0.129) (0.116:0.116:0.116))
(IOPATH C1 X (0.151:0.151:0.151) (0.123:0.123:0.123))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__a21oi_2")
(INSTANCE _3963_)
(DELAY
(ABSOLUTE
(IOPATH A1 Y (0.248:0.249:0.249) (0.149:0.149:0.149))
(IOPATH A2 Y (0.254:0.255:0.256) (0.110:0.110:0.111))
(IOPATH B1 Y (0.217:0.217:0.218) (0.071:0.071:0.071))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__nor2_1")
(INSTANCE _3964_)
(DELAY
(ABSOLUTE
(IOPATH A Y (0.239:0.239:0.239) (0.123:0.123:0.123))
(IOPATH B Y (0.214:0.214:0.214) (0.101:0.101:0.101))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__nand2_1")
(INSTANCE _3965_)
(DELAY
(ABSOLUTE
(IOPATH A Y (0.133:0.133:0.133) (0.136:0.136:0.136))
(IOPATH B Y (0.127:0.127:0.127) (0.120:0.120:0.120))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__and2b_1")
(INSTANCE _3966_)
(DELAY
(ABSOLUTE
(IOPATH A_N X (0.261:0.264:0.267) (0.255:0.255:0.255))
(IOPATH B X (0.197:0.197:0.198) (0.213:0.217:0.221))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__nor2_1")
(INSTANCE _3967_)
(DELAY
(ABSOLUTE
(IOPATH A Y (0.150:0.161:0.172) (0.105:0.106:0.106))
(IOPATH B Y (0.143:0.143:0.143) (0.075:0.075:0.075))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__a21o_1")
(INSTANCE _3968_)
(DELAY
(ABSOLUTE
(IOPATH A1 X (0.148:0.148:0.149) (0.153:0.165:0.177))
(IOPATH A2 X (0.129:0.129:0.129) (0.182:0.182:0.182))
(IOPATH B1 X (0.103:0.103:0.103) (0.169:0.169:0.169))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__or2_1")
(INSTANCE _3969_)
(DELAY
(ABSOLUTE
(IOPATH A X (0.142:0.142:0.142) (0.248:0.248:0.248))
(IOPATH B X (0.146:0.146:0.147) (0.220:0.235:0.251))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__o211a_1")
(INSTANCE _3970_)
(DELAY
(ABSOLUTE
(IOPATH A1 X (0.181:0.181:0.181) (0.229:0.233:0.238))
(IOPATH A2 X (0.136:0.137:0.137) (0.204:0.204:0.205))
(IOPATH B1 X (0.148:0.148:0.149) (0.131:0.131:0.131))
(IOPATH C1 X (0.170:0.170:0.170) (0.137:0.137:0.137))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__xor2_1")
(INSTANCE _3971_)
(DELAY
(ABSOLUTE
(IOPATH A X (0.363:0.363:0.363) (0.161:0.161:0.161))
(IOPATH A X (0.351:0.351:0.351) (0.235:0.235:0.235))
(IOPATH B X (0.351:0.351:0.351) (0.192:0.192:0.192))
(IOPATH B X (0.363:0.363:0.363) (0.222:0.222:0.222))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__inv_2")
(INSTANCE _3972_)
(DELAY
(ABSOLUTE
(IOPATH A Y (0.117:0.130:0.142) (0.126:0.126:0.127))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__a211o_1")
(INSTANCE _3973_)
(DELAY
(ABSOLUTE
(IOPATH A1 X (0.206:0.207:0.207) (0.292:0.304:0.315))
(IOPATH A2 X (0.167:0.167:0.167) (0.335:0.335:0.335))
(IOPATH B1 X (0.152:0.153:0.154) (0.323:0.323:0.324))
(IOPATH C1 X (0.175:0.175:0.175) (0.270:0.273:0.275))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__a21o_1")
(INSTANCE _3974_)
(DELAY
(ABSOLUTE
(IOPATH A1 X (0.194:0.195:0.195) (0.188:0.200:0.212))
(IOPATH A2 X (0.162:0.162:0.162) (0.217:0.217:0.217))
(IOPATH B1 X (0.161:0.161:0.161) (0.194:0.196:0.199))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__nand2_1")
(INSTANCE _3975_)
(DELAY
(ABSOLUTE
(IOPATH A Y (0.131:0.131:0.132) (0.105:0.106:0.107))
(IOPATH B Y (0.119:0.120:0.120) (0.106:0.106:0.106))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__a21o_1")
(INSTANCE _3976_)
(DELAY
(ABSOLUTE
(IOPATH A1 X (0.105:0.105:0.105) (0.152:0.152:0.152))
(IOPATH A2 X (0.128:0.129:0.129) (0.191:0.191:0.192))
(IOPATH B1 X (0.107:0.107:0.107) (0.174:0.174:0.174))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__o211a_1")
(INSTANCE _3977_)
(DELAY
(ABSOLUTE
(IOPATH A1 X (0.171:0.171:0.171) (0.225:0.225:0.225))
(IOPATH A2 X (0.144:0.144:0.144) (0.210:0.210:0.210))
(IOPATH B1 X (0.134:0.134:0.134) (0.112:0.113:0.113))
(IOPATH C1 X (0.156:0.156:0.156) (0.126:0.126:0.126))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__nand2_1")
(INSTANCE _3978_)
(DELAY
(ABSOLUTE
(IOPATH A Y (0.143:0.143:0.143) (0.130:0.130:0.130))
(IOPATH B Y (0.157:0.157:0.157) (0.156:0.156:0.156))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__nand2_1")
(INSTANCE _3979_)
(DELAY
(ABSOLUTE
(IOPATH A Y (0.178:0.178:0.178) (0.182:0.182:0.182))
(IOPATH B Y (0.180:0.180:0.180) (0.172:0.172:0.172))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__or2_1")
(INSTANCE _3980_)
(DELAY
(ABSOLUTE
(IOPATH A X (0.190:0.190:0.190) (0.287:0.287:0.287))
(IOPATH B X (0.181:0.181:0.181) (0.265:0.265:0.265))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__and4_1")
(INSTANCE _3981_)
(DELAY
(ABSOLUTE
(IOPATH A X (0.178:0.179:0.180) (0.165:0.167:0.169))
(IOPATH B X (0.179:0.179:0.180) (0.176:0.177:0.178))
(IOPATH C X (0.200:0.201:0.201) (0.221:0.223:0.226))
(IOPATH D X (0.191:0.191:0.191) (0.203:0.203:0.203))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__a22o_1")
(INSTANCE _3982_)
(DELAY
(ABSOLUTE
(IOPATH A1 X (0.147:0.148:0.148) (0.222:0.223:0.225))
(IOPATH A2 X (0.140:0.140:0.141) (0.232:0.233:0.233))
(IOPATH B1 X (0.145:0.146:0.146) (0.207:0.209:0.211))
(IOPATH B2 X (0.131:0.131:0.131) (0.202:0.202:0.202))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__or3b_1")
(INSTANCE _3983_)
(DELAY
(ABSOLUTE
(IOPATH A X (0.098:0.098:0.098) (0.361:0.362:0.362))
(IOPATH B X (0.111:0.112:0.113) (0.355:0.355:0.355))
(IOPATH C_N X (0.152:0.152:0.152) (0.334:0.335:0.335))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__o211a_1")
(INSTANCE _3984_)
(DELAY
(ABSOLUTE
(IOPATH A1 X (0.184:0.184:0.184) (0.237:0.237:0.237))
(IOPATH A2 X (0.144:0.144:0.144) (0.210:0.210:0.210))
(IOPATH B1 X (0.145:0.145:0.145) (0.131:0.131:0.131))
(IOPATH C1 X (0.156:0.156:0.156) (0.126:0.126:0.126))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__and3_1")
(INSTANCE _3985_)
(DELAY
(ABSOLUTE
(IOPATH A X (0.254:0.255:0.256) (0.182:0.195:0.208))
(IOPATH B X (0.214:0.215:0.215) (0.226:0.228:0.230))
(IOPATH C X (0.201:0.201:0.201) (0.213:0.213:0.213))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__and3_1")
(INSTANCE _3986_)
(DELAY
(ABSOLUTE
(IOPATH A X (0.207:0.208:0.208) (0.154:0.155:0.155))
(IOPATH B X (0.170:0.170:0.170) (0.174:0.174:0.174))
(IOPATH C X (0.164:0.164:0.164) (0.180:0.181:0.182))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__nand2_1")
(INSTANCE _3987_)
(DELAY
(ABSOLUTE
(IOPATH A Y (0.114:0.114:0.114) (0.118:0.118:0.118))
(IOPATH B Y (0.110:0.110:0.110) (0.106:0.106:0.106))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__a21oi_1")
(INSTANCE _3988_)
(DELAY
(ABSOLUTE
(IOPATH A1 Y (0.136:0.139:0.142) (0.069:0.070:0.070))
(IOPATH A2 Y (0.159:0.162:0.166) (0.078:0.079:0.079))
(IOPATH B1 Y (0.127:0.129:0.132) (0.068:0.068:0.068))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__and3_1")
(INSTANCE _3989_)
(DELAY
(ABSOLUTE
(IOPATH A X (0.190:0.190:0.190) (0.168:0.168:0.168))
(IOPATH B X (0.209:0.209:0.209) (0.202:0.202:0.202))
(IOPATH C X (0.175:0.175:0.175) (0.197:0.197:0.197))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__a221o_1")
(INSTANCE _3990_)
(DELAY
(ABSOLUTE
(IOPATH A1 X (0.204:0.204:0.204) (0.342:0.342:0.342))
(IOPATH A2 X (0.192:0.192:0.192) (0.370:0.370:0.370))
(IOPATH B1 X (0.154:0.157:0.160) (0.297:0.300:0.303))
(IOPATH B2 X (0.155:0.155:0.156) (0.325:0.326:0.326))
(IOPATH C1 X (0.115:0.115:0.115) (0.263:0.264:0.264))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__a21o_1")
(INSTANCE _3991_)
(DELAY
(ABSOLUTE
(IOPATH A1 X (0.178:0.178:0.179) (0.199:0.200:0.201))
(IOPATH A2 X (0.160:0.161:0.161) (0.206:0.206:0.207))
(IOPATH B1 X (0.131:0.132:0.133) (0.191:0.191:0.191))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__nand2_1")
(INSTANCE _3992_)
(DELAY
(ABSOLUTE
(IOPATH A Y (0.170:0.170:0.170) (0.163:0.163:0.163))
(IOPATH B Y (0.181:0.181:0.181) (0.169:0.169:0.169))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__or2_1")
(INSTANCE _3993_)
(DELAY
(ABSOLUTE
(IOPATH A X (0.121:0.121:0.121) (0.229:0.229:0.229))
(IOPATH B X (0.122:0.122:0.122) (0.214:0.214:0.214))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__and2_1")
(INSTANCE _3994_)
(DELAY
(ABSOLUTE
(IOPATH A X (0.193:0.193:0.194) (0.202:0.203:0.203))
(IOPATH B X (0.156:0.156:0.156) (0.177:0.177:0.177))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__nand2_1")
(INSTANCE _3995_)
(DELAY
(ABSOLUTE
(IOPATH A Y (0.147:0.147:0.148) (0.130:0.131:0.131))
(IOPATH B Y (0.150:0.150:0.150) (0.130:0.131:0.131))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__or2_1")
(INSTANCE _3996_)
(DELAY
(ABSOLUTE
(IOPATH A X (0.118:0.118:0.118) (0.234:0.234:0.235))
(IOPATH B X (0.113:0.113:0.113) (0.212:0.212:0.213))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__and2_1")
(INSTANCE _3997_)
(DELAY
(ABSOLUTE
(IOPATH A X (0.152:0.153:0.153) (0.168:0.169:0.169))
(IOPATH B X (0.124:0.124:0.124) (0.158:0.158:0.158))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__or2_1")
(INSTANCE _3998_)
(DELAY
(ABSOLUTE
(IOPATH A X (0.144:0.144:0.144) (0.250:0.250:0.250))
(IOPATH B X (0.146:0.146:0.147) (0.220:0.235:0.251))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__clkbuf_2")
(INSTANCE _3999_)
(DELAY
(ABSOLUTE
(IOPATH A X (0.216:0.216:0.216) (0.189:0.189:0.189))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__o211a_1")
(INSTANCE _4000_)
(DELAY
(ABSOLUTE
(IOPATH A1 X (0.161:0.161:0.161) (0.226:0.226:0.226))
(IOPATH A2 X (0.124:0.125:0.125) (0.191:0.191:0.192))
(IOPATH B1 X (0.131:0.131:0.131) (0.117:0.118:0.118))
(IOPATH C1 X (0.156:0.156:0.156) (0.128:0.128:0.128))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__nor2_1")
(INSTANCE _4001_)
(DELAY
(ABSOLUTE
(IOPATH A Y (0.196:0.196:0.196) (0.096:0.096:0.096))
(IOPATH B Y (0.173:0.173:0.173) (0.078:0.078:0.078))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__nand2_1")
(INSTANCE _4002_)
(DELAY
(ABSOLUTE
(IOPATH A Y (0.124:0.124:0.124) (0.122:0.122:0.122))
(IOPATH B Y (0.121:0.121:0.121) (0.110:0.110:0.110))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__and2b_1")
(INSTANCE _4003_)
(DELAY
(ABSOLUTE
(IOPATH A_N X (0.219:0.221:0.223) (0.225:0.225:0.225))
(IOPATH B X (0.165:0.165:0.166) (0.191:0.193:0.195))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__a21oi_1")
(INSTANCE _4004_)
(DELAY
(ABSOLUTE
(IOPATH A1 Y (0.182:0.182:0.182) (0.100:0.100:0.100))
(IOPATH A2 Y (0.189:0.190:0.190) (0.098:0.098:0.098))
(IOPATH B1 Y (0.138:0.138:0.138) (0.053:0.053:0.053))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__a31o_1")
(INSTANCE _4005_)
(DELAY
(ABSOLUTE
(IOPATH A1 X (0.166:0.166:0.166) (0.212:0.212:0.212))
(IOPATH A2 X (0.166:0.166:0.167) (0.232:0.232:0.232))
(IOPATH A3 X (0.150:0.150:0.150) (0.216:0.216:0.216))
(IOPATH B1 X (0.108:0.109:0.110) (0.198:0.199:0.199))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__clkbuf_8")
(INSTANCE _4006_)
(DELAY
(ABSOLUTE
(IOPATH A X (0.285:0.285:0.285) (0.245:0.245:0.245))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__clkbuf_4")
(INSTANCE _4007_)
(DELAY
(ABSOLUTE
(IOPATH A X (0.330:0.330:0.330) (0.280:0.280:0.280))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__o221a_1")
(INSTANCE _4008_)
(DELAY
(ABSOLUTE
(IOPATH A1 X (0.216:0.216:0.216) (0.277:0.277:0.277))
(IOPATH A2 X (0.191:0.191:0.191) (0.254:0.255:0.255))
(IOPATH B1 X (0.183:0.185:0.187) (0.209:0.215:0.221))
(IOPATH B2 X (0.155:0.156:0.157) (0.191:0.191:0.192))
(IOPATH C1 X (0.204:0.204:0.204) (0.152:0.152:0.152))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__nand2_1")
(INSTANCE _4009_)
(DELAY
(ABSOLUTE
(IOPATH A Y (0.113:0.113:0.113) (0.116:0.116:0.116))
(IOPATH B Y (0.110:0.110:0.110) (0.104:0.104:0.104))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__or2_1")
(INSTANCE _4010_)
(DELAY
(ABSOLUTE
(IOPATH A X (0.146:0.146:0.146) (0.253:0.253:0.253))
(IOPATH B X (0.133:0.133:0.133) (0.225:0.225:0.225))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__nand2_1")
(INSTANCE _4011_)
(DELAY
(ABSOLUTE
(IOPATH A Y (0.124:0.127:0.131) (0.105:0.105:0.106))
(IOPATH B Y (0.125:0.125:0.125) (0.098:0.098:0.098))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__a31o_1")
(INSTANCE _4012_)
(DELAY
(ABSOLUTE
(IOPATH A1 X (0.177:0.177:0.178) (0.219:0.220:0.220))
(IOPATH A2 X (0.177:0.177:0.178) (0.240:0.240:0.240))
(IOPATH A3 X (0.168:0.169:0.169) (0.239:0.240:0.242))
(IOPATH B1 X (0.142:0.142:0.142) (0.194:0.196:0.198))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__xor2_1")
(INSTANCE _4013_)
(DELAY
(ABSOLUTE
(IOPATH A X (0.173:0.174:0.174) (0.083:0.083:0.084))
(IOPATH A X (0.161:0.161:0.162) (0.174:0.174:0.175))
(IOPATH B X (0.137:0.137:0.138) (0.064:0.064:0.065))
(IOPATH B X (0.154:0.154:0.155) (0.139:0.139:0.140))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__or2_1")
(INSTANCE _4014_)
(DELAY
(ABSOLUTE
(IOPATH A X (0.171:0.171:0.171) (0.291:0.291:0.291))
(IOPATH B X (0.141:0.142:0.142) (0.214:0.230:0.246))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__o211a_1")
(INSTANCE _4015_)
(DELAY
(ABSOLUTE
(IOPATH A1 X (0.165:0.165:0.165) (0.230:0.230:0.230))
(IOPATH A2 X (0.143:0.144:0.146) (0.196:0.198:0.200))
(IOPATH B1 X (0.134:0.135:0.135) (0.119:0.119:0.120))
(IOPATH C1 X (0.160:0.160:0.160) (0.132:0.132:0.132))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__a311o_1")
(INSTANCE _4016_)
(DELAY
(ABSOLUTE
(IOPATH A1 X (0.207:0.208:0.208) (0.314:0.314:0.314))
(IOPATH A2 X (0.207:0.208:0.208) (0.351:0.351:0.351))
(IOPATH A3 X (0.199:0.199:0.200) (0.377:0.379:0.381))
(IOPATH B1 X (0.151:0.151:0.152) (0.346:0.346:0.346))
(IOPATH C1 X (0.163:0.163:0.163) (0.287:0.288:0.290))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__or2_1")
(INSTANCE _4017_)
(DELAY
(ABSOLUTE
(IOPATH A X (0.144:0.144:0.144) (0.250:0.250:0.250))
(IOPATH B X (0.129:0.129:0.129) (0.221:0.221:0.221))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__nand2_1")
(INSTANCE _4018_)
(DELAY
(ABSOLUTE
(IOPATH A Y (0.102:0.102:0.102) (0.103:0.103:0.103))
(IOPATH B Y (0.098:0.098:0.098) (0.092:0.092:0.092))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__and4_1")
(INSTANCE _4019_)
(DELAY
(ABSOLUTE
(IOPATH A X (0.172:0.173:0.173) (0.153:0.156:0.159))
(IOPATH B X (0.178:0.179:0.179) (0.171:0.173:0.174))
(IOPATH C X (0.179:0.179:0.179) (0.185:0.185:0.185))
(IOPATH D X (0.188:0.189:0.189) (0.197:0.200:0.204))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__a22oi_1")
(INSTANCE _4020_)
(DELAY
(ABSOLUTE
(IOPATH A1 Y (0.180:0.182:0.185) (0.089:0.090:0.090))
(IOPATH A2 Y (0.188:0.190:0.191) (0.086:0.087:0.088))
(IOPATH B1 Y (0.145:0.145:0.145) (0.061:0.061:0.061))
(IOPATH B2 Y (0.163:0.166:0.169) (0.070:0.070:0.071))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__o21a_1")
(INSTANCE _4021_)
(DELAY
(ABSOLUTE
(IOPATH A1 X (0.136:0.136:0.136) (0.187:0.187:0.187))
(IOPATH A2 X (0.137:0.137:0.137) (0.195:0.195:0.195))
(IOPATH B1 X (0.172:0.172:0.172) (0.137:0.137:0.137))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__o31a_1")
(INSTANCE _4022_)
(DELAY
(ABSOLUTE
(IOPATH A1 X (0.150:0.150:0.150) (0.305:0.305:0.305))
(IOPATH A2 X (0.127:0.127:0.127) (0.267:0.268:0.268))
(IOPATH A3 X (0.135:0.137:0.138) (0.236:0.237:0.239))
(IOPATH B1 X (0.122:0.123:0.123) (0.095:0.096:0.097))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__or3b_1")
(INSTANCE _4023_)
(DELAY
(ABSOLUTE
(IOPATH A X (0.165:0.165:0.165) (0.414:0.414:0.414))
(IOPATH B X (0.247:0.247:0.247) (0.537:0.537:0.537))
(IOPATH C_N X (0.294:0.294:0.294) (0.430:0.430:0.430))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__clkbuf_4")
(INSTANCE _4024_)
(DELAY
(ABSOLUTE
(IOPATH A X (0.317:0.319:0.321) (0.283:0.283:0.284))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__nor2_1")
(INSTANCE _4025_)
(DELAY
(ABSOLUTE
(IOPATH A Y (0.251:0.251:0.251) (0.114:0.114:0.114))
(IOPATH B Y (0.266:0.266:0.266) (0.138:0.138:0.138))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__clkbuf_2")
(INSTANCE _4026_)
(DELAY
(ABSOLUTE
(IOPATH A X (0.238:0.238:0.239) (0.177:0.183:0.188))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__clkbuf_2")
(INSTANCE _4027_)
(DELAY
(ABSOLUTE
(IOPATH A X (0.192:0.192:0.192) (0.170:0.170:0.170))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__nand2_1")
(INSTANCE _4028_)
(DELAY
(ABSOLUTE
(IOPATH A Y (0.134:0.134:0.134) (0.109:0.109:0.109))
(IOPATH B Y (0.131:0.131:0.131) (0.120:0.120:0.120))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__or2_1")
(INSTANCE _4029_)
(DELAY
(ABSOLUTE
(IOPATH A X (0.124:0.124:0.124) (0.231:0.231:0.231))
(IOPATH B X (0.114:0.114:0.114) (0.207:0.207:0.207))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__or2_1")
(INSTANCE _4030_)
(DELAY
(ABSOLUTE
(IOPATH A X (0.226:0.226:0.226) (0.318:0.318:0.318))
(IOPATH B X (0.244:0.244:0.244) (0.330:0.330:0.330))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__clkbuf_2")
(INSTANCE _4031_)
(DELAY
(ABSOLUTE
(IOPATH A X (0.214:0.214:0.214) (0.187:0.187:0.187))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__a21o_1")
(INSTANCE _4032_)
(DELAY
(ABSOLUTE
(IOPATH A1 X (0.124:0.124:0.125) (0.169:0.169:0.169))
(IOPATH A2 X (0.102:0.102:0.102) (0.171:0.171:0.171))
(IOPATH B1 X (0.100:0.100:0.100) (0.166:0.166:0.166))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__o211a_1")
(INSTANCE _4033_)
(DELAY
(ABSOLUTE
(IOPATH A1 X (0.184:0.184:0.184) (0.238:0.238:0.238))
(IOPATH A2 X (0.150:0.150:0.150) (0.215:0.215:0.215))
(IOPATH B1 X (0.150:0.150:0.150) (0.135:0.135:0.135))
(IOPATH C1 X (0.167:0.167:0.167) (0.135:0.135:0.135))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__xnor2_1")
(INSTANCE _4034_)
(DELAY
(ABSOLUTE
(IOPATH A Y (0.190:0.190:0.190) (0.173:0.173:0.173))
(IOPATH A Y (0.269:0.269:0.269) (0.133:0.133:0.133))
(IOPATH B Y (0.185:0.185:0.185) (0.164:0.164:0.164))
(IOPATH B Y (0.245:0.245:0.245) (0.117:0.117:0.117))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__nand2_1")
(INSTANCE _4035_)
(DELAY
(ABSOLUTE
(IOPATH A Y (0.087:0.087:0.087) (0.076:0.077:0.077))
(IOPATH B Y (0.089:0.092:0.095) (0.078:0.090:0.102))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__or2_1")
(INSTANCE _4036_)
(DELAY
(ABSOLUTE
(IOPATH A X (0.118:0.118:0.119) (0.238:0.238:0.238))
(IOPATH B X (0.115:0.128:0.142) (0.214:0.217:0.220))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__clkbuf_2")
(INSTANCE _4037_)
(DELAY
(ABSOLUTE
(IOPATH A X (0.200:0.200:0.200) (0.176:0.176:0.176))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__a21o_1")
(INSTANCE _4038_)
(DELAY
(ABSOLUTE
(IOPATH A1 X (0.112:0.113:0.114) (0.158:0.162:0.166))
(IOPATH A2 X (0.111:0.112:0.112) (0.179:0.179:0.179))
(IOPATH B1 X (0.105:0.105:0.105) (0.168:0.168:0.168))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__o211a_1")
(INSTANCE _4039_)
(DELAY
(ABSOLUTE
(IOPATH A1 X (0.177:0.177:0.177) (0.233:0.233:0.233))
(IOPATH A2 X (0.143:0.143:0.143) (0.211:0.211:0.211))
(IOPATH B1 X (0.135:0.136:0.136) (0.115:0.115:0.116))
(IOPATH C1 X (0.160:0.160:0.160) (0.132:0.132:0.132))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__nand2_1")
(INSTANCE _4040_)
(DELAY
(ABSOLUTE
(IOPATH A Y (0.070:0.070:0.070) (0.070:0.070:0.070))
(IOPATH B Y (0.072:0.072:0.072) (0.069:0.069:0.069))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__o21ai_1")
(INSTANCE _4041_)
(DELAY
(ABSOLUTE
(IOPATH A1 Y (0.219:0.219:0.219) (0.117:0.117:0.118))
(IOPATH A2 Y (0.201:0.204:0.206) (0.103:0.118:0.133))
(IOPATH B1 Y (0.120:0.122:0.125) (0.086:0.087:0.087))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__nand2_1")
(INSTANCE _4042_)
(DELAY
(ABSOLUTE
(IOPATH A Y (0.112:0.112:0.112) (0.115:0.115:0.115))
(IOPATH B Y (0.112:0.112:0.112) (0.108:0.108:0.108))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__or2_1")
(INSTANCE _4043_)
(DELAY
(ABSOLUTE
(IOPATH A X (0.123:0.123:0.123) (0.230:0.230:0.230))
(IOPATH B X (0.113:0.113:0.113) (0.205:0.205:0.205))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__and2_1")
(INSTANCE _4044_)
(DELAY
(ABSOLUTE
(IOPATH A X (0.168:0.169:0.169) (0.171:0.173:0.176))
(IOPATH B X (0.154:0.154:0.154) (0.175:0.175:0.175))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__nand2_1")
(INSTANCE _4045_)
(DELAY
(ABSOLUTE
(IOPATH A Y (0.103:0.107:0.112) (0.105:0.112:0.118))
(IOPATH B Y (0.104:0.105:0.105) (0.093:0.093:0.093))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__or2_1")
(INSTANCE _4046_)
(DELAY
(ABSOLUTE
(IOPATH A X (0.126:0.131:0.137) (0.233:0.236:0.240))
(IOPATH B X (0.111:0.111:0.111) (0.209:0.209:0.210))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__a21o_1")
(INSTANCE _4047_)
(DELAY
(ABSOLUTE
(IOPATH A1 X (0.127:0.128:0.129) (0.170:0.175:0.179))
(IOPATH A2 X (0.117:0.118:0.118) (0.184:0.184:0.184))
(IOPATH B1 X (0.110:0.110:0.110) (0.171:0.171:0.171))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__o211a_1")
(INSTANCE _4048_)
(DELAY
(ABSOLUTE
(IOPATH A1 X (0.166:0.166:0.166) (0.223:0.223:0.223))
(IOPATH A2 X (0.140:0.140:0.140) (0.207:0.207:0.207))
(IOPATH B1 X (0.133:0.134:0.134) (0.113:0.114:0.114))
(IOPATH C1 X (0.156:0.156:0.156) (0.129:0.129:0.129))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__nor2_1")
(INSTANCE _4049_)
(DELAY
(ABSOLUTE
(IOPATH A Y (0.115:0.115:0.115) (0.071:0.071:0.071))
(IOPATH B Y (0.089:0.089:0.089) (0.054:0.054:0.054))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__and2_1")
(INSTANCE _4050_)
(DELAY
(ABSOLUTE
(IOPATH A X (0.173:0.173:0.173) (0.165:0.165:0.165))
(IOPATH B X (0.159:0.159:0.159) (0.170:0.170:0.170))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__nor2_1")
(INSTANCE _4051_)
(DELAY
(ABSOLUTE
(IOPATH A Y (0.191:0.193:0.196) (0.076:0.076:0.076))
(IOPATH B Y (0.179:0.179:0.180) (0.069:0.070:0.070))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__a21oi_1")
(INSTANCE _4052_)
(DELAY
(ABSOLUTE
(IOPATH A1 Y (0.155:0.158:0.160) (0.078:0.079:0.079))
(IOPATH A2 Y (0.171:0.175:0.179) (0.081:0.082:0.082))
(IOPATH B1 Y (0.138:0.138:0.139) (0.075:0.075:0.075))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__a31o_1")
(INSTANCE _4053_)
(DELAY
(ABSOLUTE
(IOPATH A1 X (0.137:0.138:0.139) (0.180:0.182:0.185))
(IOPATH A2 X (0.143:0.144:0.145) (0.207:0.211:0.216))
(IOPATH A3 X (0.170:0.170:0.170) (0.211:0.212:0.212))
(IOPATH B1 X (0.111:0.111:0.111) (0.190:0.190:0.190))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__o221a_1")
(INSTANCE _4054_)
(DELAY
(ABSOLUTE
(IOPATH A1 X (0.194:0.194:0.194) (0.258:0.258:0.258))
(IOPATH A2 X (0.188:0.188:0.188) (0.250:0.250:0.250))
(IOPATH B1 X (0.185:0.187:0.189) (0.216:0.218:0.221))
(IOPATH B2 X (0.156:0.156:0.157) (0.191:0.192:0.192))
(IOPATH C1 X (0.206:0.206:0.206) (0.154:0.154:0.154))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__and2_1")
(INSTANCE _4055_)
(DELAY
(ABSOLUTE
(IOPATH A X (0.191:0.191:0.191) (0.176:0.176:0.176))
(IOPATH B X (0.176:0.176:0.176) (0.181:0.181:0.181))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__nor2_1")
(INSTANCE _4056_)
(DELAY
(ABSOLUTE
(IOPATH A Y (0.117:0.117:0.117) (0.071:0.071:0.071))
(IOPATH B Y (0.090:0.090:0.090) (0.053:0.053:0.053))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__nor2_1")
(INSTANCE _4057_)
(DELAY
(ABSOLUTE
(IOPATH A Y (0.249:0.249:0.249) (0.097:0.097:0.097))
(IOPATH B Y (0.226:0.228:0.231) (0.086:0.086:0.087))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__or2_1")
(INSTANCE _4058_)
(DELAY
(ABSOLUTE
(IOPATH A X (0.138:0.138:0.138) (0.244:0.244:0.244))
(IOPATH B X (0.122:0.122:0.122) (0.215:0.215:0.215))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__a31o_1")
(INSTANCE _4059_)
(DELAY
(ABSOLUTE
(IOPATH A1 X (0.164:0.164:0.164) (0.188:0.188:0.188))
(IOPATH A2 X (0.163:0.163:0.163) (0.212:0.212:0.212))
(IOPATH A3 X (0.140:0.140:0.141) (0.214:0.214:0.214))
(IOPATH B1 X (0.100:0.101:0.101) (0.180:0.180:0.180))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__a31o_2")
(INSTANCE _4060_)
(DELAY
(ABSOLUTE
(IOPATH A1 X (0.237:0.244:0.251) (0.252:0.256:0.260))
(IOPATH A2 X (0.229:0.229:0.229) (0.279:0.279:0.280))
(IOPATH A3 X (0.254:0.254:0.254) (0.290:0.291:0.292))
(IOPATH B1 X (0.159:0.160:0.161) (0.255:0.255:0.256))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__xor2_1")
(INSTANCE _4061_)
(DELAY
(ABSOLUTE
(IOPATH A X (0.167:0.168:0.169) (0.114:0.114:0.114))
(IOPATH A X (0.187:0.187:0.187) (0.167:0.168:0.169))
(IOPATH B X (0.149:0.150:0.150) (0.083:0.084:0.085))
(IOPATH B X (0.167:0.168:0.168) (0.150:0.150:0.151))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__or2_1")
(INSTANCE _4062_)
(DELAY
(ABSOLUTE
(IOPATH A X (0.132:0.132:0.132) (0.239:0.239:0.239))
(IOPATH B X (0.119:0.119:0.119) (0.221:0.221:0.221))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__clkbuf_2")
(INSTANCE _4063_)
(DELAY
(ABSOLUTE
(IOPATH A X (0.181:0.181:0.181) (0.163:0.163:0.163))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__o211a_1")
(INSTANCE _4064_)
(DELAY
(ABSOLUTE
(IOPATH A1 X (0.164:0.164:0.164) (0.230:0.230:0.230))
(IOPATH A2 X (0.139:0.141:0.142) (0.192:0.199:0.205))
(IOPATH B1 X (0.130:0.130:0.130) (0.116:0.116:0.117))
(IOPATH C1 X (0.142:0.142:0.142) (0.113:0.113:0.113))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__a21oi_2")
(INSTANCE _4065_)
(DELAY
(ABSOLUTE
(IOPATH A1 Y (0.209:0.210:0.211) (0.129:0.129:0.129))
(IOPATH A2 Y (0.219:0.220:0.221) (0.097:0.098:0.098))
(IOPATH B1 Y (0.183:0.183:0.184) (0.063:0.063:0.063))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__nor2_1")
(INSTANCE _4066_)
(DELAY
(ABSOLUTE
(IOPATH A Y (0.231:0.231:0.231) (0.110:0.110:0.110))
(IOPATH B Y (0.210:0.210:0.210) (0.096:0.096:0.096))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__nand2_1")
(INSTANCE _4067_)
(DELAY
(ABSOLUTE
(IOPATH A Y (0.131:0.131:0.131) (0.129:0.129:0.129))
(IOPATH B Y (0.132:0.132:0.132) (0.122:0.122:0.122))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__and2b_1")
(INSTANCE _4068_)
(DELAY
(ABSOLUTE
(IOPATH A_N X (0.246:0.248:0.250) (0.247:0.247:0.247))
(IOPATH B X (0.187:0.187:0.188) (0.207:0.209:0.212))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__nor2_1")
(INSTANCE _4069_)
(DELAY
(ABSOLUTE
(IOPATH A Y (0.100:0.109:0.119) (0.073:0.073:0.073))
(IOPATH B Y (0.092:0.092:0.092) (0.051:0.051:0.051))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__a21o_1")
(INSTANCE _4070_)
(DELAY
(ABSOLUTE
(IOPATH A1 X (0.137:0.137:0.137) (0.149:0.159:0.169))
(IOPATH A2 X (0.122:0.122:0.122) (0.177:0.177:0.177))
(IOPATH B1 X (0.096:0.096:0.096) (0.158:0.158:0.158))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__or2_1")
(INSTANCE _4071_)
(DELAY
(ABSOLUTE
(IOPATH A X (0.104:0.104:0.104) (0.226:0.226:0.226))
(IOPATH B X (0.150:0.150:0.150) (0.225:0.230:0.235))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__o211a_1")
(INSTANCE _4072_)
(DELAY
(ABSOLUTE
(IOPATH A1 X (0.149:0.149:0.149) (0.206:0.210:0.213))
(IOPATH A2 X (0.119:0.119:0.119) (0.188:0.189:0.189))
(IOPATH B1 X (0.133:0.133:0.133) (0.119:0.119:0.119))
(IOPATH C1 X (0.142:0.142:0.142) (0.113:0.113:0.113))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__xor2_1")
(INSTANCE _4073_)
(DELAY
(ABSOLUTE
(IOPATH A X (0.243:0.243:0.243) (0.129:0.129:0.129))
(IOPATH A X (0.239:0.239:0.239) (0.200:0.200:0.200))
(IOPATH B X (0.222:0.222:0.222) (0.127:0.127:0.127))
(IOPATH B X (0.239:0.239:0.239) (0.180:0.180:0.180))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__inv_2")
(INSTANCE _4074_)
(DELAY
(ABSOLUTE
(IOPATH A Y (0.060:0.067:0.074) (0.059:0.059:0.060))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__a211o_1")
(INSTANCE _4075_)
(DELAY
(ABSOLUTE
(IOPATH A1 X (0.190:0.191:0.191) (0.285:0.295:0.304))
(IOPATH A2 X (0.173:0.174:0.174) (0.338:0.340:0.341))
(IOPATH B1 X (0.126:0.127:0.128) (0.298:0.298:0.298))
(IOPATH C1 X (0.170:0.170:0.170) (0.264:0.266:0.267))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__a21o_1")
(INSTANCE _4076_)
(DELAY
(ABSOLUTE
(IOPATH A1 X (0.139:0.139:0.139) (0.151:0.161:0.172))
(IOPATH A2 X (0.127:0.128:0.128) (0.190:0.192:0.194))
(IOPATH B1 X (0.115:0.116:0.116) (0.157:0.159:0.160))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__nand2_1")
(INSTANCE _4077_)
(DELAY
(ABSOLUTE
(IOPATH A Y (0.059:0.059:0.059) (0.045:0.046:0.047))
(IOPATH B Y (0.059:0.060:0.060) (0.049:0.049:0.049))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__a21o_1")
(INSTANCE _4078_)
(DELAY
(ABSOLUTE
(IOPATH A1 X (0.127:0.128:0.128) (0.172:0.173:0.174))
(IOPATH A2 X (0.118:0.119:0.119) (0.177:0.177:0.178))
(IOPATH B1 X (0.111:0.111:0.111) (0.172:0.172:0.172))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__o211a_1")
(INSTANCE _4079_)
(DELAY
(ABSOLUTE
(IOPATH A1 X (0.177:0.177:0.177) (0.233:0.233:0.233))
(IOPATH A2 X (0.143:0.143:0.143) (0.211:0.211:0.211))
(IOPATH B1 X (0.138:0.138:0.138) (0.117:0.117:0.118))
(IOPATH C1 X (0.146:0.146:0.146) (0.116:0.116:0.116))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__nand2_1")
(INSTANCE _4080_)
(DELAY
(ABSOLUTE
(IOPATH A Y (0.107:0.107:0.107) (0.110:0.110:0.110))
(IOPATH B Y (0.113:0.113:0.113) (0.109:0.109:0.109))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__nand2_1")
(INSTANCE _4081_)
(DELAY
(ABSOLUTE
(IOPATH A Y (0.122:0.122:0.122) (0.124:0.124:0.124))
(IOPATH B Y (0.128:0.128:0.128) (0.123:0.123:0.123))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__or2_1")
(INSTANCE _4082_)
(DELAY
(ABSOLUTE
(IOPATH A X (0.186:0.186:0.186) (0.282:0.282:0.282))
(IOPATH B X (0.182:0.182:0.182) (0.264:0.264:0.264))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__and4_1")
(INSTANCE _4083_)
(DELAY
(ABSOLUTE
(IOPATH A X (0.165:0.166:0.166) (0.152:0.155:0.157))
(IOPATH B X (0.178:0.178:0.179) (0.176:0.176:0.177))
(IOPATH C X (0.188:0.188:0.189) (0.199:0.200:0.201))
(IOPATH D X (0.195:0.195:0.195) (0.206:0.206:0.206))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__a22o_1")
(INSTANCE _4084_)
(DELAY
(ABSOLUTE
(IOPATH A1 X (0.128:0.128:0.129) (0.207:0.209:0.211))
(IOPATH A2 X (0.134:0.135:0.135) (0.228:0.228:0.229))
(IOPATH B1 X (0.126:0.127:0.128) (0.184:0.185:0.187))
(IOPATH B2 X (0.131:0.131:0.131) (0.201:0.201:0.201))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__or3b_1")
(INSTANCE _4085_)
(DELAY
(ABSOLUTE
(IOPATH A X (0.111:0.111:0.111) (0.379:0.380:0.381))
(IOPATH B X (0.147:0.147:0.147) (0.381:0.381:0.381))
(IOPATH C_N X (0.164:0.164:0.164) (0.352:0.352:0.352))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__o211a_1")
(INSTANCE _4086_)
(DELAY
(ABSOLUTE
(IOPATH A1 X (0.187:0.187:0.187) (0.240:0.240:0.240))
(IOPATH A2 X (0.142:0.142:0.142) (0.210:0.210:0.210))
(IOPATH B1 X (0.137:0.137:0.137) (0.132:0.132:0.132))
(IOPATH C1 X (0.145:0.145:0.145) (0.115:0.115:0.115))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__and3_1")
(INSTANCE _4087_)
(DELAY
(ABSOLUTE
(IOPATH A X (0.208:0.210:0.212) (0.160:0.168:0.176))
(IOPATH B X (0.187:0.188:0.189) (0.195:0.197:0.198))
(IOPATH C X (0.193:0.193:0.193) (0.208:0.209:0.209))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__and3_1")
(INSTANCE _4088_)
(DELAY
(ABSOLUTE
(IOPATH A X (0.199:0.200:0.200) (0.148:0.149:0.150))
(IOPATH B X (0.160:0.160:0.160) (0.166:0.166:0.166))
(IOPATH C X (0.155:0.156:0.156) (0.173:0.174:0.175))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__nand2_1")
(INSTANCE _4089_)
(DELAY
(ABSOLUTE
(IOPATH A Y (0.089:0.089:0.089) (0.090:0.090:0.090))
(IOPATH B Y (0.083:0.083:0.083) (0.080:0.080:0.080))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__a21oi_1")
(INSTANCE _4090_)
(DELAY
(ABSOLUTE
(IOPATH A1 Y (0.136:0.140:0.143) (0.064:0.064:0.065))
(IOPATH A2 Y (0.171:0.173:0.174) (0.085:0.086:0.087))
(IOPATH B1 Y (0.135:0.136:0.138) (0.073:0.073:0.073))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__and3_1")
(INSTANCE _4091_)
(DELAY
(ABSOLUTE
(IOPATH A X (0.186:0.186:0.186) (0.165:0.165:0.165))
(IOPATH B X (0.186:0.186:0.186) (0.183:0.183:0.183))
(IOPATH C X (0.168:0.168:0.168) (0.192:0.192:0.192))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__a221o_1")
(INSTANCE _4092_)
(DELAY
(ABSOLUTE
(IOPATH A1 X (0.175:0.175:0.175) (0.321:0.321:0.321))
(IOPATH A2 X (0.172:0.172:0.172) (0.352:0.352:0.352))
(IOPATH B1 X (0.149:0.152:0.155) (0.287:0.291:0.294))
(IOPATH B2 X (0.141:0.142:0.142) (0.313:0.313:0.314))
(IOPATH C1 X (0.102:0.102:0.102) (0.252:0.253:0.253))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__a21o_1")
(INSTANCE _4093_)
(DELAY
(ABSOLUTE
(IOPATH A1 X (0.175:0.176:0.176) (0.197:0.198:0.199))
(IOPATH A2 X (0.157:0.157:0.158) (0.203:0.204:0.205))
(IOPATH B1 X (0.125:0.127:0.128) (0.187:0.187:0.188))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__nand2_1")
(INSTANCE _4094_)
(DELAY
(ABSOLUTE
(IOPATH A Y (0.174:0.174:0.174) (0.171:0.171:0.171))
(IOPATH B Y (0.162:0.162:0.162) (0.145:0.145:0.145))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__or2_1")
(INSTANCE _4095_)
(DELAY
(ABSOLUTE
(IOPATH A X (0.127:0.127:0.127) (0.233:0.233:0.233))
(IOPATH B X (0.105:0.105:0.105) (0.199:0.199:0.199))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__and2_1")
(INSTANCE _4096_)
(DELAY
(ABSOLUTE
(IOPATH A X (0.196:0.197:0.197) (0.200:0.203:0.206))
(IOPATH B X (0.159:0.160:0.160) (0.180:0.180:0.180))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__nand2_1")
(INSTANCE _4097_)
(DELAY
(ABSOLUTE
(IOPATH A Y (0.165:0.165:0.166) (0.145:0.145:0.145))
(IOPATH B Y (0.170:0.170:0.170) (0.148:0.148:0.148))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__or2_1")
(INSTANCE _4098_)
(DELAY
(ABSOLUTE
(IOPATH A X (0.116:0.116:0.116) (0.233:0.233:0.234))
(IOPATH B X (0.114:0.114:0.115) (0.212:0.213:0.213))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__and2_1")
(INSTANCE _4099_)
(DELAY
(ABSOLUTE
(IOPATH A X (0.163:0.163:0.164) (0.181:0.181:0.181))
(IOPATH B X (0.128:0.128:0.128) (0.161:0.161:0.161))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__or2_1")
(INSTANCE _4100_)
(DELAY
(ABSOLUTE
(IOPATH A X (0.133:0.133:0.133) (0.241:0.241:0.241))
(IOPATH B X (0.146:0.146:0.147) (0.222:0.227:0.232))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__o211a_1")
(INSTANCE _4101_)
(DELAY
(ABSOLUTE
(IOPATH A1 X (0.165:0.165:0.165) (0.231:0.231:0.231))
(IOPATH A2 X (0.128:0.128:0.128) (0.194:0.194:0.194))
(IOPATH B1 X (0.134:0.134:0.134) (0.120:0.120:0.120))
(IOPATH C1 X (0.144:0.144:0.144) (0.114:0.114:0.114))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__nor2_1")
(INSTANCE _4102_)
(DELAY
(ABSOLUTE
(IOPATH A Y (0.189:0.189:0.189) (0.099:0.099:0.099))
(IOPATH B Y (0.167:0.167:0.167) (0.084:0.084:0.084))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__nand2_1")
(INSTANCE _4103_)
(DELAY
(ABSOLUTE
(IOPATH A Y (0.123:0.123:0.123) (0.124:0.124:0.124))
(IOPATH B Y (0.121:0.121:0.121) (0.113:0.113:0.113))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__and2b_1")
(INSTANCE _4104_)
(DELAY
(ABSOLUTE
(IOPATH A_N X (0.215:0.218:0.220) (0.219:0.219:0.219))
(IOPATH B X (0.157:0.158:0.158) (0.185:0.188:0.191))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__a21oi_1")
(INSTANCE _4105_)
(DELAY
(ABSOLUTE
(IOPATH A1 Y (0.159:0.161:0.164) (0.089:0.090:0.090))
(IOPATH A2 Y (0.178:0.178:0.178) (0.095:0.095:0.095))
(IOPATH B1 Y (0.118:0.118:0.118) (0.046:0.046:0.046))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__a31o_1")
(INSTANCE _4106_)
(DELAY
(ABSOLUTE
(IOPATH A1 X (0.160:0.161:0.161) (0.204:0.207:0.209))
(IOPATH A2 X (0.168:0.168:0.169) (0.237:0.237:0.237))
(IOPATH A3 X (0.144:0.144:0.144) (0.211:0.211:0.211))
(IOPATH B1 X (0.123:0.123:0.123) (0.204:0.204:0.204))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__o221a_1")
(INSTANCE _4107_)
(DELAY
(ABSOLUTE
(IOPATH A1 X (0.197:0.197:0.197) (0.261:0.261:0.261))
(IOPATH A2 X (0.190:0.190:0.190) (0.252:0.252:0.252))
(IOPATH B1 X (0.179:0.182:0.185) (0.210:0.216:0.221))
(IOPATH B2 X (0.159:0.159:0.159) (0.193:0.194:0.194))
(IOPATH C1 X (0.208:0.208:0.208) (0.155:0.155:0.155))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__nand2_1")
(INSTANCE _4108_)
(DELAY
(ABSOLUTE
(IOPATH A Y (0.116:0.116:0.116) (0.117:0.117:0.117))
(IOPATH B Y (0.110:0.110:0.110) (0.099:0.099:0.099))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__or2_1")
(INSTANCE _4109_)
(DELAY
(ABSOLUTE
(IOPATH A X (0.146:0.146:0.146) (0.253:0.253:0.253))
(IOPATH B X (0.125:0.125:0.125) (0.223:0.223:0.223))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__nand2_1")
(INSTANCE _4110_)
(DELAY
(ABSOLUTE
(IOPATH A Y (0.129:0.131:0.133) (0.110:0.111:0.112))
(IOPATH B Y (0.128:0.128:0.128) (0.102:0.102:0.102))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__a31o_1")
(INSTANCE _4111_)
(DELAY
(ABSOLUTE
(IOPATH A1 X (0.176:0.176:0.176) (0.215:0.218:0.220))
(IOPATH A2 X (0.183:0.183:0.184) (0.248:0.248:0.248))
(IOPATH A3 X (0.166:0.166:0.167) (0.236:0.239:0.241))
(IOPATH B1 X (0.138:0.138:0.138) (0.195:0.197:0.199))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__xor2_1")
(INSTANCE _4112_)
(DELAY
(ABSOLUTE
(IOPATH A X (0.175:0.176:0.176) (0.084:0.084:0.085))
(IOPATH A X (0.162:0.163:0.163) (0.175:0.176:0.176))
(IOPATH B X (0.138:0.138:0.139) (0.064:0.065:0.065))
(IOPATH B X (0.155:0.155:0.156) (0.139:0.139:0.140))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__or2_1")
(INSTANCE _4113_)
(DELAY
(ABSOLUTE
(IOPATH A X (0.131:0.131:0.131) (0.239:0.239:0.239))
(IOPATH B X (0.142:0.142:0.142) (0.217:0.222:0.227))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__clkbuf_2")
(INSTANCE _4114_)
(DELAY
(ABSOLUTE
(IOPATH A X (0.214:0.214:0.214) (0.188:0.188:0.188))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__o211a_1")
(INSTANCE _4115_)
(DELAY
(ABSOLUTE
(IOPATH A1 X (0.164:0.164:0.164) (0.231:0.231:0.231))
(IOPATH A2 X (0.140:0.141:0.143) (0.193:0.195:0.197))
(IOPATH B1 X (0.132:0.132:0.132) (0.118:0.118:0.118))
(IOPATH C1 X (0.156:0.156:0.156) (0.128:0.128:0.128))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__a311o_1")
(INSTANCE _4116_)
(DELAY
(ABSOLUTE
(IOPATH A1 X (0.211:0.211:0.212) (0.313:0.316:0.318))
(IOPATH A2 X (0.219:0.219:0.220) (0.362:0.362:0.362))
(IOPATH A3 X (0.201:0.201:0.202) (0.379:0.381:0.384))
(IOPATH B1 X (0.156:0.156:0.157) (0.350:0.351:0.351))
(IOPATH C1 X (0.164:0.164:0.164) (0.292:0.293:0.295))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__or2_1")
(INSTANCE _4117_)
(DELAY
(ABSOLUTE
(IOPATH A X (0.146:0.146:0.146) (0.253:0.253:0.253))
(IOPATH B X (0.126:0.126:0.126) (0.223:0.223:0.223))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__nand2_1")
(INSTANCE _4118_)
(DELAY
(ABSOLUTE
(IOPATH A Y (0.098:0.098:0.098) (0.099:0.099:0.099))
(IOPATH B Y (0.092:0.092:0.092) (0.083:0.083:0.083))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__and4_1")
(INSTANCE _4119_)
(DELAY
(ABSOLUTE
(IOPATH A X (0.189:0.190:0.191) (0.165:0.167:0.169))
(IOPATH B X (0.192:0.193:0.194) (0.182:0.183:0.185))
(IOPATH C X (0.195:0.195:0.195) (0.197:0.197:0.197))
(IOPATH D X (0.202:0.202:0.203) (0.206:0.209:0.212))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__a22oi_1")
(INSTANCE _4120_)
(DELAY
(ABSOLUTE
(IOPATH A1 Y (0.202:0.203:0.205) (0.100:0.101:0.102))
(IOPATH A2 Y (0.208:0.209:0.210) (0.095:0.096:0.097))
(IOPATH B1 Y (0.166:0.166:0.166) (0.072:0.072:0.072))
(IOPATH B2 Y (0.181:0.184:0.186) (0.078:0.078:0.079))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__o21a_1")
(INSTANCE _4121_)
(DELAY
(ABSOLUTE
(IOPATH A1 X (0.158:0.158:0.158) (0.203:0.203:0.203))
(IOPATH A2 X (0.134:0.134:0.134) (0.190:0.190:0.190))
(IOPATH B1 X (0.173:0.173:0.173) (0.138:0.138:0.138))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__o31a_1")
(INSTANCE _4122_)
(DELAY
(ABSOLUTE
(IOPATH A1 X (0.149:0.149:0.149) (0.305:0.305:0.305))
(IOPATH A2 X (0.127:0.127:0.127) (0.266:0.267:0.268))
(IOPATH A3 X (0.137:0.138:0.139) (0.236:0.237:0.239))
(IOPATH B1 X (0.119:0.120:0.120) (0.093:0.094:0.095))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__or3_1")
(INSTANCE _4123_)
(DELAY
(ABSOLUTE
(IOPATH A X (0.170:0.170:0.170) (0.416:0.416:0.416))
(IOPATH B X (0.252:0.252:0.252) (0.540:0.540:0.540))
(IOPATH C X (0.216:0.216:0.216) (0.456:0.456:0.456))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__clkbuf_4")
(INSTANCE _4124_)
(DELAY
(ABSOLUTE
(IOPATH A X (0.308:0.308:0.308) (0.263:0.263:0.263))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__nor2_1")
(INSTANCE _4125_)
(DELAY
(ABSOLUTE
(IOPATH A Y (0.168:0.168:0.168) (0.088:0.088:0.088))
(IOPATH B Y (0.182:0.182:0.182) (0.100:0.100:0.100))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__dlymetal6s2s_1")
(INSTANCE _4126_)
(DELAY
(ABSOLUTE
(IOPATH A X (0.218:0.219:0.220) (0.191:0.195:0.200))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__clkbuf_2")
(INSTANCE _4127_)
(DELAY
(ABSOLUTE
(IOPATH A X (0.203:0.203:0.203) (0.168:0.168:0.168))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__nand2_1")
(INSTANCE _4128_)
(DELAY
(ABSOLUTE
(IOPATH A Y (0.102:0.102:0.102) (0.084:0.084:0.084))
(IOPATH B Y (0.093:0.093:0.093) (0.087:0.087:0.087))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__or2_1")
(INSTANCE _4129_)
(DELAY
(ABSOLUTE
(IOPATH A X (0.127:0.127:0.127) (0.234:0.234:0.234))
(IOPATH B X (0.108:0.108:0.108) (0.203:0.203:0.203))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__or2_1")
(INSTANCE _4130_)
(DELAY
(ABSOLUTE
(IOPATH A X (0.156:0.156:0.156) (0.271:0.271:0.271))
(IOPATH B X (0.176:0.176:0.176) (0.283:0.283:0.283))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__clkbuf_2")
(INSTANCE _4131_)
(DELAY
(ABSOLUTE
(IOPATH A X (0.185:0.185:0.185) (0.167:0.167:0.167))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__a21o_1")
(INSTANCE _4132_)
(DELAY
(ABSOLUTE
(IOPATH A1 X (0.120:0.120:0.121) (0.164:0.165:0.165))
(IOPATH A2 X (0.112:0.112:0.113) (0.180:0.180:0.180))
(IOPATH B1 X (0.110:0.110:0.110) (0.174:0.174:0.174))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__o211a_1")
(INSTANCE _4133_)
(DELAY
(ABSOLUTE
(IOPATH A1 X (0.168:0.168:0.168) (0.225:0.225:0.225))
(IOPATH A2 X (0.141:0.141:0.141) (0.209:0.209:0.209))
(IOPATH B1 X (0.133:0.133:0.134) (0.113:0.114:0.114))
(IOPATH C1 X (0.157:0.157:0.157) (0.129:0.129:0.129))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__xnor2_1")
(INSTANCE _4134_)
(DELAY
(ABSOLUTE
(IOPATH A Y (0.205:0.205:0.205) (0.183:0.183:0.183))
(IOPATH A Y (0.302:0.302:0.302) (0.143:0.143:0.143))
(IOPATH B Y (0.198:0.198:0.198) (0.173:0.173:0.173))
(IOPATH B Y (0.277:0.277:0.277) (0.124:0.124:0.124))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__nand2_1")
(INSTANCE _4135_)
(DELAY
(ABSOLUTE
(IOPATH A Y (0.082:0.082:0.082) (0.065:0.065:0.065))
(IOPATH B Y (0.085:0.088:0.090) (0.070:0.080:0.091))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__or2_1")
(INSTANCE _4136_)
(DELAY
(ABSOLUTE
(IOPATH A X (0.143:0.143:0.143) (0.266:0.266:0.266))
(IOPATH B X (0.143:0.158:0.172) (0.243:0.245:0.248))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__a21o_1")
(INSTANCE _4137_)
(DELAY
(ABSOLUTE
(IOPATH A1 X (0.100:0.100:0.100) (0.148:0.148:0.148))
(IOPATH A2 X (0.112:0.112:0.112) (0.178:0.178:0.178))
(IOPATH B1 X (0.100:0.100:0.100) (0.166:0.166:0.166))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__o211a_1")
(INSTANCE _4138_)
(DELAY
(ABSOLUTE
(IOPATH A1 X (0.174:0.174:0.174) (0.229:0.229:0.229))
(IOPATH A2 X (0.145:0.145:0.145) (0.211:0.211:0.211))
(IOPATH B1 X (0.134:0.134:0.135) (0.112:0.113:0.113))
(IOPATH C1 X (0.161:0.161:0.161) (0.131:0.131:0.131))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__nand2_1")
(INSTANCE _4139_)
(DELAY
(ABSOLUTE
(IOPATH A Y (0.068:0.068:0.068) (0.069:0.069:0.069))
(IOPATH B Y (0.069:0.069:0.069) (0.066:0.066:0.066))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__o21ai_1")
(INSTANCE _4140_)
(DELAY
(ABSOLUTE
(IOPATH A1 Y (0.278:0.278:0.278) (0.142:0.142:0.142))
(IOPATH A2 Y (0.261:0.263:0.266) (0.131:0.150:0.169))
(IOPATH B1 Y (0.157:0.159:0.161) (0.109:0.109:0.110))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__nand2_1")
(INSTANCE _4141_)
(DELAY
(ABSOLUTE
(IOPATH A Y (0.134:0.134:0.134) (0.112:0.112:0.112))
(IOPATH B Y (0.131:0.131:0.131) (0.124:0.124:0.124))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__or2_1")
(INSTANCE _4142_)
(DELAY
(ABSOLUTE
(IOPATH A X (0.109:0.109:0.109) (0.235:0.235:0.235))
(IOPATH B X (0.116:0.116:0.116) (0.208:0.208:0.208))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__and2_1")
(INSTANCE _4143_)
(DELAY
(ABSOLUTE
(IOPATH A X (0.188:0.188:0.189) (0.185:0.186:0.186))
(IOPATH B X (0.165:0.165:0.165) (0.182:0.182:0.182))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__nand2_1")
(INSTANCE _4144_)
(DELAY
(ABSOLUTE
(IOPATH A Y (0.134:0.139:0.144) (0.135:0.145:0.155))
(IOPATH B Y (0.124:0.124:0.125) (0.112:0.112:0.112))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__or2_1")
(INSTANCE _4145_)
(DELAY
(ABSOLUTE
(IOPATH A X (0.102:0.102:0.102) (0.229:0.229:0.229))
(IOPATH B X (0.121:0.121:0.121) (0.217:0.218:0.218))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__a21o_1")
(INSTANCE _4146_)
(DELAY
(ABSOLUTE
(IOPATH A1 X (0.107:0.107:0.107) (0.155:0.155:0.155))
(IOPATH A2 X (0.111:0.111:0.111) (0.179:0.179:0.179))
(IOPATH B1 X (0.105:0.105:0.105) (0.170:0.170:0.170))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__o211a_1")
(INSTANCE _4147_)
(DELAY
(ABSOLUTE
(IOPATH A1 X (0.173:0.173:0.173) (0.229:0.229:0.229))
(IOPATH A2 X (0.141:0.141:0.141) (0.209:0.209:0.209))
(IOPATH B1 X (0.132:0.132:0.132) (0.112:0.112:0.113))
(IOPATH C1 X (0.157:0.157:0.157) (0.129:0.129:0.129))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__clkbuf_2")
(INSTANCE _4148_)
(DELAY
(ABSOLUTE
(IOPATH A X (0.207:0.208:0.209) (0.167:0.171:0.176))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__nor2_1")
(INSTANCE _4149_)
(DELAY
(ABSOLUTE
(IOPATH A Y (0.118:0.118:0.118) (0.072:0.072:0.072))
(IOPATH B Y (0.089:0.089:0.089) (0.049:0.049:0.049))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__and2_1")
(INSTANCE _4150_)
(DELAY
(ABSOLUTE
(IOPATH A X (0.171:0.171:0.171) (0.163:0.163:0.163))
(IOPATH B X (0.152:0.152:0.152) (0.165:0.165:0.165))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__nor2_1")
(INSTANCE _4151_)
(DELAY
(ABSOLUTE
(IOPATH A Y (0.215:0.218:0.222) (0.085:0.085:0.085))
(IOPATH B Y (0.205:0.205:0.206) (0.077:0.077:0.077))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__a21oi_1")
(INSTANCE _4152_)
(DELAY
(ABSOLUTE
(IOPATH A1 Y (0.147:0.147:0.147) (0.081:0.081:0.081))
(IOPATH A2 Y (0.161:0.168:0.174) (0.082:0.082:0.083))
(IOPATH B1 Y (0.124:0.125:0.126) (0.070:0.070:0.070))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__clkbuf_2")
(INSTANCE _4153_)
(DELAY
(ABSOLUTE
(IOPATH A X (0.187:0.187:0.187) (0.168:0.168:0.168))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__a31o_1")
(INSTANCE _4154_)
(DELAY
(ABSOLUTE
(IOPATH A1 X (0.151:0.152:0.152) (0.192:0.192:0.192))
(IOPATH A2 X (0.155:0.156:0.156) (0.219:0.225:0.231))
(IOPATH A3 X (0.181:0.181:0.181) (0.218:0.219:0.220))
(IOPATH B1 X (0.120:0.120:0.120) (0.200:0.200:0.200))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__o221a_1")
(INSTANCE _4155_)
(DELAY
(ABSOLUTE
(IOPATH A1 X (0.208:0.208:0.208) (0.268:0.268:0.268))
(IOPATH A2 X (0.192:0.192:0.192) (0.252:0.252:0.252))
(IOPATH B1 X (0.169:0.169:0.169) (0.215:0.215:0.215))
(IOPATH B2 X (0.162:0.163:0.163) (0.194:0.195:0.195))
(IOPATH C1 X (0.211:0.211:0.211) (0.156:0.156:0.156))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__and2_1")
(INSTANCE _4156_)
(DELAY
(ABSOLUTE
(IOPATH A X (0.183:0.183:0.183) (0.174:0.174:0.174))
(IOPATH B X (0.160:0.160:0.160) (0.171:0.171:0.171))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__nor2_1")
(INSTANCE _4157_)
(DELAY
(ABSOLUTE
(IOPATH A Y (0.118:0.118:0.118) (0.073:0.073:0.073))
(IOPATH B Y (0.085:0.085:0.085) (0.049:0.049:0.049))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__nor2_1")
(INSTANCE _4158_)
(DELAY
(ABSOLUTE
(IOPATH A Y (0.242:0.242:0.243) (0.089:0.090:0.090))
(IOPATH B Y (0.222:0.225:0.229) (0.084:0.084:0.084))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__or2_1")
(INSTANCE _4159_)
(DELAY
(ABSOLUTE
(IOPATH A X (0.131:0.131:0.131) (0.238:0.238:0.238))
(IOPATH B X (0.108:0.108:0.108) (0.205:0.205:0.205))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__a31o_1")
(INSTANCE _4160_)
(DELAY
(ABSOLUTE
(IOPATH A1 X (0.161:0.161:0.161) (0.185:0.185:0.185))
(IOPATH A2 X (0.157:0.157:0.157) (0.208:0.208:0.208))
(IOPATH A3 X (0.136:0.136:0.137) (0.211:0.211:0.211))
(IOPATH B1 X (0.099:0.099:0.099) (0.178:0.178:0.179))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__a31o_1")
(INSTANCE _4161_)
(DELAY
(ABSOLUTE
(IOPATH A1 X (0.248:0.257:0.265) (0.243:0.247:0.252))
(IOPATH A2 X (0.233:0.233:0.233) (0.259:0.259:0.260))
(IOPATH A3 X (0.261:0.261:0.261) (0.269:0.270:0.270))
(IOPATH B1 X (0.169:0.170:0.171) (0.228:0.229:0.229))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__nand2_1")
(INSTANCE _4162_)
(DELAY
(ABSOLUTE
(IOPATH A Y (0.069:0.070:0.070) (0.092:0.092:0.092))
(IOPATH B Y (0.083:0.084:0.085) (0.077:0.077:0.077))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__or2_1")
(INSTANCE _4163_)
(DELAY
(ABSOLUTE
(IOPATH A X (0.148:0.148:0.148) (0.227:0.228:0.229))
(IOPATH B X (0.119:0.120:0.120) (0.214:0.215:0.215))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__a21o_1")
(INSTANCE _4164_)
(DELAY
(ABSOLUTE
(IOPATH A1 X (0.105:0.106:0.108) (0.155:0.161:0.167))
(IOPATH A2 X (0.108:0.108:0.109) (0.176:0.176:0.176))
(IOPATH B1 X (0.106:0.106:0.106) (0.171:0.171:0.171))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__o211a_1")
(INSTANCE _4165_)
(DELAY
(ABSOLUTE
(IOPATH A1 X (0.173:0.173:0.173) (0.229:0.229:0.229))
(IOPATH A2 X (0.140:0.140:0.140) (0.208:0.208:0.208))
(IOPATH B1 X (0.131:0.131:0.131) (0.111:0.112:0.112))
(IOPATH C1 X (0.156:0.156:0.156) (0.128:0.128:0.128))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__a21oi_1")
(INSTANCE _4166_)
(DELAY
(ABSOLUTE
(IOPATH A1 Y (0.205:0.206:0.206) (0.146:0.146:0.146))
(IOPATH A2 Y (0.229:0.229:0.230) (0.117:0.117:0.118))
(IOPATH B1 Y (0.189:0.189:0.190) (0.065:0.065:0.065))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__nor2_1")
(INSTANCE _4167_)
(DELAY
(ABSOLUTE
(IOPATH A Y (0.197:0.197:0.197) (0.101:0.101:0.101))
(IOPATH B Y (0.167:0.167:0.167) (0.072:0.072:0.072))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__nand2_1")
(INSTANCE _4168_)
(DELAY
(ABSOLUTE
(IOPATH A Y (0.111:0.111:0.111) (0.113:0.113:0.113))
(IOPATH B Y (0.100:0.100:0.100) (0.091:0.091:0.091))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__or2b_1")
(INSTANCE _4169_)
(DELAY
(ABSOLUTE
(IOPATH A X (0.166:0.166:0.166) (0.253:0.256:0.259))
(IOPATH B_N X (0.196:0.200:0.204) (0.265:0.265:0.266))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__xor2_1")
(INSTANCE _4170_)
(DELAY
(ABSOLUTE
(IOPATH A X (0.160:0.172:0.184) (0.098:0.100:0.101))
(IOPATH A X (0.175:0.176:0.178) (0.160:0.171:0.182))
(IOPATH B X (0.150:0.151:0.151) (0.074:0.074:0.074))
(IOPATH B X (0.163:0.163:0.163) (0.150:0.151:0.151))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__or2_1")
(INSTANCE _4171_)
(DELAY
(ABSOLUTE
(IOPATH A X (0.127:0.127:0.127) (0.234:0.234:0.234))
(IOPATH B X (0.126:0.126:0.126) (0.218:0.218:0.218))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__clkbuf_2")
(INSTANCE _4172_)
(DELAY
(ABSOLUTE
(IOPATH A X (0.184:0.184:0.184) (0.165:0.165:0.165))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__o211a_1")
(INSTANCE _4173_)
(DELAY
(ABSOLUTE
(IOPATH A1 X (0.168:0.168:0.168) (0.234:0.234:0.234))
(IOPATH A2 X (0.145:0.146:0.147) (0.197:0.202:0.206))
(IOPATH B1 X (0.135:0.135:0.135) (0.120:0.120:0.120))
(IOPATH C1 X (0.149:0.149:0.149) (0.118:0.118:0.118))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__xnor2_2")
(INSTANCE _4174_)
(DELAY
(ABSOLUTE
(IOPATH A Y (0.170:0.170:0.170) (0.180:0.180:0.180))
(IOPATH A Y (0.238:0.238:0.238) (0.126:0.126:0.126))
(IOPATH B Y (0.154:0.154:0.154) (0.161:0.161:0.161))
(IOPATH B Y (0.200:0.200:0.200) (0.102:0.102:0.102))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__a21o_1")
(INSTANCE _4175_)
(DELAY
(ABSOLUTE
(IOPATH A1 X (0.178:0.180:0.181) (0.181:0.193:0.204))
(IOPATH A2 X (0.158:0.159:0.159) (0.210:0.214:0.217))
(IOPATH B1 X (0.147:0.147:0.147) (0.180:0.183:0.187))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__xnor2_1")
(INSTANCE _4176_)
(DELAY
(ABSOLUTE
(IOPATH A Y (0.133:0.146:0.159) (0.141:0.145:0.149))
(IOPATH A Y (0.188:0.192:0.195) (0.085:0.100:0.115))
(IOPATH B Y (0.135:0.136:0.136) (0.134:0.135:0.135))
(IOPATH B Y (0.166:0.166:0.166) (0.080:0.080:0.080))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__nand2_1")
(INSTANCE _4177_)
(DELAY
(ABSOLUTE
(IOPATH A Y (0.096:0.096:0.096) (0.088:0.088:0.088))
(IOPATH B Y (0.083:0.087:0.092) (0.071:0.082:0.092))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__o211a_1")
(INSTANCE _4178_)
(DELAY
(ABSOLUTE
(IOPATH A1 X (0.182:0.182:0.182) (0.237:0.237:0.237))
(IOPATH A2 X (0.144:0.144:0.144) (0.211:0.211:0.211))
(IOPATH B1 X (0.141:0.142:0.142) (0.121:0.124:0.127))
(IOPATH C1 X (0.147:0.147:0.147) (0.117:0.117:0.117))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__and2_1")
(INSTANCE _4179_)
(DELAY
(ABSOLUTE
(IOPATH A X (0.217:0.217:0.217) (0.187:0.187:0.187))
(IOPATH B X (0.201:0.201:0.201) (0.193:0.193:0.193))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__nor2_1")
(INSTANCE _4180_)
(DELAY
(ABSOLUTE
(IOPATH A Y (0.216:0.216:0.216) (0.101:0.101:0.101))
(IOPATH B Y (0.190:0.190:0.190) (0.077:0.077:0.077))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__nand2_1")
(INSTANCE _4181_)
(DELAY
(ABSOLUTE
(IOPATH A Y (0.110:0.110:0.110) (0.115:0.115:0.115))
(IOPATH B Y (0.105:0.105:0.105) (0.101:0.101:0.101))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__o21ai_1")
(INSTANCE _4182_)
(DELAY
(ABSOLUTE
(IOPATH A1 Y (0.183:0.187:0.190) (0.092:0.108:0.124))
(IOPATH A2 Y (0.167:0.167:0.167) (0.083:0.083:0.083))
(IOPATH B1 Y (0.115:0.119:0.124) (0.088:0.089:0.089))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__o21a_1")
(INSTANCE _4183_)
(DELAY
(ABSOLUTE
(IOPATH A1 X (0.143:0.143:0.143) (0.190:0.190:0.190))
(IOPATH A2 X (0.140:0.140:0.140) (0.167:0.170:0.172))
(IOPATH B1 X (0.134:0.139:0.143) (0.103:0.108:0.114))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__o31ai_1")
(INSTANCE _4184_)
(DELAY
(ABSOLUTE
(IOPATH A1 Y (0.246:0.247:0.247) (0.096:0.096:0.096))
(IOPATH A2 Y (0.229:0.231:0.233) (0.105:0.105:0.105))
(IOPATH A3 Y (0.196:0.200:0.204) (0.077:0.081:0.084))
(IOPATH B1 Y (0.086:0.086:0.086) (0.091:0.091:0.091))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__or2_1")
(INSTANCE _4185_)
(DELAY
(ABSOLUTE
(IOPATH A X (0.127:0.127:0.127) (0.234:0.234:0.234))
(IOPATH B X (0.126:0.126:0.126) (0.218:0.218:0.218))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__o211a_1")
(INSTANCE _4186_)
(DELAY
(ABSOLUTE
(IOPATH A1 X (0.141:0.141:0.141) (0.206:0.208:0.209))
(IOPATH A2 X (0.128:0.142:0.155) (0.194:0.198:0.201))
(IOPATH B1 X (0.131:0.131:0.131) (0.117:0.117:0.117))
(IOPATH C1 X (0.145:0.145:0.145) (0.115:0.115:0.115))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__or2_1")
(INSTANCE _4187_)
(DELAY
(ABSOLUTE
(IOPATH A X (0.126:0.126:0.127) (0.250:0.253:0.257))
(IOPATH B X (0.156:0.156:0.156) (0.226:0.228:0.231))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__or3_1")
(INSTANCE _4188_)
(DELAY
(ABSOLUTE
(IOPATH A X (0.131:0.149:0.167) (0.394:0.397:0.400))
(IOPATH B X (0.150:0.150:0.150) (0.374:0.374:0.374))
(IOPATH C X (0.163:0.163:0.163) (0.330:0.332:0.335))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__nor2_1")
(INSTANCE _4189_)
(DELAY
(ABSOLUTE
(IOPATH A Y (0.149:0.149:0.150) (0.067:0.067:0.067))
(IOPATH B Y (0.138:0.138:0.138) (0.055:0.055:0.055))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__nand2_1")
(INSTANCE _4190_)
(DELAY
(ABSOLUTE
(IOPATH A Y (0.100:0.100:0.100) (0.102:0.102:0.102))
(IOPATH B Y (0.086:0.086:0.086) (0.083:0.083:0.083))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__a211oi_1")
(INSTANCE _4191_)
(DELAY
(ABSOLUTE
(IOPATH A1 Y (0.244:0.248:0.253) (0.076:0.076:0.076))
(IOPATH A2 Y (0.279:0.283:0.286) (0.086:0.086:0.087))
(IOPATH B1 Y (0.264:0.264:0.264) (0.057:0.057:0.057))
(IOPATH C1 Y (0.214:0.217:0.220) (0.078:0.078:0.078))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__a311oi_2")
(INSTANCE _4192_)
(DELAY
(ABSOLUTE
(IOPATH A1 Y (0.280:0.281:0.282) (0.177:0.177:0.177))
(IOPATH A2 Y (0.324:0.325:0.325) (0.142:0.142:0.143))
(IOPATH A3 Y (0.331:0.332:0.334) (0.139:0.139:0.139))
(IOPATH B1 Y (0.311:0.315:0.318) (0.093:0.096:0.098))
(IOPATH C1 Y (0.271:0.271:0.271) (0.072:0.072:0.072))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__nand2_1")
(INSTANCE _4193_)
(DELAY
(ABSOLUTE
(IOPATH A Y (0.163:0.163:0.163) (0.156:0.156:0.156))
(IOPATH B Y (0.157:0.157:0.157) (0.139:0.139:0.139))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__or2_1")
(INSTANCE _4194_)
(DELAY
(ABSOLUTE
(IOPATH A X (0.127:0.127:0.127) (0.235:0.235:0.235))
(IOPATH B X (0.109:0.109:0.109) (0.205:0.205:0.205))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__nand2_1")
(INSTANCE _4195_)
(DELAY
(ABSOLUTE
(IOPATH A Y (0.114:0.115:0.116) (0.094:0.095:0.095))
(IOPATH B Y (0.086:0.086:0.087) (0.064:0.064:0.064))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__a21o_1")
(INSTANCE _4196_)
(DELAY
(ABSOLUTE
(IOPATH A1 X (0.203:0.203:0.203) (0.218:0.218:0.218))
(IOPATH A2 X (0.247:0.253:0.259) (0.238:0.253:0.267))
(IOPATH B1 X (0.179:0.179:0.180) (0.212:0.216:0.220))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__nand3_1")
(INSTANCE _4197_)
(DELAY
(ABSOLUTE
(IOPATH A Y (0.072:0.072:0.072) (0.067:0.067:0.067))
(IOPATH B Y (0.082:0.099:0.116) (0.107:0.112:0.116))
(IOPATH C Y (0.080:0.084:0.089) (0.078:0.078:0.079))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__a21o_1")
(INSTANCE _4198_)
(DELAY
(ABSOLUTE
(IOPATH A1 X (0.137:0.137:0.137) (0.173:0.173:0.174))
(IOPATH A2 X (0.110:0.112:0.115) (0.177:0.183:0.189))
(IOPATH B1 X (0.105:0.105:0.105) (0.170:0.170:0.170))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__o211a_1")
(INSTANCE _4199_)
(DELAY
(ABSOLUTE
(IOPATH A1 X (0.182:0.182:0.182) (0.237:0.237:0.237))
(IOPATH A2 X (0.151:0.151:0.151) (0.221:0.221:0.221))
(IOPATH B1 X (0.136:0.137:0.137) (0.116:0.116:0.116))
(IOPATH C1 X (0.150:0.150:0.150) (0.119:0.119:0.119))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__nor2_1")
(INSTANCE _4200_)
(DELAY
(ABSOLUTE
(IOPATH A Y (0.200:0.200:0.200) (0.109:0.109:0.109))
(IOPATH B Y (0.170:0.170:0.170) (0.082:0.082:0.082))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__nand2_1")
(INSTANCE _4201_)
(DELAY
(ABSOLUTE
(IOPATH A Y (0.140:0.140:0.140) (0.141:0.141:0.141))
(IOPATH B Y (0.128:0.128:0.128) (0.118:0.118:0.118))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__and2b_1")
(INSTANCE _4202_)
(DELAY
(ABSOLUTE
(IOPATH A_N X (0.210:0.214:0.219) (0.216:0.216:0.216))
(IOPATH B X (0.156:0.157:0.157) (0.186:0.190:0.194))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__a21oi_1")
(INSTANCE _4203_)
(DELAY
(ABSOLUTE
(IOPATH A1 Y (0.169:0.170:0.171) (0.094:0.095:0.095))
(IOPATH A2 Y (0.172:0.173:0.173) (0.097:0.097:0.097))
(IOPATH B1 Y (0.128:0.128:0.128) (0.047:0.047:0.047))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__a31o_1")
(INSTANCE _4204_)
(DELAY
(ABSOLUTE
(IOPATH A1 X (0.159:0.160:0.160) (0.203:0.204:0.206))
(IOPATH A2 X (0.164:0.164:0.165) (0.218:0.219:0.219))
(IOPATH A3 X (0.142:0.142:0.142) (0.209:0.209:0.209))
(IOPATH B1 X (0.117:0.117:0.117) (0.198:0.198:0.198))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__o221a_1")
(INSTANCE _4205_)
(DELAY
(ABSOLUTE
(IOPATH A1 X (0.201:0.201:0.201) (0.265:0.265:0.265))
(IOPATH A2 X (0.187:0.187:0.187) (0.249:0.249:0.249))
(IOPATH B1 X (0.180:0.183:0.185) (0.208:0.214:0.220))
(IOPATH B2 X (0.155:0.156:0.156) (0.190:0.191:0.191))
(IOPATH C1 X (0.205:0.205:0.205) (0.153:0.153:0.153))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__nand2_1")
(INSTANCE _4206_)
(DELAY
(ABSOLUTE
(IOPATH A Y (0.137:0.137:0.137) (0.135:0.135:0.135))
(IOPATH B Y (0.132:0.132:0.132) (0.119:0.119:0.119))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__or2_1")
(INSTANCE _4207_)
(DELAY
(ABSOLUTE
(IOPATH A X (0.152:0.152:0.152) (0.258:0.258:0.258))
(IOPATH B X (0.135:0.135:0.135) (0.230:0.230:0.230))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__nand2_1")
(INSTANCE _4208_)
(DELAY
(ABSOLUTE
(IOPATH A Y (0.133:0.134:0.136) (0.114:0.115:0.115))
(IOPATH B Y (0.124:0.124:0.124) (0.099:0.099:0.099))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__a31o_1")
(INSTANCE _4209_)
(DELAY
(ABSOLUTE
(IOPATH A1 X (0.180:0.180:0.181) (0.218:0.219:0.220))
(IOPATH A2 X (0.185:0.185:0.185) (0.234:0.234:0.234))
(IOPATH A3 X (0.174:0.175:0.175) (0.244:0.248:0.252))
(IOPATH B1 X (0.145:0.145:0.145) (0.198:0.202:0.205))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__xor2_1")
(INSTANCE _4210_)
(DELAY
(ABSOLUTE
(IOPATH A X (0.178:0.179:0.181) (0.084:0.084:0.085))
(IOPATH A X (0.166:0.166:0.167) (0.174:0.176:0.177))
(IOPATH B X (0.144:0.145:0.145) (0.068:0.069:0.069))
(IOPATH B X (0.162:0.162:0.162) (0.142:0.142:0.143))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__or2_1")
(INSTANCE _4211_)
(DELAY
(ABSOLUTE
(IOPATH A X (0.125:0.125:0.125) (0.233:0.233:0.233))
(IOPATH B X (0.129:0.129:0.129) (0.221:0.221:0.221))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__o211a_1")
(INSTANCE _4212_)
(DELAY
(ABSOLUTE
(IOPATH A1 X (0.173:0.173:0.173) (0.238:0.238:0.238))
(IOPATH A2 X (0.150:0.151:0.153) (0.202:0.204:0.206))
(IOPATH B1 X (0.140:0.140:0.140) (0.125:0.125:0.125))
(IOPATH C1 X (0.153:0.153:0.153) (0.122:0.122:0.122))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__a311o_1")
(INSTANCE _4213_)
(DELAY
(ABSOLUTE
(IOPATH A1 X (0.213:0.213:0.214) (0.314:0.315:0.317))
(IOPATH A2 X (0.218:0.218:0.218) (0.347:0.347:0.348))
(IOPATH A3 X (0.207:0.208:0.208) (0.384:0.388:0.392))
(IOPATH B1 X (0.156:0.156:0.157) (0.349:0.351:0.352))
(IOPATH C1 X (0.168:0.168:0.168) (0.293:0.296:0.299))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__xor2_1")
(INSTANCE _4214_)
(DELAY
(ABSOLUTE
(IOPATH A X (0.196:0.196:0.196) (0.097:0.097:0.097))
(IOPATH A X (0.195:0.195:0.195) (0.175:0.175:0.175))
(IOPATH B X (0.170:0.170:0.170) (0.082:0.082:0.082))
(IOPATH B X (0.190:0.190:0.190) (0.149:0.149:0.149))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__a21oi_1")
(INSTANCE _4215_)
(DELAY
(ABSOLUTE
(IOPATH A1 Y (0.148:0.150:0.151) (0.082:0.082:0.083))
(IOPATH A2 Y (0.151:0.152:0.153) (0.072:0.073:0.074))
(IOPATH B1 Y (0.118:0.120:0.123) (0.060:0.061:0.061))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__a31o_1")
(INSTANCE _4216_)
(DELAY
(ABSOLUTE
(IOPATH A1 X (0.152:0.153:0.153) (0.193:0.195:0.196))
(IOPATH A2 X (0.145:0.146:0.147) (0.207:0.208:0.210))
(IOPATH A3 X (0.163:0.164:0.165) (0.211:0.214:0.217))
(IOPATH B1 X (0.118:0.118:0.118) (0.198:0.198:0.198))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__or2_1")
(INSTANCE _4217_)
(DELAY
(ABSOLUTE
(IOPATH A X (0.141:0.141:0.141) (0.248:0.248:0.248))
(IOPATH B X (0.144:0.144:0.144) (0.236:0.236:0.236))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__buf_2")
(INSTANCE _4218_)
(DELAY
(ABSOLUTE
(IOPATH A X (0.317:0.317:0.317) (0.259:0.259:0.259))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__clkbuf_2")
(INSTANCE _4219_)
(DELAY
(ABSOLUTE
(IOPATH A X (0.235:0.235:0.235) (0.195:0.195:0.195))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__o211a_1")
(INSTANCE _4220_)
(DELAY
(ABSOLUTE
(IOPATH A1 X (0.151:0.153:0.155) (0.209:0.211:0.213))
(IOPATH A2 X (0.120:0.120:0.121) (0.188:0.189:0.189))
(IOPATH B1 X (0.134:0.134:0.134) (0.120:0.120:0.120))
(IOPATH C1 X (0.150:0.150:0.150) (0.122:0.122:0.122))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__or2b_2")
(INSTANCE _4221_)
(DELAY
(ABSOLUTE
(IOPATH A X (0.434:0.434:0.434) (0.559:0.559:0.559))
(IOPATH B_N X (0.376:0.376:0.376) (0.453:0.453:0.453))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__buf_2")
(INSTANCE _4222_)
(DELAY
(ABSOLUTE
(IOPATH A X (0.264:0.264:0.264) (0.235:0.235:0.235))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__nor2_2")
(INSTANCE _4223_)
(DELAY
(ABSOLUTE
(IOPATH A Y (0.274:0.274:0.274) (0.123:0.123:0.123))
(IOPATH B Y (0.218:0.218:0.218) (0.094:0.094:0.094))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__dlymetal6s2s_1")
(INSTANCE _4224_)
(DELAY
(ABSOLUTE
(IOPATH A X (0.275:0.275:0.275) (0.213:0.218:0.223))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__nand2_1")
(INSTANCE _4225_)
(DELAY
(ABSOLUTE
(IOPATH A Y (0.174:0.174:0.174) (0.171:0.171:0.171))
(IOPATH B Y (0.161:0.161:0.161) (0.143:0.143:0.143))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__or2_1")
(INSTANCE _4226_)
(DELAY
(ABSOLUTE
(IOPATH A X (0.137:0.137:0.137) (0.244:0.244:0.244))
(IOPATH B X (0.113:0.113:0.113) (0.209:0.209:0.209))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__or2_1")
(INSTANCE _4227_)
(DELAY
(ABSOLUTE
(IOPATH A X (0.207:0.207:0.207) (0.314:0.314:0.314))
(IOPATH B X (0.183:0.183:0.183) (0.264:0.264:0.264))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__clkbuf_2")
(INSTANCE _4228_)
(DELAY
(ABSOLUTE
(IOPATH A X (0.184:0.184:0.184) (0.164:0.164:0.164))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__a21o_1")
(INSTANCE _4229_)
(DELAY
(ABSOLUTE
(IOPATH A1 X (0.137:0.138:0.138) (0.188:0.190:0.192))
(IOPATH A2 X (0.107:0.108:0.108) (0.176:0.176:0.176))
(IOPATH B1 X (0.099:0.099:0.099) (0.162:0.162:0.162))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__o211a_1")
(INSTANCE _4230_)
(DELAY
(ABSOLUTE
(IOPATH A1 X (0.180:0.180:0.180) (0.236:0.236:0.236))
(IOPATH A2 X (0.171:0.171:0.171) (0.230:0.230:0.230))
(IOPATH B1 X (0.139:0.140:0.140) (0.118:0.119:0.119))
(IOPATH C1 X (0.162:0.162:0.162) (0.131:0.131:0.131))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__xnor2_1")
(INSTANCE _4231_)
(DELAY
(ABSOLUTE
(IOPATH A Y (0.232:0.232:0.232) (0.206:0.206:0.206))
(IOPATH A Y (0.344:0.344:0.344) (0.171:0.171:0.171))
(IOPATH B Y (0.221:0.221:0.221) (0.191:0.191:0.191))
(IOPATH B Y (0.315:0.315:0.315) (0.145:0.145:0.145))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__nand2_1")
(INSTANCE _4232_)
(DELAY
(ABSOLUTE
(IOPATH A Y (0.121:0.123:0.126) (0.104:0.105:0.105))
(IOPATH B Y (0.120:0.123:0.127) (0.105:0.121:0.137))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__or2_1")
(INSTANCE _4233_)
(DELAY
(ABSOLUTE
(IOPATH A X (0.129:0.129:0.129) (0.253:0.255:0.257))
(IOPATH B X (0.125:0.139:0.154) (0.227:0.230:0.234))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__a21o_1")
(INSTANCE _4234_)
(DELAY
(ABSOLUTE
(IOPATH A1 X (0.142:0.143:0.143) (0.184:0.189:0.194))
(IOPATH A2 X (0.134:0.134:0.135) (0.196:0.196:0.196))
(IOPATH B1 X (0.125:0.125:0.125) (0.183:0.183:0.183))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__o211a_1")
(INSTANCE _4235_)
(DELAY
(ABSOLUTE
(IOPATH A1 X (0.159:0.159:0.159) (0.219:0.219:0.219))
(IOPATH A2 X (0.168:0.168:0.168) (0.228:0.228:0.228))
(IOPATH B1 X (0.146:0.147:0.147) (0.124:0.125:0.125))
(IOPATH C1 X (0.159:0.159:0.159) (0.129:0.129:0.129))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__nand2_1")
(INSTANCE _4236_)
(DELAY
(ABSOLUTE
(IOPATH A Y (0.090:0.090:0.090) (0.091:0.091:0.091))
(IOPATH B Y (0.085:0.085:0.085) (0.082:0.082:0.082))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__o21ai_1")
(INSTANCE _4237_)
(DELAY
(ABSOLUTE
(IOPATH A1 Y (0.258:0.260:0.262) (0.140:0.141:0.141))
(IOPATH A2 Y (0.237:0.241:0.244) (0.125:0.142:0.160))
(IOPATH B1 Y (0.142:0.146:0.150) (0.102:0.102:0.103))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__nand2_1")
(INSTANCE _4238_)
(DELAY
(ABSOLUTE
(IOPATH A Y (0.126:0.126:0.126) (0.128:0.128:0.128))
(IOPATH B Y (0.129:0.129:0.129) (0.123:0.123:0.123))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__or2_1")
(INSTANCE _4239_)
(DELAY
(ABSOLUTE
(IOPATH A X (0.135:0.135:0.135) (0.242:0.242:0.242))
(IOPATH B X (0.128:0.128:0.128) (0.221:0.221:0.221))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__and2_1")
(INSTANCE _4240_)
(DELAY
(ABSOLUTE
(IOPATH A X (0.175:0.176:0.177) (0.178:0.180:0.182))
(IOPATH B X (0.159:0.159:0.159) (0.180:0.180:0.180))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__nand2_1")
(INSTANCE _4241_)
(DELAY
(ABSOLUTE
(IOPATH A Y (0.131:0.137:0.142) (0.129:0.138:0.146))
(IOPATH B Y (0.124:0.124:0.125) (0.110:0.110:0.110))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__or2_1")
(INSTANCE _4242_)
(DELAY
(ABSOLUTE
(IOPATH A X (0.127:0.133:0.139) (0.235:0.239:0.244))
(IOPATH B X (0.107:0.107:0.107) (0.204:0.204:0.205))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__clkbuf_2")
(INSTANCE _4243_)
(DELAY
(ABSOLUTE
(IOPATH A X (0.197:0.197:0.197) (0.173:0.173:0.173))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__a21o_1")
(INSTANCE _4244_)
(DELAY
(ABSOLUTE
(IOPATH A1 X (0.164:0.165:0.165) (0.199:0.204:0.209))
(IOPATH A2 X (0.144:0.144:0.145) (0.204:0.204:0.204))
(IOPATH B1 X (0.140:0.140:0.140) (0.197:0.197:0.197))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__o211a_1")
(INSTANCE _4245_)
(DELAY
(ABSOLUTE
(IOPATH A1 X (0.174:0.174:0.174) (0.231:0.231:0.231))
(IOPATH A2 X (0.165:0.165:0.165) (0.225:0.225:0.225))
(IOPATH B1 X (0.148:0.148:0.148) (0.125:0.125:0.126))
(IOPATH C1 X (0.156:0.156:0.156) (0.126:0.126:0.126))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__buf_2")
(INSTANCE _4246_)
(DELAY
(ABSOLUTE
(IOPATH A X (0.240:0.240:0.240) (0.178:0.182:0.187))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__nor2_1")
(INSTANCE _4247_)
(DELAY
(ABSOLUTE
(IOPATH A Y (0.125:0.125:0.125) (0.074:0.074:0.074))
(IOPATH B Y (0.101:0.101:0.101) (0.058:0.058:0.058))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__and2_1")
(INSTANCE _4248_)
(DELAY
(ABSOLUTE
(IOPATH A X (0.166:0.166:0.166) (0.159:0.159:0.159))
(IOPATH B X (0.155:0.155:0.155) (0.167:0.167:0.167))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__nor2_1")
(INSTANCE _4249_)
(DELAY
(ABSOLUTE
(IOPATH A Y (0.220:0.222:0.224) (0.090:0.090:0.090))
(IOPATH B Y (0.207:0.207:0.207) (0.077:0.077:0.077))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__a21oi_1")
(INSTANCE _4250_)
(DELAY
(ABSOLUTE
(IOPATH A1 Y (0.159:0.161:0.162) (0.083:0.084:0.085))
(IOPATH A2 Y (0.177:0.181:0.186) (0.088:0.089:0.090))
(IOPATH B1 Y (0.139:0.140:0.141) (0.079:0.079:0.079))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__a31o_1")
(INSTANCE _4251_)
(DELAY
(ABSOLUTE
(IOPATH A1 X (0.145:0.145:0.146) (0.188:0.189:0.191))
(IOPATH A2 X (0.153:0.153:0.154) (0.217:0.222:0.227))
(IOPATH A3 X (0.179:0.179:0.179) (0.216:0.217:0.218))
(IOPATH B1 X (0.115:0.115:0.115) (0.195:0.195:0.195))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__clkbuf_4")
(INSTANCE _4252_)
(DELAY
(ABSOLUTE
(IOPATH A X (0.287:0.287:0.287) (0.251:0.251:0.251))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__o221a_1")
(INSTANCE _4253_)
(DELAY
(ABSOLUTE
(IOPATH A1 X (0.216:0.216:0.216) (0.275:0.275:0.275))
(IOPATH A2 X (0.203:0.203:0.203) (0.253:0.253:0.253))
(IOPATH B1 X (0.198:0.201:0.203) (0.229:0.233:0.236))
(IOPATH B2 X (0.171:0.172:0.172) (0.204:0.205:0.205))
(IOPATH C1 X (0.204:0.204:0.204) (0.150:0.150:0.150))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__and2_1")
(INSTANCE _4254_)
(DELAY
(ABSOLUTE
(IOPATH A X (0.197:0.197:0.197) (0.182:0.182:0.182))
(IOPATH B X (0.177:0.177:0.177) (0.182:0.182:0.182))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__nor2_1")
(INSTANCE _4255_)
(DELAY
(ABSOLUTE
(IOPATH A Y (0.121:0.121:0.121) (0.075:0.075:0.075))
(IOPATH B Y (0.091:0.091:0.091) (0.054:0.054:0.054))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__nor2_1")
(INSTANCE _4256_)
(DELAY
(ABSOLUTE
(IOPATH A Y (0.230:0.230:0.231) (0.091:0.091:0.091))
(IOPATH B Y (0.209:0.212:0.215) (0.080:0.081:0.081))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__or2_1")
(INSTANCE _4257_)
(DELAY
(ABSOLUTE
(IOPATH A X (0.128:0.128:0.128) (0.235:0.235:0.235))
(IOPATH B X (0.115:0.115:0.115) (0.208:0.208:0.208))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__a31o_1")
(INSTANCE _4258_)
(DELAY
(ABSOLUTE
(IOPATH A1 X (0.164:0.164:0.164) (0.188:0.188:0.188))
(IOPATH A2 X (0.165:0.165:0.165) (0.214:0.214:0.214))
(IOPATH A3 X (0.138:0.138:0.138) (0.212:0.212:0.212))
(IOPATH B1 X (0.099:0.099:0.100) (0.179:0.179:0.180))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__a31o_1")
(INSTANCE _4259_)
(DELAY
(ABSOLUTE
(IOPATH A1 X (0.247:0.254:0.261) (0.242:0.247:0.252))
(IOPATH A2 X (0.235:0.235:0.235) (0.260:0.261:0.261))
(IOPATH A3 X (0.266:0.266:0.266) (0.272:0.273:0.275))
(IOPATH B1 X (0.175:0.176:0.177) (0.232:0.232:0.233))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__xnor2_1")
(INSTANCE _4260_)
(DELAY
(ABSOLUTE
(IOPATH A Y (0.177:0.177:0.177) (0.147:0.148:0.149))
(IOPATH A Y (0.206:0.207:0.208) (0.134:0.134:0.135))
(IOPATH B Y (0.161:0.162:0.162) (0.154:0.155:0.155))
(IOPATH B Y (0.196:0.197:0.197) (0.105:0.105:0.105))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__nand2_1")
(INSTANCE _4261_)
(DELAY
(ABSOLUTE
(IOPATH A Y (0.110:0.110:0.110) (0.112:0.112:0.112))
(IOPATH B Y (0.110:0.116:0.122) (0.096:0.109:0.122))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__o211a_1")
(INSTANCE _4262_)
(DELAY
(ABSOLUTE
(IOPATH A1 X (0.184:0.184:0.184) (0.238:0.238:0.238))
(IOPATH A2 X (0.166:0.166:0.166) (0.225:0.225:0.225))
(IOPATH B1 X (0.150:0.151:0.152) (0.133:0.136:0.138))
(IOPATH C1 X (0.157:0.157:0.157) (0.127:0.127:0.127))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__a21oi_2")
(INSTANCE _4263_)
(DELAY
(ABSOLUTE
(IOPATH A1 Y (0.206:0.207:0.207) (0.123:0.123:0.123))
(IOPATH A2 Y (0.224:0.225:0.225) (0.105:0.105:0.105))
(IOPATH B1 Y (0.181:0.181:0.182) (0.061:0.062:0.062))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__nor2_1")
(INSTANCE _4264_)
(DELAY
(ABSOLUTE
(IOPATH A Y (0.256:0.256:0.256) (0.144:0.144:0.144))
(IOPATH B Y (0.205:0.205:0.205) (0.085:0.085:0.085))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__nand2_1")
(INSTANCE _4265_)
(DELAY
(ABSOLUTE
(IOPATH A Y (0.158:0.158:0.158) (0.162:0.162:0.162))
(IOPATH B Y (0.121:0.121:0.121) (0.108:0.108:0.108))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__and2b_1")
(INSTANCE _4266_)
(DELAY
(ABSOLUTE
(IOPATH A_N X (0.232:0.242:0.252) (0.240:0.240:0.241))
(IOPATH B X (0.176:0.176:0.176) (0.198:0.207:0.215))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__nor2_1")
(INSTANCE _4267_)
(DELAY
(ABSOLUTE
(IOPATH A Y (0.101:0.109:0.117) (0.073:0.073:0.073))
(IOPATH B Y (0.090:0.090:0.090) (0.048:0.048:0.048))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__a21o_1")
(INSTANCE _4268_)
(DELAY
(ABSOLUTE
(IOPATH A1 X (0.137:0.137:0.137) (0.149:0.158:0.167))
(IOPATH A2 X (0.118:0.118:0.118) (0.175:0.175:0.175))
(IOPATH B1 X (0.099:0.099:0.099) (0.163:0.163:0.163))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__or2_1")
(INSTANCE _4269_)
(DELAY
(ABSOLUTE
(IOPATH A X (0.132:0.132:0.132) (0.239:0.239:0.239))
(IOPATH B X (0.141:0.141:0.141) (0.213:0.217:0.222))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__clkbuf_2")
(INSTANCE _4270_)
(DELAY
(ABSOLUTE
(IOPATH A X (0.228:0.228:0.228) (0.190:0.190:0.190))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__o211a_1")
(INSTANCE _4271_)
(DELAY
(ABSOLUTE
(IOPATH A1 X (0.150:0.150:0.150) (0.206:0.210:0.214))
(IOPATH A2 X (0.120:0.120:0.121) (0.190:0.190:0.190))
(IOPATH B1 X (0.132:0.132:0.132) (0.118:0.118:0.118))
(IOPATH C1 X (0.151:0.151:0.151) (0.121:0.121:0.121))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__xor2_1")
(INSTANCE _4272_)
(DELAY
(ABSOLUTE
(IOPATH A X (0.252:0.252:0.252) (0.131:0.131:0.131))
(IOPATH A X (0.250:0.250:0.250) (0.200:0.200:0.200))
(IOPATH B X (0.231:0.231:0.231) (0.126:0.126:0.126))
(IOPATH B X (0.249:0.249:0.249) (0.179:0.179:0.179))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__inv_2")
(INSTANCE _4273_)
(DELAY
(ABSOLUTE
(IOPATH A Y (0.063:0.070:0.077) (0.063:0.064:0.064))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__a211o_1")
(INSTANCE _4274_)
(DELAY
(ABSOLUTE
(IOPATH A1 X (0.195:0.195:0.195) (0.290:0.298:0.307))
(IOPATH A2 X (0.178:0.179:0.179) (0.341:0.348:0.355))
(IOPATH B1 X (0.132:0.133:0.134) (0.304:0.304:0.305))
(IOPATH C1 X (0.176:0.176:0.176) (0.267:0.274:0.282))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__a21o_1")
(INSTANCE _4275_)
(DELAY
(ABSOLUTE
(IOPATH A1 X (0.144:0.145:0.145) (0.156:0.165:0.174))
(IOPATH A2 X (0.133:0.133:0.134) (0.193:0.200:0.208))
(IOPATH B1 X (0.121:0.122:0.122) (0.160:0.168:0.176))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__nand2_1")
(INSTANCE _4276_)
(DELAY
(ABSOLUTE
(IOPATH A Y (0.061:0.061:0.062) (0.047:0.047:0.048))
(IOPATH B Y (0.061:0.062:0.062) (0.051:0.051:0.051))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__a21o_1")
(INSTANCE _4277_)
(DELAY
(ABSOLUTE
(IOPATH A1 X (0.128:0.129:0.129) (0.172:0.173:0.174))
(IOPATH A2 X (0.116:0.117:0.118) (0.176:0.177:0.177))
(IOPATH B1 X (0.112:0.112:0.112) (0.175:0.175:0.175))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__o211a_1")
(INSTANCE _4278_)
(DELAY
(ABSOLUTE
(IOPATH A1 X (0.188:0.188:0.188) (0.242:0.242:0.242))
(IOPATH A2 X (0.171:0.171:0.171) (0.230:0.230:0.230))
(IOPATH B1 X (0.143:0.143:0.144) (0.121:0.122:0.122))
(IOPATH C1 X (0.160:0.160:0.160) (0.128:0.128:0.128))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__nand2_1")
(INSTANCE _4279_)
(DELAY
(ABSOLUTE
(IOPATH A Y (0.095:0.095:0.095) (0.099:0.099:0.099))
(IOPATH B Y (0.101:0.101:0.101) (0.096:0.096:0.096))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__nand2_1")
(INSTANCE _4280_)
(DELAY
(ABSOLUTE
(IOPATH A Y (0.131:0.131:0.131) (0.138:0.138:0.138))
(IOPATH B Y (0.128:0.128:0.128) (0.122:0.122:0.122))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__or2_1")
(INSTANCE _4281_)
(DELAY
(ABSOLUTE
(IOPATH A X (0.206:0.206:0.206) (0.295:0.295:0.295))
(IOPATH B X (0.191:0.191:0.191) (0.269:0.269:0.269))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__and4_1")
(INSTANCE _4282_)
(DELAY
(ABSOLUTE
(IOPATH A X (0.166:0.167:0.167) (0.150:0.153:0.155))
(IOPATH B X (0.184:0.185:0.185) (0.180:0.181:0.182))
(IOPATH C X (0.193:0.193:0.194) (0.202:0.205:0.209))
(IOPATH D X (0.202:0.202:0.202) (0.213:0.213:0.213))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__a22o_1")
(INSTANCE _4283_)
(DELAY
(ABSOLUTE
(IOPATH A1 X (0.135:0.136:0.136) (0.212:0.214:0.217))
(IOPATH A2 X (0.146:0.147:0.147) (0.238:0.239:0.240))
(IOPATH B1 X (0.138:0.138:0.139) (0.194:0.197:0.200))
(IOPATH B2 X (0.146:0.146:0.146) (0.214:0.214:0.214))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__or3b_1")
(INSTANCE _4284_)
(DELAY
(ABSOLUTE
(IOPATH A X (0.131:0.131:0.131) (0.388:0.388:0.388))
(IOPATH B X (0.104:0.104:0.104) (0.347:0.348:0.348))
(IOPATH C_N X (0.159:0.160:0.160) (0.346:0.346:0.346))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__o211a_1")
(INSTANCE _4285_)
(DELAY
(ABSOLUTE
(IOPATH A1 X (0.167:0.167:0.167) (0.225:0.225:0.225))
(IOPATH A2 X (0.147:0.147:0.147) (0.207:0.207:0.207))
(IOPATH B1 X (0.133:0.133:0.133) (0.129:0.129:0.129))
(IOPATH C1 X (0.152:0.152:0.152) (0.122:0.122:0.122))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__and3_1")
(INSTANCE _4286_)
(DELAY
(ABSOLUTE
(IOPATH A X (0.202:0.204:0.206) (0.155:0.163:0.170))
(IOPATH B X (0.178:0.178:0.179) (0.188:0.192:0.196))
(IOPATH C X (0.185:0.185:0.185) (0.205:0.205:0.205))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__and3_1")
(INSTANCE _4287_)
(DELAY
(ABSOLUTE
(IOPATH A X (0.204:0.204:0.204) (0.153:0.154:0.155))
(IOPATH B X (0.166:0.166:0.166) (0.171:0.171:0.171))
(IOPATH C X (0.163:0.163:0.163) (0.178:0.179:0.180))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__nand2_1")
(INSTANCE _4288_)
(DELAY
(ABSOLUTE
(IOPATH A Y (0.087:0.087:0.087) (0.085:0.085:0.085))
(IOPATH B Y (0.077:0.077:0.077) (0.074:0.074:0.074))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__a21oi_1")
(INSTANCE _4289_)
(DELAY
(ABSOLUTE
(IOPATH A1 Y (0.144:0.149:0.153) (0.065:0.065:0.065))
(IOPATH A2 Y (0.179:0.187:0.194) (0.090:0.090:0.090))
(IOPATH B1 Y (0.143:0.151:0.159) (0.079:0.079:0.079))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__and3_1")
(INSTANCE _4290_)
(DELAY
(ABSOLUTE
(IOPATH A X (0.197:0.197:0.197) (0.170:0.170:0.170))
(IOPATH B X (0.195:0.195:0.195) (0.188:0.188:0.188))
(IOPATH C X (0.186:0.186:0.186) (0.205:0.206:0.206))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__a221o_1")
(INSTANCE _4291_)
(DELAY
(ABSOLUTE
(IOPATH A1 X (0.190:0.190:0.190) (0.328:0.328:0.328))
(IOPATH A2 X (0.171:0.171:0.171) (0.352:0.352:0.352))
(IOPATH B1 X (0.155:0.157:0.160) (0.290:0.293:0.297))
(IOPATH B2 X (0.139:0.140:0.140) (0.312:0.313:0.313))
(IOPATH C1 X (0.109:0.109:0.109) (0.256:0.256:0.257))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__a21o_1")
(INSTANCE _4292_)
(DELAY
(ABSOLUTE
(IOPATH A1 X (0.176:0.176:0.177) (0.200:0.200:0.201))
(IOPATH A2 X (0.154:0.154:0.154) (0.202:0.203:0.203))
(IOPATH B1 X (0.120:0.121:0.122) (0.184:0.184:0.184))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__nand2_1")
(INSTANCE _4293_)
(DELAY
(ABSOLUTE
(IOPATH A Y (0.169:0.169:0.169) (0.167:0.167:0.167))
(IOPATH B Y (0.151:0.151:0.151) (0.132:0.132:0.132))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__or2_1")
(INSTANCE _4294_)
(DELAY
(ABSOLUTE
(IOPATH A X (0.129:0.129:0.129) (0.236:0.236:0.236))
(IOPATH B X (0.099:0.099:0.099) (0.197:0.197:0.197))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__and2_1")
(INSTANCE _4295_)
(DELAY
(ABSOLUTE
(IOPATH A X (0.188:0.189:0.189) (0.194:0.197:0.200))
(IOPATH B X (0.154:0.155:0.155) (0.177:0.177:0.177))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__nand2_1")
(INSTANCE _4296_)
(DELAY
(ABSOLUTE
(IOPATH A Y (0.188:0.189:0.189) (0.162:0.163:0.163))
(IOPATH B Y (0.193:0.194:0.194) (0.167:0.167:0.167))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__or2_1")
(INSTANCE _4297_)
(DELAY
(ABSOLUTE
(IOPATH A X (0.104:0.104:0.104) (0.221:0.221:0.221))
(IOPATH B X (0.103:0.103:0.103) (0.201:0.201:0.201))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__and2_1")
(INSTANCE _4298_)
(DELAY
(ABSOLUTE
(IOPATH A X (0.163:0.163:0.164) (0.187:0.187:0.187))
(IOPATH B X (0.117:0.117:0.117) (0.152:0.152:0.152))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__or2_1")
(INSTANCE _4299_)
(DELAY
(ABSOLUTE
(IOPATH A X (0.124:0.124:0.124) (0.231:0.231:0.231))
(IOPATH B X (0.137:0.137:0.137) (0.209:0.213:0.217))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__o211a_1")
(INSTANCE _4300_)
(DELAY
(ABSOLUTE
(IOPATH A1 X (0.158:0.158:0.158) (0.223:0.223:0.223))
(IOPATH A2 X (0.124:0.124:0.124) (0.191:0.191:0.191))
(IOPATH B1 X (0.130:0.130:0.130) (0.116:0.116:0.116))
(IOPATH C1 X (0.150:0.150:0.150) (0.121:0.121:0.121))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__nor2_1")
(INSTANCE _4301_)
(DELAY
(ABSOLUTE
(IOPATH A Y (0.192:0.192:0.192) (0.102:0.102:0.102))
(IOPATH B Y (0.162:0.162:0.162) (0.074:0.074:0.074))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__nand2_1")
(INSTANCE _4302_)
(DELAY
(ABSOLUTE
(IOPATH A Y (0.113:0.113:0.113) (0.114:0.114:0.114))
(IOPATH B Y (0.102:0.102:0.102) (0.093:0.093:0.093))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__and2b_1")
(INSTANCE _4303_)
(DELAY
(ABSOLUTE
(IOPATH A_N X (0.217:0.221:0.226) (0.222:0.222:0.222))
(IOPATH B X (0.158:0.158:0.158) (0.182:0.186:0.191))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__a21oi_1")
(INSTANCE _4304_)
(DELAY
(ABSOLUTE
(IOPATH A1 Y (0.161:0.164:0.167) (0.090:0.090:0.091))
(IOPATH A2 Y (0.194:0.194:0.194) (0.105:0.105:0.105))
(IOPATH B1 Y (0.124:0.124:0.124) (0.049:0.049:0.049))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__a31o_1")
(INSTANCE _4305_)
(DELAY
(ABSOLUTE
(IOPATH A1 X (0.157:0.158:0.158) (0.200:0.203:0.206))
(IOPATH A2 X (0.175:0.175:0.176) (0.247:0.247:0.247))
(IOPATH A3 X (0.144:0.145:0.145) (0.212:0.212:0.212))
(IOPATH B1 X (0.115:0.115:0.115) (0.195:0.195:0.195))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__o221a_1")
(INSTANCE _4306_)
(DELAY
(ABSOLUTE
(IOPATH A1 X (0.212:0.212:0.212) (0.274:0.274:0.274))
(IOPATH A2 X (0.191:0.191:0.191) (0.244:0.244:0.244))
(IOPATH B1 X (0.183:0.186:0.189) (0.212:0.218:0.223))
(IOPATH B2 X (0.159:0.160:0.161) (0.194:0.195:0.195))
(IOPATH C1 X (0.193:0.193:0.193) (0.141:0.141:0.141))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__nand2_1")
(INSTANCE _4307_)
(DELAY
(ABSOLUTE
(IOPATH A Y (0.105:0.105:0.105) (0.106:0.106:0.106))
(IOPATH B Y (0.099:0.099:0.099) (0.090:0.090:0.090))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__or2_1")
(INSTANCE _4308_)
(DELAY
(ABSOLUTE
(IOPATH A X (0.122:0.122:0.122) (0.229:0.229:0.229))
(IOPATH B X (0.102:0.102:0.102) (0.200:0.200:0.200))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__nand2_1")
(INSTANCE _4309_)
(DELAY
(ABSOLUTE
(IOPATH A Y (0.105:0.108:0.110) (0.089:0.090:0.090))
(IOPATH B Y (0.102:0.102:0.102) (0.076:0.076:0.076))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__a31o_1")
(INSTANCE _4310_)
(DELAY
(ABSOLUTE
(IOPATH A1 X (0.173:0.174:0.174) (0.212:0.215:0.218))
(IOPATH A2 X (0.191:0.192:0.192) (0.259:0.259:0.259))
(IOPATH A3 X (0.162:0.163:0.163) (0.230:0.234:0.239))
(IOPATH B1 X (0.139:0.139:0.139) (0.192:0.196:0.200))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__xor2_1")
(INSTANCE _4311_)
(DELAY
(ABSOLUTE
(IOPATH A X (0.195:0.196:0.197) (0.088:0.088:0.089))
(IOPATH A X (0.185:0.185:0.186) (0.177:0.177:0.178))
(IOPATH B X (0.167:0.168:0.168) (0.075:0.076:0.076))
(IOPATH B X (0.184:0.184:0.185) (0.149:0.150:0.150))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__or2_1")
(INSTANCE _4312_)
(DELAY
(ABSOLUTE
(IOPATH A X (0.126:0.126:0.126) (0.235:0.235:0.235))
(IOPATH B X (0.140:0.140:0.140) (0.213:0.217:0.221))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__o211a_1")
(INSTANCE _4313_)
(DELAY
(ABSOLUTE
(IOPATH A1 X (0.158:0.158:0.158) (0.223:0.223:0.223))
(IOPATH A2 X (0.146:0.148:0.149) (0.195:0.198:0.200))
(IOPATH B1 X (0.131:0.131:0.131) (0.117:0.117:0.117))
(IOPATH C1 X (0.150:0.150:0.150) (0.120:0.120:0.120))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__a311o_1")
(INSTANCE _4314_)
(DELAY
(ABSOLUTE
(IOPATH A1 X (0.211:0.211:0.211) (0.312:0.315:0.318))
(IOPATH A2 X (0.231:0.231:0.232) (0.375:0.375:0.375))
(IOPATH A3 X (0.199:0.200:0.200) (0.375:0.379:0.383))
(IOPATH B1 X (0.149:0.150:0.150) (0.344:0.345:0.346))
(IOPATH C1 X (0.166:0.166:0.166) (0.292:0.295:0.298))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__or2_1")
(INSTANCE _4315_)
(DELAY
(ABSOLUTE
(IOPATH A X (0.129:0.129:0.129) (0.239:0.239:0.239))
(IOPATH B X (0.124:0.124:0.124) (0.219:0.219:0.219))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__nand2_1")
(INSTANCE _4316_)
(DELAY
(ABSOLUTE
(IOPATH A Y (0.079:0.079:0.079) (0.078:0.078:0.078))
(IOPATH B Y (0.085:0.085:0.085) (0.078:0.078:0.078))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__and4_1")
(INSTANCE _4317_)
(DELAY
(ABSOLUTE
(IOPATH A X (0.188:0.189:0.189) (0.162:0.165:0.167))
(IOPATH B X (0.197:0.198:0.199) (0.185:0.187:0.188))
(IOPATH C X (0.197:0.197:0.197) (0.198:0.198:0.198))
(IOPATH D X (0.203:0.204:0.204) (0.205:0.206:0.207))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__a22oi_1")
(INSTANCE _4318_)
(DELAY
(ABSOLUTE
(IOPATH A1 Y (0.212:0.214:0.216) (0.100:0.101:0.101))
(IOPATH A2 Y (0.222:0.224:0.225) (0.101:0.102:0.103))
(IOPATH B1 Y (0.178:0.179:0.179) (0.075:0.075:0.075))
(IOPATH B2 Y (0.192:0.193:0.194) (0.079:0.080:0.080))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__o21a_1")
(INSTANCE _4319_)
(DELAY
(ABSOLUTE
(IOPATH A1 X (0.146:0.146:0.146) (0.193:0.193:0.193))
(IOPATH A2 X (0.133:0.133:0.133) (0.180:0.180:0.180))
(IOPATH B1 X (0.153:0.153:0.153) (0.122:0.122:0.122))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__o31a_1")
(INSTANCE _4320_)
(DELAY
(ABSOLUTE
(IOPATH A1 X (0.143:0.143:0.143) (0.298:0.298:0.298))
(IOPATH A2 X (0.128:0.128:0.128) (0.267:0.268:0.268))
(IOPATH A3 X (0.140:0.141:0.142) (0.238:0.239:0.240))
(IOPATH B1 X (0.119:0.119:0.120) (0.093:0.094:0.095))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__nor2_1")
(INSTANCE _4321_)
(DELAY
(ABSOLUTE
(IOPATH A Y (0.362:0.362:0.362) (0.223:0.223:0.223))
(IOPATH B Y (0.279:0.279:0.279) (0.122:0.122:0.122))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__clkbuf_2")
(INSTANCE _4322_)
(DELAY
(ABSOLUTE
(IOPATH A X (0.234:0.234:0.234) (0.167:0.185:0.202))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__clkbuf_2")
(INSTANCE _4323_)
(DELAY
(ABSOLUTE
(IOPATH A X (0.181:0.181:0.181) (0.159:0.159:0.159))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__nand2_1")
(INSTANCE _4324_)
(DELAY
(ABSOLUTE
(IOPATH A Y (0.136:0.136:0.136) (0.137:0.137:0.137))
(IOPATH B Y (0.122:0.122:0.122) (0.110:0.110:0.110))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__or2_1")
(INSTANCE _4325_)
(DELAY
(ABSOLUTE
(IOPATH A X (0.144:0.144:0.144) (0.250:0.250:0.250))
(IOPATH B X (0.118:0.118:0.118) (0.214:0.214:0.214))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__or2_2")
(INSTANCE _4326_)
(DELAY
(ABSOLUTE
(IOPATH A X (0.283:0.283:0.283) (0.437:0.437:0.437))
(IOPATH B X (0.244:0.244:0.244) (0.394:0.394:0.394))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__clkbuf_2")
(INSTANCE _4327_)
(DELAY
(ABSOLUTE
(IOPATH A X (0.190:0.190:0.191) (0.175:0.175:0.175))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__a21o_1")
(INSTANCE _4328_)
(DELAY
(ABSOLUTE
(IOPATH A1 X (0.122:0.123:0.123) (0.167:0.171:0.174))
(IOPATH A2 X (0.106:0.106:0.107) (0.174:0.174:0.174))
(IOPATH B1 X (0.097:0.097:0.097) (0.161:0.161:0.161))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__clkbuf_2")
(INSTANCE _4329_)
(DELAY
(ABSOLUTE
(IOPATH A X (0.220:0.220:0.220) (0.184:0.184:0.184))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__o211a_1")
(INSTANCE _4330_)
(DELAY
(ABSOLUTE
(IOPATH A1 X (0.177:0.177:0.177) (0.233:0.233:0.233))
(IOPATH A2 X (0.140:0.140:0.140) (0.207:0.207:0.207))
(IOPATH B1 X (0.130:0.130:0.131) (0.111:0.111:0.111))
(IOPATH C1 X (0.148:0.148:0.148) (0.118:0.118:0.118))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__xnor2_1")
(INSTANCE _4331_)
(DELAY
(ABSOLUTE
(IOPATH A Y (0.179:0.179:0.179) (0.162:0.162:0.162))
(IOPATH A Y (0.252:0.252:0.252) (0.121:0.121:0.121))
(IOPATH B Y (0.183:0.183:0.183) (0.164:0.164:0.164))
(IOPATH B Y (0.238:0.238:0.238) (0.118:0.118:0.118))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__nand2_1")
(INSTANCE _4332_)
(DELAY
(ABSOLUTE
(IOPATH A Y (0.085:0.088:0.092) (0.075:0.075:0.076))
(IOPATH B Y (0.086:0.088:0.091) (0.076:0.088:0.099))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__or2_1")
(INSTANCE _4333_)
(DELAY
(ABSOLUTE
(IOPATH A X (0.118:0.118:0.119) (0.238:0.241:0.244))
(IOPATH B X (0.114:0.127:0.140) (0.214:0.216:0.218))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__a21o_1")
(INSTANCE _4334_)
(DELAY
(ABSOLUTE
(IOPATH A1 X (0.102:0.103:0.104) (0.150:0.153:0.157))
(IOPATH A2 X (0.102:0.103:0.103) (0.171:0.171:0.171))
(IOPATH B1 X (0.097:0.097:0.097) (0.161:0.161:0.161))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__o211a_1")
(INSTANCE _4335_)
(DELAY
(ABSOLUTE
(IOPATH A1 X (0.178:0.178:0.178) (0.234:0.234:0.234))
(IOPATH A2 X (0.139:0.139:0.139) (0.206:0.206:0.206))
(IOPATH B1 X (0.129:0.129:0.130) (0.110:0.110:0.111))
(IOPATH C1 X (0.148:0.148:0.148) (0.118:0.118:0.118))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__nand2_1")
(INSTANCE _4336_)
(DELAY
(ABSOLUTE
(IOPATH A Y (0.076:0.076:0.076) (0.079:0.079:0.079))
(IOPATH B Y (0.089:0.089:0.089) (0.085:0.085:0.085))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__o21ai_1")
(INSTANCE _4337_)
(DELAY
(ABSOLUTE
(IOPATH A1 Y (0.258:0.261:0.264) (0.134:0.134:0.135))
(IOPATH A2 Y (0.240:0.242:0.244) (0.118:0.134:0.150))
(IOPATH B1 Y (0.154:0.155:0.155) (0.108:0.109:0.111))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__nand2_1")
(INSTANCE _4338_)
(DELAY
(ABSOLUTE
(IOPATH A Y (0.115:0.115:0.115) (0.121:0.121:0.121))
(IOPATH B Y (0.115:0.115:0.115) (0.110:0.110:0.110))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__or2_1")
(INSTANCE _4339_)
(DELAY
(ABSOLUTE
(IOPATH A X (0.127:0.127:0.127) (0.231:0.231:0.231))
(IOPATH B X (0.114:0.114:0.114) (0.206:0.206:0.206))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__and2_1")
(INSTANCE _4340_)
(DELAY
(ABSOLUTE
(IOPATH A X (0.185:0.186:0.186) (0.181:0.184:0.188))
(IOPATH B X (0.170:0.170:0.170) (0.185:0.185:0.185))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__nand2_1")
(INSTANCE _4341_)
(DELAY
(ABSOLUTE
(IOPATH A Y (0.138:0.141:0.145) (0.139:0.148:0.158))
(IOPATH B Y (0.134:0.134:0.135) (0.122:0.122:0.122))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__or2_1")
(INSTANCE _4342_)
(DELAY
(ABSOLUTE
(IOPATH A X (0.132:0.139:0.146) (0.238:0.241:0.244))
(IOPATH B X (0.116:0.116:0.116) (0.211:0.211:0.211))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__clkbuf_2")
(INSTANCE _4343_)
(DELAY
(ABSOLUTE
(IOPATH A X (0.201:0.201:0.201) (0.182:0.182:0.182))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__a21o_1")
(INSTANCE _4344_)
(DELAY
(ABSOLUTE
(IOPATH A1 X (0.131:0.132:0.132) (0.177:0.182:0.187))
(IOPATH A2 X (0.110:0.110:0.110) (0.178:0.178:0.178))
(IOPATH B1 X (0.107:0.107:0.107) (0.171:0.171:0.171))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__o211a_1")
(INSTANCE _4345_)
(DELAY
(ABSOLUTE
(IOPATH A1 X (0.176:0.176:0.176) (0.232:0.232:0.232))
(IOPATH A2 X (0.142:0.142:0.142) (0.209:0.209:0.209))
(IOPATH B1 X (0.135:0.135:0.135) (0.115:0.115:0.115))
(IOPATH C1 X (0.151:0.151:0.151) (0.120:0.120:0.120))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__nor2_1")
(INSTANCE _4346_)
(DELAY
(ABSOLUTE
(IOPATH A Y (0.116:0.116:0.116) (0.070:0.070:0.070))
(IOPATH B Y (0.094:0.094:0.094) (0.056:0.056:0.056))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__and2_1")
(INSTANCE _4347_)
(DELAY
(ABSOLUTE
(IOPATH A X (0.173:0.173:0.173) (0.164:0.164:0.164))
(IOPATH B X (0.164:0.164:0.164) (0.174:0.174:0.174))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__nor2_1")
(INSTANCE _4348_)
(DELAY
(ABSOLUTE
(IOPATH A Y (0.220:0.222:0.224) (0.085:0.085:0.085))
(IOPATH B Y (0.208:0.208:0.209) (0.079:0.079:0.079))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__a21oi_1")
(INSTANCE _4349_)
(DELAY
(ABSOLUTE
(IOPATH A1 Y (0.132:0.135:0.138) (0.069:0.069:0.070))
(IOPATH A2 Y (0.158:0.163:0.168) (0.082:0.082:0.082))
(IOPATH B1 Y (0.117:0.118:0.118) (0.067:0.067:0.067))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__a31o_1")
(INSTANCE _4350_)
(DELAY
(ABSOLUTE
(IOPATH A1 X (0.145:0.146:0.147) (0.187:0.190:0.193))
(IOPATH A2 X (0.161:0.162:0.162) (0.225:0.231:0.236))
(IOPATH A3 X (0.185:0.185:0.185) (0.222:0.222:0.223))
(IOPATH B1 X (0.122:0.122:0.122) (0.202:0.202:0.202))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__o221a_1")
(INSTANCE _4351_)
(DELAY
(ABSOLUTE
(IOPATH A1 X (0.205:0.205:0.205) (0.267:0.267:0.267))
(IOPATH A2 X (0.189:0.189:0.189) (0.249:0.249:0.249))
(IOPATH B1 X (0.182:0.185:0.187) (0.219:0.223:0.227))
(IOPATH B2 X (0.165:0.166:0.167) (0.199:0.199:0.200))
(IOPATH C1 X (0.196:0.196:0.196) (0.144:0.144:0.144))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__and2_1")
(INSTANCE _4352_)
(DELAY
(ABSOLUTE
(IOPATH A X (0.211:0.211:0.211) (0.191:0.191:0.191))
(IOPATH B X (0.191:0.191:0.191) (0.191:0.191:0.191))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__nor2_1")
(INSTANCE _4353_)
(DELAY
(ABSOLUTE
(IOPATH A Y (0.151:0.151:0.151) (0.090:0.090:0.090))
(IOPATH B Y (0.120:0.120:0.120) (0.067:0.067:0.067))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__nor2_1")
(INSTANCE _4354_)
(DELAY
(ABSOLUTE
(IOPATH A Y (0.271:0.271:0.271) (0.109:0.109:0.109))
(IOPATH B Y (0.249:0.252:0.256) (0.105:0.105:0.105))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__or2_1")
(INSTANCE _4355_)
(DELAY
(ABSOLUTE
(IOPATH A X (0.126:0.126:0.126) (0.233:0.233:0.233))
(IOPATH B X (0.115:0.115:0.115) (0.207:0.207:0.207))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__a31o_1")
(INSTANCE _4356_)
(DELAY
(ABSOLUTE
(IOPATH A1 X (0.167:0.167:0.167) (0.189:0.189:0.189))
(IOPATH A2 X (0.163:0.163:0.163) (0.212:0.212:0.212))
(IOPATH A3 X (0.136:0.136:0.137) (0.210:0.210:0.210))
(IOPATH B1 X (0.101:0.101:0.101) (0.180:0.180:0.180))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__a31o_1")
(INSTANCE _4357_)
(DELAY
(ABSOLUTE
(IOPATH A1 X (0.263:0.270:0.278) (0.248:0.252:0.255))
(IOPATH A2 X (0.253:0.253:0.253) (0.271:0.271:0.271))
(IOPATH A3 X (0.278:0.278:0.278) (0.279:0.280:0.280))
(IOPATH B1 X (0.186:0.187:0.188) (0.238:0.239:0.239))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__xor2_1")
(INSTANCE _4358_)
(DELAY
(ABSOLUTE
(IOPATH A X (0.172:0.173:0.174) (0.118:0.118:0.118))
(IOPATH A X (0.191:0.191:0.191) (0.171:0.172:0.172))
(IOPATH B X (0.159:0.160:0.160) (0.094:0.095:0.095))
(IOPATH B X (0.176:0.176:0.176) (0.159:0.160:0.160))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__or2_1")
(INSTANCE _4359_)
(DELAY
(ABSOLUTE
(IOPATH A X (0.139:0.139:0.139) (0.245:0.245:0.245))
(IOPATH B X (0.115:0.115:0.115) (0.215:0.215:0.216))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__o211a_1")
(INSTANCE _4360_)
(DELAY
(ABSOLUTE
(IOPATH A1 X (0.165:0.165:0.165) (0.230:0.230:0.230))
(IOPATH A2 X (0.145:0.146:0.148) (0.197:0.204:0.211))
(IOPATH B1 X (0.135:0.136:0.136) (0.121:0.121:0.121))
(IOPATH C1 X (0.152:0.152:0.152) (0.121:0.121:0.121))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__a21oi_2")
(INSTANCE _4361_)
(DELAY
(ABSOLUTE
(IOPATH A1 Y (0.235:0.236:0.236) (0.144:0.144:0.144))
(IOPATH A2 Y (0.249:0.250:0.250) (0.117:0.117:0.117))
(IOPATH B1 Y (0.206:0.207:0.207) (0.073:0.073:0.073))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__nor2_1")
(INSTANCE _4362_)
(DELAY
(ABSOLUTE
(IOPATH A Y (0.218:0.218:0.218) (0.103:0.103:0.103))
(IOPATH B Y (0.195:0.195:0.195) (0.084:0.084:0.084))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__nand2_1")
(INSTANCE _4363_)
(DELAY
(ABSOLUTE
(IOPATH A Y (0.129:0.129:0.129) (0.126:0.126:0.126))
(IOPATH B Y (0.126:0.126:0.126) (0.114:0.114:0.114))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__and2b_1")
(INSTANCE _4364_)
(DELAY
(ABSOLUTE
(IOPATH A_N X (0.245:0.248:0.250) (0.246:0.246:0.246))
(IOPATH B X (0.190:0.191:0.191) (0.209:0.211:0.213))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__nor2_1")
(INSTANCE _4365_)
(DELAY
(ABSOLUTE
(IOPATH A Y (0.107:0.117:0.127) (0.079:0.079:0.079))
(IOPATH B Y (0.096:0.096:0.096) (0.054:0.054:0.054))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__a21o_1")
(INSTANCE _4366_)
(DELAY
(ABSOLUTE
(IOPATH A1 X (0.148:0.148:0.148) (0.156:0.167:0.179))
(IOPATH A2 X (0.129:0.129:0.129) (0.182:0.182:0.182))
(IOPATH B1 X (0.104:0.104:0.104) (0.168:0.168:0.168))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__or2_1")
(INSTANCE _4367_)
(DELAY
(ABSOLUTE
(IOPATH A X (0.155:0.155:0.155) (0.261:0.261:0.261))
(IOPATH B X (0.115:0.115:0.115) (0.216:0.216:0.216))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__o211a_1")
(INSTANCE _4368_)
(DELAY
(ABSOLUTE
(IOPATH A1 X (0.151:0.151:0.151) (0.208:0.212:0.216))
(IOPATH A2 X (0.121:0.122:0.122) (0.191:0.191:0.191))
(IOPATH B1 X (0.131:0.131:0.132) (0.118:0.118:0.118))
(IOPATH C1 X (0.147:0.147:0.147) (0.117:0.117:0.117))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__xor2_1")
(INSTANCE _4369_)
(DELAY
(ABSOLUTE
(IOPATH A X (0.254:0.254:0.254) (0.135:0.135:0.135))
(IOPATH A X (0.250:0.250:0.250) (0.205:0.205:0.205))
(IOPATH B X (0.224:0.224:0.224) (0.121:0.121:0.121))
(IOPATH B X (0.243:0.243:0.243) (0.176:0.176:0.176))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__inv_2")
(INSTANCE _4370_)
(DELAY
(ABSOLUTE
(IOPATH A Y (0.057:0.064:0.070) (0.056:0.056:0.057))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__a211o_1")
(INSTANCE _4371_)
(DELAY
(ABSOLUTE
(IOPATH A1 X (0.202:0.202:0.202) (0.292:0.303:0.313))
(IOPATH A2 X (0.178:0.178:0.179) (0.342:0.343:0.345))
(IOPATH B1 X (0.129:0.130:0.131) (0.302:0.302:0.302))
(IOPATH C1 X (0.172:0.172:0.172) (0.266:0.268:0.269))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__a21o_1")
(INSTANCE _4372_)
(DELAY
(ABSOLUTE
(IOPATH A1 X (0.143:0.143:0.143) (0.153:0.164:0.175))
(IOPATH A2 X (0.126:0.126:0.127) (0.188:0.190:0.191))
(IOPATH B1 X (0.112:0.112:0.112) (0.152:0.154:0.156))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__nand2_1")
(INSTANCE _4373_)
(DELAY
(ABSOLUTE
(IOPATH A Y (0.080:0.080:0.081) (0.062:0.063:0.064))
(IOPATH B Y (0.080:0.080:0.081) (0.066:0.066:0.066))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__a21o_1")
(INSTANCE _4374_)
(DELAY
(ABSOLUTE
(IOPATH A1 X (0.127:0.127:0.128) (0.171:0.172:0.173))
(IOPATH A2 X (0.124:0.125:0.126) (0.185:0.185:0.185))
(IOPATH B1 X (0.112:0.112:0.112) (0.176:0.176:0.176))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__clkbuf_2")
(INSTANCE _4375_)
(DELAY
(ABSOLUTE
(IOPATH A X (0.221:0.221:0.221) (0.184:0.184:0.184))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__o211a_1")
(INSTANCE _4376_)
(DELAY
(ABSOLUTE
(IOPATH A1 X (0.174:0.174:0.174) (0.228:0.228:0.228))
(IOPATH A2 X (0.137:0.137:0.137) (0.204:0.204:0.204))
(IOPATH B1 X (0.131:0.132:0.132) (0.112:0.112:0.113))
(IOPATH C1 X (0.146:0.146:0.146) (0.116:0.116:0.116))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__nand2_1")
(INSTANCE _4377_)
(DELAY
(ABSOLUTE
(IOPATH A Y (0.109:0.109:0.109) (0.112:0.112:0.112))
(IOPATH B Y (0.105:0.105:0.105) (0.101:0.101:0.101))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__nand2_1")
(INSTANCE _4378_)
(DELAY
(ABSOLUTE
(IOPATH A Y (0.121:0.121:0.121) (0.124:0.124:0.124))
(IOPATH B Y (0.117:0.117:0.117) (0.111:0.111:0.111))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__or2_1")
(INSTANCE _4379_)
(DELAY
(ABSOLUTE
(IOPATH A X (0.181:0.181:0.181) (0.279:0.279:0.279))
(IOPATH B X (0.167:0.167:0.167) (0.252:0.252:0.252))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__and4_1")
(INSTANCE _4380_)
(DELAY
(ABSOLUTE
(IOPATH A X (0.174:0.175:0.175) (0.156:0.160:0.164))
(IOPATH B X (0.189:0.189:0.190) (0.183:0.184:0.185))
(IOPATH C X (0.196:0.196:0.197) (0.201:0.204:0.207))
(IOPATH D X (0.202:0.202:0.202) (0.211:0.211:0.211))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__a22o_1")
(INSTANCE _4381_)
(DELAY
(ABSOLUTE
(IOPATH A1 X (0.130:0.131:0.131) (0.207:0.210:0.214))
(IOPATH A2 X (0.139:0.139:0.139) (0.231:0.232:0.232))
(IOPATH B1 X (0.127:0.128:0.128) (0.183:0.186:0.188))
(IOPATH B2 X (0.130:0.130:0.131) (0.201:0.201:0.201))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__or3b_1")
(INSTANCE _4382_)
(DELAY
(ABSOLUTE
(IOPATH A X (0.131:0.131:0.132) (0.393:0.393:0.393))
(IOPATH B X (0.106:0.106:0.106) (0.348:0.349:0.350))
(IOPATH C_N X (0.158:0.158:0.158) (0.344:0.344:0.345))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__o211a_1")
(INSTANCE _4383_)
(DELAY
(ABSOLUTE
(IOPATH A1 X (0.180:0.180:0.180) (0.233:0.233:0.233))
(IOPATH A2 X (0.139:0.139:0.139) (0.206:0.206:0.206))
(IOPATH B1 X (0.132:0.132:0.132) (0.128:0.128:0.128))
(IOPATH C1 X (0.147:0.147:0.147) (0.118:0.118:0.118))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__and3_1")
(INSTANCE _4384_)
(DELAY
(ABSOLUTE
(IOPATH A X (0.212:0.214:0.216) (0.162:0.169:0.177))
(IOPATH B X (0.187:0.187:0.188) (0.192:0.195:0.198))
(IOPATH C X (0.191:0.191:0.191) (0.207:0.207:0.207))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__and3_1")
(INSTANCE _4385_)
(DELAY
(ABSOLUTE
(IOPATH A X (0.218:0.218:0.218) (0.161:0.162:0.162))
(IOPATH B X (0.174:0.174:0.174) (0.177:0.177:0.177))
(IOPATH C X (0.169:0.169:0.169) (0.183:0.184:0.185))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__nand2_1")
(INSTANCE _4386_)
(DELAY
(ABSOLUTE
(IOPATH A Y (0.082:0.082:0.082) (0.078:0.078:0.078))
(IOPATH B Y (0.072:0.072:0.072) (0.069:0.069:0.069))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__a21oi_1")
(INSTANCE _4387_)
(DELAY
(ABSOLUTE
(IOPATH A1 Y (0.137:0.141:0.145) (0.061:0.062:0.062))
(IOPATH A2 Y (0.175:0.177:0.179) (0.088:0.088:0.089))
(IOPATH B1 Y (0.137:0.139:0.141) (0.074:0.074:0.074))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__and3_1")
(INSTANCE _4388_)
(DELAY
(ABSOLUTE
(IOPATH A X (0.187:0.187:0.187) (0.166:0.166:0.166))
(IOPATH B X (0.175:0.175:0.175) (0.173:0.173:0.173))
(IOPATH C X (0.164:0.164:0.164) (0.188:0.188:0.188))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__a221o_1")
(INSTANCE _4389_)
(DELAY
(ABSOLUTE
(IOPATH A1 X (0.186:0.186:0.186) (0.331:0.331:0.331))
(IOPATH A2 X (0.170:0.170:0.170) (0.353:0.353:0.353))
(IOPATH B1 X (0.158:0.161:0.164) (0.295:0.298:0.301))
(IOPATH B2 X (0.149:0.149:0.150) (0.321:0.321:0.322))
(IOPATH C1 X (0.108:0.108:0.109) (0.260:0.260:0.261))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__a21o_1")
(INSTANCE _4390_)
(DELAY
(ABSOLUTE
(IOPATH A1 X (0.167:0.168:0.168) (0.194:0.195:0.195))
(IOPATH A2 X (0.142:0.143:0.143) (0.194:0.194:0.195))
(IOPATH B1 X (0.110:0.111:0.112) (0.176:0.177:0.177))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__nand2_1")
(INSTANCE _4391_)
(DELAY
(ABSOLUTE
(IOPATH A Y (0.167:0.167:0.167) (0.138:0.138:0.138))
(IOPATH B Y (0.170:0.170:0.170) (0.151:0.151:0.151))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__or2_1")
(INSTANCE _4392_)
(DELAY
(ABSOLUTE
(IOPATH A X (0.110:0.110:0.110) (0.219:0.219:0.219))
(IOPATH B X (0.103:0.103:0.103) (0.198:0.198:0.198))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__and2_1")
(INSTANCE _4393_)
(DELAY
(ABSOLUTE
(IOPATH A X (0.171:0.171:0.172) (0.186:0.187:0.187))
(IOPATH B X (0.131:0.131:0.131) (0.161:0.161:0.161))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__nand2_1")
(INSTANCE _4394_)
(DELAY
(ABSOLUTE
(IOPATH A Y (0.148:0.149:0.149) (0.126:0.127:0.127))
(IOPATH B Y (0.151:0.151:0.151) (0.127:0.127:0.127))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__or2_1")
(INSTANCE _4395_)
(DELAY
(ABSOLUTE
(IOPATH A X (0.117:0.117:0.117) (0.237:0.237:0.238))
(IOPATH B X (0.112:0.112:0.112) (0.215:0.215:0.215))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__and2_1")
(INSTANCE _4396_)
(DELAY
(ABSOLUTE
(IOPATH A X (0.150:0.150:0.150) (0.168:0.168:0.168))
(IOPATH B X (0.123:0.123:0.123) (0.157:0.157:0.157))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__or2_1")
(INSTANCE _4397_)
(DELAY
(ABSOLUTE
(IOPATH A X (0.136:0.136:0.136) (0.242:0.242:0.242))
(IOPATH B X (0.152:0.152:0.152) (0.220:0.237:0.254))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__o211a_1")
(INSTANCE _4398_)
(DELAY
(ABSOLUTE
(IOPATH A1 X (0.160:0.160:0.160) (0.225:0.225:0.225))
(IOPATH A2 X (0.123:0.123:0.123) (0.190:0.190:0.190))
(IOPATH B1 X (0.130:0.130:0.131) (0.117:0.117:0.117))
(IOPATH C1 X (0.147:0.147:0.147) (0.117:0.117:0.117))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__nor2_1")
(INSTANCE _4399_)
(DELAY
(ABSOLUTE
(IOPATH A Y (0.271:0.271:0.271) (0.159:0.159:0.159))
(IOPATH B Y (0.217:0.217:0.217) (0.105:0.105:0.105))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__nand2_1")
(INSTANCE _4400_)
(DELAY
(ABSOLUTE
(IOPATH A Y (0.190:0.190:0.190) (0.192:0.192:0.192))
(IOPATH B Y (0.149:0.149:0.149) (0.139:0.139:0.139))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__and2b_1")
(INSTANCE _4401_)
(DELAY
(ABSOLUTE
(IOPATH A_N X (0.223:0.233:0.244) (0.229:0.229:0.229))
(IOPATH B X (0.164:0.165:0.166) (0.197:0.208:0.218))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__a21oi_1")
(INSTANCE _4402_)
(DELAY
(ABSOLUTE
(IOPATH A1 Y (0.172:0.172:0.173) (0.097:0.097:0.098))
(IOPATH A2 Y (0.182:0.183:0.183) (0.095:0.096:0.096))
(IOPATH B1 Y (0.127:0.127:0.127) (0.048:0.048:0.048))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__a31o_1")
(INSTANCE _4403_)
(DELAY
(ABSOLUTE
(IOPATH A1 X (0.163:0.163:0.164) (0.208:0.208:0.208))
(IOPATH A2 X (0.163:0.163:0.164) (0.230:0.230:0.231))
(IOPATH A3 X (0.143:0.143:0.143) (0.210:0.210:0.210))
(IOPATH B1 X (0.113:0.113:0.113) (0.200:0.200:0.200))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__o221a_1")
(INSTANCE _4404_)
(DELAY
(ABSOLUTE
(IOPATH A1 X (0.205:0.205:0.205) (0.268:0.268:0.268))
(IOPATH A2 X (0.183:0.183:0.183) (0.243:0.243:0.244))
(IOPATH B1 X (0.181:0.184:0.186) (0.209:0.216:0.222))
(IOPATH B2 X (0.156:0.157:0.157) (0.191:0.192:0.192))
(IOPATH C1 X (0.190:0.190:0.190) (0.139:0.139:0.139))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__nand2_1")
(INSTANCE _4405_)
(DELAY
(ABSOLUTE
(IOPATH A Y (0.153:0.153:0.153) (0.147:0.147:0.147))
(IOPATH B Y (0.149:0.149:0.149) (0.133:0.133:0.133))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__or2_1")
(INSTANCE _4406_)
(DELAY
(ABSOLUTE
(IOPATH A X (0.149:0.149:0.149) (0.255:0.255:0.255))
(IOPATH B X (0.133:0.133:0.133) (0.228:0.228:0.228))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__nand2_1")
(INSTANCE _4407_)
(DELAY
(ABSOLUTE
(IOPATH A Y (0.154:0.155:0.156) (0.132:0.132:0.133))
(IOPATH B Y (0.136:0.136:0.136) (0.108:0.108:0.108))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__a31o_1")
(INSTANCE _4408_)
(DELAY
(ABSOLUTE
(IOPATH A1 X (0.178:0.178:0.179) (0.219:0.219:0.219))
(IOPATH A2 X (0.178:0.178:0.179) (0.242:0.242:0.242))
(IOPATH A3 X (0.172:0.173:0.173) (0.247:0.256:0.265))
(IOPATH B1 X (0.149:0.149:0.149) (0.202:0.210:0.219))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__xor2_1")
(INSTANCE _4409_)
(DELAY
(ABSOLUTE
(IOPATH A X (0.170:0.172:0.174) (0.083:0.083:0.083))
(IOPATH A X (0.156:0.156:0.156) (0.175:0.177:0.179))
(IOPATH B X (0.129:0.130:0.130) (0.061:0.062:0.062))
(IOPATH B X (0.147:0.147:0.147) (0.136:0.136:0.137))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__or2_1")
(INSTANCE _4410_)
(DELAY
(ABSOLUTE
(IOPATH A X (0.138:0.138:0.138) (0.246:0.246:0.246))
(IOPATH B X (0.166:0.166:0.166) (0.233:0.250:0.267))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__o211a_1")
(INSTANCE _4411_)
(DELAY
(ABSOLUTE
(IOPATH A1 X (0.164:0.164:0.164) (0.229:0.229:0.229))
(IOPATH A2 X (0.140:0.142:0.144) (0.195:0.197:0.199))
(IOPATH B1 X (0.139:0.139:0.140) (0.124:0.124:0.124))
(IOPATH C1 X (0.151:0.151:0.151) (0.120:0.120:0.120))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__a311o_1")
(INSTANCE _4412_)
(DELAY
(ABSOLUTE
(IOPATH A1 X (0.230:0.230:0.231) (0.328:0.329:0.329))
(IOPATH A2 X (0.229:0.230:0.230) (0.368:0.369:0.369))
(IOPATH A3 X (0.223:0.224:0.225) (0.401:0.409:0.418))
(IOPATH B1 X (0.172:0.173:0.173) (0.364:0.366:0.368))
(IOPATH C1 X (0.191:0.191:0.191) (0.310:0.317:0.324))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__xor2_1")
(INSTANCE _4413_)
(DELAY
(ABSOLUTE
(IOPATH A X (0.228:0.228:0.228) (0.109:0.109:0.109))
(IOPATH A X (0.227:0.227:0.227) (0.185:0.185:0.185))
(IOPATH B X (0.201:0.201:0.201) (0.093:0.093:0.093))
(IOPATH B X (0.221:0.221:0.221) (0.159:0.159:0.159))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__a21oi_1")
(INSTANCE _4414_)
(DELAY
(ABSOLUTE
(IOPATH A1 Y (0.168:0.169:0.170) (0.092:0.093:0.093))
(IOPATH A2 Y (0.165:0.167:0.168) (0.081:0.082:0.083))
(IOPATH B1 Y (0.133:0.137:0.140) (0.070:0.071:0.072))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__a31o_1")
(INSTANCE _4415_)
(DELAY
(ABSOLUTE
(IOPATH A1 X (0.159:0.159:0.160) (0.202:0.203:0.204))
(IOPATH A2 X (0.150:0.150:0.151) (0.210:0.212:0.214))
(IOPATH A3 X (0.172:0.173:0.174) (0.215:0.219:0.223))
(IOPATH B1 X (0.118:0.118:0.118) (0.198:0.198:0.198))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__or2_1")
(INSTANCE _4416_)
(DELAY
(ABSOLUTE
(IOPATH A X (0.113:0.113:0.113) (0.225:0.225:0.225))
(IOPATH B X (0.153:0.153:0.153) (0.221:0.238:0.255))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__o211a_1")
(INSTANCE _4417_)
(DELAY
(ABSOLUTE
(IOPATH A1 X (0.161:0.163:0.165) (0.217:0.219:0.222))
(IOPATH A2 X (0.125:0.126:0.127) (0.194:0.194:0.195))
(IOPATH B1 X (0.134:0.134:0.135) (0.120:0.120:0.120))
(IOPATH C1 X (0.151:0.151:0.151) (0.120:0.120:0.120))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__nor2_2")
(INSTANCE _4418_)
(DELAY
(ABSOLUTE
(IOPATH A Y (0.300:0.300:0.300) (0.138:0.138:0.138))
(IOPATH B Y (0.235:0.235:0.235) (0.099:0.099:0.099))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__clkbuf_2")
(INSTANCE _4419_)
(DELAY
(ABSOLUTE
(IOPATH A X (0.222:0.222:0.222) (0.158:0.164:0.171))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__clkbuf_2")
(INSTANCE _4420_)
(DELAY
(ABSOLUTE
(IOPATH A X (0.194:0.194:0.194) (0.169:0.169:0.169))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__nand2_1")
(INSTANCE _4421_)
(DELAY
(ABSOLUTE
(IOPATH A Y (0.144:0.144:0.144) (0.141:0.141:0.141))
(IOPATH B Y (0.134:0.134:0.134) (0.119:0.119:0.119))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__or2_1")
(INSTANCE _4422_)
(DELAY
(ABSOLUTE
(IOPATH A X (0.136:0.136:0.136) (0.244:0.244:0.244))
(IOPATH B X (0.113:0.113:0.113) (0.212:0.212:0.212))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__or2_1")
(INSTANCE _4423_)
(DELAY
(ABSOLUTE
(IOPATH A X (0.216:0.216:0.216) (0.324:0.324:0.324))
(IOPATH B X (0.216:0.216:0.216) (0.312:0.312:0.312))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__clkbuf_2")
(INSTANCE _4424_)
(DELAY
(ABSOLUTE
(IOPATH A X (0.210:0.210:0.210) (0.183:0.183:0.183))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__a21o_1")
(INSTANCE _4425_)
(DELAY
(ABSOLUTE
(IOPATH A1 X (0.127:0.127:0.127) (0.174:0.176:0.178))
(IOPATH A2 X (0.106:0.106:0.106) (0.174:0.174:0.174))
(IOPATH B1 X (0.102:0.102:0.102) (0.169:0.169:0.169))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__clkbuf_2")
(INSTANCE _4426_)
(DELAY
(ABSOLUTE
(IOPATH A X (0.212:0.212:0.212) (0.177:0.177:0.177))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__o211a_1")
(INSTANCE _4427_)
(DELAY
(ABSOLUTE
(IOPATH A1 X (0.181:0.181:0.181) (0.237:0.237:0.237))
(IOPATH A2 X (0.148:0.148:0.148) (0.217:0.217:0.217))
(IOPATH B1 X (0.135:0.135:0.135) (0.114:0.114:0.115))
(IOPATH C1 X (0.149:0.149:0.149) (0.118:0.118:0.118))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__xnor2_1")
(INSTANCE _4428_)
(DELAY
(ABSOLUTE
(IOPATH A Y (0.194:0.194:0.194) (0.178:0.178:0.178))
(IOPATH A Y (0.271:0.271:0.271) (0.140:0.140:0.140))
(IOPATH B Y (0.180:0.180:0.180) (0.159:0.159:0.159))
(IOPATH B Y (0.238:0.238:0.238) (0.111:0.111:0.111))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__nand2_1")
(INSTANCE _4429_)
(DELAY
(ABSOLUTE
(IOPATH A Y (0.087:0.089:0.091) (0.074:0.074:0.075))
(IOPATH B Y (0.083:0.087:0.091) (0.073:0.084:0.095))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__or2_1")
(INSTANCE _4430_)
(DELAY
(ABSOLUTE
(IOPATH A X (0.121:0.121:0.121) (0.242:0.244:0.245))
(IOPATH B X (0.114:0.127:0.140) (0.213:0.217:0.220))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__a21o_1")
(INSTANCE _4431_)
(DELAY
(ABSOLUTE
(IOPATH A1 X (0.103:0.103:0.104) (0.149:0.153:0.157))
(IOPATH A2 X (0.103:0.103:0.104) (0.172:0.172:0.172))
(IOPATH B1 X (0.103:0.103:0.103) (0.170:0.170:0.170))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__o211a_1")
(INSTANCE _4432_)
(DELAY
(ABSOLUTE
(IOPATH A1 X (0.171:0.171:0.171) (0.229:0.229:0.229))
(IOPATH A2 X (0.152:0.152:0.152) (0.220:0.220:0.220))
(IOPATH B1 X (0.139:0.139:0.139) (0.117:0.118:0.118))
(IOPATH C1 X (0.153:0.153:0.153) (0.121:0.121:0.121))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__nand2_1")
(INSTANCE _4433_)
(DELAY
(ABSOLUTE
(IOPATH A Y (0.075:0.075:0.075) (0.074:0.074:0.074))
(IOPATH B Y (0.068:0.068:0.068) (0.066:0.066:0.066))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__o21ai_1")
(INSTANCE _4434_)
(DELAY
(ABSOLUTE
(IOPATH A1 Y (0.272:0.274:0.276) (0.142:0.143:0.143))
(IOPATH A2 Y (0.249:0.253:0.256) (0.122:0.139:0.156))
(IOPATH B1 Y (0.153:0.157:0.161) (0.108:0.108:0.108))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__nand2_1")
(INSTANCE _4435_)
(DELAY
(ABSOLUTE
(IOPATH A Y (0.112:0.112:0.112) (0.113:0.113:0.113))
(IOPATH B Y (0.115:0.115:0.115) (0.109:0.109:0.109))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__or2_1")
(INSTANCE _4436_)
(DELAY
(ABSOLUTE
(IOPATH A X (0.119:0.119:0.119) (0.227:0.227:0.227))
(IOPATH B X (0.112:0.112:0.112) (0.205:0.205:0.205))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__and2_1")
(INSTANCE _4437_)
(DELAY
(ABSOLUTE
(IOPATH A X (0.173:0.174:0.175) (0.174:0.175:0.177))
(IOPATH B X (0.156:0.157:0.157) (0.177:0.177:0.177))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__nand2_1")
(INSTANCE _4438_)
(DELAY
(ABSOLUTE
(IOPATH A Y (0.128:0.132:0.136) (0.131:0.141:0.150))
(IOPATH B Y (0.119:0.119:0.119) (0.106:0.106:0.106))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__or2_1")
(INSTANCE _4439_)
(DELAY
(ABSOLUTE
(IOPATH A X (0.131:0.139:0.146) (0.237:0.240:0.243))
(IOPATH B X (0.107:0.107:0.107) (0.204:0.204:0.205))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__clkbuf_2")
(INSTANCE _4440_)
(DELAY
(ABSOLUTE
(IOPATH A X (0.204:0.204:0.204) (0.178:0.178:0.178))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__a21o_1")
(INSTANCE _4441_)
(DELAY
(ABSOLUTE
(IOPATH A1 X (0.129:0.130:0.130) (0.173:0.179:0.185))
(IOPATH A2 X (0.111:0.111:0.112) (0.179:0.179:0.179))
(IOPATH B1 X (0.109:0.109:0.109) (0.174:0.174:0.174))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__o211a_1")
(INSTANCE _4442_)
(DELAY
(ABSOLUTE
(IOPATH A1 X (0.176:0.176:0.176) (0.230:0.230:0.230))
(IOPATH A2 X (0.143:0.143:0.143) (0.212:0.212:0.212))
(IOPATH B1 X (0.132:0.132:0.133) (0.112:0.113:0.113))
(IOPATH C1 X (0.143:0.143:0.143) (0.113:0.113:0.113))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__nor2_1")
(INSTANCE _4443_)
(DELAY
(ABSOLUTE
(IOPATH A Y (0.114:0.114:0.114) (0.069:0.069:0.069))
(IOPATH B Y (0.090:0.090:0.090) (0.053:0.053:0.053))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__and2_1")
(INSTANCE _4444_)
(DELAY
(ABSOLUTE
(IOPATH A X (0.167:0.167:0.167) (0.159:0.159:0.159))
(IOPATH B X (0.156:0.156:0.156) (0.168:0.168:0.168))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__nor2_1")
(INSTANCE _4445_)
(DELAY
(ABSOLUTE
(IOPATH A Y (0.200:0.202:0.204) (0.080:0.080:0.080))
(IOPATH B Y (0.189:0.189:0.189) (0.072:0.072:0.073))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__a21oi_1")
(INSTANCE _4446_)
(DELAY
(ABSOLUTE
(IOPATH A1 Y (0.144:0.145:0.146) (0.076:0.076:0.077))
(IOPATH A2 Y (0.163:0.169:0.176) (0.082:0.082:0.083))
(IOPATH B1 Y (0.126:0.126:0.127) (0.070:0.070:0.070))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__a31o_1")
(INSTANCE _4447_)
(DELAY
(ABSOLUTE
(IOPATH A1 X (0.142:0.143:0.144) (0.183:0.184:0.186))
(IOPATH A2 X (0.151:0.152:0.152) (0.215:0.221:0.228))
(IOPATH A3 X (0.174:0.174:0.174) (0.214:0.215:0.216))
(IOPATH B1 X (0.117:0.117:0.117) (0.198:0.198:0.198))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__o221a_1")
(INSTANCE _4448_)
(DELAY
(ABSOLUTE
(IOPATH A1 X (0.198:0.198:0.198) (0.261:0.261:0.261))
(IOPATH A2 X (0.180:0.180:0.180) (0.241:0.241:0.241))
(IOPATH B1 X (0.178:0.180:0.183) (0.213:0.216:0.219))
(IOPATH B2 X (0.155:0.155:0.156) (0.190:0.190:0.191))
(IOPATH C1 X (0.188:0.188:0.188) (0.138:0.138:0.138))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__and2_1")
(INSTANCE _4449_)
(DELAY
(ABSOLUTE
(IOPATH A X (0.169:0.169:0.169) (0.162:0.162:0.162))
(IOPATH B X (0.167:0.167:0.167) (0.178:0.178:0.178))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__nor2_1")
(INSTANCE _4450_)
(DELAY
(ABSOLUTE
(IOPATH A Y (0.111:0.111:0.111) (0.067:0.067:0.067))
(IOPATH B Y (0.094:0.094:0.094) (0.055:0.055:0.055))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__nor2_1")
(INSTANCE _4451_)
(DELAY
(ABSOLUTE
(IOPATH A Y (0.189:0.190:0.190) (0.075:0.075:0.075))
(IOPATH B Y (0.173:0.174:0.174) (0.071:0.071:0.071))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__or2_1")
(INSTANCE _4452_)
(DELAY
(ABSOLUTE
(IOPATH A X (0.127:0.127:0.127) (0.234:0.234:0.234))
(IOPATH B X (0.112:0.112:0.112) (0.206:0.206:0.206))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__a31o_1")
(INSTANCE _4453_)
(DELAY
(ABSOLUTE
(IOPATH A1 X (0.154:0.154:0.154) (0.180:0.180:0.180))
(IOPATH A2 X (0.155:0.155:0.155) (0.206:0.206:0.206))
(IOPATH A3 X (0.136:0.136:0.136) (0.210:0.210:0.210))
(IOPATH B1 X (0.098:0.098:0.098) (0.178:0.178:0.178))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__a31o_1")
(INSTANCE _4454_)
(DELAY
(ABSOLUTE
(IOPATH A1 X (0.234:0.243:0.251) (0.233:0.237:0.241))
(IOPATH A2 X (0.217:0.217:0.217) (0.250:0.250:0.251))
(IOPATH A3 X (0.243:0.243:0.243) (0.260:0.260:0.261))
(IOPATH B1 X (0.156:0.157:0.158) (0.221:0.222:0.222))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__xor2_1")
(INSTANCE _4455_)
(DELAY
(ABSOLUTE
(IOPATH A X (0.174:0.174:0.175) (0.106:0.106:0.106))
(IOPATH A X (0.189:0.189:0.189) (0.165:0.166:0.166))
(IOPATH B X (0.164:0.165:0.165) (0.091:0.092:0.092))
(IOPATH B X (0.182:0.183:0.183) (0.156:0.156:0.157))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__or2_1")
(INSTANCE _4456_)
(DELAY
(ABSOLUTE
(IOPATH A X (0.140:0.140:0.140) (0.246:0.246:0.246))
(IOPATH B X (0.115:0.115:0.115) (0.217:0.217:0.217))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__o211a_1")
(INSTANCE _4457_)
(DELAY
(ABSOLUTE
(IOPATH A1 X (0.165:0.165:0.165) (0.232:0.232:0.232))
(IOPATH A2 X (0.142:0.143:0.145) (0.193:0.198:0.202))
(IOPATH B1 X (0.130:0.130:0.130) (0.117:0.117:0.117))
(IOPATH C1 X (0.142:0.142:0.142) (0.113:0.113:0.113))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__a21oi_1")
(INSTANCE _4458_)
(DELAY
(ABSOLUTE
(IOPATH A1 Y (0.240:0.241:0.241) (0.145:0.145:0.145))
(IOPATH A2 Y (0.268:0.268:0.269) (0.128:0.128:0.129))
(IOPATH B1 Y (0.231:0.231:0.232) (0.074:0.075:0.075))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__nor2_1")
(INSTANCE _4459_)
(DELAY
(ABSOLUTE
(IOPATH A Y (0.169:0.169:0.169) (0.085:0.085:0.085))
(IOPATH B Y (0.151:0.151:0.151) (0.075:0.075:0.075))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__nand2_1")
(INSTANCE _4460_)
(DELAY
(ABSOLUTE
(IOPATH A Y (0.103:0.103:0.103) (0.103:0.103:0.103))
(IOPATH B Y (0.106:0.106:0.106) (0.099:0.099:0.099))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__and2b_1")
(INSTANCE _4461_)
(DELAY
(ABSOLUTE
(IOPATH A_N X (0.234:0.235:0.236) (0.231:0.231:0.231))
(IOPATH B X (0.175:0.176:0.176) (0.195:0.197:0.198))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__o21ai_1")
(INSTANCE _4462_)
(DELAY
(ABSOLUTE
(IOPATH A1 Y (0.162:0.171:0.180) (0.123:0.125:0.127))
(IOPATH A2 Y (0.149:0.150:0.150) (0.079:0.079:0.079))
(IOPATH B1 Y (0.102:0.109:0.116) (0.128:0.128:0.128))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__a21o_1")
(INSTANCE _4463_)
(DELAY
(ABSOLUTE
(IOPATH A1 X (0.165:0.167:0.168) (0.169:0.179:0.189))
(IOPATH A2 X (0.138:0.138:0.138) (0.191:0.191:0.191))
(IOPATH B1 X (0.109:0.112:0.116) (0.162:0.170:0.178))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__o211a_1")
(INSTANCE _4464_)
(DELAY
(ABSOLUTE
(IOPATH A1 X (0.167:0.167:0.167) (0.224:0.224:0.224))
(IOPATH A2 X (0.142:0.142:0.142) (0.211:0.211:0.211))
(IOPATH B1 X (0.134:0.135:0.135) (0.114:0.114:0.115))
(IOPATH C1 X (0.142:0.142:0.142) (0.113:0.113:0.113))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__xor2_1")
(INSTANCE _4465_)
(DELAY
(ABSOLUTE
(IOPATH A X (0.267:0.267:0.267) (0.136:0.136:0.136))
(IOPATH A X (0.264:0.264:0.264) (0.205:0.205:0.205))
(IOPATH B X (0.242:0.242:0.242) (0.128:0.128:0.128))
(IOPATH B X (0.261:0.261:0.261) (0.180:0.180:0.180))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__a21oi_1")
(INSTANCE _4466_)
(DELAY
(ABSOLUTE
(IOPATH A1 Y (0.218:0.227:0.237) (0.145:0.147:0.150))
(IOPATH A2 Y (0.240:0.242:0.243) (0.104:0.105:0.106))
(IOPATH B1 Y (0.207:0.208:0.209) (0.091:0.091:0.091))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__nand2_1")
(INSTANCE _4467_)
(DELAY
(ABSOLUTE
(IOPATH A Y (0.070:0.077:0.085) (0.091:0.092:0.093))
(IOPATH B Y (0.081:0.090:0.099) (0.090:0.092:0.093))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__or2_1")
(INSTANCE _4468_)
(DELAY
(ABSOLUTE
(IOPATH A X (0.139:0.141:0.142) (0.225:0.231:0.237))
(IOPATH B X (0.130:0.132:0.134) (0.208:0.216:0.224))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__a21o_1")
(INSTANCE _4469_)
(DELAY
(ABSOLUTE
(IOPATH A1 X (0.100:0.102:0.103) (0.154:0.157:0.160))
(IOPATH A2 X (0.102:0.102:0.102) (0.170:0.170:0.170))
(IOPATH B1 X (0.100:0.100:0.100) (0.166:0.166:0.166))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__clkbuf_2")
(INSTANCE _4470_)
(DELAY
(ABSOLUTE
(IOPATH A X (0.237:0.237:0.237) (0.212:0.212:0.212))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__clkbuf_2")
(INSTANCE _4471_)
(DELAY
(ABSOLUTE
(IOPATH A X (0.194:0.194:0.194) (0.175:0.175:0.175))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__o211a_1")
(INSTANCE _4472_)
(DELAY
(ABSOLUTE
(IOPATH A1 X (0.184:0.184:0.184) (0.239:0.239:0.239))
(IOPATH A2 X (0.147:0.147:0.147) (0.215:0.215:0.215))
(IOPATH B1 X (0.133:0.133:0.133) (0.113:0.113:0.113))
(IOPATH C1 X (0.151:0.151:0.151) (0.121:0.121:0.121))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__nand2_1")
(INSTANCE _4473_)
(DELAY
(ABSOLUTE
(IOPATH A Y (0.135:0.135:0.135) (0.137:0.137:0.137))
(IOPATH B Y (0.137:0.137:0.137) (0.130:0.130:0.130))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__or2_1")
(INSTANCE _4474_)
(DELAY
(ABSOLUTE
(IOPATH A X (0.191:0.191:0.191) (0.285:0.285:0.285))
(IOPATH B X (0.182:0.182:0.182) (0.262:0.262:0.262))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__a22oi_1")
(INSTANCE _4475_)
(DELAY
(ABSOLUTE
(IOPATH A1 Y (0.246:0.246:0.246) (0.143:0.143:0.143))
(IOPATH A2 Y (0.252:0.252:0.252) (0.132:0.132:0.132))
(IOPATH B1 Y (0.204:0.209:0.215) (0.132:0.134:0.135))
(IOPATH B2 Y (0.222:0.229:0.236) (0.125:0.127:0.129))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__a21oi_1")
(INSTANCE _4476_)
(DELAY
(ABSOLUTE
(IOPATH A1 Y (0.143:0.144:0.146) (0.078:0.079:0.079))
(IOPATH A2 Y (0.155:0.155:0.155) (0.081:0.081:0.081))
(IOPATH B1 Y (0.129:0.133:0.137) (0.064:0.065:0.066))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__a31o_1")
(INSTANCE _4477_)
(DELAY
(ABSOLUTE
(IOPATH A1 X (0.149:0.150:0.150) (0.191:0.193:0.195))
(IOPATH A2 X (0.155:0.155:0.155) (0.215:0.215:0.215))
(IOPATH A3 X (0.173:0.175:0.176) (0.227:0.231:0.236))
(IOPATH B1 X (0.117:0.117:0.117) (0.197:0.197:0.197))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__or2_1")
(INSTANCE _4478_)
(DELAY
(ABSOLUTE
(IOPATH A X (0.129:0.129:0.129) (0.236:0.236:0.236))
(IOPATH B X (0.111:0.111:0.111) (0.212:0.212:0.212))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__o211a_1")
(INSTANCE _4479_)
(DELAY
(ABSOLUTE
(IOPATH A1 X (0.151:0.153:0.155) (0.211:0.214:0.217))
(IOPATH A2 X (0.120:0.121:0.122) (0.189:0.190:0.190))
(IOPATH B1 X (0.128:0.128:0.128) (0.115:0.115:0.115))
(IOPATH C1 X (0.146:0.146:0.146) (0.117:0.117:0.117))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__and3_1")
(INSTANCE _4480_)
(DELAY
(ABSOLUTE
(IOPATH A X (0.209:0.210:0.212) (0.158:0.165:0.172))
(IOPATH B X (0.183:0.183:0.184) (0.194:0.196:0.198))
(IOPATH C X (0.184:0.184:0.184) (0.203:0.203:0.203))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__and3_1")
(INSTANCE _4481_)
(DELAY
(ABSOLUTE
(IOPATH A X (0.181:0.182:0.182) (0.142:0.142:0.143))
(IOPATH B X (0.160:0.160:0.160) (0.166:0.166:0.166))
(IOPATH C X (0.154:0.155:0.155) (0.172:0.173:0.174))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__nand2_1")
(INSTANCE _4482_)
(DELAY
(ABSOLUTE
(IOPATH A Y (0.102:0.102:0.102) (0.105:0.105:0.105))
(IOPATH B Y (0.107:0.107:0.107) (0.103:0.103:0.103))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__a21oi_1")
(INSTANCE _4483_)
(DELAY
(ABSOLUTE
(IOPATH A1 Y (0.135:0.137:0.138) (0.068:0.068:0.069))
(IOPATH A2 Y (0.153:0.154:0.155) (0.074:0.074:0.075))
(IOPATH B1 Y (0.118:0.119:0.120) (0.061:0.061:0.061))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__a22o_1")
(INSTANCE _4484_)
(DELAY
(ABSOLUTE
(IOPATH A1 X (0.181:0.181:0.181) (0.230:0.230:0.230))
(IOPATH A2 X (0.174:0.174:0.174) (0.247:0.247:0.247))
(IOPATH B1 X (0.163:0.163:0.163) (0.198:0.198:0.198))
(IOPATH B2 X (0.170:0.170:0.170) (0.223:0.223:0.223))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__a22o_1")
(INSTANCE _4485_)
(DELAY
(ABSOLUTE
(IOPATH A1 X (0.139:0.142:0.145) (0.199:0.200:0.201))
(IOPATH A2 X (0.135:0.135:0.136) (0.219:0.219:0.220))
(IOPATH B1 X (0.117:0.117:0.118) (0.172:0.172:0.173))
(IOPATH B2 X (0.138:0.138:0.138) (0.205:0.205:0.205))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__a21o_1")
(INSTANCE _4486_)
(DELAY
(ABSOLUTE
(IOPATH A1 X (0.169:0.169:0.170) (0.194:0.194:0.195))
(IOPATH A2 X (0.149:0.149:0.149) (0.199:0.199:0.200))
(IOPATH B1 X (0.117:0.117:0.118) (0.178:0.178:0.178))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__nand2_1")
(INSTANCE _4487_)
(DELAY
(ABSOLUTE
(IOPATH A Y (0.174:0.174:0.174) (0.171:0.171:0.171))
(IOPATH B Y (0.182:0.182:0.182) (0.147:0.147:0.147))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__or2_1")
(INSTANCE _4488_)
(DELAY
(ABSOLUTE
(IOPATH A X (0.131:0.131:0.131) (0.237:0.237:0.237))
(IOPATH B X (0.111:0.111:0.111) (0.220:0.220:0.220))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__and2_1")
(INSTANCE _4489_)
(DELAY
(ABSOLUTE
(IOPATH A X (0.192:0.193:0.193) (0.198:0.201:0.204))
(IOPATH B X (0.157:0.157:0.157) (0.178:0.178:0.178))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__nand2_1")
(INSTANCE _4490_)
(DELAY
(ABSOLUTE
(IOPATH A Y (0.180:0.180:0.181) (0.155:0.155:0.156))
(IOPATH B Y (0.186:0.186:0.187) (0.161:0.161:0.161))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__or2_1")
(INSTANCE _4491_)
(DELAY
(ABSOLUTE
(IOPATH A X (0.102:0.102:0.102) (0.219:0.220:0.220))
(IOPATH B X (0.102:0.102:0.103) (0.200:0.200:0.200))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__and2_1")
(INSTANCE _4492_)
(DELAY
(ABSOLUTE
(IOPATH A X (0.165:0.166:0.166) (0.187:0.187:0.187))
(IOPATH B X (0.121:0.121:0.121) (0.155:0.155:0.155))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__or2_1")
(INSTANCE _4493_)
(DELAY
(ABSOLUTE
(IOPATH A X (0.118:0.118:0.118) (0.228:0.228:0.228))
(IOPATH B X (0.146:0.146:0.146) (0.214:0.220:0.226))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__o211a_1")
(INSTANCE _4494_)
(DELAY
(ABSOLUTE
(IOPATH A1 X (0.165:0.165:0.165) (0.232:0.232:0.232))
(IOPATH A2 X (0.124:0.124:0.125) (0.191:0.191:0.191))
(IOPATH B1 X (0.130:0.130:0.131) (0.117:0.117:0.117))
(IOPATH C1 X (0.146:0.146:0.146) (0.117:0.117:0.117))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__nor2_1")
(INSTANCE _4495_)
(DELAY
(ABSOLUTE
(IOPATH A Y (0.219:0.219:0.219) (0.109:0.109:0.109))
(IOPATH B Y (0.193:0.193:0.193) (0.087:0.087:0.087))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__nand2_1")
(INSTANCE _4496_)
(DELAY
(ABSOLUTE
(IOPATH A Y (0.141:0.141:0.141) (0.139:0.139:0.139))
(IOPATH B Y (0.134:0.134:0.134) (0.122:0.122:0.122))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__and2b_1")
(INSTANCE _4497_)
(DELAY
(ABSOLUTE
(IOPATH A_N X (0.226:0.229:0.233) (0.233:0.233:0.233))
(IOPATH B X (0.173:0.173:0.174) (0.200:0.203:0.205))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__a21oi_1")
(INSTANCE _4498_)
(DELAY
(ABSOLUTE
(IOPATH A1 Y (0.175:0.175:0.175) (0.088:0.088:0.088))
(IOPATH A2 Y (0.217:0.217:0.217) (0.115:0.115:0.116))
(IOPATH B1 Y (0.152:0.152:0.152) (0.058:0.058:0.058))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__a31o_1")
(INSTANCE _4499_)
(DELAY
(ABSOLUTE
(IOPATH A1 X (0.144:0.144:0.144) (0.189:0.189:0.189))
(IOPATH A2 X (0.173:0.174:0.174) (0.244:0.244:0.244))
(IOPATH A3 X (0.147:0.147:0.147) (0.213:0.214:0.214))
(IOPATH B1 X (0.114:0.114:0.115) (0.195:0.195:0.195))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__clkbuf_4")
(INSTANCE _4500_)
(DELAY
(ABSOLUTE
(IOPATH A X (0.291:0.291:0.291) (0.254:0.254:0.254))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__o221a_1")
(INSTANCE _4501_)
(DELAY
(ABSOLUTE
(IOPATH A1 X (0.245:0.245:0.245) (0.308:0.308:0.308))
(IOPATH A2 X (0.186:0.186:0.186) (0.244:0.244:0.244))
(IOPATH B1 X (0.193:0.196:0.198) (0.214:0.219:0.225))
(IOPATH B2 X (0.160:0.161:0.162) (0.193:0.194:0.194))
(IOPATH C1 X (0.194:0.194:0.194) (0.142:0.142:0.142))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__nand2_1")
(INSTANCE _4502_)
(DELAY
(ABSOLUTE
(IOPATH A Y (0.168:0.168:0.168) (0.162:0.162:0.162))
(IOPATH B Y (0.168:0.168:0.168) (0.153:0.153:0.153))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__or2_1")
(INSTANCE _4503_)
(DELAY
(ABSOLUTE
(IOPATH A X (0.154:0.154:0.154) (0.260:0.260:0.260))
(IOPATH B X (0.144:0.144:0.144) (0.236:0.236:0.236))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__nand2_1")
(INSTANCE _4504_)
(DELAY
(ABSOLUTE
(IOPATH A Y (0.158:0.159:0.160) (0.135:0.135:0.136))
(IOPATH B Y (0.134:0.134:0.134) (0.107:0.107:0.107))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__a31o_1")
(INSTANCE _4505_)
(DELAY
(ABSOLUTE
(IOPATH A1 X (0.176:0.177:0.177) (0.216:0.219:0.221))
(IOPATH A2 X (0.190:0.190:0.191) (0.256:0.256:0.257))
(IOPATH A3 X (0.173:0.173:0.174) (0.245:0.247:0.250))
(IOPATH B1 X (0.147:0.147:0.147) (0.197:0.200:0.203))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__xor2_1")
(INSTANCE _4506_)
(DELAY
(ABSOLUTE
(IOPATH A X (0.174:0.177:0.179) (0.084:0.085:0.085))
(IOPATH A X (0.161:0.161:0.161) (0.176:0.179:0.181))
(IOPATH B X (0.135:0.135:0.136) (0.064:0.064:0.065))
(IOPATH B X (0.152:0.153:0.153) (0.138:0.139:0.139))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__or2_1")
(INSTANCE _4507_)
(DELAY
(ABSOLUTE
(IOPATH A X (0.122:0.122:0.122) (0.231:0.231:0.231))
(IOPATH B X (0.143:0.143:0.143) (0.211:0.217:0.223))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__o211a_1")
(INSTANCE _4508_)
(DELAY
(ABSOLUTE
(IOPATH A1 X (0.165:0.165:0.165) (0.232:0.232:0.232))
(IOPATH A2 X (0.137:0.139:0.141) (0.191:0.194:0.196))
(IOPATH B1 X (0.129:0.130:0.130) (0.116:0.116:0.116))
(IOPATH C1 X (0.146:0.146:0.146) (0.117:0.117:0.117))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__a311o_1")
(INSTANCE _4509_)
(DELAY
(ABSOLUTE
(IOPATH A1 X (0.212:0.212:0.213) (0.314:0.316:0.319))
(IOPATH A2 X (0.227:0.227:0.228) (0.370:0.370:0.370))
(IOPATH A3 X (0.209:0.209:0.209) (0.387:0.389:0.391))
(IOPATH B1 X (0.159:0.159:0.160) (0.353:0.355:0.357))
(IOPATH C1 X (0.174:0.174:0.174) (0.293:0.296:0.298))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__xor2_1")
(INSTANCE _4510_)
(DELAY
(ABSOLUTE
(IOPATH A X (0.209:0.209:0.209) (0.104:0.104:0.104))
(IOPATH A X (0.207:0.207:0.207) (0.181:0.181:0.181))
(IOPATH B X (0.180:0.180:0.180) (0.084:0.084:0.084))
(IOPATH B X (0.200:0.200:0.200) (0.152:0.152:0.152))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__a21oi_1")
(INSTANCE _4511_)
(DELAY
(ABSOLUTE
(IOPATH A1 Y (0.167:0.168:0.169) (0.092:0.093:0.093))
(IOPATH A2 Y (0.156:0.157:0.158) (0.074:0.075:0.076))
(IOPATH B1 Y (0.124:0.128:0.131) (0.064:0.065:0.066))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__a31o_1")
(INSTANCE _4512_)
(DELAY
(ABSOLUTE
(IOPATH A1 X (0.162:0.163:0.163) (0.207:0.208:0.209))
(IOPATH A2 X (0.145:0.146:0.147) (0.207:0.209:0.210))
(IOPATH A3 X (0.166:0.167:0.169) (0.213:0.216:0.220))
(IOPATH B1 X (0.119:0.119:0.119) (0.199:0.199:0.199))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__or2_1")
(INSTANCE _4513_)
(DELAY
(ABSOLUTE
(IOPATH A X (0.117:0.117:0.117) (0.226:0.226:0.226))
(IOPATH B X (0.141:0.141:0.141) (0.209:0.215:0.221))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__o211a_1")
(INSTANCE _4514_)
(DELAY
(ABSOLUTE
(IOPATH A1 X (0.158:0.161:0.163) (0.215:0.218:0.222))
(IOPATH A2 X (0.125:0.126:0.126) (0.194:0.194:0.195))
(IOPATH B1 X (0.132:0.133:0.133) (0.119:0.119:0.119))
(IOPATH C1 X (0.150:0.150:0.150) (0.120:0.120:0.120))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__nor2_2")
(INSTANCE _4515_)
(DELAY
(ABSOLUTE
(IOPATH A Y (0.262:0.262:0.262) (0.123:0.123:0.123))
(IOPATH B Y (0.198:0.198:0.198) (0.087:0.087:0.087))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__clkbuf_2")
(INSTANCE _4516_)
(DELAY
(ABSOLUTE
(IOPATH A X (0.228:0.228:0.229) (0.170:0.176:0.182))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__clkbuf_2")
(INSTANCE _4517_)
(DELAY
(ABSOLUTE
(IOPATH A X (0.213:0.213:0.213) (0.186:0.186:0.186))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__nand2_1")
(INSTANCE _4518_)
(DELAY
(ABSOLUTE
(IOPATH A Y (0.144:0.144:0.144) (0.137:0.137:0.137))
(IOPATH B Y (0.144:0.144:0.144) (0.128:0.128:0.128))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__or2_1")
(INSTANCE _4519_)
(DELAY
(ABSOLUTE
(IOPATH A X (0.119:0.119:0.119) (0.227:0.227:0.227))
(IOPATH B X (0.105:0.105:0.105) (0.202:0.202:0.202))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__or2_1")
(INSTANCE _4520_)
(DELAY
(ABSOLUTE
(IOPATH A X (0.222:0.222:0.222) (0.328:0.328:0.328))
(IOPATH B X (0.223:0.223:0.223) (0.316:0.316:0.316))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__clkbuf_2")
(INSTANCE _4521_)
(DELAY
(ABSOLUTE
(IOPATH A X (0.223:0.223:0.223) (0.193:0.193:0.193))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__a21o_1")
(INSTANCE _4522_)
(DELAY
(ABSOLUTE
(IOPATH A1 X (0.134:0.135:0.135) (0.183:0.183:0.184))
(IOPATH A2 X (0.107:0.107:0.107) (0.176:0.176:0.176))
(IOPATH B1 X (0.109:0.109:0.109) (0.178:0.178:0.178))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__clkbuf_2")
(INSTANCE _4523_)
(DELAY
(ABSOLUTE
(IOPATH A X (0.187:0.187:0.187) (0.170:0.170:0.170))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__o211a_1")
(INSTANCE _4524_)
(DELAY
(ABSOLUTE
(IOPATH A1 X (0.172:0.172:0.172) (0.229:0.229:0.229))
(IOPATH A2 X (0.148:0.148:0.148) (0.218:0.218:0.218))
(IOPATH B1 X (0.133:0.133:0.134) (0.113:0.113:0.114))
(IOPATH C1 X (0.147:0.147:0.147) (0.117:0.117:0.117))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__xnor2_1")
(INSTANCE _4525_)
(DELAY
(ABSOLUTE
(IOPATH A Y (0.191:0.191:0.191) (0.183:0.183:0.183))
(IOPATH A Y (0.305:0.305:0.305) (0.125:0.125:0.125))
(IOPATH B Y (0.203:0.203:0.203) (0.176:0.176:0.176))
(IOPATH B Y (0.283:0.283:0.283) (0.128:0.128:0.128))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__nand2_1")
(INSTANCE _4526_)
(DELAY
(ABSOLUTE
(IOPATH A Y (0.098:0.098:0.099) (0.082:0.083:0.083))
(IOPATH B Y (0.098:0.101:0.103) (0.084:0.097:0.110))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__or2_1")
(INSTANCE _4527_)
(DELAY
(ABSOLUTE
(IOPATH A X (0.125:0.125:0.126) (0.247:0.248:0.248))
(IOPATH B X (0.122:0.136:0.150) (0.223:0.225:0.227))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__a21o_1")
(INSTANCE _4528_)
(DELAY
(ABSOLUTE
(IOPATH A1 X (0.107:0.107:0.107) (0.154:0.154:0.154))
(IOPATH A2 X (0.111:0.112:0.112) (0.179:0.179:0.179))
(IOPATH B1 X (0.112:0.112:0.112) (0.180:0.180:0.180))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__o211a_1")
(INSTANCE _4529_)
(DELAY
(ABSOLUTE
(IOPATH A1 X (0.179:0.179:0.179) (0.233:0.233:0.233))
(IOPATH A2 X (0.149:0.149:0.149) (0.218:0.218:0.218))
(IOPATH B1 X (0.135:0.136:0.136) (0.113:0.114:0.114))
(IOPATH C1 X (0.148:0.148:0.148) (0.116:0.116:0.116))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__clkbuf_2")
(INSTANCE _4530_)
(DELAY
(ABSOLUTE
(IOPATH A X (0.221:0.221:0.221) (0.195:0.195:0.195))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__nand2_1")
(INSTANCE _4531_)
(DELAY
(ABSOLUTE
(IOPATH A Y (0.061:0.061:0.061) (0.062:0.062:0.062))
(IOPATH B Y (0.067:0.067:0.067) (0.065:0.065:0.065))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__o21ai_1")
(INSTANCE _4532_)
(DELAY
(ABSOLUTE
(IOPATH A1 Y (0.280:0.281:0.282) (0.148:0.148:0.149))
(IOPATH A2 Y (0.262:0.264:0.266) (0.132:0.151:0.170))
(IOPATH B1 Y (0.156:0.157:0.159) (0.108:0.109:0.109))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__nand2_1")
(INSTANCE _4533_)
(DELAY
(ABSOLUTE
(IOPATH A Y (0.124:0.124:0.124) (0.123:0.123:0.123))
(IOPATH B Y (0.144:0.144:0.144) (0.117:0.117:0.117))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__or2_1")
(INSTANCE _4534_)
(DELAY
(ABSOLUTE
(IOPATH A X (0.132:0.132:0.132) (0.240:0.240:0.240))
(IOPATH B X (0.124:0.124:0.124) (0.234:0.234:0.234))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__and2_1")
(INSTANCE _4535_)
(DELAY
(ABSOLUTE
(IOPATH A X (0.191:0.192:0.193) (0.186:0.188:0.189))
(IOPATH B X (0.174:0.174:0.174) (0.189:0.189:0.189))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__nand2_1")
(INSTANCE _4536_)
(DELAY
(ABSOLUTE
(IOPATH A Y (0.123:0.128:0.133) (0.126:0.135:0.145))
(IOPATH B Y (0.114:0.115:0.115) (0.106:0.106:0.106))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__or2_1")
(INSTANCE _4537_)
(DELAY
(ABSOLUTE
(IOPATH A X (0.127:0.134:0.142) (0.233:0.237:0.242))
(IOPATH B X (0.107:0.107:0.107) (0.201:0.202:0.202))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__and2_1")
(INSTANCE _4538_)
(DELAY
(ABSOLUTE
(IOPATH A X (0.142:0.142:0.143) (0.153:0.161:0.168))
(IOPATH B X (0.124:0.125:0.125) (0.157:0.157:0.157))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__or2_1")
(INSTANCE _4539_)
(DELAY
(ABSOLUTE
(IOPATH A X (0.123:0.123:0.123) (0.232:0.232:0.232))
(IOPATH B X (0.120:0.120:0.120) (0.223:0.223:0.223))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__o211a_1")
(INSTANCE _4540_)
(DELAY
(ABSOLUTE
(IOPATH A1 X (0.176:0.176:0.176) (0.242:0.242:0.242))
(IOPATH A2 X (0.135:0.135:0.135) (0.201:0.201:0.201))
(IOPATH B1 X (0.139:0.140:0.140) (0.124:0.124:0.124))
(IOPATH C1 X (0.153:0.153:0.153) (0.122:0.122:0.122))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__nor2_1")
(INSTANCE _4541_)
(DELAY
(ABSOLUTE
(IOPATH A Y (0.138:0.138:0.138) (0.080:0.080:0.080))
(IOPATH B Y (0.115:0.115:0.115) (0.065:0.065:0.065))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__and2_1")
(INSTANCE _4542_)
(DELAY
(ABSOLUTE
(IOPATH A X (0.181:0.181:0.181) (0.169:0.169:0.169))
(IOPATH B X (0.171:0.171:0.171) (0.178:0.178:0.178))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__nor2_1")
(INSTANCE _4543_)
(DELAY
(ABSOLUTE
(IOPATH A Y (0.233:0.235:0.237) (0.099:0.099:0.099))
(IOPATH B Y (0.220:0.221:0.221) (0.086:0.086:0.086))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__a21oi_1")
(INSTANCE _4544_)
(DELAY
(ABSOLUTE
(IOPATH A1 Y (0.146:0.147:0.148) (0.079:0.080:0.081))
(IOPATH A2 Y (0.158:0.165:0.171) (0.077:0.078:0.078))
(IOPATH B1 Y (0.127:0.128:0.129) (0.073:0.073:0.073))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__a31o_1")
(INSTANCE _4545_)
(DELAY
(ABSOLUTE
(IOPATH A1 X (0.134:0.134:0.134) (0.175:0.175:0.175))
(IOPATH A2 X (0.153:0.154:0.154) (0.217:0.223:0.230))
(IOPATH A3 X (0.187:0.188:0.188) (0.223:0.224:0.225))
(IOPATH B1 X (0.127:0.127:0.127) (0.209:0.209:0.209))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__o221a_1")
(INSTANCE _4546_)
(DELAY
(ABSOLUTE
(IOPATH A1 X (0.206:0.206:0.206) (0.266:0.266:0.266))
(IOPATH A2 X (0.197:0.197:0.197) (0.256:0.256:0.256))
(IOPATH B1 X (0.171:0.171:0.171) (0.217:0.217:0.217))
(IOPATH B2 X (0.167:0.167:0.168) (0.198:0.199:0.199))
(IOPATH C1 X (0.199:0.199:0.199) (0.144:0.144:0.144))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__and2_1")
(INSTANCE _4547_)
(DELAY
(ABSOLUTE
(IOPATH A X (0.180:0.180:0.180) (0.166:0.166:0.166))
(IOPATH B X (0.179:0.179:0.179) (0.184:0.184:0.184))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__nor2_1")
(INSTANCE _4548_)
(DELAY
(ABSOLUTE
(IOPATH A Y (0.110:0.110:0.110) (0.066:0.066:0.066))
(IOPATH B Y (0.094:0.094:0.094) (0.056:0.056:0.056))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__nor2_1")
(INSTANCE _4549_)
(DELAY
(ABSOLUTE
(IOPATH A Y (0.244:0.244:0.244) (0.094:0.094:0.094))
(IOPATH B Y (0.224:0.224:0.225) (0.085:0.085:0.085))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__or2_1")
(INSTANCE _4550_)
(DELAY
(ABSOLUTE
(IOPATH A X (0.130:0.130:0.130) (0.237:0.237:0.237))
(IOPATH B X (0.118:0.118:0.118) (0.211:0.211:0.211))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__a31o_1")
(INSTANCE _4551_)
(DELAY
(ABSOLUTE
(IOPATH A1 X (0.161:0.161:0.161) (0.185:0.185:0.185))
(IOPATH A2 X (0.157:0.157:0.157) (0.209:0.209:0.209))
(IOPATH A3 X (0.142:0.142:0.142) (0.216:0.216:0.216))
(IOPATH B1 X (0.108:0.108:0.108) (0.185:0.186:0.186))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__a31o_1")
(INSTANCE _4552_)
(DELAY
(ABSOLUTE
(IOPATH A1 X (0.267:0.276:0.284) (0.254:0.258:0.263))
(IOPATH A2 X (0.254:0.254:0.254) (0.271:0.271:0.272))
(IOPATH A3 X (0.283:0.283:0.283) (0.282:0.283:0.284))
(IOPATH B1 X (0.190:0.191:0.191) (0.240:0.240:0.241))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__xor2_1")
(INSTANCE _4553_)
(DELAY
(ABSOLUTE
(IOPATH A X (0.196:0.197:0.198) (0.129:0.129:0.129))
(IOPATH A X (0.216:0.216:0.216) (0.177:0.178:0.179))
(IOPATH B X (0.188:0.188:0.189) (0.109:0.109:0.109))
(IOPATH B X (0.204:0.204:0.204) (0.169:0.170:0.170))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__or2_1")
(INSTANCE _4554_)
(DELAY
(ABSOLUTE
(IOPATH A X (0.124:0.124:0.124) (0.231:0.231:0.231))
(IOPATH B X (0.117:0.117:0.117) (0.219:0.219:0.219))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__o211a_1")
(INSTANCE _4555_)
(DELAY
(ABSOLUTE
(IOPATH A1 X (0.168:0.168:0.168) (0.235:0.235:0.235))
(IOPATH A2 X (0.148:0.149:0.151) (0.197:0.204:0.211))
(IOPATH B1 X (0.131:0.131:0.131) (0.117:0.117:0.117))
(IOPATH C1 X (0.146:0.146:0.146) (0.116:0.116:0.116))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__a21oi_2")
(INSTANCE _4556_)
(DELAY
(ABSOLUTE
(IOPATH A1 Y (0.203:0.204:0.205) (0.126:0.126:0.126))
(IOPATH A2 Y (0.224:0.225:0.225) (0.107:0.108:0.108))
(IOPATH B1 Y (0.177:0.177:0.178) (0.060:0.060:0.060))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__nor2_1")
(INSTANCE _4557_)
(DELAY
(ABSOLUTE
(IOPATH A Y (0.268:0.268:0.268) (0.145:0.145:0.145))
(IOPATH B Y (0.249:0.249:0.249) (0.102:0.102:0.102))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__nand2_1")
(INSTANCE _4558_)
(DELAY
(ABSOLUTE
(IOPATH A Y (0.166:0.166:0.166) (0.170:0.170:0.170))
(IOPATH B Y (0.166:0.166:0.166) (0.133:0.133:0.133))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__and2b_1")
(INSTANCE _4559_)
(DELAY
(ABSOLUTE
(IOPATH A_N X (0.233:0.240:0.247) (0.243:0.243:0.244))
(IOPATH B X (0.176:0.176:0.177) (0.206:0.211:0.217))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__xnor2_1")
(INSTANCE _4560_)
(DELAY
(ABSOLUTE
(IOPATH A Y (0.155:0.155:0.155) (0.130:0.141:0.152))
(IOPATH A Y (0.178:0.188:0.197) (0.109:0.109:0.110))
(IOPATH B Y (0.134:0.134:0.134) (0.133:0.133:0.133))
(IOPATH B Y (0.164:0.164:0.164) (0.078:0.078:0.078))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__or2_1")
(INSTANCE _4561_)
(DELAY
(ABSOLUTE
(IOPATH A X (0.119:0.119:0.119) (0.228:0.228:0.228))
(IOPATH B X (0.132:0.133:0.133) (0.207:0.213:0.218))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__o211a_1")
(INSTANCE _4562_)
(DELAY
(ABSOLUTE
(IOPATH A1 X (0.164:0.164:0.164) (0.231:0.231:0.231))
(IOPATH A2 X (0.126:0.136:0.145) (0.193:0.197:0.200))
(IOPATH B1 X (0.128:0.128:0.128) (0.115:0.115:0.115))
(IOPATH C1 X (0.142:0.142:0.142) (0.113:0.113:0.113))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__nand2_1")
(INSTANCE _4563_)
(DELAY
(ABSOLUTE
(IOPATH A Y (0.182:0.182:0.182) (0.154:0.154:0.154))
(IOPATH B Y (0.161:0.161:0.161) (0.150:0.150:0.150))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__or2_1")
(INSTANCE _4564_)
(DELAY
(ABSOLUTE
(IOPATH A X (0.177:0.177:0.177) (0.279:0.279:0.279))
(IOPATH B X (0.165:0.165:0.165) (0.253:0.253:0.253))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__nand2_1")
(INSTANCE _4565_)
(DELAY
(ABSOLUTE
(IOPATH A Y (0.149:0.150:0.151) (0.127:0.127:0.128))
(IOPATH B Y (0.134:0.135:0.135) (0.111:0.111:0.111))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__a21o_1")
(INSTANCE _4566_)
(DELAY
(ABSOLUTE
(IOPATH A1 X (0.159:0.159:0.160) (0.167:0.177:0.187))
(IOPATH A2 X (0.132:0.132:0.132) (0.191:0.191:0.191))
(IOPATH B1 X (0.141:0.141:0.141) (0.177:0.183:0.188))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__xnor2_1")
(INSTANCE _4567_)
(DELAY
(ABSOLUTE
(IOPATH A Y (0.126:0.126:0.126) (0.138:0.140:0.143))
(IOPATH A Y (0.162:0.164:0.166) (0.082:0.083:0.083))
(IOPATH B Y (0.110:0.110:0.111) (0.116:0.117:0.117))
(IOPATH B Y (0.126:0.126:0.126) (0.060:0.060:0.060))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__nand2_1")
(INSTANCE _4568_)
(DELAY
(ABSOLUTE
(IOPATH A Y (0.136:0.136:0.136) (0.125:0.125:0.125))
(IOPATH B Y (0.132:0.132:0.132) (0.110:0.110:0.110))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__clkbuf_2")
(INSTANCE _4569_)
(DELAY
(ABSOLUTE
(IOPATH A X (0.189:0.189:0.189) (0.171:0.171:0.171))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__o211a_1")
(INSTANCE _4570_)
(DELAY
(ABSOLUTE
(IOPATH A1 X (0.175:0.175:0.175) (0.230:0.230:0.230))
(IOPATH A2 X (0.145:0.145:0.145) (0.215:0.215:0.215))
(IOPATH B1 X (0.151:0.152:0.152) (0.137:0.139:0.141))
(IOPATH C1 X (0.144:0.144:0.144) (0.115:0.115:0.115))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__a211o_1")
(INSTANCE _4571_)
(DELAY
(ABSOLUTE
(IOPATH A1 X (0.173:0.173:0.173) (0.271:0.280:0.290))
(IOPATH A2 X (0.163:0.163:0.164) (0.330:0.334:0.338))
(IOPATH B1 X (0.138:0.139:0.139) (0.299:0.301:0.303))
(IOPATH C1 X (0.160:0.161:0.161) (0.253:0.258:0.263))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__nand2_1")
(INSTANCE _4572_)
(DELAY
(ABSOLUTE
(IOPATH A Y (0.143:0.143:0.143) (0.146:0.146:0.146))
(IOPATH B Y (0.136:0.136:0.136) (0.128:0.128:0.128))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__or2_1")
(INSTANCE _4573_)
(DELAY
(ABSOLUTE
(IOPATH A X (0.191:0.191:0.191) (0.287:0.287:0.287))
(IOPATH B X (0.174:0.174:0.174) (0.257:0.257:0.257))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__and4_1")
(INSTANCE _4574_)
(DELAY
(ABSOLUTE
(IOPATH A X (0.185:0.185:0.185) (0.173:0.174:0.175))
(IOPATH B X (0.168:0.168:0.169) (0.168:0.168:0.169))
(IOPATH C X (0.188:0.188:0.189) (0.201:0.204:0.207))
(IOPATH D X (0.190:0.190:0.190) (0.202:0.202:0.202))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__a22o_1")
(INSTANCE _4575_)
(DELAY
(ABSOLUTE
(IOPATH A1 X (0.156:0.156:0.156) (0.229:0.229:0.230))
(IOPATH A2 X (0.131:0.131:0.132) (0.225:0.226:0.227))
(IOPATH B1 X (0.135:0.135:0.136) (0.191:0.194:0.196))
(IOPATH B2 X (0.133:0.133:0.133) (0.203:0.203:0.203))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__or3b_1")
(INSTANCE _4576_)
(DELAY
(ABSOLUTE
(IOPATH A X (0.141:0.141:0.141) (0.398:0.398:0.398))
(IOPATH B X (0.108:0.108:0.108) (0.354:0.355:0.355))
(IOPATH C_N X (0.164:0.164:0.164) (0.351:0.352:0.352))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__o211a_1")
(INSTANCE _4577_)
(DELAY
(ABSOLUTE
(IOPATH A1 X (0.173:0.173:0.173) (0.229:0.229:0.229))
(IOPATH A2 X (0.146:0.146:0.146) (0.216:0.216:0.216))
(IOPATH B1 X (0.134:0.134:0.134) (0.130:0.130:0.130))
(IOPATH C1 X (0.145:0.145:0.145) (0.116:0.116:0.116))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__and4_1")
(INSTANCE _4578_)
(DELAY
(ABSOLUTE
(IOPATH A X (0.223:0.223:0.223) (0.195:0.196:0.197))
(IOPATH B X (0.215:0.215:0.215) (0.198:0.198:0.198))
(IOPATH C X (0.226:0.226:0.226) (0.224:0.228:0.231))
(IOPATH D X (0.227:0.227:0.227) (0.226:0.226:0.226))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__and3_1")
(INSTANCE _4579_)
(DELAY
(ABSOLUTE
(IOPATH A X (0.198:0.199:0.199) (0.148:0.149:0.150))
(IOPATH B X (0.154:0.154:0.154) (0.163:0.163:0.163))
(IOPATH C X (0.156:0.156:0.156) (0.173:0.174:0.175))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__nand2_1")
(INSTANCE _4580_)
(DELAY
(ABSOLUTE
(IOPATH A Y (0.096:0.096:0.096) (0.099:0.099:0.099))
(IOPATH B Y (0.103:0.103:0.103) (0.099:0.099:0.099))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__a21oi_1")
(INSTANCE _4581_)
(DELAY
(ABSOLUTE
(IOPATH A1 Y (0.141:0.142:0.144) (0.069:0.069:0.070))
(IOPATH A2 Y (0.175:0.179:0.184) (0.089:0.090:0.090))
(IOPATH B1 Y (0.135:0.141:0.146) (0.075:0.076:0.076))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__and3_1")
(INSTANCE _4582_)
(DELAY
(ABSOLUTE
(IOPATH A X (0.183:0.183:0.183) (0.164:0.164:0.164))
(IOPATH B X (0.170:0.170:0.170) (0.169:0.169:0.169))
(IOPATH C X (0.163:0.163:0.163) (0.188:0.188:0.188))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__a221o_1")
(INSTANCE _4583_)
(DELAY
(ABSOLUTE
(IOPATH A1 X (0.186:0.186:0.186) (0.328:0.328:0.328))
(IOPATH A2 X (0.165:0.165:0.165) (0.347:0.347:0.347))
(IOPATH B1 X (0.149:0.152:0.155) (0.288:0.292:0.297))
(IOPATH B2 X (0.143:0.143:0.143) (0.313:0.314:0.315))
(IOPATH C1 X (0.101:0.101:0.101) (0.252:0.253:0.253))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__a21o_1")
(INSTANCE _4584_)
(DELAY
(ABSOLUTE
(IOPATH A1 X (0.220:0.220:0.220) (0.227:0.228:0.228))
(IOPATH A2 X (0.190:0.191:0.191) (0.224:0.225:0.225))
(IOPATH B1 X (0.159:0.160:0.161) (0.208:0.208:0.208))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__nand2_1")
(INSTANCE _4585_)
(DELAY
(ABSOLUTE
(IOPATH A Y (0.203:0.203:0.203) (0.198:0.198:0.198))
(IOPATH B Y (0.202:0.202:0.202) (0.186:0.186:0.186))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__or2_1")
(INSTANCE _4586_)
(DELAY
(ABSOLUTE
(IOPATH A X (0.132:0.132:0.132) (0.239:0.239:0.239))
(IOPATH B X (0.122:0.122:0.122) (0.214:0.214:0.214))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__and2_1")
(INSTANCE _4587_)
(DELAY
(ABSOLUTE
(IOPATH A X (0.249:0.250:0.250) (0.240:0.241:0.243))
(IOPATH B X (0.205:0.205:0.205) (0.205:0.205:0.205))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__nand2_1")
(INSTANCE _4588_)
(DELAY
(ABSOLUTE
(IOPATH A Y (0.235:0.235:0.236) (0.210:0.210:0.211))
(IOPATH B Y (0.242:0.242:0.242) (0.217:0.217:0.217))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__or2_1")
(INSTANCE _4589_)
(DELAY
(ABSOLUTE
(IOPATH A X (0.118:0.118:0.118) (0.230:0.230:0.231))
(IOPATH B X (0.118:0.118:0.119) (0.212:0.213:0.213))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__and2_1")
(INSTANCE _4590_)
(DELAY
(ABSOLUTE
(IOPATH A X (0.184:0.184:0.185) (0.212:0.212:0.212))
(IOPATH B X (0.126:0.126:0.127) (0.158:0.158:0.158))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__or2_1")
(INSTANCE _4591_)
(DELAY
(ABSOLUTE
(IOPATH A X (0.133:0.133:0.133) (0.239:0.239:0.239))
(IOPATH B X (0.132:0.132:0.132) (0.207:0.212:0.217))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__o211a_1")
(INSTANCE _4592_)
(DELAY
(ABSOLUTE
(IOPATH A1 X (0.168:0.168:0.168) (0.235:0.235:0.235))
(IOPATH A2 X (0.128:0.129:0.129) (0.195:0.195:0.195))
(IOPATH B1 X (0.132:0.132:0.132) (0.118:0.118:0.118))
(IOPATH C1 X (0.146:0.146:0.146) (0.117:0.117:0.117))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__nor2_1")
(INSTANCE _4593_)
(DELAY
(ABSOLUTE
(IOPATH A Y (0.236:0.236:0.236) (0.109:0.109:0.109))
(IOPATH B Y (0.217:0.217:0.217) (0.097:0.097:0.097))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__nand2_1")
(INSTANCE _4594_)
(DELAY
(ABSOLUTE
(IOPATH A Y (0.128:0.128:0.128) (0.126:0.126:0.126))
(IOPATH B Y (0.130:0.130:0.130) (0.120:0.120:0.120))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__and2b_1")
(INSTANCE _4595_)
(DELAY
(ABSOLUTE
(IOPATH A_N X (0.230:0.232:0.233) (0.238:0.238:0.238))
(IOPATH B X (0.171:0.171:0.172) (0.197:0.198:0.200))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__a21oi_1")
(INSTANCE _4596_)
(DELAY
(ABSOLUTE
(IOPATH A1 Y (0.184:0.185:0.186) (0.103:0.103:0.103))
(IOPATH A2 Y (0.215:0.215:0.215) (0.118:0.119:0.119))
(IOPATH B1 Y (0.132:0.132:0.132) (0.052:0.052:0.052))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__a31o_1")
(INSTANCE _4597_)
(DELAY
(ABSOLUTE
(IOPATH A1 X (0.172:0.172:0.173) (0.220:0.222:0.223))
(IOPATH A2 X (0.190:0.191:0.191) (0.266:0.266:0.266))
(IOPATH A3 X (0.150:0.150:0.150) (0.216:0.217:0.217))
(IOPATH B1 X (0.120:0.120:0.120) (0.200:0.200:0.200))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__o221a_1")
(INSTANCE _4598_)
(DELAY
(ABSOLUTE
(IOPATH A1 X (0.200:0.200:0.200) (0.264:0.264:0.264))
(IOPATH A2 X (0.187:0.187:0.187) (0.250:0.250:0.250))
(IOPATH B1 X (0.182:0.184:0.187) (0.209:0.216:0.223))
(IOPATH B2 X (0.156:0.157:0.158) (0.191:0.192:0.192))
(IOPATH C1 X (0.189:0.189:0.189) (0.139:0.139:0.139))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__nand2_1")
(INSTANCE _4599_)
(DELAY
(ABSOLUTE
(IOPATH A Y (0.148:0.148:0.148) (0.145:0.145:0.145))
(IOPATH B Y (0.159:0.159:0.159) (0.133:0.133:0.133))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__or2_1")
(INSTANCE _4600_)
(DELAY
(ABSOLUTE
(IOPATH A X (0.186:0.186:0.186) (0.284:0.284:0.284))
(IOPATH B X (0.174:0.174:0.174) (0.270:0.270:0.270))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__nand2_1")
(INSTANCE _4601_)
(DELAY
(ABSOLUTE
(IOPATH A Y (0.168:0.168:0.168) (0.143:0.143:0.143))
(IOPATH B Y (0.172:0.172:0.172) (0.145:0.145:0.145))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__a31o_1")
(INSTANCE _4602_)
(DELAY
(ABSOLUTE
(IOPATH A1 X (0.194:0.194:0.194) (0.235:0.237:0.238))
(IOPATH A2 X (0.212:0.212:0.213) (0.282:0.282:0.282))
(IOPATH A3 X (0.179:0.180:0.180) (0.248:0.249:0.251))
(IOPATH B1 X (0.161:0.161:0.161) (0.207:0.208:0.209))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__xor2_1")
(INSTANCE _4603_)
(DELAY
(ABSOLUTE
(IOPATH A X (0.173:0.173:0.173) (0.085:0.086:0.086))
(IOPATH A X (0.152:0.152:0.152) (0.184:0.184:0.185))
(IOPATH B X (0.122:0.123:0.123) (0.062:0.062:0.063))
(IOPATH B X (0.140:0.140:0.141) (0.135:0.135:0.136))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__or2_1")
(INSTANCE _4604_)
(DELAY
(ABSOLUTE
(IOPATH A X (0.136:0.136:0.136) (0.243:0.243:0.243))
(IOPATH B X (0.142:0.143:0.143) (0.218:0.223:0.229))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__o211a_1")
(INSTANCE _4605_)
(DELAY
(ABSOLUTE
(IOPATH A1 X (0.172:0.172:0.172) (0.237:0.237:0.237))
(IOPATH A2 X (0.127:0.127:0.127) (0.195:0.195:0.195))
(IOPATH B1 X (0.139:0.139:0.139) (0.123:0.123:0.123))
(IOPATH C1 X (0.150:0.150:0.150) (0.118:0.118:0.118))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__a311o_1")
(INSTANCE _4606_)
(DELAY
(ABSOLUTE
(IOPATH A1 X (0.244:0.245:0.245) (0.343:0.345:0.346))
(IOPATH A2 X (0.264:0.265:0.265) (0.405:0.405:0.405))
(IOPATH A3 X (0.228:0.229:0.229) (0.401:0.403:0.404))
(IOPATH B1 X (0.189:0.190:0.190) (0.382:0.382:0.383))
(IOPATH C1 X (0.202:0.202:0.202) (0.314:0.315:0.316))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__xor2_1")
(INSTANCE _4607_)
(DELAY
(ABSOLUTE
(IOPATH A X (0.245:0.245:0.245) (0.119:0.119:0.119))
(IOPATH A X (0.244:0.244:0.244) (0.193:0.193:0.193))
(IOPATH B X (0.216:0.216:0.216) (0.100:0.100:0.100))
(IOPATH B X (0.236:0.236:0.236) (0.164:0.164:0.164))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__a21oi_1")
(INSTANCE _4608_)
(DELAY
(ABSOLUTE
(IOPATH A1 Y (0.184:0.186:0.188) (0.099:0.100:0.100))
(IOPATH A2 Y (0.188:0.189:0.191) (0.093:0.094:0.095))
(IOPATH B1 Y (0.156:0.160:0.165) (0.084:0.085:0.086))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__a31o_1")
(INSTANCE _4609_)
(DELAY
(ABSOLUTE
(IOPATH A1 X (0.157:0.158:0.158) (0.199:0.201:0.202))
(IOPATH A2 X (0.154:0.155:0.156) (0.214:0.215:0.217))
(IOPATH A3 X (0.177:0.178:0.179) (0.218:0.223:0.228))
(IOPATH B1 X (0.125:0.125:0.125) (0.207:0.207:0.207))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__or2_1")
(INSTANCE _4610_)
(DELAY
(ABSOLUTE
(IOPATH A X (0.124:0.124:0.124) (0.233:0.233:0.233))
(IOPATH B X (0.136:0.136:0.137) (0.212:0.217:0.222))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__o211a_1")
(INSTANCE _4611_)
(DELAY
(ABSOLUTE
(IOPATH A1 X (0.169:0.170:0.172) (0.222:0.224:0.227))
(IOPATH A2 X (0.128:0.128:0.129) (0.196:0.196:0.197))
(IOPATH B1 X (0.136:0.136:0.137) (0.122:0.122:0.122))
(IOPATH C1 X (0.150:0.150:0.150) (0.119:0.119:0.119))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__or2_1")
(INSTANCE _4612_)
(DELAY
(ABSOLUTE
(IOPATH A X (0.295:0.295:0.295) (0.408:0.408:0.408))
(IOPATH B X (0.200:0.200:0.200) (0.286:0.286:0.286))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__clkbuf_2")
(INSTANCE _4613_)
(DELAY
(ABSOLUTE
(IOPATH A X (0.244:0.244:0.244) (0.207:0.207:0.207))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__nor2_2")
(INSTANCE _4614_)
(DELAY
(ABSOLUTE
(IOPATH A Y (0.289:0.289:0.289) (0.129:0.129:0.129))
(IOPATH B Y (0.250:0.250:0.250) (0.105:0.105:0.105))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__clkbuf_2")
(INSTANCE _4615_)
(DELAY
(ABSOLUTE
(IOPATH A X (0.237:0.237:0.238) (0.172:0.176:0.180))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__clkbuf_2")
(INSTANCE _4616_)
(DELAY
(ABSOLUTE
(IOPATH A X (0.202:0.202:0.202) (0.177:0.177:0.177))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__nand2_1")
(INSTANCE _4617_)
(DELAY
(ABSOLUTE
(IOPATH A Y (0.126:0.126:0.126) (0.123:0.123:0.123))
(IOPATH B Y (0.125:0.125:0.125) (0.113:0.113:0.113))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__or2_1")
(INSTANCE _4618_)
(DELAY
(ABSOLUTE
(IOPATH A X (0.121:0.121:0.121) (0.229:0.229:0.229))
(IOPATH B X (0.107:0.107:0.107) (0.203:0.203:0.203))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__or2_1")
(INSTANCE _4619_)
(DELAY
(ABSOLUTE
(IOPATH A X (0.189:0.195:0.201) (0.301:0.329:0.356))
(IOPATH B X (0.191:0.191:0.191) (0.282:0.282:0.282))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__buf_2")
(INSTANCE _4620_)
(DELAY
(ABSOLUTE
(IOPATH A X (0.244:0.244:0.244) (0.206:0.206:0.206))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__a21o_1")
(INSTANCE _4621_)
(DELAY
(ABSOLUTE
(IOPATH A1 X (0.127:0.127:0.127) (0.171:0.173:0.174))
(IOPATH A2 X (0.105:0.105:0.105) (0.174:0.174:0.174))
(IOPATH B1 X (0.111:0.111:0.111) (0.169:0.169:0.169))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__clkbuf_2")
(INSTANCE _4622_)
(DELAY
(ABSOLUTE
(IOPATH A X (0.203:0.203:0.203) (0.181:0.181:0.181))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__o211a_1")
(INSTANCE _4623_)
(DELAY
(ABSOLUTE
(IOPATH A1 X (0.170:0.170:0.170) (0.228:0.228:0.228))
(IOPATH A2 X (0.152:0.152:0.152) (0.220:0.220:0.220))
(IOPATH B1 X (0.139:0.139:0.139) (0.117:0.118:0.118))
(IOPATH C1 X (0.159:0.159:0.159) (0.128:0.128:0.128))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__xnor2_1")
(INSTANCE _4624_)
(DELAY
(ABSOLUTE
(IOPATH A Y (0.184:0.184:0.184) (0.167:0.167:0.167))
(IOPATH A Y (0.260:0.260:0.260) (0.127:0.127:0.127))
(IOPATH B Y (0.180:0.180:0.180) (0.159:0.159:0.159))
(IOPATH B Y (0.237:0.237:0.237) (0.112:0.112:0.112))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__nand2_1")
(INSTANCE _4625_)
(DELAY
(ABSOLUTE
(IOPATH A Y (0.076:0.077:0.078) (0.065:0.066:0.066))
(IOPATH B Y (0.078:0.080:0.083) (0.068:0.078:0.087))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__or2_1")
(INSTANCE _4626_)
(DELAY
(ABSOLUTE
(IOPATH A X (0.124:0.124:0.125) (0.244:0.245:0.247))
(IOPATH B X (0.120:0.133:0.146) (0.220:0.223:0.225))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__a21o_1")
(INSTANCE _4627_)
(DELAY
(ABSOLUTE
(IOPATH A1 X (0.101:0.102:0.103) (0.148:0.152:0.156))
(IOPATH A2 X (0.107:0.107:0.107) (0.175:0.175:0.175))
(IOPATH B1 X (0.110:0.110:0.110) (0.167:0.167:0.167))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__o211a_1")
(INSTANCE _4628_)
(DELAY
(ABSOLUTE
(IOPATH A1 X (0.151:0.151:0.151) (0.213:0.213:0.213))
(IOPATH A2 X (0.146:0.146:0.146) (0.215:0.215:0.215))
(IOPATH B1 X (0.132:0.132:0.132) (0.112:0.113:0.113))
(IOPATH C1 X (0.153:0.153:0.153) (0.124:0.124:0.124))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__nand2_1")
(INSTANCE _4629_)
(DELAY
(ABSOLUTE
(IOPATH A Y (0.066:0.066:0.066) (0.068:0.068:0.068))
(IOPATH B Y (0.069:0.069:0.069) (0.067:0.067:0.067))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__o21ai_1")
(INSTANCE _4630_)
(DELAY
(ABSOLUTE
(IOPATH A1 Y (0.273:0.274:0.275) (0.140:0.141:0.141))
(IOPATH A2 Y (0.255:0.257:0.260) (0.124:0.141:0.158))
(IOPATH B1 Y (0.157:0.160:0.162) (0.109:0.110:0.110))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__nand2_1")
(INSTANCE _4631_)
(DELAY
(ABSOLUTE
(IOPATH A Y (0.119:0.119:0.119) (0.123:0.123:0.123))
(IOPATH B Y (0.112:0.112:0.112) (0.105:0.105:0.105))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__or2_1")
(INSTANCE _4632_)
(DELAY
(ABSOLUTE
(IOPATH A X (0.134:0.134:0.134) (0.241:0.241:0.241))
(IOPATH B X (0.116:0.116:0.116) (0.210:0.210:0.210))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__and2_1")
(INSTANCE _4633_)
(DELAY
(ABSOLUTE
(IOPATH A X (0.181:0.181:0.182) (0.177:0.181:0.185))
(IOPATH B X (0.167:0.167:0.167) (0.184:0.184:0.184))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__nand2_1")
(INSTANCE _4634_)
(DELAY
(ABSOLUTE
(IOPATH A Y (0.123:0.127:0.131) (0.127:0.137:0.146))
(IOPATH B Y (0.115:0.115:0.115) (0.105:0.105:0.105))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__or2_1")
(INSTANCE _4635_)
(DELAY
(ABSOLUTE
(IOPATH A X (0.142:0.149:0.157) (0.248:0.251:0.254))
(IOPATH B X (0.120:0.120:0.120) (0.216:0.216:0.217))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__a21o_1")
(INSTANCE _4636_)
(DELAY
(ABSOLUTE
(IOPATH A1 X (0.118:0.119:0.120) (0.164:0.171:0.177))
(IOPATH A2 X (0.107:0.107:0.108) (0.175:0.175:0.175))
(IOPATH B1 X (0.109:0.109:0.109) (0.167:0.167:0.167))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__o211a_1")
(INSTANCE _4637_)
(DELAY
(ABSOLUTE
(IOPATH A1 X (0.167:0.167:0.167) (0.224:0.224:0.224))
(IOPATH A2 X (0.144:0.144:0.144) (0.213:0.213:0.213))
(IOPATH B1 X (0.130:0.130:0.130) (0.110:0.111:0.111))
(IOPATH C1 X (0.151:0.151:0.151) (0.122:0.122:0.122))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__nor2_1")
(INSTANCE _4638_)
(DELAY
(ABSOLUTE
(IOPATH A Y (0.128:0.128:0.128) (0.076:0.076:0.076))
(IOPATH B Y (0.107:0.107:0.107) (0.049:0.049:0.049))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__and2_1")
(INSTANCE _4639_)
(DELAY
(ABSOLUTE
(IOPATH A X (0.179:0.179:0.179) (0.168:0.168:0.168))
(IOPATH B X (0.155:0.155:0.155) (0.180:0.180:0.180))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__nor2_1")
(INSTANCE _4640_)
(DELAY
(ABSOLUTE
(IOPATH A Y (0.180:0.184:0.188) (0.081:0.081:0.081))
(IOPATH B Y (0.173:0.173:0.173) (0.071:0.072:0.072))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__a21oi_1")
(INSTANCE _4641_)
(DELAY
(ABSOLUTE
(IOPATH A1 Y (0.136:0.139:0.142) (0.072:0.072:0.073))
(IOPATH A2 Y (0.154:0.161:0.167) (0.076:0.077:0.077))
(IOPATH B1 Y (0.117:0.118:0.119) (0.063:0.063:0.063))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__buf_2")
(INSTANCE _4642_)
(DELAY
(ABSOLUTE
(IOPATH A X (0.206:0.206:0.206) (0.184:0.184:0.184))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__a31o_1")
(INSTANCE _4643_)
(DELAY
(ABSOLUTE
(IOPATH A1 X (0.143:0.143:0.144) (0.183:0.186:0.190))
(IOPATH A2 X (0.150:0.150:0.151) (0.213:0.220:0.227))
(IOPATH A3 X (0.171:0.171:0.171) (0.213:0.214:0.215))
(IOPATH B1 X (0.118:0.118:0.118) (0.190:0.190:0.190))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__o221a_1")
(INSTANCE _4644_)
(DELAY
(ABSOLUTE
(IOPATH A1 X (0.218:0.218:0.218) (0.276:0.276:0.276))
(IOPATH A2 X (0.206:0.206:0.206) (0.262:0.262:0.262))
(IOPATH B1 X (0.185:0.185:0.185) (0.230:0.230:0.230))
(IOPATH B2 X (0.176:0.177:0.177) (0.207:0.207:0.208))
(IOPATH C1 X (0.209:0.209:0.209) (0.152:0.152:0.152))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__and2_1")
(INSTANCE _4645_)
(DELAY
(ABSOLUTE
(IOPATH A X (0.192:0.192:0.192) (0.177:0.177:0.177))
(IOPATH B X (0.184:0.184:0.184) (0.188:0.188:0.188))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__nor2_1")
(INSTANCE _4646_)
(DELAY
(ABSOLUTE
(IOPATH A Y (0.116:0.116:0.116) (0.071:0.071:0.071))
(IOPATH B Y (0.095:0.095:0.095) (0.056:0.056:0.056))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__nor2_1")
(INSTANCE _4647_)
(DELAY
(ABSOLUTE
(IOPATH A Y (0.242:0.242:0.242) (0.096:0.096:0.096))
(IOPATH B Y (0.221:0.222:0.224) (0.084:0.084:0.084))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__or2_1")
(INSTANCE _4648_)
(DELAY
(ABSOLUTE
(IOPATH A X (0.134:0.134:0.134) (0.241:0.241:0.241))
(IOPATH B X (0.107:0.107:0.107) (0.207:0.207:0.207))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__a31o_1")
(INSTANCE _4649_)
(DELAY
(ABSOLUTE
(IOPATH A1 X (0.163:0.163:0.163) (0.187:0.187:0.187))
(IOPATH A2 X (0.152:0.152:0.152) (0.203:0.203:0.203))
(IOPATH A3 X (0.136:0.136:0.136) (0.210:0.211:0.211))
(IOPATH B1 X (0.100:0.100:0.101) (0.179:0.179:0.179))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__a31o_1")
(INSTANCE _4650_)
(DELAY
(ABSOLUTE
(IOPATH A1 X (0.269:0.278:0.286) (0.254:0.257:0.261))
(IOPATH A2 X (0.254:0.254:0.254) (0.272:0.272:0.272))
(IOPATH A3 X (0.271:0.271:0.271) (0.277:0.278:0.279))
(IOPATH B1 X (0.189:0.190:0.191) (0.240:0.241:0.241))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__xnor2_1")
(INSTANCE _4651_)
(DELAY
(ABSOLUTE
(IOPATH A Y (0.210:0.210:0.210) (0.170:0.171:0.172))
(IOPATH A Y (0.266:0.267:0.268) (0.163:0.164:0.164))
(IOPATH B Y (0.195:0.195:0.196) (0.180:0.181:0.182))
(IOPATH B Y (0.260:0.261:0.261) (0.133:0.133:0.134))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__nand2_1")
(INSTANCE _4652_)
(DELAY
(ABSOLUTE
(IOPATH A Y (0.107:0.107:0.107) (0.100:0.100:0.100))
(IOPATH B Y (0.111:0.117:0.122) (0.098:0.113:0.128))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__o211a_1")
(INSTANCE _4653_)
(DELAY
(ABSOLUTE
(IOPATH A1 X (0.170:0.170:0.170) (0.227:0.227:0.227))
(IOPATH A2 X (0.147:0.147:0.147) (0.216:0.216:0.216))
(IOPATH B1 X (0.146:0.147:0.148) (0.130:0.134:0.139))
(IOPATH C1 X (0.155:0.155:0.155) (0.125:0.125:0.125))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__a21oi_2")
(INSTANCE _4654_)
(DELAY
(ABSOLUTE
(IOPATH A1 Y (0.219:0.220:0.220) (0.132:0.132:0.132))
(IOPATH A2 Y (0.239:0.240:0.240) (0.113:0.114:0.114))
(IOPATH B1 Y (0.193:0.193:0.193) (0.066:0.066:0.066))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__nor2_1")
(INSTANCE _4655_)
(DELAY
(ABSOLUTE
(IOPATH A Y (0.208:0.208:0.208) (0.095:0.095:0.095))
(IOPATH B Y (0.190:0.190:0.190) (0.085:0.085:0.085))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__nand2_1")
(INSTANCE _4656_)
(DELAY
(ABSOLUTE
(IOPATH A Y (0.118:0.118:0.118) (0.115:0.115:0.115))
(IOPATH B Y (0.122:0.122:0.122) (0.111:0.111:0.111))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__and2b_1")
(INSTANCE _4657_)
(DELAY
(ABSOLUTE
(IOPATH A_N X (0.223:0.224:0.225) (0.231:0.231:0.231))
(IOPATH B X (0.167:0.167:0.168) (0.192:0.193:0.194))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__nor2_1")
(INSTANCE _4658_)
(DELAY
(ABSOLUTE
(IOPATH A Y (0.115:0.124:0.133) (0.081:0.081:0.081))
(IOPATH B Y (0.101:0.101:0.101) (0.051:0.051:0.051))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__a21o_1")
(INSTANCE _4659_)
(DELAY
(ABSOLUTE
(IOPATH A1 X (0.142:0.142:0.142) (0.151:0.162:0.172))
(IOPATH A2 X (0.117:0.117:0.117) (0.174:0.174:0.174))
(IOPATH B1 X (0.101:0.101:0.101) (0.157:0.157:0.157))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__or2_1")
(INSTANCE _4660_)
(DELAY
(ABSOLUTE
(IOPATH A X (0.124:0.124:0.124) (0.233:0.233:0.233))
(IOPATH B X (0.146:0.146:0.146) (0.216:0.220:0.223))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__o211a_1")
(INSTANCE _4661_)
(DELAY
(ABSOLUTE
(IOPATH A1 X (0.153:0.153:0.153) (0.205:0.210:0.215))
(IOPATH A2 X (0.119:0.119:0.120) (0.188:0.189:0.189))
(IOPATH B1 X (0.130:0.130:0.131) (0.117:0.117:0.117))
(IOPATH C1 X (0.149:0.149:0.149) (0.121:0.121:0.121))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__xor2_1")
(INSTANCE _4662_)
(DELAY
(ABSOLUTE
(IOPATH A X (0.222:0.222:0.222) (0.117:0.117:0.117))
(IOPATH A X (0.219:0.219:0.219) (0.191:0.191:0.191))
(IOPATH B X (0.197:0.197:0.197) (0.110:0.110:0.110))
(IOPATH B X (0.217:0.217:0.217) (0.168:0.168:0.168))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__inv_2")
(INSTANCE _4663_)
(DELAY
(ABSOLUTE
(IOPATH A Y (0.058:0.063:0.069) (0.057:0.057:0.058))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__a211o_1")
(INSTANCE _4664_)
(DELAY
(ABSOLUTE
(IOPATH A1 X (0.196:0.196:0.196) (0.288:0.298:0.307))
(IOPATH A2 X (0.173:0.174:0.175) (0.337:0.338:0.339))
(IOPATH B1 X (0.128:0.129:0.130) (0.299:0.299:0.299))
(IOPATH C1 X (0.168:0.168:0.168) (0.263:0.264:0.265))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__a21o_1")
(INSTANCE _4665_)
(DELAY
(ABSOLUTE
(IOPATH A1 X (0.148:0.149:0.149) (0.158:0.168:0.178))
(IOPATH A2 X (0.132:0.133:0.134) (0.193:0.194:0.195))
(IOPATH B1 X (0.119:0.119:0.119) (0.159:0.160:0.161))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__nand2_1")
(INSTANCE _4666_)
(DELAY
(ABSOLUTE
(IOPATH A Y (0.059:0.059:0.060) (0.047:0.047:0.048))
(IOPATH B Y (0.063:0.063:0.064) (0.053:0.053:0.053))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__a21o_1")
(INSTANCE _4667_)
(DELAY
(ABSOLUTE
(IOPATH A1 X (0.130:0.131:0.131) (0.174:0.175:0.175))
(IOPATH A2 X (0.120:0.121:0.122) (0.179:0.179:0.180))
(IOPATH B1 X (0.116:0.116:0.116) (0.171:0.171:0.171))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__clkbuf_2")
(INSTANCE _4668_)
(DELAY
(ABSOLUTE
(IOPATH A X (0.197:0.197:0.197) (0.177:0.177:0.177))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__o211a_1")
(INSTANCE _4669_)
(DELAY
(ABSOLUTE
(IOPATH A1 X (0.179:0.179:0.179) (0.235:0.235:0.235))
(IOPATH A2 X (0.143:0.143:0.143) (0.212:0.212:0.212))
(IOPATH B1 X (0.134:0.135:0.135) (0.114:0.115:0.115))
(IOPATH C1 X (0.149:0.149:0.149) (0.119:0.119:0.119))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__nand2_1")
(INSTANCE _4670_)
(DELAY
(ABSOLUTE
(IOPATH A Y (0.088:0.088:0.088) (0.089:0.089:0.089))
(IOPATH B Y (0.090:0.090:0.090) (0.086:0.086:0.086))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__nand2_1")
(INSTANCE _4671_)
(DELAY
(ABSOLUTE
(IOPATH A Y (0.122:0.122:0.122) (0.124:0.124:0.124))
(IOPATH B Y (0.119:0.119:0.119) (0.113:0.113:0.113))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__or2_1")
(INSTANCE _4672_)
(DELAY
(ABSOLUTE
(IOPATH A X (0.184:0.184:0.184) (0.281:0.281:0.281))
(IOPATH B X (0.172:0.172:0.172) (0.255:0.255:0.255))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__and4_1")
(INSTANCE _4673_)
(DELAY
(ABSOLUTE
(IOPATH A X (0.167:0.167:0.168) (0.149:0.151:0.154))
(IOPATH B X (0.187:0.187:0.187) (0.181:0.182:0.183))
(IOPATH C X (0.195:0.195:0.196) (0.201:0.204:0.206))
(IOPATH D X (0.202:0.202:0.202) (0.211:0.211:0.211))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__a22o_1")
(INSTANCE _4674_)
(DELAY
(ABSOLUTE
(IOPATH A1 X (0.119:0.120:0.120) (0.198:0.200:0.202))
(IOPATH A2 X (0.134:0.134:0.134) (0.226:0.227:0.228))
(IOPATH B1 X (0.123:0.124:0.125) (0.180:0.182:0.185))
(IOPATH B2 X (0.128:0.128:0.128) (0.198:0.199:0.199))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__or3b_1")
(INSTANCE _4675_)
(DELAY
(ABSOLUTE
(IOPATH A X (0.118:0.118:0.118) (0.386:0.386:0.387))
(IOPATH B X (0.141:0.141:0.141) (0.380:0.380:0.380))
(IOPATH C_N X (0.168:0.168:0.169) (0.356:0.357:0.357))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__o211a_1")
(INSTANCE _4676_)
(DELAY
(ABSOLUTE
(IOPATH A1 X (0.177:0.177:0.177) (0.233:0.233:0.233))
(IOPATH A2 X (0.144:0.144:0.144) (0.213:0.213:0.213))
(IOPATH B1 X (0.135:0.135:0.135) (0.131:0.131:0.131))
(IOPATH C1 X (0.148:0.148:0.148) (0.119:0.119:0.119))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__and3_1")
(INSTANCE _4677_)
(DELAY
(ABSOLUTE
(IOPATH A X (0.214:0.215:0.217) (0.165:0.171:0.177))
(IOPATH B X (0.198:0.198:0.199) (0.200:0.202:0.205))
(IOPATH C X (0.203:0.203:0.203) (0.215:0.215:0.215))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__and3_1")
(INSTANCE _4678_)
(DELAY
(ABSOLUTE
(IOPATH A X (0.198:0.198:0.199) (0.148:0.149:0.150))
(IOPATH B X (0.154:0.154:0.154) (0.162:0.162:0.162))
(IOPATH C X (0.160:0.160:0.160) (0.178:0.178:0.179))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__nand2_1")
(INSTANCE _4679_)
(DELAY
(ABSOLUTE
(IOPATH A Y (0.081:0.081:0.081) (0.081:0.081:0.081))
(IOPATH B Y (0.082:0.082:0.082) (0.078:0.078:0.078))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__a21oi_1")
(INSTANCE _4680_)
(DELAY
(ABSOLUTE
(IOPATH A1 Y (0.144:0.146:0.149) (0.064:0.065:0.065))
(IOPATH A2 Y (0.177:0.177:0.178) (0.087:0.088:0.088))
(IOPATH B1 Y (0.140:0.141:0.142) (0.075:0.075:0.075))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__and3_1")
(INSTANCE _4681_)
(DELAY
(ABSOLUTE
(IOPATH A X (0.176:0.176:0.176) (0.157:0.157:0.157))
(IOPATH B X (0.172:0.172:0.172) (0.172:0.172:0.172))
(IOPATH C X (0.163:0.163:0.163) (0.188:0.188:0.188))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__a221o_1")
(INSTANCE _4682_)
(DELAY
(ABSOLUTE
(IOPATH A1 X (0.183:0.183:0.183) (0.329:0.329:0.329))
(IOPATH A2 X (0.169:0.169:0.169) (0.352:0.352:0.352))
(IOPATH B1 X (0.159:0.161:0.163) (0.294:0.297:0.300))
(IOPATH B2 X (0.151:0.151:0.152) (0.322:0.322:0.323))
(IOPATH C1 X (0.106:0.106:0.106) (0.258:0.258:0.259))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__a21o_1")
(INSTANCE _4683_)
(DELAY
(ABSOLUTE
(IOPATH A1 X (0.190:0.190:0.191) (0.210:0.210:0.211))
(IOPATH A2 X (0.160:0.161:0.161) (0.205:0.206:0.207))
(IOPATH B1 X (0.131:0.132:0.133) (0.190:0.191:0.191))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__nand2_1")
(INSTANCE _4684_)
(DELAY
(ABSOLUTE
(IOPATH A Y (0.165:0.165:0.165) (0.162:0.162:0.162))
(IOPATH B Y (0.158:0.158:0.158) (0.125:0.125:0.125))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__or2_1")
(INSTANCE _4685_)
(DELAY
(ABSOLUTE
(IOPATH A X (0.129:0.129:0.129) (0.236:0.236:0.236))
(IOPATH B X (0.095:0.095:0.095) (0.206:0.206:0.206))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__and2_1")
(INSTANCE _4686_)
(DELAY
(ABSOLUTE
(IOPATH A X (0.204:0.205:0.205) (0.201:0.205:0.208))
(IOPATH B X (0.171:0.171:0.172) (0.186:0.186:0.187))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__nand2_1")
(INSTANCE _4687_)
(DELAY
(ABSOLUTE
(IOPATH A Y (0.185:0.185:0.186) (0.162:0.162:0.162))
(IOPATH B Y (0.191:0.192:0.192) (0.168:0.168:0.169))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__or2_1")
(INSTANCE _4688_)
(DELAY
(ABSOLUTE
(IOPATH A X (0.140:0.140:0.140) (0.254:0.254:0.254))
(IOPATH B X (0.142:0.142:0.142) (0.236:0.236:0.236))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__and2_1")
(INSTANCE _4689_)
(DELAY
(ABSOLUTE
(IOPATH A X (0.178:0.179:0.179) (0.196:0.196:0.196))
(IOPATH B X (0.146:0.146:0.146) (0.174:0.174:0.174))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__or2_1")
(INSTANCE _4690_)
(DELAY
(ABSOLUTE
(IOPATH A X (0.138:0.138:0.138) (0.245:0.245:0.245))
(IOPATH B X (0.145:0.145:0.145) (0.216:0.219:0.223))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__o211a_1")
(INSTANCE _4691_)
(DELAY
(ABSOLUTE
(IOPATH A1 X (0.175:0.175:0.175) (0.230:0.230:0.230))
(IOPATH A2 X (0.129:0.129:0.129) (0.195:0.195:0.195))
(IOPATH B1 X (0.131:0.131:0.132) (0.118:0.118:0.118))
(IOPATH C1 X (0.149:0.149:0.149) (0.119:0.119:0.119))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__nor2_1")
(INSTANCE _4692_)
(DELAY
(ABSOLUTE
(IOPATH A Y (0.275:0.275:0.275) (0.167:0.167:0.167))
(IOPATH B Y (0.164:0.164:0.164) (0.081:0.081:0.081))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__nand2_1")
(INSTANCE _4693_)
(DELAY
(ABSOLUTE
(IOPATH A Y (0.236:0.236:0.236) (0.223:0.223:0.223))
(IOPATH B Y (0.129:0.129:0.129) (0.119:0.119:0.119))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__and2b_1")
(INSTANCE _4694_)
(DELAY
(ABSOLUTE
(IOPATH A_N X (0.227:0.247:0.268) (0.227:0.229:0.230))
(IOPATH B X (0.174:0.178:0.181) (0.198:0.223:0.248))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__a21oi_1")
(INSTANCE _4695_)
(DELAY
(ABSOLUTE
(IOPATH A1 Y (0.167:0.170:0.172) (0.093:0.093:0.093))
(IOPATH A2 Y (0.198:0.198:0.199) (0.106:0.106:0.107))
(IOPATH B1 Y (0.133:0.133:0.133) (0.053:0.053:0.053))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__a31o_1")
(INSTANCE _4696_)
(DELAY
(ABSOLUTE
(IOPATH A1 X (0.120:0.120:0.120) (0.163:0.163:0.163))
(IOPATH A2 X (0.169:0.170:0.170) (0.241:0.241:0.241))
(IOPATH A3 X (0.143:0.143:0.143) (0.211:0.211:0.211))
(IOPATH B1 X (0.112:0.112:0.112) (0.185:0.185:0.185))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__o221a_1")
(INSTANCE _4697_)
(DELAY
(ABSOLUTE
(IOPATH A1 X (0.205:0.205:0.205) (0.265:0.265:0.265))
(IOPATH A2 X (0.196:0.196:0.196) (0.254:0.254:0.254))
(IOPATH B1 X (0.170:0.170:0.170) (0.216:0.216:0.216))
(IOPATH B2 X (0.168:0.168:0.168) (0.201:0.201:0.201))
(IOPATH C1 X (0.199:0.199:0.199) (0.145:0.145:0.145))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__nand2_1")
(INSTANCE _4698_)
(DELAY
(ABSOLUTE
(IOPATH A Y (0.130:0.130:0.130) (0.131:0.131:0.131))
(IOPATH B Y (0.122:0.122:0.122) (0.112:0.112:0.112))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__or2_1")
(INSTANCE _4699_)
(DELAY
(ABSOLUTE
(IOPATH A X (0.147:0.147:0.147) (0.253:0.253:0.253))
(IOPATH B X (0.128:0.128:0.128) (0.222:0.222:0.222))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__nand2_1")
(INSTANCE _4700_)
(DELAY
(ABSOLUTE
(IOPATH A Y (0.134:0.137:0.140) (0.115:0.116:0.116))
(IOPATH B Y (0.128:0.128:0.128) (0.101:0.101:0.101))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__a31o_1")
(INSTANCE _4701_)
(DELAY
(ABSOLUTE
(IOPATH A1 X (0.172:0.173:0.173) (0.210:0.213:0.216))
(IOPATH A2 X (0.189:0.189:0.189) (0.256:0.256:0.256))
(IOPATH A3 X (0.170:0.173:0.176) (0.240:0.261:0.282))
(IOPATH B1 X (0.138:0.139:0.141) (0.194:0.212:0.231))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__xor2_1")
(INSTANCE _4702_)
(DELAY
(ABSOLUTE
(IOPATH A X (0.166:0.166:0.167) (0.081:0.081:0.081))
(IOPATH A X (0.153:0.153:0.153) (0.172:0.173:0.174))
(IOPATH B X (0.127:0.128:0.129) (0.060:0.061:0.062))
(IOPATH B X (0.145:0.146:0.146) (0.136:0.136:0.136))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__or2_1")
(INSTANCE _4703_)
(DELAY
(ABSOLUTE
(IOPATH A X (0.129:0.129:0.129) (0.237:0.237:0.237))
(IOPATH B X (0.145:0.145:0.145) (0.216:0.219:0.223))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__o211a_1")
(INSTANCE _4704_)
(DELAY
(ABSOLUTE
(IOPATH A1 X (0.184:0.184:0.184) (0.238:0.238:0.238))
(IOPATH A2 X (0.145:0.146:0.148) (0.199:0.202:0.204))
(IOPATH B1 X (0.140:0.140:0.140) (0.125:0.125:0.125))
(IOPATH C1 X (0.157:0.157:0.157) (0.126:0.126:0.126))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__a311o_1")
(INSTANCE _4705_)
(DELAY
(ABSOLUTE
(IOPATH A1 X (0.206:0.207:0.207) (0.308:0.310:0.313))
(IOPATH A2 X (0.225:0.225:0.226) (0.369:0.369:0.369))
(IOPATH A3 X (0.204:0.208:0.211) (0.381:0.401:0.420))
(IOPATH B1 X (0.155:0.156:0.156) (0.349:0.350:0.351))
(IOPATH C1 X (0.162:0.164:0.166) (0.289:0.306:0.322))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__xor2_1")
(INSTANCE _4706_)
(DELAY
(ABSOLUTE
(IOPATH A X (0.232:0.232:0.232) (0.114:0.114:0.114))
(IOPATH A X (0.231:0.231:0.231) (0.188:0.188:0.188))
(IOPATH B X (0.202:0.202:0.202) (0.093:0.093:0.093))
(IOPATH B X (0.222:0.222:0.222) (0.159:0.159:0.159))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__a21oi_1")
(INSTANCE _4707_)
(DELAY
(ABSOLUTE
(IOPATH A1 Y (0.140:0.143:0.146) (0.077:0.078:0.078))
(IOPATH A2 Y (0.147:0.149:0.150) (0.070:0.071:0.072))
(IOPATH B1 Y (0.119:0.123:0.127) (0.064:0.064:0.065))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__a31o_1")
(INSTANCE _4708_)
(DELAY
(ABSOLUTE
(IOPATH A1 X (0.146:0.147:0.148) (0.186:0.189:0.192))
(IOPATH A2 X (0.142:0.143:0.144) (0.205:0.206:0.208))
(IOPATH A3 X (0.170:0.171:0.172) (0.214:0.218:0.223))
(IOPATH B1 X (0.116:0.116:0.116) (0.188:0.188:0.188))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__or2_1")
(INSTANCE _4709_)
(DELAY
(ABSOLUTE
(IOPATH A X (0.126:0.126:0.126) (0.234:0.234:0.234))
(IOPATH B X (0.145:0.145:0.145) (0.216:0.219:0.222))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__o211a_1")
(INSTANCE _4710_)
(DELAY
(ABSOLUTE
(IOPATH A1 X (0.156:0.159:0.161) (0.214:0.217:0.221))
(IOPATH A2 X (0.125:0.126:0.126) (0.194:0.194:0.195))
(IOPATH B1 X (0.135:0.135:0.135) (0.120:0.121:0.121))
(IOPATH C1 X (0.152:0.152:0.152) (0.122:0.122:0.122))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__nor2_1")
(INSTANCE _4711_)
(DELAY
(ABSOLUTE
(IOPATH A Y (0.308:0.308:0.308) (0.193:0.193:0.193))
(IOPATH B Y (0.241:0.241:0.241) (0.112:0.112:0.112))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__clkbuf_2")
(INSTANCE _4712_)
(DELAY
(ABSOLUTE
(IOPATH A X (0.232:0.233:0.233) (0.173:0.189:0.205))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__clkbuf_2")
(INSTANCE _4713_)
(DELAY
(ABSOLUTE
(IOPATH A X (0.208:0.208:0.208) (0.181:0.182:0.182))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__nand2_1")
(INSTANCE _4714_)
(DELAY
(ABSOLUTE
(IOPATH A Y (0.123:0.123:0.123) (0.120:0.120:0.120))
(IOPATH B Y (0.123:0.123:0.123) (0.112:0.112:0.112))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__or2_1")
(INSTANCE _4715_)
(DELAY
(ABSOLUTE
(IOPATH A X (0.123:0.123:0.123) (0.231:0.231:0.231))
(IOPATH B X (0.111:0.111:0.111) (0.206:0.206:0.206))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__or2_2")
(INSTANCE _4716_)
(DELAY
(ABSOLUTE
(IOPATH A X (0.158:0.159:0.159) (0.355:0.355:0.355))
(IOPATH B X (0.184:0.184:0.184) (0.341:0.341:0.341))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__clkbuf_2")
(INSTANCE _4717_)
(DELAY
(ABSOLUTE
(IOPATH A X (0.229:0.229:0.229) (0.204:0.204:0.204))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__a21o_1")
(INSTANCE _4718_)
(DELAY
(ABSOLUTE
(IOPATH A1 X (0.133:0.133:0.134) (0.176:0.177:0.179))
(IOPATH A2 X (0.114:0.114:0.114) (0.181:0.181:0.181))
(IOPATH B1 X (0.114:0.114:0.114) (0.182:0.182:0.182))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__clkbuf_2")
(INSTANCE _4719_)
(DELAY
(ABSOLUTE
(IOPATH A X (0.299:0.299:0.299) (0.257:0.257:0.257))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__clkbuf_2")
(INSTANCE _4720_)
(DELAY
(ABSOLUTE
(IOPATH A X (0.233:0.233:0.233) (0.212:0.212:0.212))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__o211a_1")
(INSTANCE _4721_)
(DELAY
(ABSOLUTE
(IOPATH A1 X (0.168:0.168:0.168) (0.225:0.225:0.225))
(IOPATH A2 X (0.149:0.149:0.149) (0.218:0.218:0.218))
(IOPATH B1 X (0.136:0.136:0.137) (0.115:0.116:0.116))
(IOPATH C1 X (0.157:0.157:0.157) (0.128:0.128:0.128))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__xnor2_1")
(INSTANCE _4722_)
(DELAY
(ABSOLUTE
(IOPATH A Y (0.180:0.180:0.180) (0.165:0.165:0.165))
(IOPATH A Y (0.251:0.251:0.251) (0.126:0.126:0.126))
(IOPATH B Y (0.176:0.176:0.176) (0.157:0.157:0.157))
(IOPATH B Y (0.227:0.227:0.227) (0.110:0.110:0.110))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__nand2_1")
(INSTANCE _4723_)
(DELAY
(ABSOLUTE
(IOPATH A Y (0.076:0.077:0.078) (0.066:0.066:0.067))
(IOPATH B Y (0.076:0.079:0.082) (0.067:0.077:0.086))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__or2_1")
(INSTANCE _4724_)
(DELAY
(ABSOLUTE
(IOPATH A X (0.121:0.121:0.122) (0.242:0.243:0.244))
(IOPATH B X (0.116:0.129:0.141) (0.216:0.219:0.222))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__a21o_1")
(INSTANCE _4725_)
(DELAY
(ABSOLUTE
(IOPATH A1 X (0.107:0.107:0.108) (0.153:0.156:0.160))
(IOPATH A2 X (0.111:0.111:0.112) (0.179:0.179:0.179))
(IOPATH B1 X (0.111:0.111:0.111) (0.180:0.180:0.180))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__o211a_1")
(INSTANCE _4726_)
(DELAY
(ABSOLUTE
(IOPATH A1 X (0.176:0.176:0.176) (0.232:0.232:0.232))
(IOPATH A2 X (0.155:0.155:0.155) (0.224:0.224:0.224))
(IOPATH B1 X (0.141:0.142:0.142) (0.120:0.120:0.120))
(IOPATH C1 X (0.164:0.164:0.164) (0.133:0.133:0.133))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__nand2_1")
(INSTANCE _4727_)
(DELAY
(ABSOLUTE
(IOPATH A Y (0.085:0.085:0.085) (0.088:0.088:0.088))
(IOPATH B Y (0.086:0.086:0.086) (0.084:0.084:0.084))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__o21ai_1")
(INSTANCE _4728_)
(DELAY
(ABSOLUTE
(IOPATH A1 Y (0.300:0.301:0.302) (0.152:0.152:0.153))
(IOPATH A2 Y (0.281:0.284:0.286) (0.133:0.150:0.168))
(IOPATH B1 Y (0.183:0.186:0.188) (0.128:0.129:0.130))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__nand2_1")
(INSTANCE _4729_)
(DELAY
(ABSOLUTE
(IOPATH A Y (0.130:0.130:0.130) (0.132:0.132:0.132))
(IOPATH B Y (0.121:0.121:0.121) (0.112:0.112:0.112))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__or2_1")
(INSTANCE _4730_)
(DELAY
(ABSOLUTE
(IOPATH A X (0.127:0.127:0.127) (0.234:0.234:0.234))
(IOPATH B X (0.107:0.107:0.107) (0.201:0.201:0.201))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__and2_1")
(INSTANCE _4731_)
(DELAY
(ABSOLUTE
(IOPATH A X (0.183:0.183:0.184) (0.181:0.184:0.188))
(IOPATH B X (0.161:0.162:0.162) (0.180:0.180:0.180))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__nand2_1")
(INSTANCE _4732_)
(DELAY
(ABSOLUTE
(IOPATH A Y (0.140:0.144:0.148) (0.144:0.154:0.164))
(IOPATH B Y (0.124:0.124:0.125) (0.112:0.112:0.112))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__or2_1")
(INSTANCE _4733_)
(DELAY
(ABSOLUTE
(IOPATH A X (0.146:0.153:0.160) (0.251:0.254:0.258))
(IOPATH B X (0.117:0.117:0.117) (0.214:0.214:0.214))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__clkbuf_2")
(INSTANCE _4734_)
(DELAY
(ABSOLUTE
(IOPATH A X (0.231:0.231:0.231) (0.205:0.205:0.205))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__a21o_1")
(INSTANCE _4735_)
(DELAY
(ABSOLUTE
(IOPATH A1 X (0.122:0.122:0.123) (0.167:0.175:0.182))
(IOPATH A2 X (0.105:0.105:0.105) (0.173:0.173:0.173))
(IOPATH B1 X (0.105:0.105:0.105) (0.174:0.174:0.174))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__o211a_1")
(INSTANCE _4736_)
(DELAY
(ABSOLUTE
(IOPATH A1 X (0.175:0.175:0.175) (0.231:0.231:0.231))
(IOPATH A2 X (0.146:0.146:0.146) (0.216:0.216:0.216))
(IOPATH B1 X (0.130:0.130:0.130) (0.110:0.111:0.111))
(IOPATH C1 X (0.154:0.154:0.154) (0.126:0.126:0.126))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__nor2_1")
(INSTANCE _4737_)
(DELAY
(ABSOLUTE
(IOPATH A Y (0.155:0.155:0.155) (0.081:0.081:0.081))
(IOPATH B Y (0.126:0.126:0.126) (0.073:0.073:0.073))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__and2_1")
(INSTANCE _4738_)
(DELAY
(ABSOLUTE
(IOPATH A X (0.208:0.208:0.208) (0.203:0.203:0.203))
(IOPATH B X (0.209:0.209:0.209) (0.205:0.205:0.205))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__nor2_1")
(INSTANCE _4739_)
(DELAY
(ABSOLUTE
(IOPATH A Y (0.270:0.270:0.270) (0.110:0.110:0.110))
(IOPATH B Y (0.260:0.261:0.261) (0.109:0.109:0.109))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__a21oi_1")
(INSTANCE _4740_)
(DELAY
(ABSOLUTE
(IOPATH A1 Y (0.156:0.159:0.162) (0.083:0.084:0.084))
(IOPATH A2 Y (0.174:0.181:0.189) (0.087:0.087:0.088))
(IOPATH B1 Y (0.144:0.144:0.144) (0.083:0.083:0.083))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__a31o_1")
(INSTANCE _4741_)
(DELAY
(ABSOLUTE
(IOPATH A1 X (0.152:0.152:0.153) (0.191:0.194:0.197))
(IOPATH A2 X (0.158:0.158:0.159) (0.221:0.229:0.237))
(IOPATH A3 X (0.194:0.194:0.194) (0.229:0.229:0.229))
(IOPATH B1 X (0.128:0.128:0.128) (0.210:0.210:0.210))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__buf_2")
(INSTANCE _4742_)
(DELAY
(ABSOLUTE
(IOPATH A X (0.364:0.364:0.364) (0.275:0.275:0.275))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__o221a_1")
(INSTANCE _4743_)
(DELAY
(ABSOLUTE
(IOPATH A1 X (0.201:0.201:0.201) (0.264:0.264:0.264))
(IOPATH A2 X (0.188:0.188:0.188) (0.249:0.249:0.250))
(IOPATH B1 X (0.167:0.167:0.167) (0.215:0.215:0.215))
(IOPATH B2 X (0.160:0.161:0.161) (0.194:0.195:0.195))
(IOPATH C1 X (0.231:0.231:0.231) (0.158:0.158:0.158))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__and2_1")
(INSTANCE _4744_)
(DELAY
(ABSOLUTE
(IOPATH A X (0.210:0.210:0.210) (0.190:0.190:0.190))
(IOPATH B X (0.201:0.201:0.201) (0.201:0.201:0.201))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__nor2_1")
(INSTANCE _4745_)
(DELAY
(ABSOLUTE
(IOPATH A Y (0.153:0.153:0.153) (0.092:0.092:0.092))
(IOPATH B Y (0.132:0.132:0.132) (0.076:0.076:0.076))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__nor2_1")
(INSTANCE _4746_)
(DELAY
(ABSOLUTE
(IOPATH A Y (0.238:0.238:0.238) (0.099:0.099:0.099))
(IOPATH B Y (0.220:0.222:0.223) (0.097:0.097:0.097))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__or2_1")
(INSTANCE _4747_)
(DELAY
(ABSOLUTE
(IOPATH A X (0.128:0.128:0.128) (0.236:0.236:0.236))
(IOPATH B X (0.126:0.126:0.126) (0.218:0.218:0.218))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__a31o_1")
(INSTANCE _4748_)
(DELAY
(ABSOLUTE
(IOPATH A1 X (0.165:0.165:0.165) (0.189:0.189:0.189))
(IOPATH A2 X (0.152:0.152:0.152) (0.204:0.204:0.204))
(IOPATH A3 X (0.138:0.138:0.138) (0.212:0.212:0.212))
(IOPATH B1 X (0.115:0.115:0.115) (0.188:0.188:0.189))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__a31o_1")
(INSTANCE _4749_)
(DELAY
(ABSOLUTE
(IOPATH A1 X (0.273:0.281:0.289) (0.257:0.261:0.265))
(IOPATH A2 X (0.250:0.250:0.250) (0.269:0.269:0.269))
(IOPATH A3 X (0.289:0.289:0.289) (0.287:0.287:0.288))
(IOPATH B1 X (0.188:0.188:0.189) (0.239:0.239:0.239))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__xor2_1")
(INSTANCE _4750_)
(DELAY
(ABSOLUTE
(IOPATH A X (0.170:0.171:0.171) (0.112:0.112:0.112))
(IOPATH A X (0.187:0.187:0.187) (0.169:0.169:0.169))
(IOPATH B X (0.160:0.161:0.161) (0.095:0.096:0.096))
(IOPATH B X (0.177:0.177:0.177) (0.160:0.160:0.161))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__or2_1")
(INSTANCE _4751_)
(DELAY
(ABSOLUTE
(IOPATH A X (0.133:0.133:0.133) (0.240:0.240:0.240))
(IOPATH B X (0.120:0.120:0.120) (0.222:0.222:0.222))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__o211a_1")
(INSTANCE _4752_)
(DELAY
(ABSOLUTE
(IOPATH A1 X (0.172:0.172:0.172) (0.239:0.239:0.239))
(IOPATH A2 X (0.142:0.143:0.145) (0.194:0.200:0.206))
(IOPATH B1 X (0.133:0.133:0.133) (0.119:0.119:0.119))
(IOPATH C1 X (0.155:0.155:0.155) (0.126:0.126:0.126))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__a21oi_2")
(INSTANCE _4753_)
(DELAY
(ABSOLUTE
(IOPATH A1 Y (0.240:0.240:0.240) (0.138:0.139:0.139))
(IOPATH A2 Y (0.256:0.257:0.257) (0.120:0.120:0.120))
(IOPATH B1 Y (0.213:0.213:0.214) (0.075:0.075:0.075))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__nor2_1")
(INSTANCE _4754_)
(DELAY
(ABSOLUTE
(IOPATH A Y (0.247:0.247:0.247) (0.127:0.127:0.127))
(IOPATH B Y (0.213:0.213:0.213) (0.091:0.091:0.091))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__nand2_1")
(INSTANCE _4755_)
(DELAY
(ABSOLUTE
(IOPATH A Y (0.144:0.144:0.144) (0.146:0.146:0.146))
(IOPATH B Y (0.128:0.128:0.128) (0.116:0.116:0.116))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__and2b_1")
(INSTANCE _4756_)
(DELAY
(ABSOLUTE
(IOPATH A_N X (0.248:0.254:0.259) (0.251:0.251:0.251))
(IOPATH B X (0.191:0.191:0.192) (0.209:0.214:0.219))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__nor2_1")
(INSTANCE _4757_)
(DELAY
(ABSOLUTE
(IOPATH A Y (0.111:0.119:0.128) (0.082:0.082:0.082))
(IOPATH B Y (0.099:0.100:0.100) (0.055:0.055:0.055))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__a21o_1")
(INSTANCE _4758_)
(DELAY
(ABSOLUTE
(IOPATH A1 X (0.147:0.147:0.147) (0.155:0.164:0.174))
(IOPATH A2 X (0.126:0.126:0.126) (0.180:0.180:0.180))
(IOPATH B1 X (0.106:0.106:0.106) (0.175:0.175:0.175))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__or2_1")
(INSTANCE _4759_)
(DELAY
(ABSOLUTE
(IOPATH A X (0.122:0.122:0.122) (0.231:0.231:0.231))
(IOPATH B X (0.121:0.121:0.121) (0.223:0.223:0.223))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__o211a_1")
(INSTANCE _4760_)
(DELAY
(ABSOLUTE
(IOPATH A1 X (0.155:0.155:0.155) (0.211:0.216:0.220))
(IOPATH A2 X (0.123:0.124:0.124) (0.193:0.193:0.193))
(IOPATH B1 X (0.134:0.134:0.134) (0.120:0.121:0.121))
(IOPATH C1 X (0.157:0.157:0.157) (0.128:0.128:0.128))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__xor2_1")
(INSTANCE _4761_)
(DELAY
(ABSOLUTE
(IOPATH A X (0.291:0.291:0.291) (0.161:0.161:0.161))
(IOPATH A X (0.284:0.284:0.284) (0.223:0.223:0.223))
(IOPATH B X (0.259:0.259:0.259) (0.145:0.145:0.145))
(IOPATH B X (0.274:0.274:0.274) (0.192:0.192:0.192))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__inv_2")
(INSTANCE _4762_)
(DELAY
(ABSOLUTE
(IOPATH A Y (0.076:0.085:0.095) (0.080:0.081:0.082))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__a211o_1")
(INSTANCE _4763_)
(DELAY
(ABSOLUTE
(IOPATH A1 X (0.203:0.203:0.204) (0.292:0.301:0.310))
(IOPATH A2 X (0.178:0.178:0.178) (0.341:0.345:0.349))
(IOPATH B1 X (0.135:0.136:0.137) (0.308:0.308:0.309))
(IOPATH C1 X (0.175:0.175:0.175) (0.267:0.271:0.275))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__a21o_1")
(INSTANCE _4764_)
(DELAY
(ABSOLUTE
(IOPATH A1 X (0.171:0.172:0.172) (0.174:0.184:0.194))
(IOPATH A2 X (0.153:0.153:0.154) (0.209:0.214:0.218))
(IOPATH B1 X (0.141:0.141:0.141) (0.175:0.180:0.185))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__nand2_1")
(INSTANCE _4765_)
(DELAY
(ABSOLUTE
(IOPATH A Y (0.097:0.098:0.098) (0.076:0.077:0.078))
(IOPATH B Y (0.097:0.097:0.098) (0.083:0.084:0.084))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__a21o_1")
(INSTANCE _4766_)
(DELAY
(ABSOLUTE
(IOPATH A1 X (0.116:0.117:0.117) (0.163:0.164:0.164))
(IOPATH A2 X (0.118:0.118:0.119) (0.180:0.181:0.181))
(IOPATH B1 X (0.107:0.107:0.107) (0.176:0.176:0.176))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__dlymetal6s2s_1")
(INSTANCE _4767_)
(DELAY
(ABSOLUTE
(IOPATH A X (0.246:0.246:0.246) (0.242:0.242:0.242))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__o211a_1")
(INSTANCE _4768_)
(DELAY
(ABSOLUTE
(IOPATH A1 X (0.178:0.178:0.178) (0.234:0.234:0.234))
(IOPATH A2 X (0.150:0.150:0.150) (0.219:0.219:0.219))
(IOPATH B1 X (0.134:0.135:0.135) (0.114:0.114:0.115))
(IOPATH C1 X (0.171:0.171:0.171) (0.128:0.128:0.128))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__nand2_1")
(INSTANCE _4769_)
(DELAY
(ABSOLUTE
(IOPATH A Y (0.130:0.130:0.130) (0.139:0.139:0.139))
(IOPATH B Y (0.125:0.125:0.125) (0.122:0.122:0.122))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__nand2_1")
(INSTANCE _4770_)
(DELAY
(ABSOLUTE
(IOPATH A Y (0.163:0.163:0.163) (0.168:0.168:0.168))
(IOPATH B Y (0.157:0.157:0.157) (0.150:0.150:0.150))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__or2_1")
(INSTANCE _4771_)
(DELAY
(ABSOLUTE
(IOPATH A X (0.206:0.206:0.206) (0.298:0.298:0.298))
(IOPATH B X (0.190:0.190:0.190) (0.270:0.270:0.270))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__and4_1")
(INSTANCE _4772_)
(DELAY
(ABSOLUTE
(IOPATH A X (0.170:0.170:0.170) (0.157:0.162:0.167))
(IOPATH B X (0.179:0.180:0.180) (0.177:0.177:0.178))
(IOPATH C X (0.196:0.196:0.197) (0.212:0.215:0.219))
(IOPATH D X (0.196:0.196:0.196) (0.207:0.207:0.207))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__a22o_1")
(INSTANCE _4773_)
(DELAY
(ABSOLUTE
(IOPATH A1 X (0.136:0.136:0.137) (0.214:0.218:0.222))
(IOPATH A2 X (0.139:0.140:0.140) (0.231:0.232:0.233))
(IOPATH B1 X (0.139:0.140:0.140) (0.198:0.201:0.204))
(IOPATH B2 X (0.136:0.136:0.136) (0.205:0.205:0.205))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__or3b_1")
(INSTANCE _4774_)
(DELAY
(ABSOLUTE
(IOPATH A X (0.104:0.104:0.104) (0.370:0.371:0.372))
(IOPATH B X (0.115:0.115:0.115) (0.363:0.363:0.364))
(IOPATH C_N X (0.157:0.158:0.158) (0.344:0.344:0.344))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__o211a_1")
(INSTANCE _4775_)
(DELAY
(ABSOLUTE
(IOPATH A1 X (0.182:0.182:0.182) (0.237:0.237:0.237))
(IOPATH A2 X (0.155:0.155:0.155) (0.224:0.224:0.224))
(IOPATH B1 X (0.141:0.141:0.141) (0.135:0.135:0.135))
(IOPATH C1 X (0.177:0.177:0.177) (0.132:0.132:0.132))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__and3_1")
(INSTANCE _4776_)
(DELAY
(ABSOLUTE
(IOPATH A X (0.232:0.234:0.235) (0.172:0.181:0.191))
(IOPATH B X (0.208:0.208:0.209) (0.216:0.219:0.223))
(IOPATH C X (0.205:0.205:0.205) (0.217:0.217:0.217))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__and3_1")
(INSTANCE _4777_)
(DELAY
(ABSOLUTE
(IOPATH A X (0.196:0.196:0.196) (0.149:0.150:0.150))
(IOPATH B X (0.162:0.162:0.162) (0.168:0.168:0.168))
(IOPATH C X (0.159:0.159:0.160) (0.177:0.178:0.179))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__nand2_1")
(INSTANCE _4778_)
(DELAY
(ABSOLUTE
(IOPATH A Y (0.129:0.129:0.129) (0.135:0.135:0.135))
(IOPATH B Y (0.128:0.128:0.128) (0.125:0.125:0.125))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__a21oi_1")
(INSTANCE _4779_)
(DELAY
(ABSOLUTE
(IOPATH A1 Y (0.160:0.163:0.166) (0.081:0.082:0.083))
(IOPATH A2 Y (0.179:0.183:0.187) (0.090:0.090:0.090))
(IOPATH B1 Y (0.142:0.147:0.151) (0.079:0.079:0.079))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__and3_1")
(INSTANCE _4780_)
(DELAY
(ABSOLUTE
(IOPATH A X (0.202:0.202:0.202) (0.178:0.178:0.178))
(IOPATH B X (0.187:0.187:0.187) (0.184:0.184:0.184))
(IOPATH C X (0.167:0.167:0.167) (0.191:0.191:0.191))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__a221o_1")
(INSTANCE _4781_)
(DELAY
(ABSOLUTE
(IOPATH A1 X (0.198:0.198:0.198) (0.334:0.334:0.334))
(IOPATH A2 X (0.177:0.177:0.177) (0.356:0.356:0.356))
(IOPATH B1 X (0.153:0.155:0.158) (0.290:0.293:0.296))
(IOPATH B2 X (0.146:0.146:0.146) (0.315:0.316:0.317))
(IOPATH C1 X (0.101:0.102:0.102) (0.252:0.252:0.253))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__a21o_1")
(INSTANCE _4782_)
(DELAY
(ABSOLUTE
(IOPATH A1 X (0.196:0.196:0.197) (0.213:0.214:0.214))
(IOPATH A2 X (0.167:0.167:0.168) (0.210:0.210:0.211))
(IOPATH B1 X (0.136:0.137:0.138) (0.193:0.194:0.194))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__nand2_1")
(INSTANCE _4783_)
(DELAY
(ABSOLUTE
(IOPATH A Y (0.172:0.172:0.172) (0.164:0.164:0.164))
(IOPATH B Y (0.190:0.190:0.190) (0.153:0.153:0.153))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__or2_1")
(INSTANCE _4784_)
(DELAY
(ABSOLUTE
(IOPATH A X (0.118:0.118:0.118) (0.226:0.226:0.226))
(IOPATH B X (0.101:0.101:0.101) (0.196:0.196:0.196))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__and2_1")
(INSTANCE _4785_)
(DELAY
(ABSOLUTE
(IOPATH A X (0.211:0.211:0.211) (0.211:0.212:0.213))
(IOPATH B X (0.171:0.171:0.171) (0.186:0.186:0.186))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__nand2_1")
(INSTANCE _4786_)
(DELAY
(ABSOLUTE
(IOPATH A Y (0.194:0.194:0.195) (0.171:0.171:0.172))
(IOPATH B Y (0.199:0.199:0.199) (0.174:0.175:0.175))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__or2_1")
(INSTANCE _4787_)
(DELAY
(ABSOLUTE
(IOPATH A X (0.131:0.131:0.131) (0.244:0.245:0.245))
(IOPATH B X (0.129:0.129:0.129) (0.224:0.225:0.225))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__and2_1")
(INSTANCE _4788_)
(DELAY
(ABSOLUTE
(IOPATH A X (0.192:0.192:0.193) (0.206:0.207:0.207))
(IOPATH B X (0.152:0.152:0.152) (0.177:0.177:0.177))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__or2_1")
(INSTANCE _4789_)
(DELAY
(ABSOLUTE
(IOPATH A X (0.148:0.148:0.148) (0.255:0.255:0.255))
(IOPATH B X (0.156:0.156:0.156) (0.230:0.245:0.260))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__o211a_1")
(INSTANCE _4790_)
(DELAY
(ABSOLUTE
(IOPATH A1 X (0.178:0.178:0.178) (0.245:0.245:0.245))
(IOPATH A2 X (0.140:0.140:0.141) (0.205:0.205:0.205))
(IOPATH B1 X (0.144:0.144:0.144) (0.128:0.128:0.128))
(IOPATH C1 X (0.175:0.175:0.175) (0.131:0.131:0.131))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__nor2_1")
(INSTANCE _4791_)
(DELAY
(ABSOLUTE
(IOPATH A Y (0.237:0.237:0.237) (0.121:0.121:0.121))
(IOPATH B Y (0.214:0.214:0.214) (0.102:0.102:0.102))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__nand2_1")
(INSTANCE _4792_)
(DELAY
(ABSOLUTE
(IOPATH A Y (0.151:0.151:0.151) (0.151:0.151:0.151))
(IOPATH B Y (0.147:0.147:0.147) (0.136:0.136:0.136))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__and2b_1")
(INSTANCE _4793_)
(DELAY
(ABSOLUTE
(IOPATH A_N X (0.228:0.230:0.233) (0.233:0.233:0.233))
(IOPATH B X (0.170:0.170:0.170) (0.201:0.203:0.206))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__a21oi_1")
(INSTANCE _4794_)
(DELAY
(ABSOLUTE
(IOPATH A1 Y (0.188:0.189:0.190) (0.104:0.105:0.105))
(IOPATH A2 Y (0.215:0.215:0.215) (0.115:0.115:0.116))
(IOPATH B1 Y (0.145:0.145:0.145) (0.055:0.055:0.055))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__a31o_1")
(INSTANCE _4795_)
(DELAY
(ABSOLUTE
(IOPATH A1 X (0.161:0.162:0.162) (0.207:0.208:0.209))
(IOPATH A2 X (0.174:0.175:0.175) (0.247:0.247:0.247))
(IOPATH A3 X (0.144:0.144:0.144) (0.211:0.211:0.211))
(IOPATH B1 X (0.100:0.100:0.101) (0.193:0.193:0.193))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__o221a_1")
(INSTANCE _4796_)
(DELAY
(ABSOLUTE
(IOPATH A1 X (0.293:0.293:0.293) (0.369:0.369:0.369))
(IOPATH A2 X (0.198:0.198:0.198) (0.256:0.256:0.256))
(IOPATH B1 X (0.198:0.201:0.203) (0.219:0.226:0.232))
(IOPATH B2 X (0.169:0.169:0.169) (0.203:0.203:0.203))
(IOPATH C1 X (0.241:0.241:0.241) (0.163:0.163:0.163))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__nand2_1")
(INSTANCE _4797_)
(DELAY
(ABSOLUTE
(IOPATH A Y (0.232:0.232:0.232) (0.229:0.229:0.229))
(IOPATH B Y (0.221:0.221:0.221) (0.201:0.201:0.201))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__or2_1")
(INSTANCE _4798_)
(DELAY
(ABSOLUTE
(IOPATH A X (0.197:0.197:0.197) (0.294:0.294:0.294))
(IOPATH B X (0.177:0.177:0.177) (0.262:0.262:0.262))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__nand2_1")
(INSTANCE _4799_)
(DELAY
(ABSOLUTE
(IOPATH A Y (0.216:0.218:0.219) (0.187:0.188:0.188))
(IOPATH B Y (0.177:0.177:0.177) (0.148:0.148:0.148))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__a31o_1")
(INSTANCE _4800_)
(DELAY
(ABSOLUTE
(IOPATH A1 X (0.182:0.182:0.183) (0.221:0.222:0.224))
(IOPATH A2 X (0.195:0.195:0.196) (0.262:0.262:0.262))
(IOPATH A3 X (0.176:0.177:0.177) (0.250:0.252:0.255))
(IOPATH B1 X (0.153:0.153:0.153) (0.204:0.206:0.208))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__xor2_1")
(INSTANCE _4801_)
(DELAY
(ABSOLUTE
(IOPATH A X (0.213:0.217:0.221) (0.104:0.105:0.105))
(IOPATH A X (0.192:0.192:0.192) (0.200:0.203:0.207))
(IOPATH B X (0.159:0.159:0.160) (0.074:0.074:0.074))
(IOPATH B X (0.176:0.176:0.176) (0.147:0.147:0.148))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__or2_1")
(INSTANCE _4802_)
(DELAY
(ABSOLUTE
(IOPATH A X (0.142:0.142:0.142) (0.249:0.249:0.249))
(IOPATH B X (0.154:0.154:0.154) (0.228:0.243:0.258))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__o211a_1")
(INSTANCE _4803_)
(DELAY
(ABSOLUTE
(IOPATH A1 X (0.184:0.184:0.184) (0.250:0.250:0.250))
(IOPATH A2 X (0.158:0.159:0.161) (0.207:0.211:0.215))
(IOPATH B1 X (0.149:0.149:0.149) (0.132:0.132:0.132))
(IOPATH C1 X (0.180:0.180:0.180) (0.135:0.135:0.135))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__a311o_1")
(INSTANCE _4804_)
(DELAY
(ABSOLUTE
(IOPATH A1 X (0.223:0.223:0.224) (0.323:0.324:0.325))
(IOPATH A2 X (0.237:0.238:0.238) (0.380:0.380:0.380))
(IOPATH A3 X (0.217:0.217:0.218) (0.396:0.398:0.401))
(IOPATH B1 X (0.177:0.178:0.178) (0.373:0.377:0.381))
(IOPATH C1 X (0.185:0.185:0.185) (0.304:0.306:0.308))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__xor2_1")
(INSTANCE _4805_)
(DELAY
(ABSOLUTE
(IOPATH A X (0.293:0.293:0.293) (0.139:0.139:0.139))
(IOPATH A X (0.291:0.291:0.291) (0.208:0.208:0.208))
(IOPATH B X (0.262:0.262:0.262) (0.117:0.117:0.117))
(IOPATH B X (0.281:0.281:0.281) (0.177:0.177:0.177))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__a21oi_1")
(INSTANCE _4806_)
(DELAY
(ABSOLUTE
(IOPATH A1 Y (0.205:0.206:0.207) (0.116:0.116:0.117))
(IOPATH A2 Y (0.175:0.177:0.178) (0.083:0.084:0.085))
(IOPATH B1 Y (0.151:0.157:0.163) (0.087:0.088:0.088))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__a31o_1")
(INSTANCE _4807_)
(DELAY
(ABSOLUTE
(IOPATH A1 X (0.184:0.184:0.185) (0.233:0.234:0.235))
(IOPATH A2 X (0.153:0.153:0.154) (0.214:0.215:0.217))
(IOPATH A3 X (0.192:0.193:0.194) (0.227:0.233:0.240))
(IOPATH B1 X (0.129:0.129:0.129) (0.211:0.211:0.211))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__or2_1")
(INSTANCE _4808_)
(DELAY
(ABSOLUTE
(IOPATH A X (0.138:0.138:0.138) (0.246:0.246:0.246))
(IOPATH B X (0.156:0.156:0.157) (0.231:0.246:0.261))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__o211a_1")
(INSTANCE _4809_)
(DELAY
(ABSOLUTE
(IOPATH A1 X (0.167:0.168:0.170) (0.220:0.226:0.231))
(IOPATH A2 X (0.129:0.130:0.130) (0.197:0.197:0.198))
(IOPATH B1 X (0.141:0.141:0.142) (0.126:0.126:0.126))
(IOPATH C1 X (0.172:0.172:0.172) (0.128:0.128:0.128))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__nor2_1")
(INSTANCE _4810_)
(DELAY
(ABSOLUTE
(IOPATH A Y (0.708:0.708:0.708) (0.276:0.276:0.276))
(IOPATH B Y (0.670:0.670:0.670) (0.231:0.231:0.231))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__clkbuf_2")
(INSTANCE _4811_)
(DELAY
(ABSOLUTE
(IOPATH A X (0.327:0.327:0.327) (0.242:0.244:0.245))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__clkbuf_2")
(INSTANCE _4812_)
(DELAY
(ABSOLUTE
(IOPATH A X (0.191:0.191:0.191) (0.171:0.171:0.171))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__nand2_1")
(INSTANCE _4813_)
(DELAY
(ABSOLUTE
(IOPATH A Y (0.096:0.096:0.096) (0.097:0.097:0.097))
(IOPATH B Y (0.093:0.093:0.093) (0.090:0.090:0.090))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__or2_1")
(INSTANCE _4814_)
(DELAY
(ABSOLUTE
(IOPATH A X (0.139:0.139:0.139) (0.247:0.247:0.247))
(IOPATH B X (0.127:0.127:0.127) (0.220:0.220:0.220))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__or2_1")
(INSTANCE _4815_)
(DELAY
(ABSOLUTE
(IOPATH A X (0.210:0.212:0.214) (0.319:0.319:0.320))
(IOPATH B X (0.235:0.235:0.235) (0.310:0.310:0.311))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__clkbuf_2")
(INSTANCE _4816_)
(DELAY
(ABSOLUTE
(IOPATH A X (0.218:0.218:0.218) (0.192:0.192:0.192))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__a21o_1")
(INSTANCE _4817_)
(DELAY
(ABSOLUTE
(IOPATH A1 X (0.152:0.152:0.152) (0.182:0.182:0.182))
(IOPATH A2 X (0.118:0.118:0.118) (0.184:0.184:0.184))
(IOPATH B1 X (0.111:0.111:0.111) (0.175:0.175:0.175))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__dlymetal6s2s_1")
(INSTANCE _4818_)
(DELAY
(ABSOLUTE
(IOPATH A X (0.246:0.246:0.246) (0.242:0.242:0.242))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__o211a_1")
(INSTANCE _4819_)
(DELAY
(ABSOLUTE
(IOPATH A1 X (0.168:0.168:0.168) (0.224:0.224:0.224))
(IOPATH A2 X (0.143:0.143:0.143) (0.208:0.208:0.208))
(IOPATH B1 X (0.139:0.139:0.139) (0.119:0.119:0.119))
(IOPATH C1 X (0.172:0.172:0.172) (0.127:0.127:0.127))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__xnor2_1")
(INSTANCE _4820_)
(DELAY
(ABSOLUTE
(IOPATH A Y (0.137:0.137:0.137) (0.139:0.139:0.139))
(IOPATH A Y (0.162:0.162:0.162) (0.094:0.094:0.094))
(IOPATH B Y (0.126:0.126:0.126) (0.140:0.140:0.140))
(IOPATH B Y (0.147:0.147:0.147) (0.073:0.073:0.073))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__nand2_1")
(INSTANCE _4821_)
(DELAY
(ABSOLUTE
(IOPATH A Y (0.094:0.094:0.094) (0.094:0.094:0.094))
(IOPATH B Y (0.099:0.099:0.099) (0.079:0.079:0.079))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__or2_1")
(INSTANCE _4822_)
(DELAY
(ABSOLUTE
(IOPATH A X (0.148:0.148:0.148) (0.254:0.254:0.254))
(IOPATH B X (0.125:0.125:0.125) (0.234:0.234:0.234))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__buf_2")
(INSTANCE _4823_)
(DELAY
(ABSOLUTE
(IOPATH A X (0.214:0.214:0.214) (0.194:0.194:0.194))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__a21o_1")
(INSTANCE _4824_)
(DELAY
(ABSOLUTE
(IOPATH A1 X (0.103:0.103:0.103) (0.150:0.150:0.150))
(IOPATH A2 X (0.111:0.111:0.112) (0.178:0.178:0.178))
(IOPATH B1 X (0.102:0.102:0.102) (0.158:0.158:0.158))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__o211a_1")
(INSTANCE _4825_)
(DELAY
(ABSOLUTE
(IOPATH A1 X (0.167:0.167:0.167) (0.224:0.224:0.224))
(IOPATH A2 X (0.137:0.137:0.137) (0.205:0.205:0.205))
(IOPATH B1 X (0.132:0.132:0.132) (0.115:0.115:0.115))
(IOPATH C1 X (0.166:0.166:0.166) (0.124:0.124:0.124))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__nand2_1")
(INSTANCE _4826_)
(DELAY
(ABSOLUTE
(IOPATH A Y (0.093:0.093:0.093) (0.094:0.094:0.094))
(IOPATH B Y (0.104:0.104:0.104) (0.082:0.082:0.082))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__o21ai_1")
(INSTANCE _4827_)
(DELAY
(ABSOLUTE
(IOPATH A1 Y (0.286:0.286:0.286) (0.168:0.168:0.168))
(IOPATH A2 Y (0.271:0.272:0.272) (0.126:0.126:0.126))
(IOPATH B1 Y (0.165:0.165:0.165) (0.115:0.115:0.115))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__nand2_1")
(INSTANCE _4828_)
(DELAY
(ABSOLUTE
(IOPATH A Y (0.139:0.139:0.139) (0.142:0.142:0.142))
(IOPATH B Y (0.131:0.131:0.131) (0.123:0.123:0.123))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__or2_1")
(INSTANCE _4829_)
(DELAY
(ABSOLUTE
(IOPATH A X (0.136:0.136:0.136) (0.243:0.243:0.243))
(IOPATH B X (0.119:0.119:0.119) (0.212:0.212:0.212))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__and2_1")
(INSTANCE _4830_)
(DELAY
(ABSOLUTE
(IOPATH A X (0.204:0.205:0.205) (0.195:0.198:0.202))
(IOPATH B X (0.182:0.182:0.183) (0.193:0.193:0.193))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__nand2_1")
(INSTANCE _4831_)
(DELAY
(ABSOLUTE
(IOPATH A Y (0.134:0.139:0.145) (0.138:0.148:0.159))
(IOPATH B Y (0.128:0.128:0.129) (0.118:0.118:0.118))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__or2_1")
(INSTANCE _4832_)
(DELAY
(ABSOLUTE
(IOPATH A X (0.144:0.152:0.159) (0.250:0.254:0.259))
(IOPATH B X (0.126:0.126:0.126) (0.220:0.221:0.221))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__and2_1")
(INSTANCE _4833_)
(DELAY
(ABSOLUTE
(IOPATH A X (0.135:0.136:0.137) (0.152:0.159:0.166))
(IOPATH B X (0.119:0.119:0.119) (0.154:0.154:0.154))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__or2_1")
(INSTANCE _4834_)
(DELAY
(ABSOLUTE
(IOPATH A X (0.156:0.156:0.156) (0.262:0.262:0.262))
(IOPATH B X (0.148:0.148:0.148) (0.249:0.249:0.249))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__o211a_1")
(INSTANCE _4835_)
(DELAY
(ABSOLUTE
(IOPATH A1 X (0.171:0.171:0.171) (0.235:0.235:0.235))
(IOPATH A2 X (0.138:0.138:0.138) (0.212:0.212:0.212))
(IOPATH B1 X (0.147:0.147:0.147) (0.129:0.129:0.129))
(IOPATH C1 X (0.174:0.174:0.174) (0.128:0.128:0.128))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__nor2_1")
(INSTANCE _4836_)
(DELAY
(ABSOLUTE
(IOPATH A Y (0.110:0.110:0.110) (0.068:0.068:0.068))
(IOPATH B Y (0.090:0.090:0.090) (0.054:0.054:0.054))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__and2_1")
(INSTANCE _4837_)
(DELAY
(ABSOLUTE
(IOPATH A X (0.189:0.189:0.189) (0.174:0.174:0.174))
(IOPATH B X (0.181:0.181:0.181) (0.186:0.186:0.186))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__nor2_1")
(INSTANCE _4838_)
(DELAY
(ABSOLUTE
(IOPATH A Y (0.243:0.245:0.246) (0.089:0.089:0.089))
(IOPATH B Y (0.235:0.235:0.235) (0.092:0.093:0.093))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__a21oi_1")
(INSTANCE _4839_)
(DELAY
(ABSOLUTE
(IOPATH A1 Y (0.144:0.147:0.150) (0.080:0.080:0.080))
(IOPATH A2 Y (0.159:0.166:0.172) (0.080:0.081:0.081))
(IOPATH B1 Y (0.126:0.126:0.126) (0.073:0.073:0.073))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__a31o_1")
(INSTANCE _4840_)
(DELAY
(ABSOLUTE
(IOPATH A1 X (0.149:0.150:0.150) (0.191:0.194:0.197))
(IOPATH A2 X (0.153:0.153:0.154) (0.218:0.224:0.231))
(IOPATH A3 X (0.186:0.186:0.186) (0.221:0.222:0.222))
(IOPATH B1 X (0.115:0.115:0.115) (0.187:0.187:0.187))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__o221a_1")
(INSTANCE _4841_)
(DELAY
(ABSOLUTE
(IOPATH A1 X (0.199:0.199:0.199) (0.262:0.262:0.262))
(IOPATH A2 X (0.192:0.192:0.192) (0.255:0.255:0.255))
(IOPATH B1 X (0.178:0.180:0.183) (0.214:0.219:0.225))
(IOPATH B2 X (0.157:0.158:0.159) (0.192:0.193:0.193))
(IOPATH C1 X (0.230:0.230:0.230) (0.157:0.157:0.157))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__and2_1")
(INSTANCE _4842_)
(DELAY
(ABSOLUTE
(IOPATH A X (0.196:0.196:0.196) (0.177:0.177:0.177))
(IOPATH B X (0.183:0.183:0.183) (0.201:0.201:0.201))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__nor2_1")
(INSTANCE _4843_)
(DELAY
(ABSOLUTE
(IOPATH A Y (0.173:0.173:0.173) (0.076:0.076:0.076))
(IOPATH B Y (0.157:0.157:0.157) (0.069:0.069:0.069))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__nor2_1")
(INSTANCE _4844_)
(DELAY
(ABSOLUTE
(IOPATH A Y (0.291:0.291:0.291) (0.114:0.114:0.114))
(IOPATH B Y (0.270:0.270:0.271) (0.123:0.123:0.123))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__or2_1")
(INSTANCE _4845_)
(DELAY
(ABSOLUTE
(IOPATH A X (0.144:0.144:0.144) (0.250:0.250:0.250))
(IOPATH B X (0.134:0.134:0.134) (0.226:0.226:0.226))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__a31o_1")
(INSTANCE _4846_)
(DELAY
(ABSOLUTE
(IOPATH A1 X (0.167:0.167:0.167) (0.191:0.191:0.191))
(IOPATH A2 X (0.138:0.138:0.138) (0.201:0.201:0.201))
(IOPATH A3 X (0.140:0.140:0.140) (0.214:0.214:0.214))
(IOPATH B1 X (0.105:0.105:0.105) (0.181:0.182:0.182))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__a31o_1")
(INSTANCE _4847_)
(DELAY
(ABSOLUTE
(IOPATH A1 X (0.259:0.268:0.276) (0.248:0.253:0.258))
(IOPATH A2 X (0.247:0.247:0.247) (0.268:0.268:0.268))
(IOPATH A3 X (0.276:0.276:0.276) (0.278:0.278:0.278))
(IOPATH B1 X (0.177:0.178:0.179) (0.232:0.233:0.233))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__xor2_1")
(INSTANCE _4848_)
(DELAY
(ABSOLUTE
(IOPATH A X (0.166:0.166:0.167) (0.115:0.115:0.115))
(IOPATH A X (0.182:0.182:0.182) (0.172:0.172:0.173))
(IOPATH B X (0.146:0.147:0.147) (0.088:0.088:0.088))
(IOPATH B X (0.163:0.164:0.164) (0.154:0.154:0.155))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__dlymetal6s2s_1")
(INSTANCE _4849_)
(DELAY
(ABSOLUTE
(IOPATH A X (0.331:0.331:0.331) (0.284:0.286:0.288))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__or2_1")
(INSTANCE _4850_)
(DELAY
(ABSOLUTE
(IOPATH A X (0.145:0.145:0.145) (0.252:0.252:0.252))
(IOPATH B X (0.146:0.146:0.146) (0.238:0.238:0.238))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__o211a_1")
(INSTANCE _4851_)
(DELAY
(ABSOLUTE
(IOPATH A1 X (0.168:0.168:0.168) (0.232:0.232:0.232))
(IOPATH A2 X (0.137:0.137:0.137) (0.210:0.210:0.210))
(IOPATH B1 X (0.138:0.138:0.138) (0.122:0.122:0.122))
(IOPATH C1 X (0.171:0.171:0.171) (0.127:0.127:0.127))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__a21oi_2")
(INSTANCE _4852_)
(DELAY
(ABSOLUTE
(IOPATH A1 Y (0.244:0.245:0.246) (0.150:0.150:0.150))
(IOPATH A2 Y (0.252:0.252:0.253) (0.115:0.116:0.116))
(IOPATH B1 Y (0.211:0.212:0.212) (0.075:0.075:0.075))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__nor2_1")
(INSTANCE _4853_)
(DELAY
(ABSOLUTE
(IOPATH A Y (0.265:0.265:0.265) (0.127:0.127:0.127))
(IOPATH B Y (0.269:0.269:0.269) (0.116:0.116:0.116))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__nand2_1")
(INSTANCE _4854_)
(DELAY
(ABSOLUTE
(IOPATH A Y (0.183:0.183:0.183) (0.177:0.177:0.177))
(IOPATH B Y (0.211:0.211:0.211) (0.171:0.171:0.171))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__and2b_1")
(INSTANCE _4855_)
(DELAY
(ABSOLUTE
(IOPATH A_N X (0.272:0.273:0.275) (0.265:0.265:0.265))
(IOPATH B X (0.219:0.219:0.220) (0.243:0.245:0.246))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__o21ai_1")
(INSTANCE _4856_)
(DELAY
(ABSOLUTE
(IOPATH A1 Y (0.170:0.181:0.191) (0.124:0.124:0.125))
(IOPATH A2 Y (0.164:0.164:0.164) (0.091:0.091:0.091))
(IOPATH B1 Y (0.129:0.129:0.129) (0.127:0.127:0.127))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__a21o_1")
(INSTANCE _4857_)
(DELAY
(ABSOLUTE
(IOPATH A1 X (0.165:0.165:0.166) (0.170:0.182:0.194))
(IOPATH A2 X (0.150:0.150:0.150) (0.200:0.200:0.200))
(IOPATH B1 X (0.115:0.118:0.121) (0.167:0.174:0.181))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__o211a_1")
(INSTANCE _4858_)
(DELAY
(ABSOLUTE
(IOPATH A1 X (0.193:0.193:0.193) (0.246:0.246:0.246))
(IOPATH A2 X (0.149:0.149:0.149) (0.215:0.215:0.215))
(IOPATH B1 X (0.148:0.148:0.148) (0.124:0.124:0.124))
(IOPATH C1 X (0.178:0.178:0.178) (0.132:0.132:0.132))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__nand2_1")
(INSTANCE _4859_)
(DELAY
(ABSOLUTE
(IOPATH A Y (0.172:0.172:0.172) (0.157:0.157:0.157))
(IOPATH B Y (0.177:0.177:0.177) (0.169:0.169:0.169))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__or2_1")
(INSTANCE _4860_)
(DELAY
(ABSOLUTE
(IOPATH A X (0.165:0.165:0.165) (0.268:0.268:0.268))
(IOPATH B X (0.179:0.179:0.179) (0.266:0.266:0.266))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__nand2_1")
(INSTANCE _4861_)
(DELAY
(ABSOLUTE
(IOPATH A Y (0.184:0.184:0.184) (0.154:0.154:0.155))
(IOPATH B Y (0.165:0.166:0.166) (0.137:0.137:0.137))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__a21o_1")
(INSTANCE _4862_)
(DELAY
(ABSOLUTE
(IOPATH A1 X (0.145:0.146:0.146) (0.154:0.167:0.179))
(IOPATH A2 X (0.141:0.142:0.142) (0.210:0.211:0.212))
(IOPATH B1 X (0.121:0.121:0.121) (0.163:0.164:0.165))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__xnor2_1")
(INSTANCE _4863_)
(DELAY
(ABSOLUTE
(IOPATH A Y (0.134:0.135:0.135) (0.154:0.156:0.158))
(IOPATH A Y (0.177:0.179:0.181) (0.091:0.092:0.092))
(IOPATH B Y (0.114:0.114:0.114) (0.127:0.127:0.127))
(IOPATH B Y (0.137:0.137:0.137) (0.063:0.063:0.063))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__nand2_1")
(INSTANCE _4864_)
(DELAY
(ABSOLUTE
(IOPATH A Y (0.097:0.097:0.097) (0.087:0.087:0.087))
(IOPATH B Y (0.095:0.095:0.095) (0.073:0.073:0.073))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__clkbuf_2")
(INSTANCE _4865_)
(DELAY
(ABSOLUTE
(IOPATH A X (0.217:0.217:0.217) (0.200:0.200:0.200))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__o211a_1")
(INSTANCE _4866_)
(DELAY
(ABSOLUTE
(IOPATH A1 X (0.193:0.193:0.193) (0.246:0.246:0.246))
(IOPATH A2 X (0.138:0.138:0.138) (0.206:0.206:0.206))
(IOPATH B1 X (0.139:0.139:0.139) (0.122:0.122:0.122))
(IOPATH C1 X (0.148:0.148:0.148) (0.118:0.118:0.118))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__a211o_1")
(INSTANCE _4867_)
(DELAY
(ABSOLUTE
(IOPATH A1 X (0.176:0.176:0.176) (0.268:0.280:0.291))
(IOPATH A2 X (0.165:0.166:0.167) (0.336:0.337:0.338))
(IOPATH B1 X (0.140:0.140:0.140) (0.305:0.306:0.308))
(IOPATH C1 X (0.155:0.155:0.155) (0.249:0.250:0.251))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__nand2_1")
(INSTANCE _4868_)
(DELAY
(ABSOLUTE
(IOPATH A Y (0.149:0.149:0.149) (0.125:0.125:0.125))
(IOPATH B Y (0.148:0.148:0.148) (0.142:0.142:0.142))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__or2_1")
(INSTANCE _4869_)
(DELAY
(ABSOLUTE
(IOPATH A X (0.178:0.178:0.178) (0.277:0.277:0.277))
(IOPATH B X (0.177:0.177:0.177) (0.261:0.261:0.261))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__and4_1")
(INSTANCE _4870_)
(DELAY
(ABSOLUTE
(IOPATH A X (0.187:0.187:0.188) (0.177:0.177:0.178))
(IOPATH B X (0.167:0.167:0.168) (0.166:0.167:0.167))
(IOPATH C X (0.190:0.190:0.191) (0.203:0.204:0.205))
(IOPATH D X (0.189:0.189:0.189) (0.201:0.201:0.202))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__a22o_1")
(INSTANCE _4871_)
(DELAY
(ABSOLUTE
(IOPATH A1 X (0.154:0.155:0.155) (0.230:0.230:0.230))
(IOPATH A2 X (0.126:0.127:0.127) (0.221:0.222:0.223))
(IOPATH B1 X (0.134:0.134:0.135) (0.190:0.191:0.192))
(IOPATH B2 X (0.128:0.128:0.128) (0.200:0.200:0.200))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__or3b_1")
(INSTANCE _4872_)
(DELAY
(ABSOLUTE
(IOPATH A X (0.109:0.109:0.109) (0.377:0.378:0.379))
(IOPATH B X (0.135:0.135:0.135) (0.365:0.365:0.365))
(IOPATH C_N X (0.163:0.163:0.163) (0.350:0.351:0.351))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__o211a_1")
(INSTANCE _4873_)
(DELAY
(ABSOLUTE
(IOPATH A1 X (0.187:0.187:0.187) (0.241:0.241:0.241))
(IOPATH A2 X (0.139:0.139:0.139) (0.206:0.206:0.206))
(IOPATH B1 X (0.134:0.134:0.134) (0.130:0.130:0.130))
(IOPATH C1 X (0.148:0.148:0.148) (0.118:0.118:0.118))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__and4_1")
(INSTANCE _4874_)
(DELAY
(ABSOLUTE
(IOPATH A X (0.224:0.224:0.225) (0.198:0.199:0.199))
(IOPATH B X (0.216:0.216:0.216) (0.199:0.199:0.199))
(IOPATH C X (0.227:0.227:0.228) (0.226:0.227:0.228))
(IOPATH D X (0.226:0.226:0.226) (0.225:0.225:0.225))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__and3_1")
(INSTANCE _4875_)
(DELAY
(ABSOLUTE
(IOPATH A X (0.209:0.209:0.209) (0.157:0.158:0.159))
(IOPATH B X (0.167:0.167:0.167) (0.172:0.172:0.172))
(IOPATH C X (0.157:0.157:0.157) (0.174:0.175:0.176))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__nand2_1")
(INSTANCE _4876_)
(DELAY
(ABSOLUTE
(IOPATH A Y (0.134:0.134:0.134) (0.133:0.133:0.133))
(IOPATH B Y (0.151:0.151:0.151) (0.145:0.145:0.145))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__a21oi_1")
(INSTANCE _4877_)
(DELAY
(ABSOLUTE
(IOPATH A1 Y (0.165:0.166:0.166) (0.088:0.088:0.088))
(IOPATH A2 Y (0.197:0.198:0.199) (0.102:0.102:0.103))
(IOPATH B1 Y (0.147:0.148:0.149) (0.081:0.081:0.081))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__and3_1")
(INSTANCE _4878_)
(DELAY
(ABSOLUTE
(IOPATH A X (0.164:0.164:0.164) (0.147:0.147:0.147))
(IOPATH B X (0.188:0.188:0.188) (0.185:0.185:0.185))
(IOPATH C X (0.164:0.164:0.164) (0.189:0.189:0.189))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__a221o_1")
(INSTANCE _4879_)
(DELAY
(ABSOLUTE
(IOPATH A1 X (0.178:0.178:0.178) (0.324:0.324:0.324))
(IOPATH A2 X (0.179:0.179:0.179) (0.358:0.358:0.358))
(IOPATH B1 X (0.154:0.157:0.160) (0.294:0.298:0.301))
(IOPATH B2 X (0.146:0.146:0.146) (0.316:0.317:0.318))
(IOPATH C1 X (0.104:0.104:0.105) (0.255:0.256:0.256))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__a21o_1")
(INSTANCE _4880_)
(DELAY
(ABSOLUTE
(IOPATH A1 X (0.199:0.199:0.199) (0.214:0.214:0.215))
(IOPATH A2 X (0.172:0.173:0.174) (0.213:0.214:0.214))
(IOPATH B1 X (0.142:0.143:0.144) (0.197:0.198:0.198))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__nand2_1")
(INSTANCE _4881_)
(DELAY
(ABSOLUTE
(IOPATH A Y (0.191:0.191:0.191) (0.191:0.191:0.191))
(IOPATH B Y (0.178:0.178:0.178) (0.163:0.163:0.163))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__or2_1")
(INSTANCE _4882_)
(DELAY
(ABSOLUTE
(IOPATH A X (0.128:0.128:0.128) (0.236:0.236:0.236))
(IOPATH B X (0.121:0.121:0.121) (0.214:0.214:0.214))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__and2_1")
(INSTANCE _4883_)
(DELAY
(ABSOLUTE
(IOPATH A X (0.215:0.215:0.216) (0.215:0.218:0.221))
(IOPATH B X (0.178:0.178:0.178) (0.191:0.191:0.191))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__nand2_1")
(INSTANCE _4884_)
(DELAY
(ABSOLUTE
(IOPATH A Y (0.174:0.174:0.174) (0.155:0.156:0.156))
(IOPATH B Y (0.178:0.178:0.178) (0.158:0.158:0.158))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__or2_1")
(INSTANCE _4885_)
(DELAY
(ABSOLUTE
(IOPATH A X (0.140:0.140:0.140) (0.252:0.252:0.252))
(IOPATH B X (0.137:0.137:0.138) (0.232:0.232:0.232))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__and2_1")
(INSTANCE _4886_)
(DELAY
(ABSOLUTE
(IOPATH A X (0.152:0.153:0.153) (0.174:0.174:0.175))
(IOPATH B X (0.123:0.123:0.123) (0.157:0.157:0.157))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__or2_1")
(INSTANCE _4887_)
(DELAY
(ABSOLUTE
(IOPATH A X (0.129:0.129:0.129) (0.238:0.238:0.238))
(IOPATH B X (0.143:0.143:0.143) (0.235:0.235:0.235))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__o211a_1")
(INSTANCE _4888_)
(DELAY
(ABSOLUTE
(IOPATH A1 X (0.151:0.151:0.151) (0.220:0.220:0.220))
(IOPATH A2 X (0.129:0.130:0.130) (0.197:0.197:0.197))
(IOPATH B1 X (0.139:0.139:0.139) (0.124:0.124:0.124))
(IOPATH C1 X (0.154:0.154:0.154) (0.123:0.123:0.123))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__nor2_1")
(INSTANCE _4889_)
(DELAY
(ABSOLUTE
(IOPATH A Y (0.226:0.226:0.226) (0.121:0.121:0.121))
(IOPATH B Y (0.202:0.202:0.202) (0.100:0.100:0.100))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__nand2_1")
(INSTANCE _4890_)
(DELAY
(ABSOLUTE
(IOPATH A Y (0.186:0.186:0.186) (0.186:0.186:0.186))
(IOPATH B Y (0.181:0.181:0.181) (0.167:0.167:0.167))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__and2b_1")
(INSTANCE _4891_)
(DELAY
(ABSOLUTE
(IOPATH A_N X (0.219:0.222:0.225) (0.224:0.224:0.224))
(IOPATH B X (0.171:0.171:0.172) (0.212:0.215:0.217))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__a21oi_1")
(INSTANCE _4892_)
(DELAY
(ABSOLUTE
(IOPATH A1 Y (0.163:0.166:0.169) (0.091:0.092:0.092))
(IOPATH A2 Y (0.180:0.180:0.180) (0.096:0.096:0.096))
(IOPATH B1 Y (0.117:0.117:0.117) (0.045:0.045:0.045))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__a31o_1")
(INSTANCE _4893_)
(DELAY
(ABSOLUTE
(IOPATH A1 X (0.164:0.165:0.165) (0.210:0.213:0.216))
(IOPATH A2 X (0.170:0.170:0.171) (0.239:0.240:0.240))
(IOPATH A3 X (0.144:0.144:0.145) (0.211:0.211:0.211))
(IOPATH B1 X (0.115:0.115:0.115) (0.188:0.188:0.188))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__o221a_1")
(INSTANCE _4894_)
(DELAY
(ABSOLUTE
(IOPATH A1 X (0.223:0.223:0.223) (0.283:0.283:0.283))
(IOPATH A2 X (0.207:0.207:0.207) (0.266:0.266:0.266))
(IOPATH B1 X (0.193:0.196:0.198) (0.221:0.228:0.234))
(IOPATH B2 X (0.172:0.173:0.173) (0.205:0.206:0.206))
(IOPATH C1 X (0.245:0.245:0.245) (0.168:0.168:0.168))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__nand2_1")
(INSTANCE _4895_)
(DELAY
(ABSOLUTE
(IOPATH A Y (0.128:0.128:0.128) (0.131:0.131:0.131))
(IOPATH B Y (0.155:0.155:0.155) (0.126:0.126:0.126))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__or2_1")
(INSTANCE _4896_)
(DELAY
(ABSOLUTE
(IOPATH A X (0.191:0.191:0.191) (0.289:0.289:0.289))
(IOPATH B X (0.184:0.184:0.184) (0.288:0.288:0.288))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__nand2_1")
(INSTANCE _4897_)
(DELAY
(ABSOLUTE
(IOPATH A Y (0.219:0.219:0.219) (0.186:0.186:0.186))
(IOPATH B Y (0.188:0.188:0.188) (0.158:0.158:0.158))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__a31o_1")
(INSTANCE _4898_)
(DELAY
(ABSOLUTE
(IOPATH A1 X (0.180:0.181:0.181) (0.222:0.225:0.227))
(IOPATH A2 X (0.186:0.187:0.187) (0.251:0.252:0.252))
(IOPATH A3 X (0.184:0.184:0.184) (0.263:0.265:0.268))
(IOPATH B1 X (0.148:0.148:0.148) (0.202:0.205:0.207))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__xor2_1")
(INSTANCE _4899_)
(DELAY
(ABSOLUTE
(IOPATH A X (0.185:0.188:0.191) (0.092:0.092:0.092))
(IOPATH A X (0.162:0.162:0.162) (0.193:0.195:0.198))
(IOPATH B X (0.126:0.126:0.127) (0.061:0.061:0.062))
(IOPATH B X (0.144:0.144:0.144) (0.135:0.136:0.136))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__or2_1")
(INSTANCE _4900_)
(DELAY
(ABSOLUTE
(IOPATH A X (0.145:0.145:0.145) (0.251:0.251:0.251))
(IOPATH B X (0.142:0.142:0.142) (0.235:0.235:0.235))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__o211a_1")
(INSTANCE _4901_)
(DELAY
(ABSOLUTE
(IOPATH A1 X (0.167:0.167:0.167) (0.231:0.231:0.231))
(IOPATH A2 X (0.139:0.141:0.143) (0.192:0.196:0.200))
(IOPATH B1 X (0.135:0.135:0.135) (0.119:0.120:0.120))
(IOPATH C1 X (0.150:0.150:0.150) (0.119:0.119:0.119))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__a311o_1")
(INSTANCE _4902_)
(DELAY
(ABSOLUTE
(IOPATH A1 X (0.230:0.231:0.231) (0.330:0.333:0.336))
(IOPATH A2 X (0.236:0.237:0.237) (0.377:0.377:0.377))
(IOPATH A3 X (0.234:0.234:0.235) (0.415:0.417:0.419))
(IOPATH B1 X (0.188:0.188:0.188) (0.384:0.386:0.389))
(IOPATH C1 X (0.188:0.188:0.188) (0.309:0.311:0.314))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__xor2_1")
(INSTANCE _4903_)
(DELAY
(ABSOLUTE
(IOPATH A X (0.223:0.223:0.223) (0.107:0.107:0.107))
(IOPATH A X (0.222:0.222:0.222) (0.183:0.183:0.183))
(IOPATH B X (0.198:0.198:0.198) (0.093:0.093:0.093))
(IOPATH B X (0.218:0.218:0.218) (0.158:0.158:0.158))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__a21oi_1")
(INSTANCE _4904_)
(DELAY
(ABSOLUTE
(IOPATH A1 Y (0.187:0.187:0.187) (0.104:0.104:0.104))
(IOPATH A2 Y (0.166:0.168:0.169) (0.082:0.083:0.084))
(IOPATH B1 Y (0.140:0.140:0.140) (0.050:0.050:0.050))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__a31o_1")
(INSTANCE _4905_)
(DELAY
(ABSOLUTE
(IOPATH A1 X (0.171:0.171:0.171) (0.221:0.221:0.221))
(IOPATH A2 X (0.150:0.150:0.151) (0.211:0.212:0.214))
(IOPATH A3 X (0.170:0.171:0.173) (0.215:0.219:0.223))
(IOPATH B1 X (0.115:0.115:0.115) (0.188:0.188:0.188))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__or2_1")
(INSTANCE _4906_)
(DELAY
(ABSOLUTE
(IOPATH A X (0.144:0.144:0.144) (0.251:0.251:0.251))
(IOPATH B X (0.157:0.157:0.157) (0.247:0.247:0.247))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__o211a_1")
(INSTANCE _4907_)
(DELAY
(ABSOLUTE
(IOPATH A1 X (0.166:0.168:0.170) (0.214:0.221:0.228))
(IOPATH A2 X (0.131:0.131:0.131) (0.199:0.199:0.199))
(IOPATH B1 X (0.145:0.145:0.145) (0.127:0.128:0.128))
(IOPATH C1 X (0.155:0.155:0.155) (0.122:0.122:0.122))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__nor2_4")
(INSTANCE _4908_)
(DELAY
(ABSOLUTE
(IOPATH A Y (0.401:0.401:0.401) (0.159:0.159:0.159))
(IOPATH B Y (0.352:0.352:0.352) (0.123:0.123:0.123))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__dlymetal6s2s_1")
(INSTANCE _4909_)
(DELAY
(ABSOLUTE
(IOPATH A X (0.361:0.361:0.361) (0.263:0.268:0.274))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__clkbuf_2")
(INSTANCE _4910_)
(DELAY
(ABSOLUTE
(IOPATH A X (0.239:0.239:0.239) (0.198:0.198:0.198))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__nand2_1")
(INSTANCE _4911_)
(DELAY
(ABSOLUTE
(IOPATH A Y (0.156:0.156:0.156) (0.151:0.151:0.151))
(IOPATH B Y (0.153:0.153:0.153) (0.138:0.138:0.138))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__or2_1")
(INSTANCE _4912_)
(DELAY
(ABSOLUTE
(IOPATH A X (0.132:0.132:0.132) (0.240:0.240:0.240))
(IOPATH B X (0.119:0.119:0.119) (0.213:0.213:0.213))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__or2_4")
(INSTANCE _4913_)
(DELAY
(ABSOLUTE
(IOPATH A X (0.213:0.214:0.216) (0.335:0.335:0.335))
(IOPATH B X (0.239:0.239:0.239) (0.322:0.322:0.322))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__clkbuf_2")
(INSTANCE _4914_)
(DELAY
(ABSOLUTE
(IOPATH A X (0.205:0.205:0.205) (0.182:0.182:0.182))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__a21o_1")
(INSTANCE _4915_)
(DELAY
(ABSOLUTE
(IOPATH A1 X (0.139:0.139:0.140) (0.187:0.189:0.190))
(IOPATH A2 X (0.113:0.113:0.113) (0.181:0.181:0.181))
(IOPATH B1 X (0.107:0.107:0.107) (0.171:0.171:0.171))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__clkbuf_2")
(INSTANCE _4916_)
(DELAY
(ABSOLUTE
(IOPATH A X (0.205:0.205:0.205) (0.191:0.191:0.191))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__o211a_1")
(INSTANCE _4917_)
(DELAY
(ABSOLUTE
(IOPATH A1 X (0.148:0.148:0.148) (0.210:0.210:0.210))
(IOPATH A2 X (0.142:0.142:0.142) (0.209:0.209:0.209))
(IOPATH B1 X (0.132:0.132:0.132) (0.112:0.112:0.113))
(IOPATH C1 X (0.142:0.142:0.142) (0.112:0.112:0.112))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__xnor2_1")
(INSTANCE _4918_)
(DELAY
(ABSOLUTE
(IOPATH A Y (0.221:0.221:0.221) (0.200:0.200:0.200))
(IOPATH A Y (0.320:0.320:0.320) (0.165:0.165:0.165))
(IOPATH B Y (0.208:0.208:0.208) (0.181:0.181:0.181))
(IOPATH B Y (0.287:0.287:0.287) (0.135:0.135:0.135))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__nand2_1")
(INSTANCE _4919_)
(DELAY
(ABSOLUTE
(IOPATH A Y (0.125:0.126:0.128) (0.109:0.110:0.110))
(IOPATH B Y (0.122:0.127:0.131) (0.109:0.126:0.144))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__or2_1")
(INSTANCE _4920_)
(DELAY
(ABSOLUTE
(IOPATH A X (0.123:0.124:0.124) (0.246:0.247:0.249))
(IOPATH B X (0.119:0.133:0.148) (0.220:0.224:0.228))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__a21o_1")
(INSTANCE _4921_)
(DELAY
(ABSOLUTE
(IOPATH A1 X (0.116:0.116:0.117) (0.164:0.168:0.173))
(IOPATH A2 X (0.104:0.104:0.105) (0.172:0.172:0.173))
(IOPATH B1 X (0.101:0.101:0.101) (0.166:0.166:0.166))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__o211a_1")
(INSTANCE _4922_)
(DELAY
(ABSOLUTE
(IOPATH A1 X (0.175:0.175:0.175) (0.231:0.231:0.231))
(IOPATH A2 X (0.142:0.142:0.142) (0.210:0.210:0.210))
(IOPATH B1 X (0.130:0.130:0.131) (0.111:0.111:0.111))
(IOPATH C1 X (0.143:0.143:0.143) (0.113:0.113:0.113))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__clkbuf_2")
(INSTANCE _4923_)
(DELAY
(ABSOLUTE
(IOPATH A X (0.195:0.195:0.195) (0.172:0.172:0.172))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__nand2_1")
(INSTANCE _4924_)
(DELAY
(ABSOLUTE
(IOPATH A Y (0.078:0.078:0.078) (0.073:0.073:0.073))
(IOPATH B Y (0.072:0.072:0.072) (0.067:0.067:0.067))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__o21ai_1")
(INSTANCE _4925_)
(DELAY
(ABSOLUTE
(IOPATH A1 Y (0.248:0.249:0.251) (0.134:0.134:0.135))
(IOPATH A2 Y (0.227:0.231:0.235) (0.118:0.135:0.152))
(IOPATH B1 Y (0.133:0.137:0.141) (0.095:0.095:0.096))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__nand2_1")
(INSTANCE _4926_)
(DELAY
(ABSOLUTE
(IOPATH A Y (0.125:0.125:0.125) (0.130:0.130:0.130))
(IOPATH B Y (0.120:0.120:0.120) (0.114:0.114:0.114))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__or2_1")
(INSTANCE _4927_)
(DELAY
(ABSOLUTE
(IOPATH A X (0.131:0.131:0.131) (0.237:0.237:0.237))
(IOPATH B X (0.116:0.116:0.116) (0.208:0.208:0.208))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__and2_1")
(INSTANCE _4928_)
(DELAY
(ABSOLUTE
(IOPATH A X (0.188:0.189:0.190) (0.183:0.187:0.191))
(IOPATH B X (0.171:0.171:0.171) (0.186:0.186:0.186))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__nand2_1")
(INSTANCE _4929_)
(DELAY
(ABSOLUTE
(IOPATH A Y (0.141:0.146:0.150) (0.138:0.147:0.156))
(IOPATH B Y (0.140:0.140:0.141) (0.127:0.127:0.127))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__or2_1")
(INSTANCE _4930_)
(DELAY
(ABSOLUTE
(IOPATH A X (0.119:0.125:0.132) (0.226:0.231:0.235))
(IOPATH B X (0.107:0.107:0.107) (0.201:0.202:0.202))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__and2_1")
(INSTANCE _4931_)
(DELAY
(ABSOLUTE
(IOPATH A X (0.150:0.150:0.151) (0.164:0.169:0.173))
(IOPATH B X (0.122:0.122:0.123) (0.155:0.155:0.155))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__or2_1")
(INSTANCE _4932_)
(DELAY
(ABSOLUTE
(IOPATH A X (0.136:0.136:0.136) (0.242:0.242:0.242))
(IOPATH B X (0.152:0.152:0.152) (0.247:0.247:0.247))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__o211a_1")
(INSTANCE _4933_)
(DELAY
(ABSOLUTE
(IOPATH A1 X (0.163:0.163:0.163) (0.228:0.228:0.228))
(IOPATH A2 X (0.127:0.127:0.127) (0.193:0.194:0.194))
(IOPATH B1 X (0.132:0.133:0.133) (0.118:0.118:0.118))
(IOPATH C1 X (0.143:0.143:0.143) (0.113:0.113:0.113))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__nor2_1")
(INSTANCE _4934_)
(DELAY
(ABSOLUTE
(IOPATH A Y (0.120:0.120:0.120) (0.074:0.074:0.074))
(IOPATH B Y (0.092:0.092:0.092) (0.055:0.055:0.055))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__and2_1")
(INSTANCE _4935_)
(DELAY
(ABSOLUTE
(IOPATH A X (0.180:0.180:0.180) (0.170:0.170:0.170))
(IOPATH B X (0.164:0.164:0.164) (0.174:0.174:0.174))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__nor2_1")
(INSTANCE _4936_)
(DELAY
(ABSOLUTE
(IOPATH A Y (0.228:0.231:0.235) (0.088:0.088:0.088))
(IOPATH B Y (0.218:0.218:0.218) (0.082:0.083:0.083))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__a21oi_1")
(INSTANCE _4937_)
(DELAY
(ABSOLUTE
(IOPATH A1 Y (0.182:0.185:0.188) (0.091:0.092:0.093))
(IOPATH A2 Y (0.208:0.212:0.216) (0.103:0.104:0.104))
(IOPATH B1 Y (0.167:0.167:0.168) (0.096:0.096:0.096))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__a31o_1")
(INSTANCE _4938_)
(DELAY
(ABSOLUTE
(IOPATH A1 X (0.141:0.142:0.143) (0.183:0.187:0.190))
(IOPATH A2 X (0.156:0.156:0.157) (0.222:0.226:0.230))
(IOPATH A3 X (0.180:0.180:0.180) (0.217:0.218:0.218))
(IOPATH B1 X (0.114:0.114:0.114) (0.195:0.195:0.195))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__o221a_1")
(INSTANCE _4939_)
(DELAY
(ABSOLUTE
(IOPATH A1 X (0.205:0.205:0.205) (0.268:0.268:0.268))
(IOPATH A2 X (0.189:0.189:0.189) (0.249:0.249:0.249))
(IOPATH B1 X (0.198:0.201:0.203) (0.226:0.229:0.232))
(IOPATH B2 X (0.161:0.162:0.163) (0.196:0.197:0.197))
(IOPATH C1 X (0.235:0.235:0.235) (0.160:0.160:0.160))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__and2_1")
(INSTANCE _4940_)
(DELAY
(ABSOLUTE
(IOPATH A X (0.192:0.192:0.192) (0.174:0.174:0.174))
(IOPATH B X (0.185:0.185:0.185) (0.186:0.186:0.186))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__nor2_1")
(INSTANCE _4941_)
(DELAY
(ABSOLUTE
(IOPATH A Y (0.137:0.137:0.137) (0.077:0.077:0.077))
(IOPATH B Y (0.116:0.116:0.116) (0.064:0.064:0.064))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__nor2_1")
(INSTANCE _4942_)
(DELAY
(ABSOLUTE
(IOPATH A Y (0.250:0.250:0.250) (0.101:0.101:0.101))
(IOPATH B Y (0.228:0.230:0.231) (0.099:0.099:0.099))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__or2_1")
(INSTANCE _4943_)
(DELAY
(ABSOLUTE
(IOPATH A X (0.133:0.133:0.133) (0.239:0.239:0.239))
(IOPATH B X (0.116:0.116:0.116) (0.208:0.208:0.208))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__a31o_1")
(INSTANCE _4944_)
(DELAY
(ABSOLUTE
(IOPATH A1 X (0.178:0.178:0.178) (0.200:0.200:0.200))
(IOPATH A2 X (0.169:0.169:0.169) (0.218:0.218:0.218))
(IOPATH A3 X (0.145:0.146:0.146) (0.218:0.218:0.218))
(IOPATH B1 X (0.110:0.110:0.110) (0.187:0.187:0.187))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__a31o_1")
(INSTANCE _4945_)
(DELAY
(ABSOLUTE
(IOPATH A1 X (0.257:0.264:0.270) (0.245:0.250:0.254))
(IOPATH A2 X (0.252:0.252:0.252) (0.270:0.270:0.271))
(IOPATH A3 X (0.280:0.280:0.280) (0.280:0.280:0.281))
(IOPATH B1 X (0.189:0.190:0.190) (0.239:0.240:0.240))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__xor2_1")
(INSTANCE _4946_)
(DELAY
(ABSOLUTE
(IOPATH A X (0.173:0.174:0.174) (0.116:0.116:0.116))
(IOPATH A X (0.191:0.191:0.192) (0.171:0.171:0.171))
(IOPATH B X (0.162:0.162:0.163) (0.096:0.096:0.096))
(IOPATH B X (0.178:0.179:0.179) (0.160:0.160:0.161))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__or2_1")
(INSTANCE _4947_)
(DELAY
(ABSOLUTE
(IOPATH A X (0.131:0.131:0.131) (0.239:0.239:0.239))
(IOPATH B X (0.159:0.159:0.159) (0.254:0.254:0.254))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__o211a_1")
(INSTANCE _4948_)
(DELAY
(ABSOLUTE
(IOPATH A1 X (0.161:0.161:0.161) (0.227:0.227:0.227))
(IOPATH A2 X (0.141:0.142:0.144) (0.193:0.200:0.206))
(IOPATH B1 X (0.133:0.133:0.134) (0.119:0.119:0.120))
(IOPATH C1 X (0.142:0.142:0.142) (0.112:0.112:0.112))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__a21oi_2")
(INSTANCE _4949_)
(DELAY
(ABSOLUTE
(IOPATH A1 Y (0.208:0.209:0.209) (0.128:0.128:0.128))
(IOPATH A2 Y (0.226:0.227:0.227) (0.108:0.108:0.108))
(IOPATH B1 Y (0.182:0.183:0.183) (0.065:0.065:0.066))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__nor2_1")
(INSTANCE _4950_)
(DELAY
(ABSOLUTE
(IOPATH A Y (0.218:0.218:0.218) (0.103:0.103:0.103))
(IOPATH B Y (0.194:0.194:0.194) (0.084:0.084:0.084))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__nand2_1")
(INSTANCE _4951_)
(DELAY
(ABSOLUTE
(IOPATH A Y (0.130:0.130:0.130) (0.127:0.127:0.127))
(IOPATH B Y (0.127:0.127:0.127) (0.115:0.115:0.115))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__and2b_1")
(INSTANCE _4952_)
(DELAY
(ABSOLUTE
(IOPATH A_N X (0.232:0.234:0.237) (0.239:0.239:0.239))
(IOPATH B X (0.177:0.178:0.178) (0.202:0.204:0.206))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__xnor2_1")
(INSTANCE _4953_)
(DELAY
(ABSOLUTE
(IOPATH A Y (0.155:0.155:0.155) (0.132:0.143:0.153))
(IOPATH A Y (0.180:0.189:0.198) (0.110:0.110:0.110))
(IOPATH B Y (0.136:0.136:0.136) (0.134:0.134:0.134))
(IOPATH B Y (0.165:0.165:0.165) (0.080:0.080:0.080))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__or2_1")
(INSTANCE _4954_)
(DELAY
(ABSOLUTE
(IOPATH A X (0.137:0.137:0.137) (0.244:0.244:0.244))
(IOPATH B X (0.163:0.163:0.163) (0.227:0.232:0.237))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__o211a_1")
(INSTANCE _4955_)
(DELAY
(ABSOLUTE
(IOPATH A1 X (0.162:0.162:0.162) (0.228:0.228:0.228))
(IOPATH A2 X (0.130:0.139:0.148) (0.197:0.200:0.204))
(IOPATH B1 X (0.133:0.134:0.134) (0.119:0.119:0.119))
(IOPATH C1 X (0.143:0.143:0.143) (0.113:0.113:0.113))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__nand2_1")
(INSTANCE _4956_)
(DELAY
(ABSOLUTE
(IOPATH A Y (0.116:0.116:0.116) (0.116:0.116:0.116))
(IOPATH B Y (0.123:0.123:0.123) (0.116:0.116:0.116))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__or2_1")
(INSTANCE _4957_)
(DELAY
(ABSOLUTE
(IOPATH A X (0.155:0.155:0.155) (0.261:0.261:0.261))
(IOPATH B X (0.152:0.152:0.152) (0.242:0.242:0.242))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__nand2_1")
(INSTANCE _4958_)
(DELAY
(ABSOLUTE
(IOPATH A Y (0.112:0.112:0.113) (0.094:0.095:0.096))
(IOPATH B Y (0.112:0.113:0.113) (0.090:0.090:0.090))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__a21o_1")
(INSTANCE _4959_)
(DELAY
(ABSOLUTE
(IOPATH A1 X (0.160:0.160:0.160) (0.169:0.178:0.188))
(IOPATH A2 X (0.149:0.150:0.150) (0.207:0.209:0.211))
(IOPATH B1 X (0.134:0.134:0.134) (0.171:0.173:0.175))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__xnor2_1")
(INSTANCE _4960_)
(DELAY
(ABSOLUTE
(IOPATH A Y (0.130:0.131:0.131) (0.137:0.138:0.139))
(IOPATH A Y (0.176:0.177:0.178) (0.084:0.085:0.085))
(IOPATH B Y (0.122:0.122:0.122) (0.125:0.125:0.126))
(IOPATH B Y (0.149:0.149:0.150) (0.068:0.068:0.069))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__nand2_1")
(INSTANCE _4961_)
(DELAY
(ABSOLUTE
(IOPATH A Y (0.161:0.161:0.162) (0.170:0.170:0.170))
(IOPATH B Y (0.117:0.120:0.122) (0.101:0.112:0.124))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__clkbuf_2")
(INSTANCE _4962_)
(DELAY
(ABSOLUTE
(IOPATH A X (0.218:0.218:0.218) (0.178:0.178:0.178))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__o211a_1")
(INSTANCE _4963_)
(DELAY
(ABSOLUTE
(IOPATH A1 X (0.161:0.161:0.161) (0.219:0.219:0.219))
(IOPATH A2 X (0.141:0.141:0.141) (0.209:0.209:0.209))
(IOPATH B1 X (0.152:0.152:0.153) (0.136:0.146:0.155))
(IOPATH C1 X (0.144:0.144:0.144) (0.115:0.115:0.115))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__a211o_1")
(INSTANCE _4964_)
(DELAY
(ABSOLUTE
(IOPATH A1 X (0.167:0.167:0.167) (0.265:0.274:0.283))
(IOPATH A2 X (0.151:0.152:0.152) (0.317:0.318:0.320))
(IOPATH B1 X (0.121:0.122:0.123) (0.285:0.285:0.286))
(IOPATH C1 X (0.145:0.145:0.145) (0.240:0.242:0.244))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__nand2_1")
(INSTANCE _4965_)
(DELAY
(ABSOLUTE
(IOPATH A Y (0.104:0.104:0.104) (0.106:0.106:0.106))
(IOPATH B Y (0.112:0.112:0.112) (0.107:0.107:0.107))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__or2_1")
(INSTANCE _4966_)
(DELAY
(ABSOLUTE
(IOPATH A X (0.175:0.175:0.175) (0.274:0.274:0.274))
(IOPATH B X (0.172:0.172:0.172) (0.256:0.256:0.256))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__and4_1")
(INSTANCE _4967_)
(DELAY
(ABSOLUTE
(IOPATH A X (0.185:0.186:0.186) (0.165:0.166:0.167))
(IOPATH B X (0.181:0.181:0.182) (0.176:0.177:0.177))
(IOPATH C X (0.195:0.195:0.196) (0.200:0.201:0.202))
(IOPATH D X (0.204:0.204:0.204) (0.213:0.213:0.213))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__a22o_1")
(INSTANCE _4968_)
(DELAY
(ABSOLUTE
(IOPATH A1 X (0.153:0.154:0.155) (0.224:0.225:0.226))
(IOPATH A2 X (0.140:0.141:0.141) (0.234:0.235:0.236))
(IOPATH B1 X (0.136:0.137:0.138) (0.192:0.193:0.194))
(IOPATH B2 X (0.145:0.145:0.145) (0.213:0.213:0.214))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__or3b_1")
(INSTANCE _4969_)
(DELAY
(ABSOLUTE
(IOPATH A X (0.156:0.156:0.156) (0.415:0.415:0.415))
(IOPATH B X (0.124:0.124:0.124) (0.369:0.370:0.371))
(IOPATH C_N X (0.178:0.179:0.179) (0.368:0.369:0.369))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__o211a_1")
(INSTANCE _4970_)
(DELAY
(ABSOLUTE
(IOPATH A1 X (0.170:0.170:0.170) (0.226:0.226:0.226))
(IOPATH A2 X (0.141:0.141:0.141) (0.208:0.208:0.208))
(IOPATH B1 X (0.137:0.137:0.137) (0.133:0.133:0.133))
(IOPATH C1 X (0.144:0.144:0.144) (0.114:0.114:0.114))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__and4_1")
(INSTANCE _4971_)
(DELAY
(ABSOLUTE
(IOPATH A X (0.216:0.217:0.217) (0.182:0.183:0.184))
(IOPATH B X (0.220:0.220:0.220) (0.201:0.201:0.201))
(IOPATH C X (0.226:0.226:0.227) (0.218:0.219:0.220))
(IOPATH D X (0.235:0.235:0.235) (0.231:0.231:0.231))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__and3_1")
(INSTANCE _4972_)
(DELAY
(ABSOLUTE
(IOPATH A X (0.200:0.201:0.201) (0.151:0.151:0.152))
(IOPATH B X (0.157:0.157:0.157) (0.164:0.165:0.165))
(IOPATH C X (0.159:0.159:0.159) (0.176:0.177:0.178))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__nand2_1")
(INSTANCE _4973_)
(DELAY
(ABSOLUTE
(IOPATH A Y (0.067:0.067:0.067) (0.068:0.068:0.068))
(IOPATH B Y (0.069:0.069:0.069) (0.066:0.066:0.066))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__a21oi_1")
(INSTANCE _4974_)
(DELAY
(ABSOLUTE
(IOPATH A1 Y (0.148:0.150:0.152) (0.064:0.065:0.065))
(IOPATH A2 Y (0.187:0.189:0.191) (0.093:0.094:0.094))
(IOPATH B1 Y (0.148:0.151:0.153) (0.080:0.080:0.080))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__and3_1")
(INSTANCE _4975_)
(DELAY
(ABSOLUTE
(IOPATH A X (0.168:0.168:0.168) (0.150:0.150:0.150))
(IOPATH B X (0.170:0.170:0.170) (0.170:0.170:0.170))
(IOPATH C X (0.166:0.166:0.166) (0.190:0.190:0.190))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__a221o_1")
(INSTANCE _4976_)
(DELAY
(ABSOLUTE
(IOPATH A1 X (0.191:0.191:0.191) (0.340:0.340:0.340))
(IOPATH A2 X (0.190:0.190:0.190) (0.371:0.371:0.371))
(IOPATH B1 X (0.181:0.183:0.185) (0.312:0.315:0.318))
(IOPATH B2 X (0.170:0.170:0.170) (0.338:0.339:0.340))
(IOPATH C1 X (0.125:0.125:0.125) (0.276:0.276:0.277))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__a21o_1")
(INSTANCE _4977_)
(DELAY
(ABSOLUTE
(IOPATH A1 X (0.201:0.201:0.202) (0.216:0.216:0.217))
(IOPATH A2 X (0.173:0.173:0.173) (0.213:0.214:0.214))
(IOPATH B1 X (0.150:0.151:0.152) (0.203:0.204:0.204))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__nand2_1")
(INSTANCE _4978_)
(DELAY
(ABSOLUTE
(IOPATH A Y (0.167:0.167:0.167) (0.158:0.158:0.158))
(IOPATH B Y (0.165:0.165:0.165) (0.147:0.147:0.147))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__or2_1")
(INSTANCE _4979_)
(DELAY
(ABSOLUTE
(IOPATH A X (0.121:0.121:0.121) (0.229:0.229:0.229))
(IOPATH B X (0.107:0.107:0.107) (0.203:0.203:0.203))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__and2_1")
(INSTANCE _4980_)
(DELAY
(ABSOLUTE
(IOPATH A X (0.198:0.198:0.198) (0.203:0.204:0.205))
(IOPATH B X (0.161:0.161:0.161) (0.181:0.181:0.181))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__nand2_1")
(INSTANCE _4981_)
(DELAY
(ABSOLUTE
(IOPATH A Y (0.157:0.157:0.158) (0.142:0.142:0.142))
(IOPATH B Y (0.157:0.157:0.157) (0.137:0.137:0.137))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__or2_1")
(INSTANCE _4982_)
(DELAY
(ABSOLUTE
(IOPATH A X (0.122:0.122:0.122) (0.236:0.236:0.236))
(IOPATH B X (0.113:0.113:0.113) (0.211:0.211:0.212))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__and2_1")
(INSTANCE _4983_)
(DELAY
(ABSOLUTE
(IOPATH A X (0.168:0.168:0.168) (0.180:0.181:0.181))
(IOPATH B X (0.137:0.137:0.137) (0.166:0.166:0.166))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__or2_1")
(INSTANCE _4984_)
(DELAY
(ABSOLUTE
(IOPATH A X (0.139:0.139:0.139) (0.247:0.247:0.247))
(IOPATH B X (0.171:0.171:0.171) (0.234:0.239:0.244))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__o211a_1")
(INSTANCE _4985_)
(DELAY
(ABSOLUTE
(IOPATH A1 X (0.160:0.160:0.160) (0.226:0.226:0.226))
(IOPATH A2 X (0.128:0.128:0.128) (0.193:0.193:0.194))
(IOPATH B1 X (0.133:0.134:0.134) (0.119:0.120:0.120))
(IOPATH C1 X (0.144:0.144:0.144) (0.114:0.114:0.114))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__nor2_1")
(INSTANCE _4986_)
(DELAY
(ABSOLUTE
(IOPATH A Y (0.204:0.204:0.204) (0.108:0.108:0.108))
(IOPATH B Y (0.176:0.176:0.176) (0.085:0.085:0.085))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__nand2_1")
(INSTANCE _4987_)
(DELAY
(ABSOLUTE
(IOPATH A Y (0.133:0.133:0.133) (0.134:0.134:0.134))
(IOPATH B Y (0.124:0.124:0.124) (0.115:0.115:0.115))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__and2b_1")
(INSTANCE _4988_)
(DELAY
(ABSOLUTE
(IOPATH A_N X (0.216:0.220:0.224) (0.222:0.222:0.222))
(IOPATH B X (0.160:0.160:0.161) (0.188:0.191:0.195))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__a21oi_1")
(INSTANCE _4989_)
(DELAY
(ABSOLUTE
(IOPATH A1 Y (0.166:0.167:0.168) (0.093:0.094:0.094))
(IOPATH A2 Y (0.178:0.179:0.179) (0.093:0.094:0.094))
(IOPATH B1 Y (0.123:0.123:0.123) (0.048:0.048:0.048))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__a31o_1")
(INSTANCE _4990_)
(DELAY
(ABSOLUTE
(IOPATH A1 X (0.158:0.159:0.159) (0.204:0.205:0.206))
(IOPATH A2 X (0.160:0.160:0.161) (0.228:0.228:0.229))
(IOPATH A3 X (0.141:0.141:0.141) (0.208:0.208:0.209))
(IOPATH B1 X (0.116:0.116:0.116) (0.200:0.200:0.200))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__clkbuf_2")
(INSTANCE _4991_)
(DELAY
(ABSOLUTE
(IOPATH A X (0.225:0.225:0.225) (0.200:0.200:0.200))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__o221a_1")
(INSTANCE _4992_)
(DELAY
(ABSOLUTE
(IOPATH A1 X (0.220:0.220:0.220) (0.279:0.279:0.279))
(IOPATH A2 X (0.233:0.233:0.233) (0.280:0.280:0.280))
(IOPATH B1 X (0.187:0.190:0.192) (0.214:0.220:0.226))
(IOPATH B2 X (0.168:0.168:0.168) (0.201:0.201:0.201))
(IOPATH C1 X (0.188:0.188:0.188) (0.135:0.135:0.135))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__nand2_1")
(INSTANCE _4993_)
(DELAY
(ABSOLUTE
(IOPATH A Y (0.174:0.174:0.174) (0.175:0.175:0.175))
(IOPATH B Y (0.173:0.173:0.173) (0.163:0.163:0.163))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__or2_1")
(INSTANCE _4994_)
(DELAY
(ABSOLUTE
(IOPATH A X (0.184:0.184:0.184) (0.285:0.285:0.285))
(IOPATH B X (0.173:0.173:0.173) (0.261:0.261:0.261))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__nand2_1")
(INSTANCE _4995_)
(DELAY
(ABSOLUTE
(IOPATH A Y (0.172:0.174:0.176) (0.145:0.145:0.146))
(IOPATH B Y (0.154:0.154:0.154) (0.127:0.127:0.127))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__a31o_1")
(INSTANCE _4996_)
(DELAY
(ABSOLUTE
(IOPATH A1 X (0.181:0.181:0.181) (0.220:0.221:0.222))
(IOPATH A2 X (0.182:0.182:0.183) (0.245:0.245:0.246))
(IOPATH A3 X (0.171:0.172:0.172) (0.240:0.244:0.248))
(IOPATH B1 X (0.145:0.145:0.145) (0.198:0.201:0.204))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__xor2_1")
(INSTANCE _4997_)
(DELAY
(ABSOLUTE
(IOPATH A X (0.222:0.224:0.226) (0.106:0.106:0.106))
(IOPATH A X (0.205:0.205:0.205) (0.197:0.199:0.201))
(IOPATH B X (0.177:0.177:0.178) (0.080:0.080:0.081))
(IOPATH B X (0.193:0.194:0.194) (0.153:0.153:0.154))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__or2_1")
(INSTANCE _4998_)
(DELAY
(ABSOLUTE
(IOPATH A X (0.152:0.152:0.152) (0.258:0.258:0.258))
(IOPATH B X (0.180:0.180:0.180) (0.243:0.248:0.252))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__o211a_1")
(INSTANCE _4999_)
(DELAY
(ABSOLUTE
(IOPATH A1 X (0.186:0.186:0.186) (0.249:0.249:0.249))
(IOPATH A2 X (0.173:0.174:0.176) (0.218:0.222:0.226))
(IOPATH B1 X (0.163:0.163:0.164) (0.142:0.143:0.143))
(IOPATH C1 X (0.170:0.170:0.170) (0.134:0.134:0.134))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__a311o_1")
(INSTANCE _5000_)
(DELAY
(ABSOLUTE
(IOPATH A1 X (0.224:0.225:0.225) (0.324:0.325:0.325))
(IOPATH A2 X (0.225:0.226:0.226) (0.366:0.366:0.366))
(IOPATH A3 X (0.214:0.215:0.215) (0.389:0.393:0.396))
(IOPATH B1 X (0.173:0.173:0.174) (0.367:0.369:0.371))
(IOPATH C1 X (0.178:0.178:0.178) (0.301:0.303:0.306))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__xor2_1")
(INSTANCE _5001_)
(DELAY
(ABSOLUTE
(IOPATH A X (0.231:0.231:0.231) (0.104:0.104:0.104))
(IOPATH A X (0.229:0.229:0.229) (0.182:0.182:0.182))
(IOPATH B X (0.211:0.211:0.211) (0.096:0.096:0.096))
(IOPATH B X (0.230:0.230:0.230) (0.161:0.161:0.161))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__a21oi_1")
(INSTANCE _5002_)
(DELAY
(ABSOLUTE
(IOPATH A1 Y (0.188:0.190:0.192) (0.101:0.102:0.102))
(IOPATH A2 Y (0.180:0.182:0.183) (0.086:0.087:0.088))
(IOPATH B1 Y (0.150:0.153:0.157) (0.080:0.081:0.082))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__a31o_1")
(INSTANCE _5003_)
(DELAY
(ABSOLUTE
(IOPATH A1 X (0.158:0.159:0.159) (0.205:0.207:0.209))
(IOPATH A2 X (0.146:0.147:0.148) (0.208:0.209:0.211))
(IOPATH A3 X (0.172:0.173:0.174) (0.214:0.218:0.222))
(IOPATH B1 X (0.115:0.115:0.115) (0.195:0.195:0.195))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__or2_1")
(INSTANCE _5004_)
(DELAY
(ABSOLUTE
(IOPATH A X (0.122:0.122:0.122) (0.231:0.231:0.231))
(IOPATH B X (0.163:0.163:0.163) (0.227:0.232:0.237))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__o211a_1")
(INSTANCE _5005_)
(DELAY
(ABSOLUTE
(IOPATH A1 X (0.163:0.165:0.166) (0.217:0.219:0.222))
(IOPATH A2 X (0.122:0.123:0.123) (0.191:0.191:0.192))
(IOPATH B1 X (0.132:0.133:0.133) (0.119:0.119:0.119))
(IOPATH C1 X (0.146:0.146:0.146) (0.116:0.116:0.116))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__clkbuf_4")
(INSTANCE _5006_)
(DELAY
(ABSOLUTE
(IOPATH A X (0.305:0.305:0.305) (0.250:0.250:0.250))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__buf_4")
(INSTANCE _5007_)
(DELAY
(ABSOLUTE
(IOPATH A X (0.301:0.301:0.301) (0.258:0.258:0.258))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__clkbuf_2")
(INSTANCE _5008_)
(DELAY
(ABSOLUTE
(IOPATH A X (0.220:0.220:0.220) (0.182:0.182:0.182))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__buf_2")
(INSTANCE _5009_)
(DELAY
(ABSOLUTE
(IOPATH A X (0.206:0.206:0.206) (0.184:0.184:0.184))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__mux2_1")
(INSTANCE _5010_)
(DELAY
(ABSOLUTE
(IOPATH A0 X (0.133:0.133:0.133) (0.274:0.274:0.274))
(IOPATH A1 X (0.138:0.138:0.138) (0.285:0.285:0.285))
(IOPATH S X (0.197:0.197:0.197) (0.325:0.325:0.325))
(IOPATH S X (0.145:0.145:0.145) (0.307:0.307:0.307))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__and2_1")
(INSTANCE _5011_)
(DELAY
(ABSOLUTE
(IOPATH A X (0.147:0.147:0.147) (0.148:0.148:0.148))
(IOPATH B X (0.110:0.110:0.110) (0.149:0.150:0.150))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__clkbuf_1")
(INSTANCE _5012_)
(DELAY
(ABSOLUTE
(IOPATH A X (0.075:0.075:0.076) (0.078:0.078:0.079))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__mux2_1")
(INSTANCE _5013_)
(DELAY
(ABSOLUTE
(IOPATH A0 X (0.142:0.142:0.142) (0.281:0.281:0.281))
(IOPATH A1 X (0.136:0.136:0.136) (0.286:0.286:0.286))
(IOPATH S X (0.200:0.200:0.200) (0.329:0.329:0.329))
(IOPATH S X (0.148:0.148:0.148) (0.310:0.310:0.310))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__and2_1")
(INSTANCE _5014_)
(DELAY
(ABSOLUTE
(IOPATH A X (0.152:0.152:0.152) (0.152:0.152:0.152))
(IOPATH B X (0.115:0.116:0.116) (0.154:0.154:0.154))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__clkbuf_1")
(INSTANCE _5015_)
(DELAY
(ABSOLUTE
(IOPATH A X (0.076:0.076:0.076) (0.079:0.079:0.079))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__clkbuf_2")
(INSTANCE _5016_)
(DELAY
(ABSOLUTE
(IOPATH A X (0.232:0.232:0.232) (0.189:0.189:0.189))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__mux2_1")
(INSTANCE _5017_)
(DELAY
(ABSOLUTE
(IOPATH A0 X (0.146:0.146:0.146) (0.284:0.284:0.284))
(IOPATH A1 X (0.142:0.142:0.142) (0.290:0.290:0.290))
(IOPATH S X (0.200:0.200:0.200) (0.329:0.329:0.329))
(IOPATH S X (0.148:0.148:0.148) (0.310:0.310:0.310))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__and2_1")
(INSTANCE _5018_)
(DELAY
(ABSOLUTE
(IOPATH A X (0.138:0.138:0.138) (0.152:0.152:0.152))
(IOPATH B X (0.112:0.112:0.113) (0.151:0.151:0.151))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__clkbuf_1")
(INSTANCE _5019_)
(DELAY
(ABSOLUTE
(IOPATH A X (0.078:0.078:0.078) (0.080:0.081:0.081))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__mux2_1")
(INSTANCE _5020_)
(DELAY
(ABSOLUTE
(IOPATH A0 X (0.153:0.153:0.153) (0.289:0.289:0.289))
(IOPATH A1 X (0.136:0.136:0.136) (0.286:0.286:0.286))
(IOPATH S X (0.199:0.199:0.199) (0.328:0.328:0.328))
(IOPATH S X (0.148:0.148:0.148) (0.309:0.309:0.309))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__and2_1")
(INSTANCE _5021_)
(DELAY
(ABSOLUTE
(IOPATH A X (0.137:0.137:0.137) (0.151:0.151:0.151))
(IOPATH B X (0.110:0.111:0.111) (0.150:0.150:0.150))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__clkbuf_1")
(INSTANCE _5022_)
(DELAY
(ABSOLUTE
(IOPATH A X (0.078:0.078:0.078) (0.080:0.080:0.081))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__mux2_1")
(INSTANCE _5023_)
(DELAY
(ABSOLUTE
(IOPATH A0 X (0.140:0.140:0.140) (0.279:0.279:0.279))
(IOPATH A1 X (0.145:0.145:0.145) (0.290:0.290:0.290))
(IOPATH S X (0.197:0.197:0.197) (0.326:0.326:0.326))
(IOPATH S X (0.146:0.146:0.146) (0.307:0.307:0.307))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__and2_1")
(INSTANCE _5024_)
(DELAY
(ABSOLUTE
(IOPATH A X (0.141:0.141:0.141) (0.154:0.154:0.154))
(IOPATH B X (0.114:0.114:0.115) (0.153:0.153:0.153))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__clkbuf_1")
(INSTANCE _5025_)
(DELAY
(ABSOLUTE
(IOPATH A X (0.079:0.079:0.079) (0.081:0.081:0.081))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__buf_2")
(INSTANCE _5026_)
(DELAY
(ABSOLUTE
(IOPATH A X (0.209:0.209:0.209) (0.186:0.186:0.186))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__mux2_1")
(INSTANCE _5027_)
(DELAY
(ABSOLUTE
(IOPATH A0 X (0.144:0.144:0.144) (0.282:0.282:0.282))
(IOPATH A1 X (0.137:0.137:0.137) (0.284:0.284:0.284))
(IOPATH S X (0.197:0.197:0.197) (0.325:0.325:0.325))
(IOPATH S X (0.146:0.146:0.146) (0.306:0.306:0.306))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__and2_1")
(INSTANCE _5028_)
(DELAY
(ABSOLUTE
(IOPATH A X (0.141:0.141:0.141) (0.154:0.154:0.154))
(IOPATH B X (0.114:0.114:0.115) (0.152:0.153:0.153))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__clkbuf_1")
(INSTANCE _5029_)
(DELAY
(ABSOLUTE
(IOPATH A X (0.075:0.076:0.076) (0.078:0.079:0.079))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__mux2_1")
(INSTANCE _5030_)
(DELAY
(ABSOLUTE
(IOPATH A0 X (0.151:0.151:0.151) (0.289:0.289:0.289))
(IOPATH A1 X (0.150:0.150:0.150) (0.296:0.296:0.296))
(IOPATH S X (0.203:0.203:0.203) (0.331:0.331:0.331))
(IOPATH S X (0.151:0.151:0.151) (0.313:0.313:0.313))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__and2_1")
(INSTANCE _5031_)
(DELAY
(ABSOLUTE
(IOPATH A X (0.139:0.139:0.139) (0.153:0.153:0.153))
(IOPATH B X (0.113:0.114:0.115) (0.153:0.153:0.153))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__clkbuf_1")
(INSTANCE _5032_)
(DELAY
(ABSOLUTE
(IOPATH A X (0.080:0.080:0.080) (0.081:0.081:0.082))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__dlymetal6s2s_1")
(INSTANCE _5033_)
(DELAY
(ABSOLUTE
(IOPATH A X (0.245:0.245:0.245) (0.220:0.220:0.220))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__mux2_1")
(INSTANCE _5034_)
(DELAY
(ABSOLUTE
(IOPATH A0 X (0.153:0.153:0.153) (0.291:0.291:0.291))
(IOPATH A1 X (0.151:0.151:0.151) (0.297:0.297:0.297))
(IOPATH S X (0.204:0.204:0.204) (0.334:0.334:0.334))
(IOPATH S X (0.153:0.153:0.153) (0.315:0.315:0.315))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__and2_1")
(INSTANCE _5035_)
(DELAY
(ABSOLUTE
(IOPATH A X (0.171:0.171:0.171) (0.167:0.167:0.167))
(IOPATH B X (0.133:0.134:0.134) (0.167:0.167:0.167))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__clkbuf_1")
(INSTANCE _5036_)
(DELAY
(ABSOLUTE
(IOPATH A X (0.103:0.103:0.103) (0.096:0.096:0.097))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__mux2_1")
(INSTANCE _5037_)
(DELAY
(ABSOLUTE
(IOPATH A0 X (0.141:0.141:0.141) (0.280:0.280:0.280))
(IOPATH A1 X (0.132:0.132:0.132) (0.285:0.285:0.285))
(IOPATH S X (0.201:0.201:0.201) (0.329:0.329:0.329))
(IOPATH S X (0.149:0.149:0.149) (0.311:0.311:0.311))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__and2_1")
(INSTANCE _5038_)
(DELAY
(ABSOLUTE
(IOPATH A X (0.164:0.164:0.164) (0.162:0.162:0.162))
(IOPATH B X (0.124:0.125:0.125) (0.160:0.161:0.161))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__clkbuf_1")
(INSTANCE _5039_)
(DELAY
(ABSOLUTE
(IOPATH A X (0.102:0.103:0.103) (0.095:0.096:0.096))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__mux2_1")
(INSTANCE _5040_)
(DELAY
(ABSOLUTE
(IOPATH A0 X (0.150:0.150:0.150) (0.287:0.287:0.287))
(IOPATH A1 X (0.133:0.133:0.133) (0.286:0.286:0.286))
(IOPATH S X (0.201:0.201:0.201) (0.330:0.330:0.330))
(IOPATH S X (0.149:0.149:0.149) (0.311:0.311:0.311))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__and2_1")
(INSTANCE _5041_)
(DELAY
(ABSOLUTE
(IOPATH A X (0.162:0.162:0.162) (0.161:0.161:0.161))
(IOPATH B X (0.123:0.123:0.124) (0.159:0.159:0.160))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__clkbuf_1")
(INSTANCE _5042_)
(DELAY
(ABSOLUTE
(IOPATH A X (0.086:0.086:0.086) (0.085:0.086:0.086))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__buf_2")
(INSTANCE _5043_)
(DELAY
(ABSOLUTE
(IOPATH A X (0.216:0.216:0.216) (0.190:0.190:0.190))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__mux2_1")
(INSTANCE _5044_)
(DELAY
(ABSOLUTE
(IOPATH A0 X (0.143:0.143:0.143) (0.280:0.280:0.280))
(IOPATH A1 X (0.128:0.128:0.128) (0.279:0.279:0.279))
(IOPATH S X (0.198:0.198:0.198) (0.324:0.324:0.324))
(IOPATH S X (0.146:0.146:0.146) (0.306:0.306:0.306))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__and2_1")
(INSTANCE _5045_)
(DELAY
(ABSOLUTE
(IOPATH A X (0.156:0.156:0.156) (0.157:0.157:0.157))
(IOPATH B X (0.115:0.116:0.116) (0.153:0.154:0.154))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__clkbuf_1")
(INSTANCE _5046_)
(DELAY
(ABSOLUTE
(IOPATH A X (0.082:0.082:0.082) (0.083:0.083:0.083))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__mux2_1")
(INSTANCE _5047_)
(DELAY
(ABSOLUTE
(IOPATH A0 X (0.149:0.149:0.149) (0.286:0.286:0.286))
(IOPATH A1 X (0.129:0.129:0.129) (0.284:0.284:0.284))
(IOPATH S X (0.202:0.202:0.202) (0.330:0.330:0.330))
(IOPATH S X (0.151:0.151:0.151) (0.312:0.312:0.312))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__and2_1")
(INSTANCE _5048_)
(DELAY
(ABSOLUTE
(IOPATH A X (0.150:0.150:0.150) (0.153:0.153:0.153))
(IOPATH B X (0.111:0.112:0.112) (0.151:0.151:0.151))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__clkbuf_1")
(INSTANCE _5049_)
(DELAY
(ABSOLUTE
(IOPATH A X (0.091:0.092:0.092) (0.088:0.089:0.089))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__dlymetal6s2s_1")
(INSTANCE _5050_)
(DELAY
(ABSOLUTE
(IOPATH A X (0.246:0.246:0.246) (0.220:0.220:0.220))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__mux2_1")
(INSTANCE _5051_)
(DELAY
(ABSOLUTE
(IOPATH A0 X (0.158:0.158:0.158) (0.294:0.294:0.294))
(IOPATH A1 X (0.148:0.148:0.148) (0.295:0.295:0.295))
(IOPATH S X (0.206:0.206:0.206) (0.335:0.335:0.335))
(IOPATH S X (0.154:0.154:0.154) (0.316:0.316:0.316))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__and2_1")
(INSTANCE _5052_)
(DELAY
(ABSOLUTE
(IOPATH A X (0.150:0.150:0.150) (0.153:0.153:0.153))
(IOPATH B X (0.112:0.112:0.113) (0.151:0.152:0.152))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__clkbuf_1")
(INSTANCE _5053_)
(DELAY
(ABSOLUTE
(IOPATH A X (0.075:0.075:0.076) (0.078:0.078:0.079))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__mux2_1")
(INSTANCE _5054_)
(DELAY
(ABSOLUTE
(IOPATH A0 X (0.146:0.146:0.146) (0.283:0.283:0.283))
(IOPATH A1 X (0.137:0.137:0.137) (0.286:0.286:0.286))
(IOPATH S X (0.201:0.201:0.201) (0.328:0.328:0.328))
(IOPATH S X (0.149:0.149:0.149) (0.310:0.310:0.310))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__and2_1")
(INSTANCE _5055_)
(DELAY
(ABSOLUTE
(IOPATH A X (0.152:0.152:0.152) (0.154:0.154:0.154))
(IOPATH B X (0.112:0.112:0.113) (0.151:0.151:0.151))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__clkbuf_1")
(INSTANCE _5056_)
(DELAY
(ABSOLUTE
(IOPATH A X (0.074:0.074:0.074) (0.077:0.077:0.078))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__mux2_1")
(INSTANCE _5057_)
(DELAY
(ABSOLUTE
(IOPATH A0 X (0.147:0.147:0.147) (0.283:0.283:0.283))
(IOPATH A1 X (0.141:0.141:0.141) (0.287:0.287:0.287))
(IOPATH S X (0.198:0.198:0.198) (0.325:0.325:0.325))
(IOPATH S X (0.147:0.147:0.147) (0.307:0.307:0.307))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__and2_1")
(INSTANCE _5058_)
(DELAY
(ABSOLUTE
(IOPATH A X (0.153:0.153:0.153) (0.155:0.155:0.155))
(IOPATH B X (0.112:0.113:0.114) (0.151:0.152:0.152))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__clkbuf_1")
(INSTANCE _5059_)
(DELAY
(ABSOLUTE
(IOPATH A X (0.075:0.075:0.075) (0.078:0.078:0.078))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__buf_2")
(INSTANCE _5060_)
(DELAY
(ABSOLUTE
(IOPATH A X (0.198:0.198:0.198) (0.180:0.180:0.180))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__mux2_1")
(INSTANCE _5061_)
(DELAY
(ABSOLUTE
(IOPATH A0 X (0.154:0.154:0.154) (0.289:0.289:0.289))
(IOPATH A1 X (0.128:0.128:0.128) (0.284:0.284:0.284))
(IOPATH S X (0.197:0.197:0.197) (0.327:0.327:0.327))
(IOPATH S X (0.145:0.145:0.145) (0.308:0.308:0.308))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__and2_1")
(INSTANCE _5062_)
(DELAY
(ABSOLUTE
(IOPATH A X (0.163:0.163:0.163) (0.162:0.162:0.162))
(IOPATH B X (0.123:0.124:0.124) (0.160:0.160:0.160))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__clkbuf_1")
(INSTANCE _5063_)
(DELAY
(ABSOLUTE
(IOPATH A X (0.088:0.088:0.088) (0.087:0.087:0.087))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__mux2_1")
(INSTANCE _5064_)
(DELAY
(ABSOLUTE
(IOPATH A0 X (0.154:0.154:0.154) (0.292:0.292:0.292))
(IOPATH A1 X (0.146:0.146:0.146) (0.295:0.295:0.295))
(IOPATH S X (0.201:0.201:0.201) (0.332:0.332:0.332))
(IOPATH S X (0.149:0.149:0.149) (0.313:0.313:0.313))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__and2_1")
(INSTANCE _5065_)
(DELAY
(ABSOLUTE
(IOPATH A X (0.156:0.156:0.156) (0.157:0.157:0.157))
(IOPATH B X (0.118:0.119:0.119) (0.157:0.157:0.157))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__clkbuf_1")
(INSTANCE _5066_)
(DELAY
(ABSOLUTE
(IOPATH A X (0.077:0.078:0.078) (0.080:0.080:0.080))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__clkbuf_2")
(INSTANCE _5067_)
(DELAY
(ABSOLUTE
(IOPATH A X (0.235:0.235:0.235) (0.192:0.192:0.192))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__clkbuf_2")
(INSTANCE _5068_)
(DELAY
(ABSOLUTE
(IOPATH A X (0.189:0.189:0.189) (0.172:0.172:0.172))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__mux2_1")
(INSTANCE _5069_)
(DELAY
(ABSOLUTE
(IOPATH A0 X (0.138:0.138:0.138) (0.276:0.276:0.276))
(IOPATH A1 X (0.134:0.134:0.134) (0.282:0.282:0.282))
(IOPATH S X (0.192:0.192:0.192) (0.321:0.321:0.321))
(IOPATH S X (0.140:0.140:0.140) (0.302:0.302:0.302))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__and2_1")
(INSTANCE _5070_)
(DELAY
(ABSOLUTE
(IOPATH A X (0.138:0.138:0.138) (0.150:0.150:0.150))
(IOPATH B X (0.116:0.116:0.117) (0.154:0.154:0.154))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__clkbuf_1")
(INSTANCE _5071_)
(DELAY
(ABSOLUTE
(IOPATH A X (0.077:0.077:0.077) (0.080:0.080:0.080))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__mux2_1")
(INSTANCE _5072_)
(DELAY
(ABSOLUTE
(IOPATH A0 X (0.157:0.157:0.157) (0.290:0.290:0.290))
(IOPATH A1 X (0.143:0.143:0.143) (0.289:0.289:0.289))
(IOPATH S X (0.194:0.194:0.194) (0.322:0.322:0.322))
(IOPATH S X (0.142:0.142:0.142) (0.303:0.303:0.303))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__and2_1")
(INSTANCE _5073_)
(DELAY
(ABSOLUTE
(IOPATH A X (0.139:0.139:0.139) (0.151:0.151:0.151))
(IOPATH B X (0.117:0.118:0.118) (0.155:0.155:0.155))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__clkbuf_1")
(INSTANCE _5074_)
(DELAY
(ABSOLUTE
(IOPATH A X (0.078:0.078:0.078) (0.080:0.080:0.080))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__mux2_1")
(INSTANCE _5075_)
(DELAY
(ABSOLUTE
(IOPATH A0 X (0.157:0.157:0.157) (0.292:0.292:0.292))
(IOPATH A1 X (0.144:0.144:0.144) (0.290:0.290:0.290))
(IOPATH S X (0.196:0.196:0.196) (0.325:0.325:0.325))
(IOPATH S X (0.144:0.144:0.144) (0.306:0.306:0.306))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__and2_1")
(INSTANCE _5076_)
(DELAY
(ABSOLUTE
(IOPATH A X (0.148:0.148:0.148) (0.157:0.157:0.157))
(IOPATH B X (0.127:0.127:0.128) (0.162:0.162:0.162))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__clkbuf_1")
(INSTANCE _5077_)
(DELAY
(ABSOLUTE
(IOPATH A X (0.103:0.103:0.103) (0.096:0.096:0.097))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__buf_2")
(INSTANCE _5078_)
(DELAY
(ABSOLUTE
(IOPATH A X (0.336:0.336:0.336) (0.249:0.249:0.249))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__mux2_1")
(INSTANCE _5079_)
(DELAY
(ABSOLUTE
(IOPATH A0 X (0.151:0.151:0.151) (0.285:0.285:0.285))
(IOPATH A1 X (0.127:0.127:0.127) (0.279:0.279:0.279))
(IOPATH S X (0.232:0.232:0.232) (0.346:0.346:0.346))
(IOPATH S X (0.182:0.182:0.182) (0.334:0.334:0.334))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__and2_1")
(INSTANCE _5080_)
(DELAY
(ABSOLUTE
(IOPATH A X (0.133:0.133:0.133) (0.146:0.146:0.146))
(IOPATH B X (0.111:0.112:0.112) (0.150:0.150:0.151))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__clkbuf_1")
(INSTANCE _5081_)
(DELAY
(ABSOLUTE
(IOPATH A X (0.079:0.079:0.079) (0.081:0.081:0.081))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__mux2_1")
(INSTANCE _5082_)
(DELAY
(ABSOLUTE
(IOPATH A0 X (0.111:0.111:0.111) (0.270:0.270:0.270))
(IOPATH A1 X (0.131:0.131:0.131) (0.283:0.283:0.283))
(IOPATH S X (0.235:0.235:0.235) (0.350:0.350:0.350))
(IOPATH S X (0.186:0.186:0.186) (0.338:0.338:0.338))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__and2_1")
(INSTANCE _5083_)
(DELAY
(ABSOLUTE
(IOPATH A X (0.147:0.147:0.147) (0.156:0.156:0.156))
(IOPATH B X (0.125:0.126:0.127) (0.161:0.161:0.161))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__clkbuf_1")
(INSTANCE _5084_)
(DELAY
(ABSOLUTE
(IOPATH A X (0.085:0.085:0.085) (0.085:0.086:0.086))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__clkbuf_2")
(INSTANCE _5085_)
(DELAY
(ABSOLUTE
(IOPATH A X (0.193:0.193:0.193) (0.175:0.175:0.175))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__mux2_1")
(INSTANCE _5086_)
(DELAY
(ABSOLUTE
(IOPATH A0 X (0.149:0.149:0.149) (0.285:0.285:0.285))
(IOPATH A1 X (0.126:0.126:0.126) (0.280:0.280:0.280))
(IOPATH S X (0.234:0.234:0.234) (0.348:0.348:0.348))
(IOPATH S X (0.184:0.184:0.184) (0.337:0.337:0.337))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__and2_1")
(INSTANCE _5087_)
(DELAY
(ABSOLUTE
(IOPATH A X (0.140:0.140:0.140) (0.152:0.152:0.152))
(IOPATH B X (0.117:0.117:0.118) (0.155:0.155:0.155))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__clkbuf_1")
(INSTANCE _5088_)
(DELAY
(ABSOLUTE
(IOPATH A X (0.079:0.079:0.079) (0.081:0.081:0.081))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__mux2_1")
(INSTANCE _5089_)
(DELAY
(ABSOLUTE
(IOPATH A0 X (0.149:0.149:0.149) (0.286:0.286:0.286))
(IOPATH A1 X (0.131:0.131:0.131) (0.286:0.286:0.286))
(IOPATH S X (0.238:0.238:0.238) (0.354:0.354:0.354))
(IOPATH S X (0.189:0.189:0.189) (0.342:0.342:0.342))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__and2_1")
(INSTANCE _5090_)
(DELAY
(ABSOLUTE
(IOPATH A X (0.145:0.145:0.145) (0.155:0.155:0.155))
(IOPATH B X (0.123:0.123:0.124) (0.159:0.160:0.160))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__clkbuf_1")
(INSTANCE _5091_)
(DELAY
(ABSOLUTE
(IOPATH A X (0.081:0.081:0.081) (0.082:0.083:0.083))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__mux2_1")
(INSTANCE _5092_)
(DELAY
(ABSOLUTE
(IOPATH A0 X (0.147:0.147:0.147) (0.283:0.283:0.283))
(IOPATH A1 X (0.124:0.124:0.124) (0.279:0.279:0.279))
(IOPATH S X (0.234:0.234:0.234) (0.348:0.348:0.348))
(IOPATH S X (0.184:0.184:0.184) (0.336:0.336:0.336))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__and2_1")
(INSTANCE _5093_)
(DELAY
(ABSOLUTE
(IOPATH A X (0.136:0.136:0.136) (0.149:0.149:0.149))
(IOPATH B X (0.113:0.113:0.114) (0.152:0.152:0.152))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__clkbuf_1")
(INSTANCE _5094_)
(DELAY
(ABSOLUTE
(IOPATH A X (0.078:0.078:0.078) (0.080:0.080:0.081))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__dlymetal6s2s_1")
(INSTANCE _5095_)
(DELAY
(ABSOLUTE
(IOPATH A X (0.252:0.252:0.252) (0.223:0.223:0.223))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__buf_2")
(INSTANCE _5096_)
(DELAY
(ABSOLUTE
(IOPATH A X (0.244:0.244:0.244) (0.201:0.201:0.201))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__mux2_1")
(INSTANCE _5097_)
(DELAY
(ABSOLUTE
(IOPATH A0 X (0.143:0.143:0.143) (0.281:0.281:0.281))
(IOPATH A1 X (0.138:0.138:0.138) (0.285:0.285:0.285))
(IOPATH S X (0.202:0.202:0.202) (0.328:0.328:0.328))
(IOPATH S X (0.150:0.150:0.150) (0.310:0.310:0.310))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__and2_1")
(INSTANCE _5098_)
(DELAY
(ABSOLUTE
(IOPATH A X (0.136:0.136:0.136) (0.149:0.149:0.149))
(IOPATH B X (0.113:0.113:0.114) (0.152:0.152:0.152))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__clkbuf_1")
(INSTANCE _5099_)
(DELAY
(ABSOLUTE
(IOPATH A X (0.075:0.075:0.075) (0.078:0.078:0.079))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__mux2_1")
(INSTANCE _5100_)
(DELAY
(ABSOLUTE
(IOPATH A0 X (0.149:0.149:0.149) (0.284:0.284:0.284))
(IOPATH A1 X (0.144:0.144:0.144) (0.289:0.289:0.289))
(IOPATH S X (0.202:0.202:0.202) (0.328:0.328:0.328))
(IOPATH S X (0.150:0.150:0.150) (0.310:0.310:0.310))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__and2_1")
(INSTANCE _5101_)
(DELAY
(ABSOLUTE
(IOPATH A X (0.136:0.136:0.136) (0.149:0.149:0.149))
(IOPATH B X (0.112:0.113:0.113) (0.151:0.151:0.152))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__clkbuf_1")
(INSTANCE _5102_)
(DELAY
(ABSOLUTE
(IOPATH A X (0.076:0.076:0.076) (0.079:0.079:0.079))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__dlymetal6s2s_1")
(INSTANCE _5103_)
(DELAY
(ABSOLUTE
(IOPATH A X (0.233:0.233:0.233) (0.219:0.219:0.219))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__mux2_1")
(INSTANCE _5104_)
(DELAY
(ABSOLUTE
(IOPATH A0 X (0.152:0.152:0.152) (0.287:0.287:0.287))
(IOPATH A1 X (0.133:0.133:0.133) (0.283:0.283:0.283))
(IOPATH S X (0.201:0.201:0.201) (0.328:0.328:0.328))
(IOPATH S X (0.150:0.150:0.150) (0.310:0.310:0.310))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__and2_1")
(INSTANCE _5105_)
(DELAY
(ABSOLUTE
(IOPATH A X (0.155:0.155:0.155) (0.157:0.157:0.157))
(IOPATH B X (0.112:0.112:0.113) (0.151:0.151:0.151))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__clkbuf_1")
(INSTANCE _5106_)
(DELAY
(ABSOLUTE
(IOPATH A X (0.075:0.075:0.076) (0.078:0.078:0.079))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__mux2_1")
(INSTANCE _5107_)
(DELAY
(ABSOLUTE
(IOPATH A0 X (0.148:0.148:0.148) (0.285:0.285:0.285))
(IOPATH A1 X (0.128:0.128:0.128) (0.282:0.282:0.282))
(IOPATH S X (0.203:0.203:0.203) (0.330:0.330:0.330))
(IOPATH S X (0.152:0.152:0.152) (0.312:0.312:0.312))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__and2_1")
(INSTANCE _5108_)
(DELAY
(ABSOLUTE
(IOPATH A X (0.156:0.156:0.156) (0.157:0.157:0.157))
(IOPATH B X (0.113:0.114:0.114) (0.152:0.152:0.152))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__clkbuf_1")
(INSTANCE _5109_)
(DELAY
(ABSOLUTE
(IOPATH A X (0.078:0.079:0.079) (0.080:0.081:0.081))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__mux2_1")
(INSTANCE _5110_)
(DELAY
(ABSOLUTE
(IOPATH A0 X (0.138:0.138:0.138) (0.278:0.278:0.278))
(IOPATH A1 X (0.128:0.128:0.128) (0.283:0.283:0.283))
(IOPATH S X (0.204:0.204:0.204) (0.331:0.331:0.331))
(IOPATH S X (0.152:0.152:0.152) (0.313:0.313:0.313))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__and2_1")
(INSTANCE _5111_)
(DELAY
(ABSOLUTE
(IOPATH A X (0.164:0.164:0.164) (0.163:0.163:0.163))
(IOPATH B X (0.121:0.122:0.122) (0.158:0.158:0.159))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__clkbuf_1")
(INSTANCE _5112_)
(DELAY
(ABSOLUTE
(IOPATH A X (0.086:0.086:0.087) (0.086:0.086:0.086))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__buf_2")
(INSTANCE _5113_)
(DELAY
(ABSOLUTE
(IOPATH A X (0.254:0.254:0.254) (0.206:0.206:0.206))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__mux2_1")
(INSTANCE _5114_)
(DELAY
(ABSOLUTE
(IOPATH A0 X (0.154:0.154:0.154) (0.289:0.289:0.289))
(IOPATH A1 X (0.149:0.149:0.149) (0.294:0.294:0.294))
(IOPATH S X (0.207:0.207:0.207) (0.332:0.332:0.332))
(IOPATH S X (0.156:0.156:0.156) (0.315:0.315:0.315))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__and2_1")
(INSTANCE _5115_)
(DELAY
(ABSOLUTE
(IOPATH A X (0.167:0.167:0.167) (0.166:0.166:0.166))
(IOPATH B X (0.124:0.125:0.126) (0.160:0.160:0.161))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__clkbuf_1")
(INSTANCE _5116_)
(DELAY
(ABSOLUTE
(IOPATH A X (0.098:0.098:0.098) (0.093:0.093:0.093))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__mux2_1")
(INSTANCE _5117_)
(DELAY
(ABSOLUTE
(IOPATH A0 X (0.153:0.153:0.153) (0.288:0.288:0.288))
(IOPATH A1 X (0.135:0.135:0.135) (0.284:0.284:0.284))
(IOPATH S X (0.205:0.205:0.205) (0.330:0.330:0.330))
(IOPATH S X (0.154:0.154:0.154) (0.313:0.313:0.313))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__and2_1")
(INSTANCE _5118_)
(DELAY
(ABSOLUTE
(IOPATH A X (0.172:0.172:0.172) (0.169:0.169:0.169))
(IOPATH B X (0.129:0.129:0.130) (0.163:0.163:0.163))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__clkbuf_1")
(INSTANCE _5119_)
(DELAY
(ABSOLUTE
(IOPATH A X (0.095:0.095:0.095) (0.091:0.092:0.092))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__dlymetal6s2s_1")
(INSTANCE _5120_)
(DELAY
(ABSOLUTE
(IOPATH A X (0.237:0.237:0.237) (0.220:0.220:0.220))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__mux2_1")
(INSTANCE _5121_)
(DELAY
(ABSOLUTE
(IOPATH A0 X (0.150:0.150:0.150) (0.286:0.286:0.286))
(IOPATH A1 X (0.139:0.139:0.139) (0.286:0.286:0.286))
(IOPATH S X (0.206:0.206:0.206) (0.331:0.331:0.331))
(IOPATH S X (0.155:0.155:0.155) (0.314:0.314:0.314))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__and2_1")
(INSTANCE _5122_)
(DELAY
(ABSOLUTE
(IOPATH A X (0.158:0.158:0.158) (0.160:0.160:0.160))
(IOPATH B X (0.114:0.115:0.115) (0.153:0.153:0.153))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__clkbuf_1")
(INSTANCE _5123_)
(DELAY
(ABSOLUTE
(IOPATH A X (0.084:0.085:0.085) (0.084:0.085:0.085))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__mux2_1")
(INSTANCE _5124_)
(DELAY
(ABSOLUTE
(IOPATH A0 X (0.152:0.152:0.152) (0.289:0.289:0.289))
(IOPATH A1 X (0.132:0.132:0.132) (0.286:0.286:0.286))
(IOPATH S X (0.209:0.209:0.209) (0.335:0.335:0.335))
(IOPATH S X (0.158:0.158:0.158) (0.317:0.317:0.317))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__and2_1")
(INSTANCE _5125_)
(DELAY
(ABSOLUTE
(IOPATH A X (0.166:0.166:0.166) (0.165:0.165:0.165))
(IOPATH B X (0.123:0.123:0.124) (0.159:0.160:0.160))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__clkbuf_1")
(INSTANCE _5126_)
(DELAY
(ABSOLUTE
(IOPATH A X (0.079:0.079:0.079) (0.081:0.081:0.081))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__mux2_1")
(INSTANCE _5127_)
(DELAY
(ABSOLUTE
(IOPATH A0 X (0.145:0.145:0.145) (0.282:0.282:0.282))
(IOPATH A1 X (0.140:0.140:0.140) (0.286:0.286:0.286))
(IOPATH S X (0.205:0.205:0.205) (0.330:0.330:0.330))
(IOPATH S X (0.154:0.154:0.154) (0.312:0.312:0.312))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__and2_1")
(INSTANCE _5128_)
(DELAY
(ABSOLUTE
(IOPATH A X (0.169:0.169:0.169) (0.167:0.167:0.167))
(IOPATH B X (0.124:0.125:0.125) (0.160:0.160:0.160))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__clkbuf_1")
(INSTANCE _5129_)
(DELAY
(ABSOLUTE
(IOPATH A X (0.084:0.084:0.085) (0.084:0.085:0.085))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__buf_2")
(INSTANCE _5130_)
(DELAY
(ABSOLUTE
(IOPATH A X (0.227:0.227:0.227) (0.191:0.191:0.191))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__mux2_1")
(INSTANCE _5131_)
(DELAY
(ABSOLUTE
(IOPATH A0 X (0.150:0.150:0.150) (0.286:0.286:0.286))
(IOPATH A1 X (0.127:0.127:0.127) (0.282:0.282:0.282))
(IOPATH S X (0.198:0.198:0.198) (0.327:0.327:0.327))
(IOPATH S X (0.147:0.147:0.147) (0.308:0.308:0.308))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__and2_1")
(INSTANCE _5132_)
(DELAY
(ABSOLUTE
(IOPATH A X (0.158:0.158:0.158) (0.160:0.160:0.160))
(IOPATH B X (0.114:0.115:0.115) (0.153:0.153:0.153))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__clkbuf_1")
(INSTANCE _5133_)
(DELAY
(ABSOLUTE
(IOPATH A X (0.077:0.077:0.078) (0.079:0.080:0.080))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__mux2_1")
(INSTANCE _5134_)
(DELAY
(ABSOLUTE
(IOPATH A0 X (0.140:0.140:0.140) (0.278:0.278:0.278))
(IOPATH A1 X (0.131:0.131:0.131) (0.282:0.282:0.282))
(IOPATH S X (0.196:0.196:0.196) (0.324:0.324:0.324))
(IOPATH S X (0.144:0.144:0.144) (0.306:0.306:0.306))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__and2_1")
(INSTANCE _5135_)
(DELAY
(ABSOLUTE
(IOPATH A X (0.169:0.169:0.169) (0.167:0.167:0.167))
(IOPATH B X (0.124:0.124:0.125) (0.160:0.160:0.160))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__clkbuf_1")
(INSTANCE _5136_)
(DELAY
(ABSOLUTE
(IOPATH A X (0.093:0.093:0.094) (0.090:0.090:0.091))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__dlymetal6s2s_1")
(INSTANCE _5137_)
(DELAY
(ABSOLUTE
(IOPATH A X (0.206:0.206:0.206) (0.204:0.204:0.204))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__mux2_1")
(INSTANCE _5138_)
(DELAY
(ABSOLUTE
(IOPATH A0 X (0.160:0.160:0.160) (0.293:0.293:0.293))
(IOPATH A1 X (0.147:0.147:0.147) (0.292:0.292:0.292))
(IOPATH S X (0.197:0.197:0.197) (0.325:0.325:0.325))
(IOPATH S X (0.145:0.145:0.145) (0.307:0.307:0.307))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__and2_1")
(INSTANCE _5139_)
(DELAY
(ABSOLUTE
(IOPATH A X (0.148:0.148:0.148) (0.150:0.150:0.150))
(IOPATH B X (0.113:0.114:0.115) (0.152:0.152:0.153))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__clkbuf_1")
(INSTANCE _5140_)
(DELAY
(ABSOLUTE
(IOPATH A X (0.079:0.079:0.079) (0.080:0.081:0.081))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__mux2_1")
(INSTANCE _5141_)
(DELAY
(ABSOLUTE
(IOPATH A0 X (0.146:0.146:0.146) (0.281:0.281:0.281))
(IOPATH A1 X (0.142:0.142:0.142) (0.287:0.287:0.287))
(IOPATH S X (0.194:0.194:0.194) (0.322:0.322:0.322))
(IOPATH S X (0.143:0.143:0.143) (0.303:0.303:0.303))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__and2_1")
(INSTANCE _5142_)
(DELAY
(ABSOLUTE
(IOPATH A X (0.149:0.149:0.149) (0.151:0.151:0.151))
(IOPATH B X (0.114:0.115:0.115) (0.153:0.153:0.153))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__clkbuf_1")
(INSTANCE _5143_)
(DELAY
(ABSOLUTE
(IOPATH A X (0.080:0.080:0.080) (0.081:0.082:0.082))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__mux2_1")
(INSTANCE _5144_)
(DELAY
(ABSOLUTE
(IOPATH A0 X (0.143:0.143:0.143) (0.280:0.280:0.280))
(IOPATH A1 X (0.138:0.138:0.138) (0.285:0.285:0.285))
(IOPATH S X (0.196:0.196:0.196) (0.324:0.324:0.324))
(IOPATH S X (0.144:0.144:0.144) (0.305:0.305:0.305))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__and2_1")
(INSTANCE _5145_)
(DELAY
(ABSOLUTE
(IOPATH A X (0.146:0.146:0.146) (0.149:0.149:0.149))
(IOPATH B X (0.112:0.112:0.113) (0.151:0.151:0.151))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__clkbuf_1")
(INSTANCE _5146_)
(DELAY
(ABSOLUTE
(IOPATH A X (0.075:0.076:0.076) (0.078:0.079:0.079))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__buf_2")
(INSTANCE _5147_)
(DELAY
(ABSOLUTE
(IOPATH A X (0.238:0.238:0.238) (0.197:0.197:0.197))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__dfxtp_1")
(INSTANCE _5148_)
(DELAY
(ABSOLUTE
(IOPATH CLK Q (0.420:0.420:0.420) (0.406:0.406:0.406))
)
)
(TIMINGCHECK
(HOLD (posedge D) (posedge CLK) (0.030:0.030:0.030))
(HOLD (negedge D) (posedge CLK) (0.058:0.058:0.058))
(SETUP (posedge D) (posedge CLK) (-0.012:-0.012:-0.012))
(SETUP (negedge D) (posedge CLK) (-0.005:-0.005:-0.005))
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__dfxtp_1")
(INSTANCE _5149_)
(DELAY
(ABSOLUTE
(IOPATH CLK Q (0.445:0.445:0.445) (0.421:0.421:0.421))
)
)
(TIMINGCHECK
(HOLD (posedge D) (posedge CLK) (0.030:0.030:0.030))
(HOLD (negedge D) (posedge CLK) (0.058:0.058:0.058))
(SETUP (posedge D) (posedge CLK) (-0.012:-0.012:-0.012))
(SETUP (negedge D) (posedge CLK) (-0.005:-0.005:-0.005))
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__dfxtp_1")
(INSTANCE _5150_)
(DELAY
(ABSOLUTE
(IOPATH CLK Q (0.417:0.417:0.417) (0.404:0.404:0.404))
)
)
(TIMINGCHECK
(HOLD (posedge D) (posedge CLK) (0.027:0.027:0.027))
(HOLD (negedge D) (posedge CLK) (0.053:0.053:0.053))
(SETUP (posedge D) (posedge CLK) (-0.009:-0.009:-0.009))
(SETUP (negedge D) (posedge CLK) (-0.000:-0.000:-0.000))
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__dfxtp_1")
(INSTANCE _5151_)
(DELAY
(ABSOLUTE
(IOPATH CLK Q (0.420:0.420:0.420) (0.406:0.406:0.406))
)
)
(TIMINGCHECK
(HOLD (posedge D) (posedge CLK) (0.028:0.028:0.028))
(HOLD (negedge D) (posedge CLK) (0.055:0.055:0.055))
(SETUP (posedge D) (posedge CLK) (-0.010:-0.010:-0.010))
(SETUP (negedge D) (posedge CLK) (-0.001:-0.001:-0.001))
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__dfxtp_1")
(INSTANCE _5152_)
(DELAY
(ABSOLUTE
(IOPATH CLK Q (0.423:0.423:0.423) (0.408:0.408:0.408))
)
)
(TIMINGCHECK
(HOLD (posedge D) (posedge CLK) (0.027:0.027:0.027))
(HOLD (negedge D) (posedge CLK) (0.054:0.054:0.054))
(SETUP (posedge D) (posedge CLK) (-0.009:-0.009:-0.009))
(SETUP (negedge D) (posedge CLK) (-0.000:-0.000:-0.000))
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__dfxtp_1")
(INSTANCE _5153_)
(DELAY
(ABSOLUTE
(IOPATH CLK Q (0.426:0.426:0.426) (0.411:0.411:0.411))
)
)
(TIMINGCHECK
(HOLD (posedge D) (posedge CLK) (0.030:0.030:0.030))
(HOLD (negedge D) (posedge CLK) (0.059:0.059:0.059))
(SETUP (posedge D) (posedge CLK) (-0.013:-0.013:-0.013))
(SETUP (negedge D) (posedge CLK) (-0.006:-0.006:-0.006))
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__dfxtp_1")
(INSTANCE _5154_)
(DELAY
(ABSOLUTE
(IOPATH CLK Q (0.354:0.354:0.354) (0.324:0.324:0.324))
)
)
(TIMINGCHECK
(HOLD (posedge D) (posedge CLK) (-0.029:-0.029:-0.029))
(HOLD (negedge D) (posedge CLK) (-0.044:-0.046:-0.048))
(SETUP (posedge D) (posedge CLK) (0.051:0.051:0.052))
(SETUP (negedge D) (posedge CLK) (0.101:0.103:0.105))
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__dfxtp_1")
(INSTANCE _5155_)
(DELAY
(ABSOLUTE
(IOPATH CLK Q (0.346:0.346:0.346) (0.320:0.320:0.320))
)
)
(TIMINGCHECK
(HOLD (posedge D) (posedge CLK) (-0.029:-0.030:-0.030))
(HOLD (negedge D) (posedge CLK) (-0.046:-0.047:-0.049))
(SETUP (posedge D) (posedge CLK) (0.052:0.052:0.053))
(SETUP (negedge D) (posedge CLK) (0.102:0.104:0.106))
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__dfxtp_1")
(INSTANCE _5156_)
(DELAY
(ABSOLUTE
(IOPATH CLK Q (0.367:0.367:0.367) (0.331:0.331:0.331))
)
)
(TIMINGCHECK
(HOLD (posedge D) (posedge CLK) (-0.029:-0.029:-0.030))
(HOLD (negedge D) (posedge CLK) (-0.045:-0.047:-0.049))
(SETUP (posedge D) (posedge CLK) (0.052:0.052:0.052))
(SETUP (negedge D) (posedge CLK) (0.102:0.103:0.105))
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__dfxtp_1")
(INSTANCE _5157_)
(DELAY
(ABSOLUTE
(IOPATH CLK Q (0.359:0.359:0.359) (0.327:0.327:0.327))
)
)
(TIMINGCHECK
(HOLD (posedge D) (posedge CLK) (-0.028:-0.028:-0.029))
(HOLD (negedge D) (posedge CLK) (-0.044:-0.046:-0.047))
(SETUP (posedge D) (posedge CLK) (0.051:0.051:0.051))
(SETUP (negedge D) (posedge CLK) (0.100:0.102:0.104))
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__dfxtp_1")
(INSTANCE _5158_)
(DELAY
(ABSOLUTE
(IOPATH CLK Q (0.335:0.335:0.335) (0.313:0.313:0.313))
)
)
(TIMINGCHECK
(HOLD (posedge D) (posedge CLK) (-0.028:-0.028:-0.028))
(HOLD (negedge D) (posedge CLK) (-0.043:-0.045:-0.047))
(SETUP (posedge D) (posedge CLK) (0.050:0.051:0.051))
(SETUP (negedge D) (posedge CLK) (0.100:0.102:0.104))
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__dfxtp_1")
(INSTANCE _5159_)
(DELAY
(ABSOLUTE
(IOPATH CLK Q (0.353:0.353:0.353) (0.324:0.324:0.324))
)
)
(TIMINGCHECK
(HOLD (posedge D) (posedge CLK) (-0.028:-0.028:-0.028))
(HOLD (negedge D) (posedge CLK) (-0.043:-0.045:-0.047))
(SETUP (posedge D) (posedge CLK) (0.051:0.051:0.051))
(SETUP (negedge D) (posedge CLK) (0.100:0.102:0.104))
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__dfxtp_1")
(INSTANCE _5160_)
(DELAY
(ABSOLUTE
(IOPATH CLK Q (0.338:0.338:0.338) (0.315:0.315:0.315))
)
)
(TIMINGCHECK
(HOLD (posedge D) (posedge CLK) (-0.030:-0.030:-0.030))
(HOLD (negedge D) (posedge CLK) (-0.045:-0.047:-0.049))
(SETUP (posedge D) (posedge CLK) (0.052:0.052:0.052))
(SETUP (negedge D) (posedge CLK) (0.102:0.104:0.106))
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__dfxtp_1")
(INSTANCE _5161_)
(DELAY
(ABSOLUTE
(IOPATH CLK Q (0.332:0.332:0.332) (0.311:0.311:0.311))
)
)
(TIMINGCHECK
(HOLD (posedge D) (posedge CLK) (-0.029:-0.029:-0.029))
(HOLD (negedge D) (posedge CLK) (-0.044:-0.046:-0.048))
(SETUP (posedge D) (posedge CLK) (0.051:0.051:0.052))
(SETUP (negedge D) (posedge CLK) (0.101:0.103:0.105))
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__dfxtp_1")
(INSTANCE _5162_)
(DELAY
(ABSOLUTE
(IOPATH CLK Q (0.359:0.359:0.359) (0.324:0.324:0.324))
)
)
(TIMINGCHECK
(HOLD (posedge D) (posedge CLK) (-0.031:-0.031:-0.031))
(HOLD (negedge D) (posedge CLK) (-0.048:-0.050:-0.052))
(SETUP (posedge D) (posedge CLK) (0.053:0.054:0.054))
(SETUP (negedge D) (posedge CLK) (0.105:0.106:0.108))
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__dfxtp_1")
(INSTANCE _5163_)
(DELAY
(ABSOLUTE
(IOPATH CLK Q (0.372:0.372:0.372) (0.331:0.331:0.331))
)
)
(TIMINGCHECK
(HOLD (posedge D) (posedge CLK) (-0.031:-0.031:-0.032))
(HOLD (negedge D) (posedge CLK) (-0.048:-0.050:-0.052))
(SETUP (posedge D) (posedge CLK) (0.053:0.054:0.054))
(SETUP (negedge D) (posedge CLK) (0.105:0.107:0.109))
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__dfxtp_1")
(INSTANCE _5164_)
(DELAY
(ABSOLUTE
(IOPATH CLK Q (0.354:0.354:0.354) (0.322:0.322:0.322))
)
)
(TIMINGCHECK
(HOLD (posedge D) (posedge CLK) (-0.031:-0.031:-0.031))
(HOLD (negedge D) (posedge CLK) (-0.048:-0.050:-0.052))
(SETUP (posedge D) (posedge CLK) (0.053:0.054:0.054))
(SETUP (negedge D) (posedge CLK) (0.105:0.107:0.108))
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__dfxtp_1")
(INSTANCE _5165_)
(DELAY
(ABSOLUTE
(IOPATH CLK Q (0.346:0.346:0.346) (0.317:0.317:0.317))
)
)
(TIMINGCHECK
(HOLD (posedge D) (posedge CLK) (-0.031:-0.032:-0.032))
(HOLD (negedge D) (posedge CLK) (-0.049:-0.051:-0.052))
(SETUP (posedge D) (posedge CLK) (0.054:0.054:0.055))
(SETUP (negedge D) (posedge CLK) (0.105:0.107:0.109))
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__dfxtp_1")
(INSTANCE _5166_)
(DELAY
(ABSOLUTE
(IOPATH CLK Q (0.324:0.324:0.324) (0.304:0.304:0.304))
)
)
(TIMINGCHECK
(HOLD (posedge D) (posedge CLK) (-0.031:-0.031:-0.032))
(HOLD (negedge D) (posedge CLK) (-0.048:-0.050:-0.052))
(SETUP (posedge D) (posedge CLK) (0.053:0.054:0.054))
(SETUP (negedge D) (posedge CLK) (0.105:0.107:0.109))
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__dfxtp_1")
(INSTANCE _5167_)
(DELAY
(ABSOLUTE
(IOPATH CLK Q (0.353:0.353:0.353) (0.324:0.324:0.324))
)
)
(TIMINGCHECK
(HOLD (posedge D) (posedge CLK) (-0.030:-0.030:-0.030))
(HOLD (negedge D) (posedge CLK) (-0.045:-0.047:-0.049))
(SETUP (posedge D) (posedge CLK) (0.052:0.052:0.053))
(SETUP (negedge D) (posedge CLK) (0.102:0.104:0.106))
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__dfxtp_1")
(INSTANCE _5168_)
(DELAY
(ABSOLUTE
(IOPATH CLK Q (0.359:0.359:0.359) (0.327:0.327:0.327))
)
)
(TIMINGCHECK
(HOLD (posedge D) (posedge CLK) (-0.029:-0.029:-0.029))
(HOLD (negedge D) (posedge CLK) (-0.045:-0.046:-0.048))
(SETUP (posedge D) (posedge CLK) (0.051:0.051:0.052))
(SETUP (negedge D) (posedge CLK) (0.101:0.103:0.105))
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__dfxtp_1")
(INSTANCE _5169_)
(DELAY
(ABSOLUTE
(IOPATH CLK Q (0.414:0.414:0.414) (0.357:0.357:0.357))
)
)
(TIMINGCHECK
(HOLD (posedge D) (posedge CLK) (-0.028:-0.029:-0.029))
(HOLD (negedge D) (posedge CLK) (-0.044:-0.046:-0.047))
(SETUP (posedge D) (posedge CLK) (0.051:0.051:0.051))
(SETUP (negedge D) (posedge CLK) (0.101:0.102:0.104))
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__dfxtp_1")
(INSTANCE _5170_)
(DELAY
(ABSOLUTE
(IOPATH CLK Q (0.328:0.328:0.328) (0.308:0.308:0.308))
)
)
(TIMINGCHECK
(HOLD (posedge D) (posedge CLK) (-0.030:-0.031:-0.031))
(HOLD (negedge D) (posedge CLK) (-0.047:-0.049:-0.050))
(SETUP (posedge D) (posedge CLK) (0.053:0.053:0.054))
(SETUP (negedge D) (posedge CLK) (0.104:0.106:0.107))
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__dfxtp_1")
(INSTANCE _5171_)
(DELAY
(ABSOLUTE
(IOPATH CLK Q (0.334:0.334:0.334) (0.311:0.311:0.311))
)
)
(TIMINGCHECK
(HOLD (posedge D) (posedge CLK) (-0.031:-0.031:-0.031))
(HOLD (negedge D) (posedge CLK) (-0.048:-0.050:-0.051))
(SETUP (posedge D) (posedge CLK) (0.053:0.054:0.054))
(SETUP (negedge D) (posedge CLK) (0.105:0.107:0.108))
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__dfxtp_1")
(INSTANCE _5172_)
(DELAY
(ABSOLUTE
(IOPATH CLK Q (0.348:0.348:0.348) (0.319:0.319:0.319))
)
)
(TIMINGCHECK
(HOLD (posedge D) (posedge CLK) (-0.030:-0.031:-0.031))
(HOLD (negedge D) (posedge CLK) (-0.048:-0.049:-0.051))
(SETUP (posedge D) (posedge CLK) (0.053:0.053:0.054))
(SETUP (negedge D) (posedge CLK) (0.104:0.106:0.108))
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__dfxtp_1")
(INSTANCE _5173_)
(DELAY
(ABSOLUTE
(IOPATH CLK Q (0.322:0.322:0.322) (0.303:0.303:0.303))
)
)
(TIMINGCHECK
(HOLD (posedge D) (posedge CLK) (-0.030:-0.030:-0.030))
(HOLD (negedge D) (posedge CLK) (-0.046:-0.048:-0.050))
(SETUP (posedge D) (posedge CLK) (0.052:0.053:0.053))
(SETUP (negedge D) (posedge CLK) (0.103:0.105:0.107))
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__dfxtp_1")
(INSTANCE _5174_)
(DELAY
(ABSOLUTE
(IOPATH CLK Q (0.345:0.345:0.345) (0.317:0.317:0.317))
)
)
(TIMINGCHECK
(HOLD (posedge D) (posedge CLK) (-0.030:-0.030:-0.030))
(HOLD (negedge D) (posedge CLK) (-0.046:-0.048:-0.050))
(SETUP (posedge D) (posedge CLK) (0.052:0.052:0.052))
(SETUP (negedge D) (posedge CLK) (0.103:0.105:0.107))
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__dfxtp_1")
(INSTANCE _5175_)
(DELAY
(ABSOLUTE
(IOPATH CLK Q (0.343:0.343:0.343) (0.316:0.316:0.316))
)
)
(TIMINGCHECK
(HOLD (posedge D) (posedge CLK) (-0.030:-0.030:-0.031))
(HOLD (negedge D) (posedge CLK) (-0.047:-0.048:-0.050))
(SETUP (posedge D) (posedge CLK) (0.053:0.053:0.053))
(SETUP (negedge D) (posedge CLK) (0.103:0.105:0.107))
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__dfxtp_1")
(INSTANCE _5176_)
(DELAY
(ABSOLUTE
(IOPATH CLK Q (0.340:0.340:0.340) (0.315:0.315:0.315))
)
)
(TIMINGCHECK
(HOLD (posedge D) (posedge CLK) (-0.030:-0.030:-0.031))
(HOLD (negedge D) (posedge CLK) (-0.046:-0.048:-0.050))
(SETUP (posedge D) (posedge CLK) (0.053:0.053:0.053))
(SETUP (negedge D) (posedge CLK) (0.103:0.105:0.107))
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__dfxtp_1")
(INSTANCE _5177_)
(DELAY
(ABSOLUTE
(IOPATH CLK Q (0.333:0.333:0.333) (0.310:0.310:0.310))
)
)
(TIMINGCHECK
(HOLD (posedge D) (posedge CLK) (-0.031:-0.031:-0.031))
(HOLD (negedge D) (posedge CLK) (-0.048:-0.050:-0.051))
(SETUP (posedge D) (posedge CLK) (0.054:0.054:0.054))
(SETUP (negedge D) (posedge CLK) (0.104:0.106:0.108))
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__dfxtp_1")
(INSTANCE _5178_)
(DELAY
(ABSOLUTE
(IOPATH CLK Q (0.383:0.383:0.383) (0.341:0.341:0.341))
)
)
(TIMINGCHECK
(HOLD (posedge D) (posedge CLK) (-0.033:-0.036:-0.040))
(HOLD (negedge D) (posedge CLK) (-0.046:-0.055:-0.065))
(SETUP (posedge D) (posedge CLK) (0.056:0.060:0.063))
(SETUP (negedge D) (posedge CLK) (0.102:0.113:0.123))
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__dfxtp_1")
(INSTANCE _5179_)
(DELAY
(ABSOLUTE
(IOPATH CLK Q (0.377:0.377:0.377) (0.336:0.336:0.336))
)
)
(TIMINGCHECK
(HOLD (posedge D) (posedge CLK) (-0.032:-0.032:-0.032))
(HOLD (negedge D) (posedge CLK) (-0.047:-0.049:-0.052))
(SETUP (posedge D) (posedge CLK) (0.054:0.055:0.055))
(SETUP (negedge D) (posedge CLK) (0.104:0.106:0.109))
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__dfxtp_1")
(INSTANCE _5180_)
(DELAY
(ABSOLUTE
(IOPATH CLK Q (0.351:0.351:0.351) (0.322:0.322:0.322))
)
)
(TIMINGCHECK
(HOLD (posedge D) (posedge CLK) (-0.033:-0.033:-0.033))
(HOLD (negedge D) (posedge CLK) (-0.049:-0.051:-0.054))
(SETUP (posedge D) (posedge CLK) (0.056:0.056:0.056))
(SETUP (negedge D) (posedge CLK) (0.106:0.108:0.111))
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__dfxtp_1")
(INSTANCE _5181_)
(DELAY
(ABSOLUTE
(IOPATH CLK Q (0.329:0.329:0.329) (0.309:0.309:0.309))
)
)
(TIMINGCHECK
(HOLD (posedge D) (posedge CLK) (-0.031:-0.031:-0.031))
(HOLD (negedge D) (posedge CLK) (-0.046:-0.049:-0.051))
(SETUP (posedge D) (posedge CLK) (0.054:0.054:0.054))
(SETUP (negedge D) (posedge CLK) (0.103:0.105:0.108))
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__dfxtp_1")
(INSTANCE _5182_)
(DELAY
(ABSOLUTE
(IOPATH CLK Q (0.358:0.358:0.358) (0.325:0.325:0.325))
)
)
(TIMINGCHECK
(HOLD (posedge D) (posedge CLK) (-0.033:-0.033:-0.033))
(HOLD (negedge D) (posedge CLK) (-0.048:-0.051:-0.053))
(SETUP (posedge D) (posedge CLK) (0.055:0.056:0.056))
(SETUP (negedge D) (posedge CLK) (0.105:0.108:0.110))
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__dfxtp_1")
(INSTANCE _5183_)
(DELAY
(ABSOLUTE
(IOPATH CLK Q (0.356:0.356:0.356) (0.324:0.324:0.324))
)
)
(TIMINGCHECK
(HOLD (posedge D) (posedge CLK) (-0.036:-0.036:-0.036))
(HOLD (negedge D) (posedge CLK) (-0.052:-0.055:-0.057))
(SETUP (posedge D) (posedge CLK) (0.059:0.060:0.060))
(SETUP (negedge D) (posedge CLK) (0.109:0.112:0.115))
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__dfxtp_1")
(INSTANCE _5184_)
(DELAY
(ABSOLUTE
(IOPATH CLK Q (0.337:0.337:0.337) (0.314:0.314:0.314))
)
)
(TIMINGCHECK
(HOLD (posedge D) (posedge CLK) (-0.032:-0.033:-0.033))
(HOLD (negedge D) (posedge CLK) (-0.048:-0.051:-0.053))
(SETUP (posedge D) (posedge CLK) (0.055:0.055:0.056))
(SETUP (negedge D) (posedge CLK) (0.105:0.107:0.110))
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__dfxtp_1")
(INSTANCE _5185_)
(DELAY
(ABSOLUTE
(IOPATH CLK Q (0.328:0.328:0.328) (0.308:0.308:0.308))
)
)
(TIMINGCHECK
(HOLD (posedge D) (posedge CLK) (-0.037:-0.037:-0.037))
(HOLD (negedge D) (posedge CLK) (-0.053:-0.056:-0.058))
(SETUP (posedge D) (posedge CLK) (0.060:0.060:0.060))
(SETUP (negedge D) (posedge CLK) (0.110:0.113:0.115))
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__dfxtp_1")
(INSTANCE _5186_)
(DELAY
(ABSOLUTE
(IOPATH CLK Q (0.326:0.326:0.326) (0.307:0.307:0.307))
)
)
(TIMINGCHECK
(HOLD (posedge D) (posedge CLK) (-0.032:-0.032:-0.033))
(HOLD (negedge D) (posedge CLK) (-0.048:-0.050:-0.053))
(SETUP (posedge D) (posedge CLK) (0.055:0.055:0.055))
(SETUP (negedge D) (posedge CLK) (0.105:0.107:0.110))
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__dfxtp_1")
(INSTANCE _5187_)
(DELAY
(ABSOLUTE
(IOPATH CLK Q (0.319:0.319:0.319) (0.305:0.305:0.305))
)
)
(TIMINGCHECK
(HOLD (posedge D) (posedge CLK) (-0.027:-0.028:-0.030))
(HOLD (negedge D) (posedge CLK) (-0.041:-0.043:-0.045))
(SETUP (posedge D) (posedge CLK) (0.049:0.051:0.053))
(SETUP (negedge D) (posedge CLK) (0.097:0.100:0.102))
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__dfxtp_1")
(INSTANCE _5188_)
(DELAY
(ABSOLUTE
(IOPATH CLK Q (0.420:0.420:0.420) (0.360:0.360:0.360))
)
)
(TIMINGCHECK
(HOLD (posedge D) (posedge CLK) (-0.027:-0.027:-0.027))
(HOLD (negedge D) (posedge CLK) (-0.040:-0.040:-0.040))
(SETUP (posedge D) (posedge CLK) (0.049:0.049:0.049))
(SETUP (negedge D) (posedge CLK) (0.096:0.096:0.096))
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__dfxtp_1")
(INSTANCE _5189_)
(DELAY
(ABSOLUTE
(IOPATH CLK Q (0.402:0.402:0.402) (0.350:0.350:0.350))
)
)
(TIMINGCHECK
(HOLD (posedge D) (posedge CLK) (-0.028:-0.028:-0.028))
(HOLD (negedge D) (posedge CLK) (-0.041:-0.041:-0.041))
(SETUP (posedge D) (posedge CLK) (0.051:0.051:0.051))
(SETUP (negedge D) (posedge CLK) (0.098:0.098:0.098))
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__dfxtp_1")
(INSTANCE _5190_)
(DELAY
(ABSOLUTE
(IOPATH CLK Q (0.377:0.377:0.377) (0.334:0.334:0.334))
)
)
(TIMINGCHECK
(HOLD (posedge D) (posedge CLK) (-0.030:-0.030:-0.030))
(HOLD (negedge D) (posedge CLK) (-0.045:-0.045:-0.045))
(SETUP (posedge D) (posedge CLK) (0.053:0.053:0.053))
(SETUP (negedge D) (posedge CLK) (0.102:0.102:0.102))
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__dfxtp_1")
(INSTANCE _5191_)
(DELAY
(ABSOLUTE
(IOPATH CLK Q (0.373:0.373:0.373) (0.337:0.337:0.337))
)
)
(TIMINGCHECK
(HOLD (posedge D) (posedge CLK) (-0.028:-0.028:-0.028))
(HOLD (negedge D) (posedge CLK) (-0.040:-0.040:-0.040))
(SETUP (posedge D) (posedge CLK) (0.051:0.051:0.051))
(SETUP (negedge D) (posedge CLK) (0.096:0.096:0.096))
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__dfxtp_1")
(INSTANCE _5192_)
(DELAY
(ABSOLUTE
(IOPATH CLK Q (0.356:0.356:0.356) (0.329:0.329:0.329))
)
)
(TIMINGCHECK
(HOLD (posedge D) (posedge CLK) (-0.026:-0.026:-0.026))
(HOLD (negedge D) (posedge CLK) (-0.038:-0.038:-0.038))
(SETUP (posedge D) (posedge CLK) (0.048:0.048:0.048))
(SETUP (negedge D) (posedge CLK) (0.094:0.094:0.094))
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__dfxtp_1")
(INSTANCE _5193_)
(DELAY
(ABSOLUTE
(IOPATH CLK Q (0.419:0.419:0.419) (0.360:0.360:0.360))
)
)
(TIMINGCHECK
(HOLD (posedge D) (posedge CLK) (-0.026:-0.026:-0.026))
(HOLD (negedge D) (posedge CLK) (-0.038:-0.038:-0.038))
(SETUP (posedge D) (posedge CLK) (0.048:0.048:0.048))
(SETUP (negedge D) (posedge CLK) (0.094:0.094:0.094))
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__dfxtp_1")
(INSTANCE _5194_)
(DELAY
(ABSOLUTE
(IOPATH CLK Q (0.394:0.394:0.394) (0.347:0.347:0.347))
)
)
(TIMINGCHECK
(HOLD (posedge D) (posedge CLK) (-0.038:-0.039:-0.041))
(HOLD (negedge D) (posedge CLK) (-0.039:-0.043:-0.046))
(SETUP (posedge D) (posedge CLK) (0.061:0.063:0.065))
(SETUP (negedge D) (posedge CLK) (0.096:0.099:0.103))
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__dfxtp_1")
(INSTANCE _5195_)
(DELAY
(ABSOLUTE
(IOPATH CLK Q (0.386:0.386:0.386) (0.340:0.340:0.340))
)
)
(TIMINGCHECK
(HOLD (posedge D) (posedge CLK) (-0.030:-0.030:-0.031))
(HOLD (negedge D) (posedge CLK) (-0.046:-0.048:-0.050))
(SETUP (posedge D) (posedge CLK) (0.053:0.053:0.053))
(SETUP (negedge D) (posedge CLK) (0.103:0.105:0.107))
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__dfxtp_1")
(INSTANCE _5196_)
(DELAY
(ABSOLUTE
(IOPATH CLK Q (0.370:0.370:0.370) (0.331:0.331:0.331))
)
)
(TIMINGCHECK
(HOLD (posedge D) (posedge CLK) (-0.034:-0.034:-0.034))
(HOLD (negedge D) (posedge CLK) (-0.048:-0.048:-0.048))
(SETUP (posedge D) (posedge CLK) (0.057:0.057:0.057))
(SETUP (negedge D) (posedge CLK) (0.105:0.105:0.105))
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__dfxtp_1")
(INSTANCE _5197_)
(DELAY
(ABSOLUTE
(IOPATH CLK Q (0.326:0.326:0.326) (0.309:0.309:0.309))
)
)
(TIMINGCHECK
(HOLD (posedge D) (posedge CLK) (-0.027:-0.028:-0.028))
(HOLD (negedge D) (posedge CLK) (-0.042:-0.043:-0.044))
(SETUP (posedge D) (posedge CLK) (0.050:0.050:0.050))
(SETUP (negedge D) (posedge CLK) (0.099:0.100:0.101))
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__dfxtp_1")
(INSTANCE _5198_)
(DELAY
(ABSOLUTE
(IOPATH CLK Q (0.365:0.365:0.365) (0.331:0.331:0.331))
)
)
(TIMINGCHECK
(HOLD (posedge D) (posedge CLK) (-0.031:-0.031:-0.031))
(HOLD (negedge D) (posedge CLK) (-0.043:-0.043:-0.043))
(SETUP (posedge D) (posedge CLK) (0.053:0.053:0.053))
(SETUP (negedge D) (posedge CLK) (0.100:0.100:0.100))
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__dfxtp_1")
(INSTANCE _5199_)
(DELAY
(ABSOLUTE
(IOPATH CLK Q (0.370:0.370:0.370) (0.331:0.331:0.331))
)
)
(TIMINGCHECK
(HOLD (posedge D) (posedge CLK) (-0.036:-0.036:-0.036))
(HOLD (negedge D) (posedge CLK) (-0.050:-0.050:-0.050))
(SETUP (posedge D) (posedge CLK) (0.060:0.060:0.060))
(SETUP (negedge D) (posedge CLK) (0.107:0.107:0.107))
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__dfxtp_1")
(INSTANCE _5200_)
(DELAY
(ABSOLUTE
(IOPATH CLK Q (0.329:0.329:0.329) (0.311:0.311:0.311))
)
)
(TIMINGCHECK
(HOLD (posedge D) (posedge CLK) (-0.027:-0.027:-0.027))
(HOLD (negedge D) (posedge CLK) (-0.042:-0.043:-0.044))
(SETUP (posedge D) (posedge CLK) (0.049:0.049:0.050))
(SETUP (negedge D) (posedge CLK) (0.098:0.099:0.101))
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__dfxtp_1")
(INSTANCE _5201_)
(DELAY
(ABSOLUTE
(IOPATH CLK Q (0.358:0.358:0.358) (0.323:0.323:0.323))
)
)
(TIMINGCHECK
(HOLD (posedge D) (posedge CLK) (-0.045:-0.045:-0.045))
(HOLD (negedge D) (posedge CLK) (-0.059:-0.059:-0.059))
(SETUP (posedge D) (posedge CLK) (0.069:0.069:0.069))
(SETUP (negedge D) (posedge CLK) (0.116:0.116:0.116))
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__dfxtp_1")
(INSTANCE _5202_)
(DELAY
(ABSOLUTE
(IOPATH CLK Q (0.386:0.386:0.386) (0.339:0.339:0.339))
)
)
(TIMINGCHECK
(HOLD (posedge D) (posedge CLK) (-0.030:-0.030:-0.030))
(HOLD (negedge D) (posedge CLK) (-0.046:-0.048:-0.049))
(SETUP (posedge D) (posedge CLK) (0.053:0.053:0.053))
(SETUP (negedge D) (posedge CLK) (0.103:0.104:0.106))
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__dfxtp_4")
(INSTANCE _5203_)
(DELAY
(ABSOLUTE
(IOPATH CLK Q (0.519:0.519:0.519) (0.437:0.437:0.437))
)
)
(TIMINGCHECK
(HOLD (posedge D) (posedge CLK) (-0.028:-0.028:-0.028))
(HOLD (negedge D) (posedge CLK) (-0.034:-0.036:-0.038))
(SETUP (posedge D) (posedge CLK) (0.056:0.056:0.057))
(SETUP (negedge D) (posedge CLK) (0.100:0.102:0.104))
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__dfxtp_4")
(INSTANCE _5204_)
(DELAY
(ABSOLUTE
(IOPATH CLK Q (0.500:0.500:0.500) (0.427:0.427:0.427))
)
)
(TIMINGCHECK
(HOLD (posedge D) (posedge CLK) (-0.038:-0.040:-0.042))
(HOLD (negedge D) (posedge CLK) (-0.034:-0.038:-0.043))
(SETUP (posedge D) (posedge CLK) (0.068:0.070:0.072))
(SETUP (negedge D) (posedge CLK) (0.100:0.105:0.109))
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__dfxtp_4")
(INSTANCE _5205_)
(DELAY
(ABSOLUTE
(IOPATH CLK Q (0.567:0.567:0.567) (0.457:0.457:0.457))
)
)
(TIMINGCHECK
(HOLD (posedge D) (posedge CLK) (-0.030:-0.031:-0.031))
(HOLD (negedge D) (posedge CLK) (-0.038:-0.039:-0.041))
(SETUP (posedge D) (posedge CLK) (0.059:0.059:0.060))
(SETUP (negedge D) (posedge CLK) (0.105:0.106:0.107))
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__dfxtp_4")
(INSTANCE _5206_)
(DELAY
(ABSOLUTE
(IOPATH CLK Q (0.597:0.597:0.597) (0.473:0.473:0.473))
)
)
(TIMINGCHECK
(HOLD (posedge D) (posedge CLK) (-0.042:-0.042:-0.042))
(HOLD (negedge D) (posedge CLK) (-0.047:-0.047:-0.047))
(SETUP (posedge D) (posedge CLK) (0.072:0.072:0.072))
(SETUP (negedge D) (posedge CLK) (0.113:0.113:0.113))
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__dfxtp_4")
(INSTANCE _5207_)
(DELAY
(ABSOLUTE
(IOPATH CLK Q (0.533:0.533:0.533) (0.442:0.442:0.442))
)
)
(TIMINGCHECK
(HOLD (posedge D) (posedge CLK) (-0.032:-0.032:-0.032))
(HOLD (negedge D) (posedge CLK) (-0.037:-0.037:-0.037))
(SETUP (posedge D) (posedge CLK) (0.061:0.061:0.061))
(SETUP (negedge D) (posedge CLK) (0.103:0.103:0.103))
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__dfxtp_4")
(INSTANCE _5208_)
(DELAY
(ABSOLUTE
(IOPATH CLK Q (0.526:0.526:0.526) (0.436:0.436:0.436))
)
)
(TIMINGCHECK
(HOLD (posedge D) (posedge CLK) (-0.034:-0.034:-0.034))
(HOLD (negedge D) (posedge CLK) (-0.040:-0.040:-0.040))
(SETUP (posedge D) (posedge CLK) (0.063:0.063:0.063))
(SETUP (negedge D) (posedge CLK) (0.106:0.106:0.106))
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__dfxtp_4")
(INSTANCE _5209_)
(DELAY
(ABSOLUTE
(IOPATH CLK Q (0.527:0.527:0.527) (0.436:0.436:0.436))
)
)
(TIMINGCHECK
(HOLD (posedge D) (posedge CLK) (-0.032:-0.032:-0.032))
(HOLD (negedge D) (posedge CLK) (-0.038:-0.038:-0.038))
(SETUP (posedge D) (posedge CLK) (0.061:0.061:0.061))
(SETUP (negedge D) (posedge CLK) (0.104:0.104:0.104))
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__dfxtp_1")
(INSTANCE _5210_)
(DELAY
(ABSOLUTE
(IOPATH CLK Q (0.449:0.449:0.449) (0.420:0.420:0.420))
)
)
(TIMINGCHECK
(HOLD (posedge D) (posedge CLK) (-0.083:-0.083:-0.083))
(HOLD (negedge D) (posedge CLK) (-0.103:-0.103:-0.103))
(SETUP (posedge D) (posedge CLK) (0.111:0.111:0.111))
(SETUP (negedge D) (posedge CLK) (0.161:0.161:0.161))
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__dfxtp_1")
(INSTANCE _5211_)
(DELAY
(ABSOLUTE
(IOPATH CLK Q (0.467:0.467:0.467) (0.431:0.431:0.431))
)
)
(TIMINGCHECK
(HOLD (posedge D) (posedge CLK) (-0.084:-0.084:-0.084))
(HOLD (negedge D) (posedge CLK) (-0.107:-0.107:-0.107))
(SETUP (posedge D) (posedge CLK) (0.112:0.112:0.112))
(SETUP (negedge D) (posedge CLK) (0.166:0.166:0.166))
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__dfxtp_1")
(INSTANCE _5212_)
(DELAY
(ABSOLUTE
(IOPATH CLK Q (0.629:0.629:0.629) (0.516:0.516:0.516))
)
)
(TIMINGCHECK
(HOLD (posedge D) (posedge CLK) (-0.077:-0.077:-0.077))
(HOLD (negedge D) (posedge CLK) (-0.080:-0.080:-0.080))
(SETUP (posedge D) (posedge CLK) (0.103:0.103:0.103))
(SETUP (negedge D) (posedge CLK) (0.137:0.137:0.137))
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__dfxtp_1")
(INSTANCE _5213_)
(DELAY
(ABSOLUTE
(IOPATH CLK Q (0.648:0.648:0.648) (0.523:0.523:0.523))
)
)
(TIMINGCHECK
(HOLD (posedge D) (posedge CLK) (-0.075:-0.075:-0.075))
(HOLD (negedge D) (posedge CLK) (-0.071:-0.071:-0.071))
(SETUP (posedge D) (posedge CLK) (0.101:0.101:0.101))
(SETUP (negedge D) (posedge CLK) (0.128:0.128:0.128))
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__dfxtp_1")
(INSTANCE _5214_)
(DELAY
(ABSOLUTE
(IOPATH CLK Q (0.441:0.441:0.441) (0.422:0.422:0.422))
)
)
(TIMINGCHECK
(HOLD (posedge D) (posedge CLK) (0.001:0.001:0.001))
(HOLD (negedge D) (posedge CLK) (0.016:0.016:0.016))
(SETUP (posedge D) (posedge CLK) (0.018:0.018:0.018))
(SETUP (negedge D) (posedge CLK) (0.038:0.038:0.038))
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__dfxtp_1")
(INSTANCE _5215_)
(DELAY
(ABSOLUTE
(IOPATH CLK Q (0.435:0.435:0.435) (0.418:0.418:0.418))
)
)
(TIMINGCHECK
(HOLD (posedge D) (posedge CLK) (0.005:0.005:0.005))
(HOLD (negedge D) (posedge CLK) (0.020:0.020:0.020))
(SETUP (posedge D) (posedge CLK) (0.015:0.015:0.015))
(SETUP (negedge D) (posedge CLK) (0.034:0.034:0.034))
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__dfxtp_1")
(INSTANCE _5216_)
(DELAY
(ABSOLUTE
(IOPATH CLK Q (0.449:0.449:0.449) (0.427:0.427:0.427))
)
)
(TIMINGCHECK
(HOLD (posedge D) (posedge CLK) (0.010:0.010:0.010))
(HOLD (negedge D) (posedge CLK) (0.029:0.029:0.029))
(SETUP (posedge D) (posedge CLK) (0.008:0.008:0.008))
(SETUP (negedge D) (posedge CLK) (0.025:0.025:0.025))
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__dfxtp_1")
(INSTANCE _5217_)
(DELAY
(ABSOLUTE
(IOPATH CLK Q (0.430:0.430:0.430) (0.415:0.415:0.415))
)
)
(TIMINGCHECK
(HOLD (posedge D) (posedge CLK) (-0.008:-0.008:-0.008))
(HOLD (negedge D) (posedge CLK) (0.021:0.021:0.021))
(SETUP (posedge D) (posedge CLK) (0.028:0.028:0.028))
(SETUP (negedge D) (posedge CLK) (0.033:0.033:0.033))
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__dfxtp_1")
(INSTANCE _5218_)
(DELAY
(ABSOLUTE
(IOPATH CLK Q (0.468:0.468:0.468) (0.439:0.439:0.439))
)
)
(TIMINGCHECK
(HOLD (posedge D) (posedge CLK) (0.004:0.004:0.004))
(HOLD (negedge D) (posedge CLK) (0.034:0.034:0.034))
(SETUP (posedge D) (posedge CLK) (0.015:0.015:0.015))
(SETUP (negedge D) (posedge CLK) (0.020:0.020:0.020))
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__dfxtp_1")
(INSTANCE _5219_)
(DELAY
(ABSOLUTE
(IOPATH CLK Q (0.466:0.466:0.466) (0.438:0.438:0.438))
)
)
(TIMINGCHECK
(HOLD (posedge D) (posedge CLK) (0.011:0.011:0.011))
(HOLD (negedge D) (posedge CLK) (0.041:0.041:0.041))
(SETUP (posedge D) (posedge CLK) (0.007:0.007:0.007))
(SETUP (negedge D) (posedge CLK) (0.012:0.012:0.012))
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__dfxtp_1")
(INSTANCE _5220_)
(DELAY
(ABSOLUTE
(IOPATH CLK Q (0.434:0.434:0.434) (0.418:0.418:0.418))
)
)
(TIMINGCHECK
(HOLD (posedge D) (posedge CLK) (0.005:0.005:0.005))
(HOLD (negedge D) (posedge CLK) (0.034:0.034:0.034))
(SETUP (posedge D) (posedge CLK) (0.014:0.014:0.014))
(SETUP (negedge D) (posedge CLK) (0.019:0.019:0.019))
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__dfxtp_1")
(INSTANCE _5221_)
(DELAY
(ABSOLUTE
(IOPATH CLK Q (0.432:0.432:0.432) (0.416:0.416:0.416))
)
)
(TIMINGCHECK
(HOLD (posedge D) (posedge CLK) (0.008:0.008:0.008))
(HOLD (negedge D) (posedge CLK) (0.038:0.038:0.038))
(SETUP (posedge D) (posedge CLK) (0.011:0.011:0.011))
(SETUP (negedge D) (posedge CLK) (0.016:0.016:0.016))
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__dfxtp_1")
(INSTANCE _5222_)
(DELAY
(ABSOLUTE
(IOPATH CLK Q (0.427:0.427:0.427) (0.412:0.412:0.412))
)
)
(TIMINGCHECK
(HOLD (posedge D) (posedge CLK) (0.005:0.005:0.005))
(HOLD (negedge D) (posedge CLK) (0.032:0.032:0.032))
(SETUP (posedge D) (posedge CLK) (0.015:0.015:0.015))
(SETUP (negedge D) (posedge CLK) (0.022:0.022:0.022))
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__dfxtp_1")
(INSTANCE _5223_)
(DELAY
(ABSOLUTE
(IOPATH CLK Q (0.497:0.497:0.497) (0.454:0.454:0.454))
)
)
(TIMINGCHECK
(HOLD (posedge D) (posedge CLK) (0.011:0.011:0.011))
(HOLD (negedge D) (posedge CLK) (0.042:0.042:0.042))
(SETUP (posedge D) (posedge CLK) (0.007:0.007:0.007))
(SETUP (negedge D) (posedge CLK) (0.012:0.012:0.012))
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__dfxtp_1")
(INSTANCE _5224_)
(DELAY
(ABSOLUTE
(IOPATH CLK Q (0.532:0.532:0.532) (0.472:0.472:0.472))
)
)
(TIMINGCHECK
(HOLD (posedge D) (posedge CLK) (0.028:0.028:0.028))
(HOLD (negedge D) (posedge CLK) (0.059:0.059:0.059))
(SETUP (posedge D) (posedge CLK) (-0.011:-0.011:-0.011))
(SETUP (negedge D) (posedge CLK) (-0.006:-0.006:-0.006))
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__dfxtp_1")
(INSTANCE _5225_)
(DELAY
(ABSOLUTE
(IOPATH CLK Q (0.463:0.463:0.463) (0.436:0.436:0.436))
)
)
(TIMINGCHECK
(HOLD (posedge D) (posedge CLK) (0.026:0.026:0.026))
(HOLD (negedge D) (posedge CLK) (0.057:0.057:0.057))
(SETUP (posedge D) (posedge CLK) (-0.008:-0.008:-0.008))
(SETUP (negedge D) (posedge CLK) (-0.004:-0.004:-0.004))
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__dfxtp_1")
(INSTANCE _5226_)
(DELAY
(ABSOLUTE
(IOPATH CLK Q (0.461:0.461:0.461) (0.435:0.435:0.435))
)
)
(TIMINGCHECK
(HOLD (posedge D) (posedge CLK) (0.026:0.026:0.026))
(HOLD (negedge D) (posedge CLK) (0.056:0.056:0.056))
(SETUP (posedge D) (posedge CLK) (-0.008:-0.008:-0.008))
(SETUP (negedge D) (posedge CLK) (-0.003:-0.003:-0.003))
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__dfxtp_1")
(INSTANCE _5227_)
(DELAY
(ABSOLUTE
(IOPATH CLK Q (0.449:0.449:0.449) (0.428:0.428:0.428))
)
)
(TIMINGCHECK
(HOLD (posedge D) (posedge CLK) (0.029:0.029:0.029))
(HOLD (negedge D) (posedge CLK) (0.059:0.059:0.059))
(SETUP (posedge D) (posedge CLK) (-0.011:-0.011:-0.011))
(SETUP (negedge D) (posedge CLK) (-0.006:-0.006:-0.006))
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__dfxtp_1")
(INSTANCE _5228_)
(DELAY
(ABSOLUTE
(IOPATH CLK Q (0.441:0.441:0.441) (0.423:0.423:0.423))
)
)
(TIMINGCHECK
(HOLD (posedge D) (posedge CLK) (0.029:0.029:0.029))
(HOLD (negedge D) (posedge CLK) (0.059:0.059:0.059))
(SETUP (posedge D) (posedge CLK) (-0.012:-0.012:-0.012))
(SETUP (negedge D) (posedge CLK) (-0.007:-0.007:-0.007))
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__dfxtp_1")
(INSTANCE _5229_)
(DELAY
(ABSOLUTE
(IOPATH CLK Q (0.429:0.429:0.429) (0.415:0.415:0.415))
)
)
(TIMINGCHECK
(HOLD (posedge D) (posedge CLK) (0.031:0.031:0.031))
(HOLD (negedge D) (posedge CLK) (0.061:0.061:0.061))
(SETUP (posedge D) (posedge CLK) (-0.014:-0.014:-0.014))
(SETUP (negedge D) (posedge CLK) (-0.009:-0.009:-0.009))
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__dfxtp_1")
(INSTANCE _5230_)
(DELAY
(ABSOLUTE
(IOPATH CLK Q (0.519:0.519:0.519) (0.465:0.465:0.465))
)
)
(TIMINGCHECK
(HOLD (posedge D) (posedge CLK) (0.008:0.008:0.008))
(HOLD (negedge D) (posedge CLK) (0.037:0.037:0.037))
(SETUP (posedge D) (posedge CLK) (0.011:0.011:0.011))
(SETUP (negedge D) (posedge CLK) (0.017:0.017:0.017))
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__dfxtp_1")
(INSTANCE _5231_)
(DELAY
(ABSOLUTE
(IOPATH CLK Q (0.429:0.429:0.429) (0.415:0.415:0.415))
)
)
(TIMINGCHECK
(HOLD (posedge D) (posedge CLK) (0.026:0.026:0.026))
(HOLD (negedge D) (posedge CLK) (0.056:0.056:0.056))
(SETUP (posedge D) (posedge CLK) (-0.008:-0.008:-0.008))
(SETUP (negedge D) (posedge CLK) (-0.004:-0.004:-0.004))
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__dfxtp_1")
(INSTANCE _5232_)
(DELAY
(ABSOLUTE
(IOPATH CLK Q (0.442:0.442:0.442) (0.422:0.422:0.422))
)
)
(TIMINGCHECK
(HOLD (posedge D) (posedge CLK) (0.030:0.030:0.030))
(HOLD (negedge D) (posedge CLK) (0.060:0.060:0.060))
(SETUP (posedge D) (posedge CLK) (-0.012:-0.012:-0.012))
(SETUP (negedge D) (posedge CLK) (-0.007:-0.007:-0.007))
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__dfxtp_1")
(INSTANCE _5233_)
(DELAY
(ABSOLUTE
(IOPATH CLK Q (0.439:0.439:0.439) (0.420:0.420:0.420))
)
)
(TIMINGCHECK
(HOLD (posedge D) (posedge CLK) (0.022:0.022:0.022))
(HOLD (negedge D) (posedge CLK) (0.052:0.052:0.052))
(SETUP (posedge D) (posedge CLK) (-0.004:-0.004:-0.004))
(SETUP (negedge D) (posedge CLK) (0.001:0.001:0.001))
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__dfxtp_1")
(INSTANCE _5234_)
(DELAY
(ABSOLUTE
(IOPATH CLK Q (0.426:0.426:0.426) (0.412:0.412:0.412))
)
)
(TIMINGCHECK
(HOLD (posedge D) (posedge CLK) (0.025:0.025:0.025))
(HOLD (negedge D) (posedge CLK) (0.055:0.055:0.055))
(SETUP (posedge D) (posedge CLK) (-0.007:-0.007:-0.007))
(SETUP (negedge D) (posedge CLK) (-0.002:-0.002:-0.002))
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__dfxtp_1")
(INSTANCE _5235_)
(DELAY
(ABSOLUTE
(IOPATH CLK Q (0.448:0.448:0.448) (0.425:0.425:0.425))
)
)
(TIMINGCHECK
(HOLD (posedge D) (posedge CLK) (0.029:0.029:0.029))
(HOLD (negedge D) (posedge CLK) (0.059:0.059:0.059))
(SETUP (posedge D) (posedge CLK) (-0.011:-0.011:-0.011))
(SETUP (negedge D) (posedge CLK) (-0.006:-0.006:-0.006))
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__dfxtp_1")
(INSTANCE _5236_)
(DELAY
(ABSOLUTE
(IOPATH CLK Q (0.438:0.438:0.438) (0.418:0.418:0.418))
)
)
(TIMINGCHECK
(HOLD (posedge D) (posedge CLK) (-0.007:-0.007:-0.007))
(HOLD (negedge D) (posedge CLK) (0.020:0.020:0.020))
(SETUP (posedge D) (posedge CLK) (0.027:0.027:0.027))
(SETUP (negedge D) (posedge CLK) (0.034:0.034:0.034))
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__dfxtp_1")
(INSTANCE _5237_)
(DELAY
(ABSOLUTE
(IOPATH CLK Q (0.523:0.523:0.523) (0.460:0.460:0.460))
)
)
(TIMINGCHECK
(HOLD (posedge D) (posedge CLK) (0.021:0.021:0.021))
(HOLD (negedge D) (posedge CLK) (0.029:0.029:0.029))
(SETUP (posedge D) (posedge CLK) (-0.003:-0.003:-0.003))
(SETUP (negedge D) (posedge CLK) (0.025:0.025:0.025))
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__dfxtp_1")
(INSTANCE _5238_)
(DELAY
(ABSOLUTE
(IOPATH CLK Q (0.426:0.426:0.426) (0.410:0.410:0.410))
)
)
(TIMINGCHECK
(HOLD (posedge D) (posedge CLK) (0.029:0.029:0.029))
(HOLD (negedge D) (posedge CLK) (0.057:0.057:0.057))
(SETUP (posedge D) (posedge CLK) (-0.011:-0.011:-0.011))
(SETUP (negedge D) (posedge CLK) (-0.004:-0.004:-0.004))
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__dfxtp_1")
(INSTANCE _5239_)
(DELAY
(ABSOLUTE
(IOPATH CLK Q (0.422:0.422:0.422) (0.408:0.408:0.408))
)
)
(TIMINGCHECK
(HOLD (posedge D) (posedge CLK) (0.030:0.030:0.030))
(HOLD (negedge D) (posedge CLK) (0.058:0.058:0.058))
(SETUP (posedge D) (posedge CLK) (-0.012:-0.012:-0.012))
(SETUP (negedge D) (posedge CLK) (-0.005:-0.005:-0.005))
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__dfxtp_1")
(INSTANCE _5240_)
(DELAY
(ABSOLUTE
(IOPATH CLK Q (0.424:0.424:0.424) (0.409:0.409:0.409))
)
)
(TIMINGCHECK
(HOLD (posedge D) (posedge CLK) (0.028:0.028:0.028))
(HOLD (negedge D) (posedge CLK) (0.056:0.056:0.056))
(SETUP (posedge D) (posedge CLK) (-0.010:-0.010:-0.010))
(SETUP (negedge D) (posedge CLK) (-0.003:-0.003:-0.003))
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__dfxtp_1")
(INSTANCE _5241_)
(DELAY
(ABSOLUTE
(IOPATH CLK Q (0.425:0.425:0.425) (0.408:0.408:0.408))
)
)
(TIMINGCHECK
(HOLD (posedge D) (posedge CLK) (0.026:0.026:0.026))
(HOLD (negedge D) (posedge CLK) (0.052:0.052:0.052))
(SETUP (posedge D) (posedge CLK) (-0.008:-0.008:-0.008))
(SETUP (negedge D) (posedge CLK) (0.001:0.001:0.001))
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__dfxtp_1")
(INSTANCE _5242_)
(DELAY
(ABSOLUTE
(IOPATH CLK Q (0.430:0.430:0.430) (0.411:0.411:0.411))
)
)
(TIMINGCHECK
(HOLD (posedge D) (posedge CLK) (0.025:0.025:0.025))
(HOLD (negedge D) (posedge CLK) (0.051:0.051:0.051))
(SETUP (posedge D) (posedge CLK) (-0.007:-0.007:-0.007))
(SETUP (negedge D) (posedge CLK) (0.002:0.002:0.002))
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__dfxtp_1")
(INSTANCE _5243_)
(DELAY
(ABSOLUTE
(IOPATH CLK Q (0.432:0.432:0.432) (0.412:0.412:0.412))
)
)
(TIMINGCHECK
(HOLD (posedge D) (posedge CLK) (0.027:0.027:0.027))
(HOLD (negedge D) (posedge CLK) (0.052:0.052:0.052))
(SETUP (posedge D) (posedge CLK) (-0.009:-0.009:-0.009))
(SETUP (negedge D) (posedge CLK) (0.001:0.001:0.001))
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__dfxtp_1")
(INSTANCE _5244_)
(DELAY
(ABSOLUTE
(IOPATH CLK Q (0.430:0.430:0.430) (0.411:0.411:0.411))
)
)
(TIMINGCHECK
(HOLD (posedge D) (posedge CLK) (0.027:0.027:0.027))
(HOLD (negedge D) (posedge CLK) (0.052:0.052:0.052))
(SETUP (posedge D) (posedge CLK) (-0.009:-0.009:-0.009))
(SETUP (negedge D) (posedge CLK) (0.001:0.001:0.001))
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__dfxtp_1")
(INSTANCE _5245_)
(DELAY
(ABSOLUTE
(IOPATH CLK Q (0.469:0.469:0.469) (0.434:0.434:0.434))
)
)
(TIMINGCHECK
(HOLD (posedge D) (posedge CLK) (0.026:0.026:0.026))
(HOLD (negedge D) (posedge CLK) (0.051:0.051:0.051))
(SETUP (posedge D) (posedge CLK) (-0.008:-0.008:-0.008))
(SETUP (negedge D) (posedge CLK) (0.002:0.002:0.002))
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__dfxtp_1")
(INSTANCE _5246_)
(DELAY
(ABSOLUTE
(IOPATH CLK Q (0.416:0.416:0.416) (0.402:0.402:0.402))
)
)
(TIMINGCHECK
(HOLD (posedge D) (posedge CLK) (0.024:0.024:0.024))
(HOLD (negedge D) (posedge CLK) (0.049:0.049:0.049))
(SETUP (posedge D) (posedge CLK) (-0.006:-0.006:-0.006))
(SETUP (negedge D) (posedge CLK) (0.004:0.004:0.004))
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__dfxtp_1")
(INSTANCE _5247_)
(DELAY
(ABSOLUTE
(IOPATH CLK Q (0.411:0.411:0.411) (0.398:0.398:0.398))
)
)
(TIMINGCHECK
(HOLD (posedge D) (posedge CLK) (0.025:0.025:0.025))
(HOLD (negedge D) (posedge CLK) (0.049:0.049:0.049))
(SETUP (posedge D) (posedge CLK) (-0.007:-0.007:-0.007))
(SETUP (negedge D) (posedge CLK) (0.004:0.004:0.004))
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__dfxtp_1")
(INSTANCE _5248_)
(DELAY
(ABSOLUTE
(IOPATH CLK Q (0.417:0.417:0.417) (0.403:0.403:0.403))
)
)
(TIMINGCHECK
(HOLD (posedge D) (posedge CLK) (0.025:0.025:0.025))
(HOLD (negedge D) (posedge CLK) (0.050:0.050:0.050))
(SETUP (posedge D) (posedge CLK) (-0.007:-0.007:-0.007))
(SETUP (negedge D) (posedge CLK) (0.003:0.003:0.003))
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__dfxtp_1")
(INSTANCE _5249_)
(DELAY
(ABSOLUTE
(IOPATH CLK Q (0.414:0.414:0.414) (0.400:0.400:0.400))
)
)
(TIMINGCHECK
(HOLD (posedge D) (posedge CLK) (0.021:0.021:0.021))
(HOLD (negedge D) (posedge CLK) (0.045:0.045:0.045))
(SETUP (posedge D) (posedge CLK) (-0.003:-0.003:-0.003))
(SETUP (negedge D) (posedge CLK) (0.009:0.009:0.009))
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__dfxtp_1")
(INSTANCE _5250_)
(DELAY
(ABSOLUTE
(IOPATH CLK Q (0.468:0.468:0.468) (0.431:0.431:0.431))
)
)
(TIMINGCHECK
(HOLD (posedge D) (posedge CLK) (0.024:0.024:0.024))
(HOLD (negedge D) (posedge CLK) (0.047:0.047:0.047))
(SETUP (posedge D) (posedge CLK) (-0.005:-0.005:-0.005))
(SETUP (negedge D) (posedge CLK) (0.006:0.006:0.006))
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__dfxtp_1")
(INSTANCE _5251_)
(DELAY
(ABSOLUTE
(IOPATH CLK Q (0.442:0.442:0.442) (0.417:0.417:0.417))
)
)
(TIMINGCHECK
(HOLD (posedge D) (posedge CLK) (0.024:0.024:0.024))
(HOLD (negedge D) (posedge CLK) (0.049:0.049:0.049))
(SETUP (posedge D) (posedge CLK) (-0.006:-0.006:-0.006))
(SETUP (negedge D) (posedge CLK) (0.004:0.004:0.004))
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__dfxtp_1")
(INSTANCE _5252_)
(DELAY
(ABSOLUTE
(IOPATH CLK Q (0.442:0.442:0.442) (0.416:0.416:0.416))
)
)
(TIMINGCHECK
(HOLD (posedge D) (posedge CLK) (0.023:0.023:0.023))
(HOLD (negedge D) (posedge CLK) (0.047:0.047:0.047))
(SETUP (posedge D) (posedge CLK) (-0.005:-0.005:-0.005))
(SETUP (negedge D) (posedge CLK) (0.006:0.006:0.006))
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__dfxtp_1")
(INSTANCE _5253_)
(DELAY
(ABSOLUTE
(IOPATH CLK Q (0.438:0.438:0.438) (0.418:0.418:0.418))
)
)
(TIMINGCHECK
(HOLD (posedge D) (posedge CLK) (-0.020:-0.020:-0.020))
(HOLD (negedge D) (posedge CLK) (-0.018:-0.018:-0.018))
(SETUP (posedge D) (posedge CLK) (0.041:0.041:0.041))
(SETUP (negedge D) (posedge CLK) (0.073:0.073:0.073))
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__dfxtp_1")
(INSTANCE _5254_)
(DELAY
(ABSOLUTE
(IOPATH CLK Q (0.415:0.415:0.415) (0.400:0.400:0.400))
)
)
(TIMINGCHECK
(HOLD (posedge D) (posedge CLK) (0.015:0.015:0.015))
(HOLD (negedge D) (posedge CLK) (0.038:0.038:0.038))
(SETUP (posedge D) (posedge CLK) (0.004:0.004:0.004))
(SETUP (negedge D) (posedge CLK) (0.015:0.015:0.015))
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__dfxtp_1")
(INSTANCE _5255_)
(DELAY
(ABSOLUTE
(IOPATH CLK Q (0.440:0.440:0.440) (0.415:0.415:0.415))
)
)
(TIMINGCHECK
(HOLD (posedge D) (posedge CLK) (0.018:0.018:0.018))
(HOLD (negedge D) (posedge CLK) (0.040:0.040:0.040))
(SETUP (posedge D) (posedge CLK) (0.001:0.001:0.001))
(SETUP (negedge D) (posedge CLK) (0.013:0.013:0.013))
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__dfxtp_1")
(INSTANCE _5256_)
(DELAY
(ABSOLUTE
(IOPATH CLK Q (0.471:0.471:0.471) (0.433:0.433:0.433))
)
)
(TIMINGCHECK
(HOLD (posedge D) (posedge CLK) (0.020:0.020:0.020))
(HOLD (negedge D) (posedge CLK) (0.043:0.043:0.043))
(SETUP (posedge D) (posedge CLK) (-0.002:-0.002:-0.002))
(SETUP (negedge D) (posedge CLK) (0.011:0.011:0.011))
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__dfxtp_1")
(INSTANCE _5257_)
(DELAY
(ABSOLUTE
(IOPATH CLK Q (0.424:0.424:0.424) (0.406:0.406:0.406))
)
)
(TIMINGCHECK
(HOLD (posedge D) (posedge CLK) (0.019:0.019:0.019))
(HOLD (negedge D) (posedge CLK) (0.042:0.042:0.042))
(SETUP (posedge D) (posedge CLK) (-0.001:-0.001:-0.001))
(SETUP (negedge D) (posedge CLK) (0.012:0.012:0.012))
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__dfxtp_1")
(INSTANCE _5258_)
(DELAY
(ABSOLUTE
(IOPATH CLK Q (0.362:0.362:0.362) (0.329:0.329:0.329))
)
)
(TIMINGCHECK
(HOLD (posedge D) (posedge CLK) (-0.029:-0.029:-0.029))
(HOLD (negedge D) (posedge CLK) (-0.044:-0.046:-0.048))
(SETUP (posedge D) (posedge CLK) (0.051:0.052:0.052))
(SETUP (negedge D) (posedge CLK) (0.101:0.103:0.105))
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__dfxtp_1")
(INSTANCE _5259_)
(DELAY
(ABSOLUTE
(IOPATH CLK Q (0.379:0.379:0.379) (0.338:0.338:0.338))
)
)
(TIMINGCHECK
(HOLD (posedge D) (posedge CLK) (-0.028:-0.029:-0.029))
(HOLD (negedge D) (posedge CLK) (-0.044:-0.046:-0.047))
(SETUP (posedge D) (posedge CLK) (0.051:0.051:0.052))
(SETUP (negedge D) (posedge CLK) (0.100:0.102:0.104))
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__dfxtp_1")
(INSTANCE _5260_)
(DELAY
(ABSOLUTE
(IOPATH CLK Q (0.389:0.389:0.389) (0.344:0.344:0.344))
)
)
(TIMINGCHECK
(HOLD (posedge D) (posedge CLK) (-0.027:-0.027:-0.028))
(HOLD (negedge D) (posedge CLK) (-0.042:-0.044:-0.045))
(SETUP (posedge D) (posedge CLK) (0.049:0.050:0.050))
(SETUP (negedge D) (posedge CLK) (0.098:0.100:0.102))
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__dfxtp_1")
(INSTANCE _5261_)
(DELAY
(ABSOLUTE
(IOPATH CLK Q (0.410:0.410:0.410) (0.355:0.355:0.355))
)
)
(TIMINGCHECK
(HOLD (posedge D) (posedge CLK) (-0.029:-0.029:-0.029))
(HOLD (negedge D) (posedge CLK) (-0.043:-0.046:-0.048))
(SETUP (posedge D) (posedge CLK) (0.052:0.052:0.052))
(SETUP (negedge D) (posedge CLK) (0.100:0.102:0.105))
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__dfxtp_1")
(INSTANCE _5262_)
(DELAY
(ABSOLUTE
(IOPATH CLK Q (0.380:0.380:0.380) (0.339:0.339:0.339))
)
)
(TIMINGCHECK
(HOLD (posedge D) (posedge CLK) (-0.028:-0.029:-0.029))
(HOLD (negedge D) (posedge CLK) (-0.044:-0.045:-0.047))
(SETUP (posedge D) (posedge CLK) (0.051:0.051:0.051))
(SETUP (negedge D) (posedge CLK) (0.100:0.102:0.104))
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__dfxtp_1")
(INSTANCE _5263_)
(DELAY
(ABSOLUTE
(IOPATH CLK Q (0.395:0.395:0.395) (0.347:0.347:0.347))
)
)
(TIMINGCHECK
(HOLD (posedge D) (posedge CLK) (-0.027:-0.028:-0.028))
(HOLD (negedge D) (posedge CLK) (-0.042:-0.044:-0.046))
(SETUP (posedge D) (posedge CLK) (0.050:0.050:0.051))
(SETUP (negedge D) (posedge CLK) (0.099:0.101:0.103))
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__dfxtp_1")
(INSTANCE _5264_)
(DELAY
(ABSOLUTE
(IOPATH CLK Q (0.398:0.398:0.398) (0.349:0.349:0.349))
)
)
(TIMINGCHECK
(HOLD (posedge D) (posedge CLK) (-0.027:-0.027:-0.027))
(HOLD (negedge D) (posedge CLK) (-0.041:-0.043:-0.045))
(SETUP (posedge D) (posedge CLK) (0.049:0.049:0.049))
(SETUP (negedge D) (posedge CLK) (0.098:0.100:0.102))
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__dfxtp_1")
(INSTANCE _5265_)
(DELAY
(ABSOLUTE
(IOPATH CLK Q (0.398:0.398:0.398) (0.349:0.349:0.349))
)
)
(TIMINGCHECK
(HOLD (posedge D) (posedge CLK) (-0.027:-0.027:-0.028))
(HOLD (negedge D) (posedge CLK) (-0.042:-0.044:-0.046))
(SETUP (posedge D) (posedge CLK) (0.050:0.050:0.050))
(SETUP (negedge D) (posedge CLK) (0.098:0.100:0.102))
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__dfxtp_1")
(INSTANCE _5266_)
(DELAY
(ABSOLUTE
(IOPATH CLK Q (0.374:0.374:0.374) (0.336:0.336:0.336))
)
)
(TIMINGCHECK
(HOLD (posedge D) (posedge CLK) (-0.027:-0.028:-0.028))
(HOLD (negedge D) (posedge CLK) (-0.042:-0.044:-0.046))
(SETUP (posedge D) (posedge CLK) (0.050:0.050:0.050))
(SETUP (negedge D) (posedge CLK) (0.099:0.101:0.103))
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__dfxtp_1")
(INSTANCE _5267_)
(DELAY
(ABSOLUTE
(IOPATH CLK Q (0.398:0.398:0.398) (0.349:0.349:0.349))
)
)
(TIMINGCHECK
(HOLD (posedge D) (posedge CLK) (-0.031:-0.031:-0.031))
(HOLD (negedge D) (posedge CLK) (-0.045:-0.048:-0.050))
(SETUP (posedge D) (posedge CLK) (0.054:0.054:0.054))
(SETUP (negedge D) (posedge CLK) (0.102:0.105:0.107))
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__dfxtp_1")
(INSTANCE _5268_)
(DELAY
(ABSOLUTE
(IOPATH CLK Q (0.393:0.393:0.393) (0.345:0.345:0.345))
)
)
(TIMINGCHECK
(HOLD (posedge D) (posedge CLK) (-0.030:-0.030:-0.030))
(HOLD (negedge D) (posedge CLK) (-0.046:-0.048:-0.050))
(SETUP (posedge D) (posedge CLK) (0.052:0.053:0.053))
(SETUP (negedge D) (posedge CLK) (0.103:0.105:0.107))
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__dfxtp_1")
(INSTANCE _5269_)
(DELAY
(ABSOLUTE
(IOPATH CLK Q (0.394:0.394:0.394) (0.345:0.345:0.345))
)
)
(TIMINGCHECK
(HOLD (posedge D) (posedge CLK) (-0.037:-0.038:-0.040))
(HOLD (negedge D) (posedge CLK) (-0.046:-0.049:-0.051))
(SETUP (posedge D) (posedge CLK) (0.060:0.062:0.064))
(SETUP (negedge D) (posedge CLK) (0.103:0.105:0.108))
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__dfxtp_1")
(INSTANCE _5270_)
(DELAY
(ABSOLUTE
(IOPATH CLK Q (0.418:0.418:0.418) (0.362:0.362:0.362))
)
)
(TIMINGCHECK
(HOLD (posedge D) (posedge CLK) (-0.030:-0.030:-0.030))
(HOLD (negedge D) (posedge CLK) (-0.045:-0.046:-0.048))
(SETUP (posedge D) (posedge CLK) (0.052:0.053:0.053))
(SETUP (negedge D) (posedge CLK) (0.101:0.103:0.105))
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__dfxtp_1")
(INSTANCE _5271_)
(DELAY
(ABSOLUTE
(IOPATH CLK Q (0.400:0.400:0.400) (0.352:0.352:0.352))
)
)
(TIMINGCHECK
(HOLD (posedge D) (posedge CLK) (-0.025:-0.025:-0.025))
(HOLD (negedge D) (posedge CLK) (-0.038:-0.040:-0.042))
(SETUP (posedge D) (posedge CLK) (0.047:0.047:0.048))
(SETUP (negedge D) (posedge CLK) (0.095:0.097:0.099))
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__dfxtp_1")
(INSTANCE _5272_)
(DELAY
(ABSOLUTE
(IOPATH CLK Q (0.412:0.412:0.412) (0.358:0.358:0.358))
)
)
(TIMINGCHECK
(HOLD (posedge D) (posedge CLK) (-0.025:-0.025:-0.025))
(HOLD (negedge D) (posedge CLK) (-0.038:-0.040:-0.042))
(SETUP (posedge D) (posedge CLK) (0.047:0.047:0.048))
(SETUP (negedge D) (posedge CLK) (0.094:0.096:0.098))
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__dfxtp_1")
(INSTANCE _5273_)
(DELAY
(ABSOLUTE
(IOPATH CLK Q (0.413:0.413:0.413) (0.357:0.357:0.357))
)
)
(TIMINGCHECK
(HOLD (posedge D) (posedge CLK) (-0.026:-0.026:-0.026))
(HOLD (negedge D) (posedge CLK) (-0.043:-0.043:-0.043))
(SETUP (posedge D) (posedge CLK) (0.048:0.048:0.048))
(SETUP (negedge D) (posedge CLK) (0.100:0.100:0.100))
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__dfxtp_1")
(INSTANCE _5274_)
(DELAY
(ABSOLUTE
(IOPATH CLK Q (0.405:0.405:0.405) (0.355:0.355:0.355))
)
)
(TIMINGCHECK
(HOLD (posedge D) (posedge CLK) (-0.025:-0.025:-0.026))
(HOLD (negedge D) (posedge CLK) (-0.038:-0.040:-0.042))
(SETUP (posedge D) (posedge CLK) (0.047:0.048:0.048))
(SETUP (negedge D) (posedge CLK) (0.095:0.097:0.099))
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__dfxtp_1")
(INSTANCE _5275_)
(DELAY
(ABSOLUTE
(IOPATH CLK Q (0.386:0.386:0.386) (0.345:0.345:0.345))
)
)
(TIMINGCHECK
(HOLD (posedge D) (posedge CLK) (-0.025:-0.025:-0.025))
(HOLD (negedge D) (posedge CLK) (-0.038:-0.040:-0.042))
(SETUP (posedge D) (posedge CLK) (0.047:0.047:0.048))
(SETUP (negedge D) (posedge CLK) (0.095:0.097:0.099))
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__dfxtp_1")
(INSTANCE _5276_)
(DELAY
(ABSOLUTE
(IOPATH CLK Q (0.437:0.437:0.437) (0.371:0.371:0.371))
)
)
(TIMINGCHECK
(HOLD (posedge D) (posedge CLK) (-0.025:-0.026:-0.026))
(HOLD (negedge D) (posedge CLK) (-0.039:-0.041:-0.043))
(SETUP (posedge D) (posedge CLK) (0.048:0.048:0.048))
(SETUP (negedge D) (posedge CLK) (0.095:0.097:0.099))
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__dfxtp_1")
(INSTANCE _5277_)
(DELAY
(ABSOLUTE
(IOPATH CLK Q (0.429:0.429:0.429) (0.366:0.366:0.366))
)
)
(TIMINGCHECK
(HOLD (posedge D) (posedge CLK) (-0.026:-0.026:-0.027))
(HOLD (negedge D) (posedge CLK) (-0.040:-0.042:-0.044))
(SETUP (posedge D) (posedge CLK) (0.048:0.049:0.049))
(SETUP (negedge D) (posedge CLK) (0.096:0.098:0.100))
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__dfxtp_1")
(INSTANCE _5278_)
(DELAY
(ABSOLUTE
(IOPATH CLK Q (0.417:0.417:0.417) (0.357:0.357:0.357))
)
)
(TIMINGCHECK
(HOLD (posedge D) (posedge CLK) (-0.028:-0.029:-0.029))
(HOLD (negedge D) (posedge CLK) (-0.044:-0.046:-0.048))
(SETUP (posedge D) (posedge CLK) (0.051:0.051:0.051))
(SETUP (negedge D) (posedge CLK) (0.100:0.102:0.104))
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__dfxtp_1")
(INSTANCE _5279_)
(DELAY
(ABSOLUTE
(IOPATH CLK Q (0.411:0.411:0.411) (0.354:0.354:0.354))
)
)
(TIMINGCHECK
(HOLD (posedge D) (posedge CLK) (-0.033:-0.033:-0.033))
(HOLD (negedge D) (posedge CLK) (-0.048:-0.051:-0.053))
(SETUP (posedge D) (posedge CLK) (0.055:0.056:0.056))
(SETUP (negedge D) (posedge CLK) (0.105:0.107:0.110))
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__dfxtp_1")
(INSTANCE _5280_)
(DELAY
(ABSOLUTE
(IOPATH CLK Q (0.410:0.410:0.410) (0.357:0.357:0.357))
)
)
(TIMINGCHECK
(HOLD (posedge D) (posedge CLK) (-0.026:-0.026:-0.026))
(HOLD (negedge D) (posedge CLK) (-0.040:-0.042:-0.043))
(SETUP (posedge D) (posedge CLK) (0.048:0.048:0.048))
(SETUP (negedge D) (posedge CLK) (0.096:0.098:0.100))
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__dfxtp_1")
(INSTANCE _5281_)
(DELAY
(ABSOLUTE
(IOPATH CLK Q (0.396:0.396:0.396) (0.349:0.349:0.349))
)
)
(TIMINGCHECK
(HOLD (posedge D) (posedge CLK) (-0.027:-0.027:-0.027))
(HOLD (negedge D) (posedge CLK) (-0.041:-0.043:-0.044))
(SETUP (posedge D) (posedge CLK) (0.049:0.049:0.049))
(SETUP (negedge D) (posedge CLK) (0.097:0.099:0.101))
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__dfxtp_1")
(INSTANCE _5282_)
(DELAY
(ABSOLUTE
(IOPATH CLK Q (0.409:0.409:0.409) (0.357:0.357:0.357))
)
)
(TIMINGCHECK
(HOLD (posedge D) (posedge CLK) (-0.025:-0.025:-0.025))
(HOLD (negedge D) (posedge CLK) (-0.038:-0.040:-0.042))
(SETUP (posedge D) (posedge CLK) (0.047:0.047:0.048))
(SETUP (negedge D) (posedge CLK) (0.095:0.096:0.098))
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__dfxtp_1")
(INSTANCE _5283_)
(DELAY
(ABSOLUTE
(IOPATH CLK Q (0.397:0.397:0.397) (0.351:0.351:0.351))
)
)
(TIMINGCHECK
(HOLD (posedge D) (posedge CLK) (-0.025:-0.025:-0.025))
(HOLD (negedge D) (posedge CLK) (-0.038:-0.040:-0.042))
(SETUP (posedge D) (posedge CLK) (0.047:0.047:0.047))
(SETUP (negedge D) (posedge CLK) (0.094:0.096:0.098))
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__dfxtp_1")
(INSTANCE _5284_)
(DELAY
(ABSOLUTE
(IOPATH CLK Q (0.413:0.413:0.413) (0.359:0.359:0.359))
)
)
(TIMINGCHECK
(HOLD (posedge D) (posedge CLK) (-0.027:-0.027:-0.028))
(HOLD (negedge D) (posedge CLK) (-0.041:-0.043:-0.045))
(SETUP (posedge D) (posedge CLK) (0.049:0.050:0.050))
(SETUP (negedge D) (posedge CLK) (0.098:0.099:0.101))
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__dfxtp_1")
(INSTANCE _5285_)
(DELAY
(ABSOLUTE
(IOPATH CLK Q (0.424:0.424:0.424) (0.365:0.365:0.365))
)
)
(TIMINGCHECK
(HOLD (posedge D) (posedge CLK) (-0.028:-0.028:-0.028))
(HOLD (negedge D) (posedge CLK) (-0.041:-0.043:-0.046))
(SETUP (posedge D) (posedge CLK) (0.051:0.051:0.051))
(SETUP (negedge D) (posedge CLK) (0.098:0.100:0.103))
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__dfxtp_1")
(INSTANCE _5286_)
(DELAY
(ABSOLUTE
(IOPATH CLK Q (0.406:0.406:0.406) (0.355:0.355:0.355))
)
)
(TIMINGCHECK
(HOLD (posedge D) (posedge CLK) (-0.025:-0.025:-0.025))
(HOLD (negedge D) (posedge CLK) (-0.038:-0.040:-0.041))
(SETUP (posedge D) (posedge CLK) (0.047:0.047:0.047))
(SETUP (negedge D) (posedge CLK) (0.094:0.096:0.098))
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__dfxtp_1")
(INSTANCE _5287_)
(DELAY
(ABSOLUTE
(IOPATH CLK Q (0.371:0.371:0.371) (0.335:0.335:0.335))
)
)
(TIMINGCHECK
(HOLD (posedge D) (posedge CLK) (-0.026:-0.026:-0.027))
(HOLD (negedge D) (posedge CLK) (-0.040:-0.042:-0.044))
(SETUP (posedge D) (posedge CLK) (0.048:0.049:0.049))
(SETUP (negedge D) (posedge CLK) (0.097:0.099:0.100))
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__dfxtp_1")
(INSTANCE _5288_)
(DELAY
(ABSOLUTE
(IOPATH CLK Q (0.420:0.420:0.420) (0.361:0.361:0.361))
)
)
(TIMINGCHECK
(HOLD (posedge D) (posedge CLK) (-0.026:-0.026:-0.026))
(HOLD (negedge D) (posedge CLK) (-0.040:-0.042:-0.044))
(SETUP (posedge D) (posedge CLK) (0.048:0.049:0.049))
(SETUP (negedge D) (posedge CLK) (0.096:0.098:0.100))
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__dfxtp_1")
(INSTANCE _5289_)
(DELAY
(ABSOLUTE
(IOPATH CLK Q (0.430:0.430:0.430) (0.370:0.370:0.370))
)
)
(TIMINGCHECK
(HOLD (posedge D) (posedge CLK) (-0.024:-0.024:-0.025))
(HOLD (negedge D) (posedge CLK) (-0.037:-0.039:-0.040))
(SETUP (posedge D) (posedge CLK) (0.046:0.047:0.047))
(SETUP (negedge D) (posedge CLK) (0.093:0.095:0.097))
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__dfxtp_1")
(INSTANCE _5290_)
(DELAY
(ABSOLUTE
(IOPATH CLK Q (0.402:0.402:0.402) (0.349:0.349:0.349))
)
)
(TIMINGCHECK
(HOLD (posedge D) (posedge CLK) (-0.029:-0.029:-0.029))
(HOLD (negedge D) (posedge CLK) (-0.044:-0.046:-0.048))
(SETUP (posedge D) (posedge CLK) (0.051:0.051:0.052))
(SETUP (negedge D) (posedge CLK) (0.101:0.103:0.105))
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__dfxtp_1")
(INSTANCE _5291_)
(DELAY
(ABSOLUTE
(IOPATH CLK Q (0.407:0.407:0.407) (0.355:0.355:0.355))
)
)
(TIMINGCHECK
(HOLD (posedge D) (posedge CLK) (-0.028:-0.028:-0.028))
(HOLD (negedge D) (posedge CLK) (-0.041:-0.044:-0.046))
(SETUP (posedge D) (posedge CLK) (0.050:0.050:0.051))
(SETUP (negedge D) (posedge CLK) (0.098:0.100:0.103))
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__dfxtp_1")
(INSTANCE _5292_)
(DELAY
(ABSOLUTE
(IOPATH CLK Q (0.392:0.392:0.392) (0.344:0.344:0.344))
)
)
(TIMINGCHECK
(HOLD (posedge D) (posedge CLK) (-0.028:-0.028:-0.029))
(HOLD (negedge D) (posedge CLK) (-0.044:-0.046:-0.048))
(SETUP (posedge D) (posedge CLK) (0.051:0.051:0.051))
(SETUP (negedge D) (posedge CLK) (0.100:0.102:0.104))
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__dfxtp_1")
(INSTANCE _5293_)
(DELAY
(ABSOLUTE
(IOPATH CLK Q (0.399:0.399:0.399) (0.348:0.348:0.348))
)
)
(TIMINGCHECK
(HOLD (posedge D) (posedge CLK) (-0.028:-0.029:-0.029))
(HOLD (negedge D) (posedge CLK) (-0.044:-0.046:-0.048))
(SETUP (posedge D) (posedge CLK) (0.051:0.051:0.051))
(SETUP (negedge D) (posedge CLK) (0.101:0.103:0.104))
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__dfxtp_1")
(INSTANCE _5294_)
(DELAY
(ABSOLUTE
(IOPATH CLK Q (0.394:0.394:0.394) (0.351:0.351:0.351))
)
)
(TIMINGCHECK
(HOLD (posedge D) (posedge CLK) (-0.024:-0.024:-0.024))
(HOLD (negedge D) (posedge CLK) (-0.036:-0.038:-0.040))
(SETUP (posedge D) (posedge CLK) (0.046:0.046:0.046))
(SETUP (negedge D) (posedge CLK) (0.092:0.094:0.096))
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__dfxtp_1")
(INSTANCE _5295_)
(DELAY
(ABSOLUTE
(IOPATH CLK Q (0.447:0.447:0.447) (0.379:0.379:0.379))
)
)
(TIMINGCHECK
(HOLD (posedge D) (posedge CLK) (-0.024:-0.024:-0.024))
(HOLD (negedge D) (posedge CLK) (-0.035:-0.037:-0.039))
(SETUP (posedge D) (posedge CLK) (0.046:0.046:0.046))
(SETUP (negedge D) (posedge CLK) (0.092:0.094:0.096))
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__dfxtp_1")
(INSTANCE _5296_)
(DELAY
(ABSOLUTE
(IOPATH CLK Q (0.415:0.415:0.415) (0.362:0.362:0.362))
)
)
(TIMINGCHECK
(HOLD (posedge D) (posedge CLK) (-0.023:-0.024:-0.024))
(HOLD (negedge D) (posedge CLK) (-0.035:-0.037:-0.039))
(SETUP (posedge D) (posedge CLK) (0.046:0.046:0.046))
(SETUP (negedge D) (posedge CLK) (0.091:0.093:0.095))
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__dfxtp_1")
(INSTANCE _5297_)
(DELAY
(ABSOLUTE
(IOPATH CLK Q (0.399:0.399:0.399) (0.352:0.352:0.352))
)
)
(TIMINGCHECK
(HOLD (posedge D) (posedge CLK) (-0.027:-0.027:-0.027))
(HOLD (negedge D) (posedge CLK) (-0.039:-0.042:-0.044))
(SETUP (posedge D) (posedge CLK) (0.049:0.049:0.049))
(SETUP (negedge D) (posedge CLK) (0.096:0.098:0.101))
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__dfxtp_1")
(INSTANCE _5298_)
(DELAY
(ABSOLUTE
(IOPATH CLK Q (0.412:0.412:0.412) (0.362:0.362:0.362))
)
)
(TIMINGCHECK
(HOLD (posedge D) (posedge CLK) (-0.024:-0.024:-0.024))
(HOLD (negedge D) (posedge CLK) (-0.036:-0.038:-0.040))
(SETUP (posedge D) (posedge CLK) (0.046:0.046:0.047))
(SETUP (negedge D) (posedge CLK) (0.093:0.094:0.096))
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__dfxtp_1")
(INSTANCE _5299_)
(DELAY
(ABSOLUTE
(IOPATH CLK Q (0.407:0.407:0.407) (0.359:0.359:0.359))
)
)
(TIMINGCHECK
(HOLD (posedge D) (posedge CLK) (-0.023:-0.023:-0.024))
(HOLD (negedge D) (posedge CLK) (-0.035:-0.037:-0.039))
(SETUP (posedge D) (posedge CLK) (0.045:0.046:0.046))
(SETUP (negedge D) (posedge CLK) (0.092:0.094:0.095))
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__dfxtp_1")
(INSTANCE _5300_)
(DELAY
(ABSOLUTE
(IOPATH CLK Q (0.470:0.470:0.470) (0.391:0.391:0.391))
)
)
(TIMINGCHECK
(HOLD (posedge D) (posedge CLK) (-0.024:-0.024:-0.024))
(HOLD (negedge D) (posedge CLK) (-0.036:-0.038:-0.039))
(SETUP (posedge D) (posedge CLK) (0.046:0.046:0.046))
(SETUP (negedge D) (posedge CLK) (0.092:0.094:0.096))
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__dfxtp_1")
(INSTANCE _5301_)
(DELAY
(ABSOLUTE
(IOPATH CLK Q (0.423:0.423:0.423) (0.367:0.367:0.367))
)
)
(TIMINGCHECK
(HOLD (posedge D) (posedge CLK) (-0.023:-0.024:-0.024))
(HOLD (negedge D) (posedge CLK) (-0.035:-0.037:-0.039))
(SETUP (posedge D) (posedge CLK) (0.045:0.046:0.046))
(SETUP (negedge D) (posedge CLK) (0.092:0.094:0.096))
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__dfxtp_1")
(INSTANCE _5302_)
(DELAY
(ABSOLUTE
(IOPATH CLK Q (0.429:0.429:0.429) (0.368:0.368:0.368))
)
)
(TIMINGCHECK
(HOLD (posedge D) (posedge CLK) (-0.025:-0.025:-0.025))
(HOLD (negedge D) (posedge CLK) (-0.038:-0.040:-0.042))
(SETUP (posedge D) (posedge CLK) (0.047:0.047:0.048))
(SETUP (negedge D) (posedge CLK) (0.094:0.096:0.098))
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__dfxtp_1")
(INSTANCE _5303_)
(DELAY
(ABSOLUTE
(IOPATH CLK Q (0.424:0.424:0.424) (0.366:0.366:0.366))
)
)
(TIMINGCHECK
(HOLD (posedge D) (posedge CLK) (-0.027:-0.027:-0.027))
(HOLD (negedge D) (posedge CLK) (-0.039:-0.041:-0.044))
(SETUP (posedge D) (posedge CLK) (0.049:0.049:0.049))
(SETUP (negedge D) (posedge CLK) (0.095:0.098:0.100))
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__dfxtp_1")
(INSTANCE _5304_)
(DELAY
(ABSOLUTE
(IOPATH CLK Q (0.432:0.432:0.432) (0.366:0.366:0.366))
)
)
(TIMINGCHECK
(HOLD (posedge D) (posedge CLK) (-0.031:-0.031:-0.031))
(HOLD (negedge D) (posedge CLK) (-0.047:-0.049:-0.051))
(SETUP (posedge D) (posedge CLK) (0.054:0.054:0.054))
(SETUP (negedge D) (posedge CLK) (0.104:0.106:0.108))
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__dfxtp_1")
(INSTANCE _5305_)
(DELAY
(ABSOLUTE
(IOPATH CLK Q (0.393:0.393:0.393) (0.349:0.349:0.349))
)
)
(TIMINGCHECK
(HOLD (posedge D) (posedge CLK) (-0.038:-0.039:-0.041))
(HOLD (negedge D) (posedge CLK) (-0.044:-0.046:-0.048))
(SETUP (posedge D) (posedge CLK) (0.061:0.063:0.065))
(SETUP (negedge D) (posedge CLK) (0.100:0.103:0.105))
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__dfxtp_1")
(INSTANCE _5306_)
(DELAY
(ABSOLUTE
(IOPATH CLK Q (0.408:0.408:0.408) (0.354:0.354:0.354))
)
)
(TIMINGCHECK
(HOLD (posedge D) (posedge CLK) (-0.029:-0.029:-0.030))
(HOLD (negedge D) (posedge CLK) (-0.045:-0.047:-0.049))
(SETUP (posedge D) (posedge CLK) (0.052:0.052:0.052))
(SETUP (negedge D) (posedge CLK) (0.102:0.104:0.105))
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__dfxtp_1")
(INSTANCE _5307_)
(DELAY
(ABSOLUTE
(IOPATH CLK Q (0.446:0.446:0.446) (0.379:0.379:0.379))
)
)
(TIMINGCHECK
(HOLD (posedge D) (posedge CLK) (-0.024:-0.025:-0.025))
(HOLD (negedge D) (posedge CLK) (-0.037:-0.039:-0.040))
(SETUP (posedge D) (posedge CLK) (0.046:0.047:0.047))
(SETUP (negedge D) (posedge CLK) (0.093:0.095:0.097))
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__dfxtp_1")
(INSTANCE _5308_)
(DELAY
(ABSOLUTE
(IOPATH CLK Q (0.421:0.421:0.421) (0.365:0.365:0.365))
)
)
(TIMINGCHECK
(HOLD (posedge D) (posedge CLK) (-0.024:-0.024:-0.024))
(HOLD (negedge D) (posedge CLK) (-0.036:-0.038:-0.040))
(SETUP (posedge D) (posedge CLK) (0.046:0.046:0.046))
(SETUP (negedge D) (posedge CLK) (0.092:0.094:0.096))
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__dfxtp_1")
(INSTANCE _5309_)
(DELAY
(ABSOLUTE
(IOPATH CLK Q (0.409:0.409:0.409) (0.359:0.359:0.359))
)
)
(TIMINGCHECK
(HOLD (posedge D) (posedge CLK) (-0.027:-0.027:-0.027))
(HOLD (negedge D) (posedge CLK) (-0.039:-0.041:-0.043))
(SETUP (posedge D) (posedge CLK) (0.049:0.049:0.049))
(SETUP (negedge D) (posedge CLK) (0.095:0.098:0.100))
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__dfxtp_1")
(INSTANCE _5310_)
(DELAY
(ABSOLUTE
(IOPATH CLK Q (0.410:0.410:0.410) (0.357:0.357:0.357))
)
)
(TIMINGCHECK
(HOLD (posedge D) (posedge CLK) (-0.025:-0.026:-0.026))
(HOLD (negedge D) (posedge CLK) (-0.039:-0.041:-0.043))
(SETUP (posedge D) (posedge CLK) (0.048:0.048:0.048))
(SETUP (negedge D) (posedge CLK) (0.095:0.097:0.099))
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__dfxtp_1")
(INSTANCE _5311_)
(DELAY
(ABSOLUTE
(IOPATH CLK Q (0.443:0.443:0.443) (0.374:0.374:0.374))
)
)
(TIMINGCHECK
(HOLD (posedge D) (posedge CLK) (-0.029:-0.030:-0.030))
(HOLD (negedge D) (posedge CLK) (-0.044:-0.046:-0.048))
(SETUP (posedge D) (posedge CLK) (0.052:0.052:0.052))
(SETUP (negedge D) (posedge CLK) (0.101:0.103:0.105))
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__dfxtp_2")
(INSTANCE _5312_)
(DELAY
(ABSOLUTE
(IOPATH CLK Q (0.407:0.407:0.407) (0.363:0.363:0.363))
)
)
(TIMINGCHECK
(HOLD (posedge D) (posedge CLK) (-0.029:-0.029:-0.029))
(HOLD (negedge D) (posedge CLK) (-0.040:-0.040:-0.040))
(SETUP (posedge D) (posedge CLK) (0.056:0.056:0.056))
(SETUP (negedge D) (posedge CLK) (0.107:0.107:0.107))
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__dfxtp_1")
(INSTANCE _5313_)
(DELAY
(ABSOLUTE
(IOPATH CLK Q (0.436:0.436:0.436) (0.368:0.368:0.368))
)
)
(TIMINGCHECK
(HOLD (posedge D) (posedge CLK) (-0.030:-0.030:-0.030))
(HOLD (negedge D) (posedge CLK) (-0.049:-0.049:-0.049))
(SETUP (posedge D) (posedge CLK) (0.052:0.052:0.052))
(SETUP (negedge D) (posedge CLK) (0.106:0.106:0.106))
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__dfxtp_1")
(INSTANCE _5314_)
(DELAY
(ABSOLUTE
(IOPATH CLK Q (0.450:0.450:0.450) (0.378:0.378:0.378))
)
)
(TIMINGCHECK
(HOLD (posedge D) (posedge CLK) (-0.026:-0.026:-0.026))
(HOLD (negedge D) (posedge CLK) (-0.040:-0.041:-0.043))
(SETUP (posedge D) (posedge CLK) (0.048:0.048:0.048))
(SETUP (negedge D) (posedge CLK) (0.096:0.098:0.100))
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__dfxtp_1")
(INSTANCE _5315_)
(DELAY
(ABSOLUTE
(IOPATH CLK Q (0.464:0.464:0.464) (0.385:0.385:0.385))
)
)
(TIMINGCHECK
(HOLD (posedge D) (posedge CLK) (-0.028:-0.028:-0.028))
(HOLD (negedge D) (posedge CLK) (-0.041:-0.043:-0.045))
(SETUP (posedge D) (posedge CLK) (0.050:0.050:0.050))
(SETUP (negedge D) (posedge CLK) (0.098:0.100:0.102))
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__dfxtp_1")
(INSTANCE _5316_)
(DELAY
(ABSOLUTE
(IOPATH CLK Q (0.626:0.626:0.626) (0.469:0.469:0.469))
)
)
(TIMINGCHECK
(HOLD (posedge D) (posedge CLK) (-0.027:-0.027:-0.027))
(HOLD (negedge D) (posedge CLK) (-0.041:-0.043:-0.044))
(SETUP (posedge D) (posedge CLK) (0.049:0.049:0.049))
(SETUP (negedge D) (posedge CLK) (0.097:0.099:0.101))
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__dfxtp_1")
(INSTANCE _5317_)
(DELAY
(ABSOLUTE
(IOPATH CLK Q (0.353:0.353:0.353) (0.326:0.326:0.326))
)
)
(TIMINGCHECK
(HOLD (posedge D) (posedge CLK) (-0.028:-0.029:-0.029))
(HOLD (negedge D) (posedge CLK) (-0.041:-0.045:-0.049))
(SETUP (posedge D) (posedge CLK) (0.051:0.051:0.051))
(SETUP (negedge D) (posedge CLK) (0.097:0.102:0.106))
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__dfxtp_1")
(INSTANCE _5318_)
(DELAY
(ABSOLUTE
(IOPATH CLK Q (0.426:0.426:0.426) (0.369:0.369:0.369))
)
)
(TIMINGCHECK
(HOLD (posedge D) (posedge CLK) (-0.027:-0.027:-0.027))
(HOLD (negedge D) (posedge CLK) (-0.044:-0.044:-0.044))
(SETUP (posedge D) (posedge CLK) (0.049:0.049:0.049))
(SETUP (negedge D) (posedge CLK) (0.101:0.101:0.101))
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__dfxtp_1")
(INSTANCE _5319_)
(DELAY
(ABSOLUTE
(IOPATH CLK Q (0.423:0.423:0.423) (0.367:0.367:0.367))
)
)
(TIMINGCHECK
(HOLD (posedge D) (posedge CLK) (-0.024:-0.024:-0.024))
(HOLD (negedge D) (posedge CLK) (-0.036:-0.038:-0.040))
(SETUP (posedge D) (posedge CLK) (0.046:0.046:0.047))
(SETUP (negedge D) (posedge CLK) (0.093:0.095:0.096))
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__dfxtp_1")
(INSTANCE _5320_)
(DELAY
(ABSOLUTE
(IOPATH CLK Q (0.400:0.400:0.400) (0.354:0.354:0.354))
)
)
(TIMINGCHECK
(HOLD (posedge D) (posedge CLK) (-0.023:-0.023:-0.024))
(HOLD (negedge D) (posedge CLK) (-0.035:-0.037:-0.039))
(SETUP (posedge D) (posedge CLK) (0.045:0.046:0.046))
(SETUP (negedge D) (posedge CLK) (0.092:0.094:0.095))
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__dfxtp_1")
(INSTANCE _5321_)
(DELAY
(ABSOLUTE
(IOPATH CLK Q (0.391:0.391:0.391) (0.349:0.349:0.349))
)
)
(TIMINGCHECK
(HOLD (posedge D) (posedge CLK) (-0.025:-0.026:-0.026))
(HOLD (negedge D) (posedge CLK) (-0.037:-0.039:-0.042))
(SETUP (posedge D) (posedge CLK) (0.048:0.048:0.048))
(SETUP (negedge D) (posedge CLK) (0.094:0.096:0.098))
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__dfxtp_1")
(INSTANCE _5322_)
(DELAY
(ABSOLUTE
(IOPATH CLK Q (0.440:0.440:0.440) (0.380:0.380:0.380))
)
)
(TIMINGCHECK
(HOLD (posedge D) (posedge CLK) (-0.020:-0.020:-0.020))
(HOLD (negedge D) (posedge CLK) (-0.029:-0.031:-0.033))
(SETUP (posedge D) (posedge CLK) (0.042:0.042:0.042))
(SETUP (negedge D) (posedge CLK) (0.086:0.088:0.090))
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__dfxtp_1")
(INSTANCE _5323_)
(DELAY
(ABSOLUTE
(IOPATH CLK Q (0.338:0.338:0.338) (0.324:0.324:0.324))
)
)
(TIMINGCHECK
(HOLD (posedge D) (posedge CLK) (-0.020:-0.020:-0.020))
(HOLD (negedge D) (posedge CLK) (-0.029:-0.031:-0.033))
(SETUP (posedge D) (posedge CLK) (0.042:0.042:0.042))
(SETUP (negedge D) (posedge CLK) (0.085:0.087:0.089))
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__dfxtp_1")
(INSTANCE _5324_)
(DELAY
(ABSOLUTE
(IOPATH CLK Q (0.434:0.434:0.434) (0.377:0.377:0.377))
)
)
(TIMINGCHECK
(HOLD (posedge D) (posedge CLK) (-0.021:-0.021:-0.021))
(HOLD (negedge D) (posedge CLK) (-0.031:-0.032:-0.034))
(SETUP (posedge D) (posedge CLK) (0.042:0.043:0.043))
(SETUP (negedge D) (posedge CLK) (0.087:0.089:0.091))
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__dfxtp_1")
(INSTANCE _5325_)
(DELAY
(ABSOLUTE
(IOPATH CLK Q (0.469:0.469:0.469) (0.396:0.396:0.396))
)
)
(TIMINGCHECK
(HOLD (posedge D) (posedge CLK) (-0.020:-0.021:-0.021))
(HOLD (negedge D) (posedge CLK) (-0.030:-0.032:-0.034))
(SETUP (posedge D) (posedge CLK) (0.042:0.043:0.043))
(SETUP (negedge D) (posedge CLK) (0.086:0.088:0.090))
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__dfxtp_1")
(INSTANCE _5326_)
(DELAY
(ABSOLUTE
(IOPATH CLK Q (0.425:0.425:0.425) (0.376:0.376:0.376))
)
)
(TIMINGCHECK
(HOLD (posedge D) (posedge CLK) (-0.018:-0.018:-0.018))
(HOLD (negedge D) (posedge CLK) (-0.026:-0.027:-0.029))
(SETUP (posedge D) (posedge CLK) (0.039:0.039:0.040))
(SETUP (negedge D) (posedge CLK) (0.081:0.083:0.085))
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__dfxtp_1")
(INSTANCE _5327_)
(DELAY
(ABSOLUTE
(IOPATH CLK Q (0.414:0.414:0.414) (0.369:0.369:0.369))
)
)
(TIMINGCHECK
(HOLD (posedge D) (posedge CLK) (-0.020:-0.020:-0.020))
(HOLD (negedge D) (posedge CLK) (-0.028:-0.030:-0.032))
(SETUP (posedge D) (posedge CLK) (0.042:0.042:0.042))
(SETUP (negedge D) (posedge CLK) (0.084:0.086:0.088))
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__dfxtp_1")
(INSTANCE _5328_)
(DELAY
(ABSOLUTE
(IOPATH CLK Q (0.434:0.434:0.434) (0.380:0.380:0.380))
)
)
(TIMINGCHECK
(HOLD (posedge D) (posedge CLK) (-0.017:-0.018:-0.018))
(HOLD (negedge D) (posedge CLK) (-0.025:-0.027:-0.029))
(SETUP (posedge D) (posedge CLK) (0.039:0.039:0.040))
(SETUP (negedge D) (posedge CLK) (0.081:0.083:0.085))
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__dfxtp_1")
(INSTANCE _5329_)
(DELAY
(ABSOLUTE
(IOPATH CLK Q (0.438:0.438:0.438) (0.382:0.382:0.382))
)
)
(TIMINGCHECK
(HOLD (posedge D) (posedge CLK) (-0.019:-0.019:-0.020))
(HOLD (negedge D) (posedge CLK) (-0.026:-0.030:-0.033))
(SETUP (posedge D) (posedge CLK) (0.041:0.041:0.041))
(SETUP (negedge D) (posedge CLK) (0.082:0.086:0.090))
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__dfxtp_1")
(INSTANCE _5330_)
(DELAY
(ABSOLUTE
(IOPATH CLK Q (0.411:0.411:0.411) (0.364:0.364:0.364))
)
)
(TIMINGCHECK
(HOLD (posedge D) (posedge CLK) (-0.020:-0.020:-0.021))
(HOLD (negedge D) (posedge CLK) (-0.030:-0.032:-0.034))
(SETUP (posedge D) (posedge CLK) (0.042:0.042:0.042))
(SETUP (negedge D) (posedge CLK) (0.086:0.088:0.090))
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__dfxtp_1")
(INSTANCE _5331_)
(DELAY
(ABSOLUTE
(IOPATH CLK Q (0.407:0.407:0.407) (0.358:0.358:0.358))
)
)
(TIMINGCHECK
(HOLD (posedge D) (posedge CLK) (-0.026:-0.026:-0.026))
(HOLD (negedge D) (posedge CLK) (-0.042:-0.042:-0.042))
(SETUP (posedge D) (posedge CLK) (0.048:0.048:0.048))
(SETUP (negedge D) (posedge CLK) (0.099:0.099:0.099))
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__dfxtp_1")
(INSTANCE _5332_)
(DELAY
(ABSOLUTE
(IOPATH CLK Q (0.415:0.415:0.415) (0.361:0.361:0.361))
)
)
(TIMINGCHECK
(HOLD (posedge D) (posedge CLK) (-0.025:-0.025:-0.025))
(HOLD (negedge D) (posedge CLK) (-0.038:-0.040:-0.041))
(SETUP (posedge D) (posedge CLK) (0.047:0.047:0.047))
(SETUP (negedge D) (posedge CLK) (0.094:0.096:0.098))
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__dfxtp_1")
(INSTANCE _5333_)
(DELAY
(ABSOLUTE
(IOPATH CLK Q (0.420:0.420:0.420) (0.363:0.363:0.363))
)
)
(TIMINGCHECK
(HOLD (posedge D) (posedge CLK) (-0.028:-0.028:-0.028))
(HOLD (negedge D) (posedge CLK) (-0.046:-0.046:-0.046))
(SETUP (posedge D) (posedge CLK) (0.051:0.051:0.051))
(SETUP (negedge D) (posedge CLK) (0.103:0.103:0.103))
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__dfxtp_1")
(INSTANCE _5334_)
(DELAY
(ABSOLUTE
(IOPATH CLK Q (0.410:0.410:0.410) (0.354:0.354:0.354))
)
)
(TIMINGCHECK
(HOLD (posedge D) (posedge CLK) (-0.027:-0.028:-0.028))
(HOLD (negedge D) (posedge CLK) (-0.042:-0.044:-0.046))
(SETUP (posedge D) (posedge CLK) (0.050:0.050:0.050))
(SETUP (negedge D) (posedge CLK) (0.099:0.101:0.103))
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__dfxtp_1")
(INSTANCE _5335_)
(DELAY
(ABSOLUTE
(IOPATH CLK Q (0.423:0.423:0.423) (0.371:0.371:0.371))
)
)
(TIMINGCHECK
(HOLD (posedge D) (posedge CLK) (-0.021:-0.021:-0.021))
(HOLD (negedge D) (posedge CLK) (-0.031:-0.033:-0.035))
(SETUP (posedge D) (posedge CLK) (0.043:0.043:0.043))
(SETUP (negedge D) (posedge CLK) (0.087:0.089:0.091))
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__dfxtp_1")
(INSTANCE _5336_)
(DELAY
(ABSOLUTE
(IOPATH CLK Q (0.449:0.449:0.449) (0.384:0.384:0.384))
)
)
(TIMINGCHECK
(HOLD (posedge D) (posedge CLK) (-0.021:-0.021:-0.021))
(HOLD (negedge D) (posedge CLK) (-0.031:-0.033:-0.034))
(SETUP (posedge D) (posedge CLK) (0.043:0.043:0.043))
(SETUP (negedge D) (posedge CLK) (0.087:0.089:0.091))
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__dfxtp_1")
(INSTANCE _5337_)
(DELAY
(ABSOLUTE
(IOPATH CLK Q (0.423:0.423:0.423) (0.371:0.371:0.371))
)
)
(TIMINGCHECK
(HOLD (posedge D) (posedge CLK) (-0.020:-0.020:-0.021))
(HOLD (negedge D) (posedge CLK) (-0.030:-0.032:-0.034))
(SETUP (posedge D) (posedge CLK) (0.042:0.042:0.042))
(SETUP (negedge D) (posedge CLK) (0.086:0.088:0.090))
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__dfxtp_1")
(INSTANCE _5338_)
(DELAY
(ABSOLUTE
(IOPATH CLK Q (0.441:0.441:0.441) (0.381:0.381:0.381))
)
)
(TIMINGCHECK
(HOLD (posedge D) (posedge CLK) (-0.021:-0.022:-0.022))
(HOLD (negedge D) (posedge CLK) (-0.031:-0.033:-0.035))
(SETUP (posedge D) (posedge CLK) (0.043:0.043:0.044))
(SETUP (negedge D) (posedge CLK) (0.088:0.090:0.091))
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__dfxtp_1")
(INSTANCE _5339_)
(DELAY
(ABSOLUTE
(IOPATH CLK Q (0.437:0.437:0.437) (0.381:0.381:0.381))
)
)
(TIMINGCHECK
(HOLD (posedge D) (posedge CLK) (-0.019:-0.019:-0.019))
(HOLD (negedge D) (posedge CLK) (-0.027:-0.029:-0.031))
(SETUP (posedge D) (posedge CLK) (0.041:0.041:0.041))
(SETUP (negedge D) (posedge CLK) (0.083:0.085:0.087))
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__dfxtp_1")
(INSTANCE _5340_)
(DELAY
(ABSOLUTE
(IOPATH CLK Q (0.407:0.407:0.407) (0.363:0.363:0.363))
)
)
(TIMINGCHECK
(HOLD (posedge D) (posedge CLK) (-0.022:-0.022:-0.022))
(HOLD (negedge D) (posedge CLK) (-0.032:-0.034:-0.036))
(SETUP (posedge D) (posedge CLK) (0.044:0.044:0.044))
(SETUP (negedge D) (posedge CLK) (0.089:0.090:0.092))
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__dfxtp_1")
(INSTANCE _5341_)
(DELAY
(ABSOLUTE
(IOPATH CLK Q (0.391:0.391:0.391) (0.346:0.346:0.346))
)
)
(TIMINGCHECK
(HOLD (posedge D) (posedge CLK) (-0.026:-0.026:-0.026))
(HOLD (negedge D) (posedge CLK) (-0.040:-0.042:-0.044))
(SETUP (posedge D) (posedge CLK) (0.048:0.049:0.049))
(SETUP (negedge D) (posedge CLK) (0.097:0.099:0.101))
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__dfxtp_1")
(INSTANCE _5342_)
(DELAY
(ABSOLUTE
(IOPATH CLK Q (0.405:0.405:0.405) (0.353:0.353:0.353))
)
)
(TIMINGCHECK
(HOLD (posedge D) (posedge CLK) (-0.029:-0.029:-0.029))
(HOLD (negedge D) (posedge CLK) (-0.044:-0.045:-0.047))
(SETUP (posedge D) (posedge CLK) (0.051:0.051:0.051))
(SETUP (negedge D) (posedge CLK) (0.100:0.102:0.104))
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__dfxtp_1")
(INSTANCE _5343_)
(DELAY
(ABSOLUTE
(IOPATH CLK Q (0.345:0.345:0.345) (0.320:0.320:0.320))
)
)
(TIMINGCHECK
(HOLD (posedge D) (posedge CLK) (-0.029:-0.029:-0.029))
(HOLD (negedge D) (posedge CLK) (-0.044:-0.046:-0.048))
(SETUP (posedge D) (posedge CLK) (0.052:0.052:0.052))
(SETUP (negedge D) (posedge CLK) (0.101:0.103:0.105))
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__dfxtp_1")
(INSTANCE _5344_)
(DELAY
(ABSOLUTE
(IOPATH CLK Q (0.404:0.404:0.404) (0.351:0.351:0.351))
)
)
(TIMINGCHECK
(HOLD (posedge D) (posedge CLK) (-0.028:-0.028:-0.029))
(HOLD (negedge D) (posedge CLK) (-0.043:-0.045:-0.047))
(SETUP (posedge D) (posedge CLK) (0.051:0.051:0.051))
(SETUP (negedge D) (posedge CLK) (0.100:0.102:0.104))
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__dfxtp_2")
(INSTANCE _5345_)
(DELAY
(ABSOLUTE
(IOPATH CLK Q (0.424:0.424:0.424) (0.376:0.376:0.376))
)
)
(TIMINGCHECK
(HOLD (posedge D) (posedge CLK) (-0.031:-0.031:-0.031))
(HOLD (negedge D) (posedge CLK) (-0.037:-0.040:-0.042))
(SETUP (posedge D) (posedge CLK) (0.058:0.058:0.059))
(SETUP (negedge D) (posedge CLK) (0.104:0.106:0.109))
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__dfxtp_1")
(INSTANCE _5346_)
(DELAY
(ABSOLUTE
(IOPATH CLK Q (0.431:0.431:0.431) (0.366:0.366:0.366))
)
)
(TIMINGCHECK
(HOLD (posedge D) (posedge CLK) (-0.029:-0.029:-0.029))
(HOLD (negedge D) (posedge CLK) (-0.043:-0.045:-0.047))
(SETUP (posedge D) (posedge CLK) (0.051:0.051:0.051))
(SETUP (negedge D) (posedge CLK) (0.100:0.102:0.104))
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__dfxtp_1")
(INSTANCE _5347_)
(DELAY
(ABSOLUTE
(IOPATH CLK Q (0.411:0.411:0.411) (0.357:0.357:0.357))
)
)
(TIMINGCHECK
(HOLD (posedge D) (posedge CLK) (-0.026:-0.026:-0.026))
(HOLD (negedge D) (posedge CLK) (-0.040:-0.042:-0.044))
(SETUP (posedge D) (posedge CLK) (0.048:0.048:0.049))
(SETUP (negedge D) (posedge CLK) (0.096:0.098:0.100))
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__dfxtp_1")
(INSTANCE _5348_)
(DELAY
(ABSOLUTE
(IOPATH CLK Q (0.433:0.433:0.433) (0.367:0.367:0.367))
)
)
(TIMINGCHECK
(HOLD (posedge D) (posedge CLK) (-0.028:-0.028:-0.028))
(HOLD (negedge D) (posedge CLK) (-0.042:-0.044:-0.046))
(SETUP (posedge D) (posedge CLK) (0.051:0.051:0.051))
(SETUP (negedge D) (posedge CLK) (0.099:0.101:0.103))
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__dfxtp_1")
(INSTANCE _5349_)
(DELAY
(ABSOLUTE
(IOPATH CLK Q (0.390:0.390:0.390) (0.346:0.346:0.346))
)
)
(TIMINGCHECK
(HOLD (posedge D) (posedge CLK) (-0.026:-0.026:-0.027))
(HOLD (negedge D) (posedge CLK) (-0.040:-0.042:-0.044))
(SETUP (posedge D) (posedge CLK) (0.049:0.049:0.049))
(SETUP (negedge D) (posedge CLK) (0.097:0.099:0.100))
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__dfxtp_1")
(INSTANCE _5350_)
(DELAY
(ABSOLUTE
(IOPATH CLK Q (0.396:0.396:0.396) (0.350:0.350:0.350))
)
)
(TIMINGCHECK
(HOLD (posedge D) (posedge CLK) (-0.026:-0.026:-0.026))
(HOLD (negedge D) (posedge CLK) (-0.040:-0.042:-0.043))
(SETUP (posedge D) (posedge CLK) (0.048:0.048:0.049))
(SETUP (negedge D) (posedge CLK) (0.096:0.098:0.100))
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__dfxtp_1")
(INSTANCE _5351_)
(DELAY
(ABSOLUTE
(IOPATH CLK Q (0.437:0.437:0.437) (0.371:0.371:0.371))
)
)
(TIMINGCHECK
(HOLD (posedge D) (posedge CLK) (-0.028:-0.028:-0.029))
(HOLD (negedge D) (posedge CLK) (-0.042:-0.044:-0.046))
(SETUP (posedge D) (posedge CLK) (0.051:0.051:0.051))
(SETUP (negedge D) (posedge CLK) (0.098:0.101:0.103))
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__dfxtp_1")
(INSTANCE _5352_)
(DELAY
(ABSOLUTE
(IOPATH CLK Q (0.392:0.392:0.392) (0.347:0.347:0.347))
)
)
(TIMINGCHECK
(HOLD (posedge D) (posedge CLK) (-0.027:-0.027:-0.027))
(HOLD (negedge D) (posedge CLK) (-0.041:-0.043:-0.045))
(SETUP (posedge D) (posedge CLK) (0.049:0.049:0.049))
(SETUP (negedge D) (posedge CLK) (0.097:0.099:0.101))
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__dfxtp_1")
(INSTANCE _5353_)
(DELAY
(ABSOLUTE
(IOPATH CLK Q (0.374:0.374:0.374) (0.336:0.336:0.336))
)
)
(TIMINGCHECK
(HOLD (posedge D) (posedge CLK) (-0.028:-0.029:-0.029))
(HOLD (negedge D) (posedge CLK) (-0.041:-0.045:-0.049))
(SETUP (posedge D) (posedge CLK) (0.051:0.051:0.051))
(SETUP (negedge D) (posedge CLK) (0.098:0.102:0.106))
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__dfxtp_1")
(INSTANCE _5354_)
(DELAY
(ABSOLUTE
(IOPATH CLK Q (0.425:0.425:0.425) (0.365:0.365:0.365))
)
)
(TIMINGCHECK
(HOLD (posedge D) (posedge CLK) (-0.026:-0.026:-0.026))
(HOLD (negedge D) (posedge CLK) (-0.040:-0.041:-0.043))
(SETUP (posedge D) (posedge CLK) (0.048:0.048:0.049))
(SETUP (negedge D) (posedge CLK) (0.096:0.098:0.100))
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__dfxtp_1")
(INSTANCE _5355_)
(DELAY
(ABSOLUTE
(IOPATH CLK Q (0.432:0.432:0.432) (0.368:0.368:0.368))
)
)
(TIMINGCHECK
(HOLD (posedge D) (posedge CLK) (-0.026:-0.026:-0.026))
(HOLD (negedge D) (posedge CLK) (-0.039:-0.041:-0.043))
(SETUP (posedge D) (posedge CLK) (0.048:0.048:0.048))
(SETUP (negedge D) (posedge CLK) (0.096:0.098:0.100))
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__dfxtp_1")
(INSTANCE _5356_)
(DELAY
(ABSOLUTE
(IOPATH CLK Q (0.412:0.412:0.412) (0.357:0.357:0.357))
)
)
(TIMINGCHECK
(HOLD (posedge D) (posedge CLK) (-0.026:-0.027:-0.027))
(HOLD (negedge D) (posedge CLK) (-0.040:-0.042:-0.044))
(SETUP (posedge D) (posedge CLK) (0.049:0.049:0.049))
(SETUP (negedge D) (posedge CLK) (0.097:0.099:0.101))
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__dfxtp_1")
(INSTANCE _5357_)
(DELAY
(ABSOLUTE
(IOPATH CLK Q (0.400:0.400:0.400) (0.351:0.351:0.351))
)
)
(TIMINGCHECK
(HOLD (posedge D) (posedge CLK) (-0.029:-0.029:-0.029))
(HOLD (negedge D) (posedge CLK) (-0.043:-0.045:-0.047))
(SETUP (posedge D) (posedge CLK) (0.052:0.052:0.052))
(SETUP (negedge D) (posedge CLK) (0.099:0.102:0.104))
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__dfxtp_1")
(INSTANCE _5358_)
(DELAY
(ABSOLUTE
(IOPATH CLK Q (0.441:0.441:0.441) (0.373:0.373:0.373))
)
)
(TIMINGCHECK
(HOLD (posedge D) (posedge CLK) (-0.027:-0.027:-0.027))
(HOLD (negedge D) (posedge CLK) (-0.041:-0.043:-0.044))
(SETUP (posedge D) (posedge CLK) (0.049:0.049:0.049))
(SETUP (negedge D) (posedge CLK) (0.097:0.099:0.101))
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__dfxtp_1")
(INSTANCE _5359_)
(DELAY
(ABSOLUTE
(IOPATH CLK Q (0.506:0.506:0.506) (0.408:0.408:0.408))
)
)
(TIMINGCHECK
(HOLD (posedge D) (posedge CLK) (-0.025:-0.025:-0.025))
(HOLD (negedge D) (posedge CLK) (-0.037:-0.039:-0.041))
(SETUP (posedge D) (posedge CLK) (0.047:0.047:0.047))
(SETUP (negedge D) (posedge CLK) (0.094:0.096:0.098))
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__dfxtp_2")
(INSTANCE _5360_)
(DELAY
(ABSOLUTE
(IOPATH CLK Q (0.443:0.443:0.443) (0.387:0.387:0.387))
)
)
(TIMINGCHECK
(HOLD (posedge D) (posedge CLK) (-0.024:-0.024:-0.025))
(HOLD (negedge D) (posedge CLK) (-0.030:-0.031:-0.033))
(SETUP (posedge D) (posedge CLK) (0.051:0.051:0.051))
(SETUP (negedge D) (posedge CLK) (0.096:0.098:0.100))
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__dfxtp_2")
(INSTANCE _5361_)
(DELAY
(ABSOLUTE
(IOPATH CLK Q (0.457:0.457:0.457) (0.394:0.394:0.394))
)
)
(TIMINGCHECK
(HOLD (posedge D) (posedge CLK) (-0.024:-0.025:-0.025))
(HOLD (negedge D) (posedge CLK) (-0.030:-0.032:-0.034))
(SETUP (posedge D) (posedge CLK) (0.051:0.052:0.052))
(SETUP (negedge D) (posedge CLK) (0.096:0.098:0.100))
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__dfxtp_1")
(INSTANCE _5362_)
(DELAY
(ABSOLUTE
(IOPATH CLK Q (0.430:0.430:0.430) (0.368:0.368:0.368))
)
)
(TIMINGCHECK
(HOLD (posedge D) (posedge CLK) (-0.025:-0.026:-0.026))
(HOLD (negedge D) (posedge CLK) (-0.039:-0.041:-0.043))
(SETUP (posedge D) (posedge CLK) (0.048:0.048:0.048))
(SETUP (negedge D) (posedge CLK) (0.095:0.097:0.099))
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__dfxtp_1")
(INSTANCE _5363_)
(DELAY
(ABSOLUTE
(IOPATH CLK Q (0.425:0.425:0.425) (0.366:0.366:0.366))
)
)
(TIMINGCHECK
(HOLD (posedge D) (posedge CLK) (-0.027:-0.027:-0.027))
(HOLD (negedge D) (posedge CLK) (-0.039:-0.041:-0.044))
(SETUP (posedge D) (posedge CLK) (0.049:0.049:0.049))
(SETUP (negedge D) (posedge CLK) (0.095:0.098:0.100))
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__dfxtp_1")
(INSTANCE _5364_)
(DELAY
(ABSOLUTE
(IOPATH CLK Q (0.393:0.393:0.393) (0.348:0.348:0.348))
)
)
(TIMINGCHECK
(HOLD (posedge D) (posedge CLK) (-0.026:-0.026:-0.027))
(HOLD (negedge D) (posedge CLK) (-0.040:-0.042:-0.044))
(SETUP (posedge D) (posedge CLK) (0.048:0.049:0.049))
(SETUP (negedge D) (posedge CLK) (0.096:0.098:0.100))
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__dfxtp_1")
(INSTANCE _5365_)
(DELAY
(ABSOLUTE
(IOPATH CLK Q (0.359:0.359:0.359) (0.330:0.330:0.330))
)
)
(TIMINGCHECK
(HOLD (posedge D) (posedge CLK) (-0.026:-0.026:-0.027))
(HOLD (negedge D) (posedge CLK) (-0.040:-0.042:-0.044))
(SETUP (posedge D) (posedge CLK) (0.048:0.049:0.049))
(SETUP (negedge D) (posedge CLK) (0.096:0.098:0.100))
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__dfxtp_1")
(INSTANCE _5366_)
(DELAY
(ABSOLUTE
(IOPATH CLK Q (0.422:0.422:0.422) (0.363:0.363:0.363))
)
)
(TIMINGCHECK
(HOLD (posedge D) (posedge CLK) (-0.027:-0.028:-0.028))
(HOLD (negedge D) (posedge CLK) (-0.042:-0.044:-0.046))
(SETUP (posedge D) (posedge CLK) (0.050:0.050:0.050))
(SETUP (negedge D) (posedge CLK) (0.099:0.101:0.102))
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__dfxtp_2")
(INSTANCE _5367_)
(DELAY
(ABSOLUTE
(IOPATH CLK Q (0.378:0.378:0.378) (0.344:0.344:0.344))
)
)
(TIMINGCHECK
(HOLD (posedge D) (posedge CLK) (-0.033:-0.033:-0.033))
(HOLD (negedge D) (posedge CLK) (-0.044:-0.046:-0.047))
(SETUP (posedge D) (posedge CLK) (0.061:0.061:0.061))
(SETUP (negedge D) (posedge CLK) (0.110:0.112:0.114))
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__dfxtp_2")
(INSTANCE _5368_)
(DELAY
(ABSOLUTE
(IOPATH CLK Q (0.439:0.439:0.439) (0.383:0.383:0.383))
)
)
(TIMINGCHECK
(HOLD (posedge D) (posedge CLK) (-0.026:-0.027:-0.027))
(HOLD (negedge D) (posedge CLK) (-0.033:-0.035:-0.037))
(SETUP (posedge D) (posedge CLK) (0.053:0.054:0.054))
(SETUP (negedge D) (posedge CLK) (0.099:0.101:0.103))
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__dfxtp_2")
(INSTANCE _5369_)
(DELAY
(ABSOLUTE
(IOPATH CLK Q (0.418:0.418:0.418) (0.372:0.372:0.372))
)
)
(TIMINGCHECK
(HOLD (posedge D) (posedge CLK) (-0.028:-0.028:-0.028))
(HOLD (negedge D) (posedge CLK) (-0.034:-0.037:-0.039))
(SETUP (posedge D) (posedge CLK) (0.055:0.055:0.056))
(SETUP (negedge D) (posedge CLK) (0.101:0.103:0.105))
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__dfxtp_1")
(INSTANCE _5370_)
(DELAY
(ABSOLUTE
(IOPATH CLK Q (0.437:0.437:0.437) (0.370:0.370:0.370))
)
)
(TIMINGCHECK
(HOLD (posedge D) (posedge CLK) (-0.026:-0.026:-0.027))
(HOLD (negedge D) (posedge CLK) (-0.040:-0.042:-0.044))
(SETUP (posedge D) (posedge CLK) (0.048:0.049:0.049))
(SETUP (negedge D) (posedge CLK) (0.097:0.099:0.101))
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__dfxtp_1")
(INSTANCE _5371_)
(DELAY
(ABSOLUTE
(IOPATH CLK Q (0.395:0.395:0.395) (0.348:0.348:0.348))
)
)
(TIMINGCHECK
(HOLD (posedge D) (posedge CLK) (-0.026:-0.026:-0.027))
(HOLD (negedge D) (posedge CLK) (-0.040:-0.042:-0.044))
(SETUP (posedge D) (posedge CLK) (0.049:0.049:0.049))
(SETUP (negedge D) (posedge CLK) (0.097:0.099:0.101))
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__dfxtp_1")
(INSTANCE _5372_)
(DELAY
(ABSOLUTE
(IOPATH CLK Q (0.438:0.438:0.438) (0.370:0.370:0.370))
)
)
(TIMINGCHECK
(HOLD (posedge D) (posedge CLK) (-0.027:-0.027:-0.028))
(HOLD (negedge D) (posedge CLK) (-0.042:-0.044:-0.045))
(SETUP (posedge D) (posedge CLK) (0.050:0.050:0.050))
(SETUP (negedge D) (posedge CLK) (0.098:0.100:0.102))
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__dfxtp_1")
(INSTANCE _5373_)
(DELAY
(ABSOLUTE
(IOPATH CLK Q (0.420:0.420:0.420) (0.363:0.363:0.363))
)
)
(TIMINGCHECK
(HOLD (posedge D) (posedge CLK) (-0.024:-0.024:-0.025))
(HOLD (negedge D) (posedge CLK) (-0.037:-0.039:-0.041))
(SETUP (posedge D) (posedge CLK) (0.046:0.047:0.047))
(SETUP (negedge D) (posedge CLK) (0.093:0.095:0.097))
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__dfxtp_1")
(INSTANCE _5374_)
(DELAY
(ABSOLUTE
(IOPATH CLK Q (0.367:0.367:0.367) (0.334:0.334:0.334))
)
)
(TIMINGCHECK
(HOLD (posedge D) (posedge CLK) (-0.025:-0.026:-0.026))
(HOLD (negedge D) (posedge CLK) (-0.039:-0.041:-0.043))
(SETUP (posedge D) (posedge CLK) (0.047:0.048:0.048))
(SETUP (negedge D) (posedge CLK) (0.095:0.097:0.099))
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__dfxtp_1")
(INSTANCE _5375_)
(DELAY
(ABSOLUTE
(IOPATH CLK Q (0.560:0.560:0.560) (0.432:0.432:0.432))
)
)
(TIMINGCHECK
(HOLD (posedge D) (posedge CLK) (-0.031:-0.031:-0.031))
(HOLD (negedge D) (posedge CLK) (-0.051:-0.051:-0.051))
(SETUP (posedge D) (posedge CLK) (0.053:0.053:0.053))
(SETUP (negedge D) (posedge CLK) (0.108:0.108:0.108))
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__dfxtp_1")
(INSTANCE _5376_)
(DELAY
(ABSOLUTE
(IOPATH CLK Q (0.389:0.389:0.389) (0.346:0.346:0.346))
)
)
(TIMINGCHECK
(HOLD (posedge D) (posedge CLK) (-0.025:-0.026:-0.026))
(HOLD (negedge D) (posedge CLK) (-0.039:-0.041:-0.043))
(SETUP (posedge D) (posedge CLK) (0.048:0.048:0.048))
(SETUP (negedge D) (posedge CLK) (0.095:0.097:0.099))
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__dfxtp_1")
(INSTANCE _5377_)
(DELAY
(ABSOLUTE
(IOPATH CLK Q (0.374:0.374:0.374) (0.337:0.337:0.337))
)
)
(TIMINGCHECK
(HOLD (posedge D) (posedge CLK) (-0.027:-0.027:-0.027))
(HOLD (negedge D) (posedge CLK) (-0.042:-0.044:-0.045))
(SETUP (posedge D) (posedge CLK) (0.049:0.050:0.050))
(SETUP (negedge D) (posedge CLK) (0.098:0.100:0.102))
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__dfxtp_1")
(INSTANCE _5378_)
(DELAY
(ABSOLUTE
(IOPATH CLK Q (0.398:0.398:0.398) (0.348:0.348:0.348))
)
)
(TIMINGCHECK
(HOLD (posedge D) (posedge CLK) (-0.028:-0.028:-0.029))
(HOLD (negedge D) (posedge CLK) (-0.043:-0.045:-0.047))
(SETUP (posedge D) (posedge CLK) (0.051:0.051:0.051))
(SETUP (negedge D) (posedge CLK) (0.100:0.102:0.104))
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__dfxtp_1")
(INSTANCE _5379_)
(DELAY
(ABSOLUTE
(IOPATH CLK Q (0.419:0.419:0.419) (0.359:0.359:0.359))
)
)
(TIMINGCHECK
(HOLD (posedge D) (posedge CLK) (-0.031:-0.031:-0.031))
(HOLD (negedge D) (posedge CLK) (-0.051:-0.051:-0.051))
(SETUP (posedge D) (posedge CLK) (0.054:0.054:0.054))
(SETUP (negedge D) (posedge CLK) (0.108:0.108:0.108))
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__dfxtp_1")
(INSTANCE _5380_)
(DELAY
(ABSOLUTE
(IOPATH CLK Q (0.387:0.387:0.387) (0.343:0.343:0.343))
)
)
(TIMINGCHECK
(HOLD (posedge D) (posedge CLK) (-0.028:-0.028:-0.029))
(HOLD (negedge D) (posedge CLK) (-0.043:-0.045:-0.047))
(SETUP (posedge D) (posedge CLK) (0.051:0.051:0.051))
(SETUP (negedge D) (posedge CLK) (0.100:0.101:0.103))
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__dfxtp_1")
(INSTANCE _5381_)
(DELAY
(ABSOLUTE
(IOPATH CLK Q (0.393:0.393:0.393) (0.345:0.345:0.345))
)
)
(TIMINGCHECK
(HOLD (posedge D) (posedge CLK) (-0.028:-0.028:-0.028))
(HOLD (negedge D) (posedge CLK) (-0.046:-0.046:-0.046))
(SETUP (posedge D) (posedge CLK) (0.050:0.050:0.050))
(SETUP (negedge D) (posedge CLK) (0.103:0.103:0.103))
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__dfxtp_1")
(INSTANCE _5382_)
(DELAY
(ABSOLUTE
(IOPATH CLK Q (0.393:0.393:0.393) (0.344:0.344:0.344))
)
)
(TIMINGCHECK
(HOLD (posedge D) (posedge CLK) (-0.029:-0.029:-0.029))
(HOLD (negedge D) (posedge CLK) (-0.044:-0.046:-0.048))
(SETUP (posedge D) (posedge CLK) (0.051:0.051:0.052))
(SETUP (negedge D) (posedge CLK) (0.101:0.103:0.105))
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__dfxtp_1")
(INSTANCE _5383_)
(DELAY
(ABSOLUTE
(IOPATH CLK Q (0.373:0.373:0.373) (0.334:0.334:0.334))
)
)
(TIMINGCHECK
(HOLD (posedge D) (posedge CLK) (-0.028:-0.028:-0.028))
(HOLD (negedge D) (posedge CLK) (-0.043:-0.045:-0.047))
(SETUP (posedge D) (posedge CLK) (0.050:0.051:0.051))
(SETUP (negedge D) (posedge CLK) (0.100:0.102:0.104))
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__dfxtp_1")
(INSTANCE _5384_)
(DELAY
(ABSOLUTE
(IOPATH CLK Q (0.419:0.419:0.419) (0.356:0.356:0.356))
)
)
(TIMINGCHECK
(HOLD (posedge D) (posedge CLK) (-0.028:-0.028:-0.029))
(HOLD (negedge D) (posedge CLK) (-0.043:-0.045:-0.047))
(SETUP (posedge D) (posedge CLK) (0.050:0.051:0.051))
(SETUP (negedge D) (posedge CLK) (0.100:0.102:0.104))
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__dfxtp_1")
(INSTANCE _5385_)
(DELAY
(ABSOLUTE
(IOPATH CLK Q (0.407:0.407:0.407) (0.352:0.352:0.352))
)
)
(TIMINGCHECK
(HOLD (posedge D) (posedge CLK) (-0.028:-0.028:-0.029))
(HOLD (negedge D) (posedge CLK) (-0.044:-0.046:-0.047))
(SETUP (posedge D) (posedge CLK) (0.051:0.051:0.051))
(SETUP (negedge D) (posedge CLK) (0.100:0.102:0.104))
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__dfxtp_1")
(INSTANCE _5386_)
(DELAY
(ABSOLUTE
(IOPATH CLK Q (0.421:0.421:0.421) (0.360:0.360:0.360))
)
)
(TIMINGCHECK
(HOLD (posedge D) (posedge CLK) (-0.028:-0.029:-0.029))
(HOLD (negedge D) (posedge CLK) (-0.044:-0.046:-0.048))
(SETUP (posedge D) (posedge CLK) (0.051:0.051:0.051))
(SETUP (negedge D) (posedge CLK) (0.101:0.103:0.105))
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__dfxtp_1")
(INSTANCE _5387_)
(DELAY
(ABSOLUTE
(IOPATH CLK Q (0.405:0.405:0.405) (0.351:0.351:0.351))
)
)
(TIMINGCHECK
(HOLD (posedge D) (posedge CLK) (-0.030:-0.030:-0.030))
(HOLD (negedge D) (posedge CLK) (-0.045:-0.047:-0.050))
(SETUP (posedge D) (posedge CLK) (0.053:0.053:0.053))
(SETUP (negedge D) (posedge CLK) (0.101:0.104:0.106))
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__dfxtp_1")
(INSTANCE _5388_)
(DELAY
(ABSOLUTE
(IOPATH CLK Q (0.394:0.394:0.394) (0.346:0.346:0.346))
)
)
(TIMINGCHECK
(HOLD (posedge D) (posedge CLK) (-0.028:-0.028:-0.028))
(HOLD (negedge D) (posedge CLK) (-0.047:-0.047:-0.047))
(SETUP (posedge D) (posedge CLK) (0.051:0.051:0.051))
(SETUP (negedge D) (posedge CLK) (0.104:0.104:0.104))
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__dfxtp_1")
(INSTANCE _5389_)
(DELAY
(ABSOLUTE
(IOPATH CLK Q (0.406:0.406:0.406) (0.365:0.365:0.365))
)
)
(TIMINGCHECK
(HOLD (posedge D) (posedge CLK) (-0.018:-0.019:-0.019))
(HOLD (negedge D) (posedge CLK) (-0.027:-0.028:-0.030))
(SETUP (posedge D) (posedge CLK) (0.040:0.040:0.040))
(SETUP (negedge D) (posedge CLK) (0.083:0.084:0.086))
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__dfxtp_1")
(INSTANCE _5390_)
(DELAY
(ABSOLUTE
(IOPATH CLK Q (0.372:0.372:0.372) (0.334:0.334:0.334))
)
)
(TIMINGCHECK
(HOLD (posedge D) (posedge CLK) (-0.029:-0.029:-0.030))
(HOLD (negedge D) (posedge CLK) (-0.045:-0.047:-0.049))
(SETUP (posedge D) (posedge CLK) (0.052:0.052:0.052))
(SETUP (negedge D) (posedge CLK) (0.102:0.104:0.105))
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__dfxtp_1")
(INSTANCE _5391_)
(DELAY
(ABSOLUTE
(IOPATH CLK Q (0.328:0.328:0.328) (0.307:0.307:0.307))
)
)
(TIMINGCHECK
(HOLD (posedge D) (posedge CLK) (-0.030:-0.030:-0.030))
(HOLD (negedge D) (posedge CLK) (-0.046:-0.048:-0.050))
(SETUP (posedge D) (posedge CLK) (0.052:0.052:0.053))
(SETUP (negedge D) (posedge CLK) (0.103:0.105:0.106))
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__dfxtp_1")
(INSTANCE _5392_)
(DELAY
(ABSOLUTE
(IOPATH CLK Q (0.386:0.386:0.386) (0.341:0.341:0.341))
)
)
(TIMINGCHECK
(HOLD (posedge D) (posedge CLK) (-0.028:-0.028:-0.028))
(HOLD (negedge D) (posedge CLK) (-0.043:-0.045:-0.047))
(SETUP (posedge D) (posedge CLK) (0.050:0.050:0.051))
(SETUP (negedge D) (posedge CLK) (0.099:0.101:0.103))
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__dfxtp_1")
(INSTANCE _5393_)
(DELAY
(ABSOLUTE
(IOPATH CLK Q (0.398:0.398:0.398) (0.348:0.348:0.348))
)
)
(TIMINGCHECK
(HOLD (posedge D) (posedge CLK) (-0.029:-0.029:-0.029))
(HOLD (negedge D) (posedge CLK) (-0.048:-0.048:-0.048))
(SETUP (posedge D) (posedge CLK) (0.052:0.052:0.052))
(SETUP (negedge D) (posedge CLK) (0.105:0.105:0.105))
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__dfxtp_1")
(INSTANCE _5394_)
(DELAY
(ABSOLUTE
(IOPATH CLK Q (0.384:0.384:0.384) (0.339:0.339:0.339))
)
)
(TIMINGCHECK
(HOLD (posedge D) (posedge CLK) (-0.029:-0.029:-0.030))
(HOLD (negedge D) (posedge CLK) (-0.045:-0.047:-0.049))
(SETUP (posedge D) (posedge CLK) (0.052:0.052:0.052))
(SETUP (negedge D) (posedge CLK) (0.102:0.104:0.106))
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__dfxtp_1")
(INSTANCE _5395_)
(DELAY
(ABSOLUTE
(IOPATH CLK Q (0.376:0.376:0.376) (0.336:0.336:0.336))
)
)
(TIMINGCHECK
(HOLD (posedge D) (posedge CLK) (-0.028:-0.028:-0.029))
(HOLD (negedge D) (posedge CLK) (-0.044:-0.046:-0.047))
(SETUP (posedge D) (posedge CLK) (0.051:0.051:0.051))
(SETUP (negedge D) (posedge CLK) (0.100:0.102:0.104))
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__dfxtp_1")
(INSTANCE _5396_)
(DELAY
(ABSOLUTE
(IOPATH CLK Q (0.430:0.430:0.430) (0.365:0.365:0.365))
)
)
(TIMINGCHECK
(HOLD (posedge D) (posedge CLK) (-0.028:-0.029:-0.029))
(HOLD (negedge D) (posedge CLK) (-0.044:-0.046:-0.048))
(SETUP (posedge D) (posedge CLK) (0.051:0.051:0.051))
(SETUP (negedge D) (posedge CLK) (0.100:0.102:0.104))
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__dfxtp_1")
(INSTANCE _5397_)
(DELAY
(ABSOLUTE
(IOPATH CLK Q (0.425:0.425:0.425) (0.361:0.361:0.361))
)
)
(TIMINGCHECK
(HOLD (posedge D) (posedge CLK) (-0.028:-0.028:-0.029))
(HOLD (negedge D) (posedge CLK) (-0.044:-0.045:-0.047))
(SETUP (posedge D) (posedge CLK) (0.051:0.051:0.051))
(SETUP (negedge D) (posedge CLK) (0.100:0.102:0.104))
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__dfxtp_1")
(INSTANCE _5398_)
(DELAY
(ABSOLUTE
(IOPATH CLK Q (0.427:0.427:0.427) (0.363:0.363:0.363))
)
)
(TIMINGCHECK
(HOLD (posedge D) (posedge CLK) (-0.028:-0.028:-0.029))
(HOLD (negedge D) (posedge CLK) (-0.044:-0.046:-0.047))
(SETUP (posedge D) (posedge CLK) (0.051:0.051:0.051))
(SETUP (negedge D) (posedge CLK) (0.100:0.102:0.104))
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__dfxtp_1")
(INSTANCE _5399_)
(DELAY
(ABSOLUTE
(IOPATH CLK Q (0.386:0.386:0.386) (0.340:0.340:0.340))
)
)
(TIMINGCHECK
(HOLD (posedge D) (posedge CLK) (-0.029:-0.029:-0.029))
(HOLD (negedge D) (posedge CLK) (-0.047:-0.047:-0.047))
(SETUP (posedge D) (posedge CLK) (0.051:0.051:0.051))
(SETUP (negedge D) (posedge CLK) (0.104:0.104:0.104))
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__dfxtp_1")
(INSTANCE _5400_)
(DELAY
(ABSOLUTE
(IOPATH CLK Q (0.426:0.426:0.426) (0.376:0.376:0.376))
)
)
(TIMINGCHECK
(HOLD (posedge D) (posedge CLK) (-0.019:-0.019:-0.020))
(HOLD (negedge D) (posedge CLK) (-0.028:-0.029:-0.031))
(SETUP (posedge D) (posedge CLK) (0.041:0.041:0.041))
(SETUP (negedge D) (posedge CLK) (0.084:0.085:0.087))
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__dfxtp_1")
(INSTANCE _5401_)
(DELAY
(ABSOLUTE
(IOPATH CLK Q (0.391:0.391:0.391) (0.347:0.347:0.347))
)
)
(TIMINGCHECK
(HOLD (posedge D) (posedge CLK) (-0.026:-0.027:-0.027))
(HOLD (negedge D) (posedge CLK) (-0.041:-0.042:-0.044))
(SETUP (posedge D) (posedge CLK) (0.049:0.049:0.049))
(SETUP (negedge D) (posedge CLK) (0.097:0.099:0.101))
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__dfxtp_1")
(INSTANCE _5402_)
(DELAY
(ABSOLUTE
(IOPATH CLK Q (0.379:0.379:0.379) (0.338:0.338:0.338))
)
)
(TIMINGCHECK
(HOLD (posedge D) (posedge CLK) (-0.028:-0.029:-0.029))
(HOLD (negedge D) (posedge CLK) (-0.044:-0.046:-0.047))
(SETUP (posedge D) (posedge CLK) (0.051:0.051:0.051))
(SETUP (negedge D) (posedge CLK) (0.100:0.102:0.104))
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__dfxtp_1")
(INSTANCE _5403_)
(DELAY
(ABSOLUTE
(IOPATH CLK Q (0.386:0.386:0.386) (0.341:0.341:0.341))
)
)
(TIMINGCHECK
(HOLD (posedge D) (posedge CLK) (-0.030:-0.030:-0.030))
(HOLD (negedge D) (posedge CLK) (-0.045:-0.047:-0.049))
(SETUP (posedge D) (posedge CLK) (0.052:0.052:0.053))
(SETUP (negedge D) (posedge CLK) (0.102:0.104:0.106))
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__dfxtp_1")
(INSTANCE _5404_)
(DELAY
(ABSOLUTE
(IOPATH CLK Q (0.412:0.412:0.412) (0.354:0.354:0.354))
)
)
(TIMINGCHECK
(HOLD (posedge D) (posedge CLK) (-0.028:-0.029:-0.029))
(HOLD (negedge D) (posedge CLK) (-0.044:-0.046:-0.048))
(SETUP (posedge D) (posedge CLK) (0.051:0.051:0.051))
(SETUP (negedge D) (posedge CLK) (0.100:0.102:0.104))
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__dfxtp_1")
(INSTANCE _5405_)
(DELAY
(ABSOLUTE
(IOPATH CLK Q (0.399:0.399:0.399) (0.347:0.347:0.347))
)
)
(TIMINGCHECK
(HOLD (posedge D) (posedge CLK) (-0.030:-0.031:-0.031))
(HOLD (negedge D) (posedge CLK) (-0.046:-0.048:-0.050))
(SETUP (posedge D) (posedge CLK) (0.053:0.053:0.054))
(SETUP (negedge D) (posedge CLK) (0.103:0.105:0.107))
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__dfxtp_1")
(INSTANCE _5406_)
(DELAY
(ABSOLUTE
(IOPATH CLK Q (0.403:0.403:0.403) (0.347:0.347:0.347))
)
)
(TIMINGCHECK
(HOLD (posedge D) (posedge CLK) (-0.030:-0.031:-0.031))
(HOLD (negedge D) (posedge CLK) (-0.047:-0.049:-0.051))
(SETUP (posedge D) (posedge CLK) (0.053:0.053:0.054))
(SETUP (negedge D) (posedge CLK) (0.104:0.106:0.108))
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__dfxtp_1")
(INSTANCE _5407_)
(DELAY
(ABSOLUTE
(IOPATH CLK Q (0.366:0.366:0.366) (0.327:0.327:0.327))
)
)
(TIMINGCHECK
(HOLD (posedge D) (posedge CLK) (-0.031:-0.031:-0.031))
(HOLD (negedge D) (posedge CLK) (-0.048:-0.050:-0.051))
(SETUP (posedge D) (posedge CLK) (0.053:0.054:0.054))
(SETUP (negedge D) (posedge CLK) (0.105:0.106:0.108))
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__dfxtp_1")
(INSTANCE _5408_)
(DELAY
(ABSOLUTE
(IOPATH CLK Q (0.410:0.410:0.410) (0.353:0.353:0.353))
)
)
(TIMINGCHECK
(HOLD (posedge D) (posedge CLK) (-0.029:-0.029:-0.030))
(HOLD (negedge D) (posedge CLK) (-0.045:-0.047:-0.049))
(SETUP (posedge D) (posedge CLK) (0.052:0.052:0.052))
(SETUP (negedge D) (posedge CLK) (0.102:0.104:0.105))
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__dfxtp_1")
(INSTANCE _5409_)
(DELAY
(ABSOLUTE
(IOPATH CLK Q (0.409:0.409:0.409) (0.354:0.354:0.354))
)
)
(TIMINGCHECK
(HOLD (posedge D) (posedge CLK) (-0.029:-0.029:-0.029))
(HOLD (negedge D) (posedge CLK) (-0.044:-0.046:-0.048))
(SETUP (posedge D) (posedge CLK) (0.051:0.052:0.052))
(SETUP (negedge D) (posedge CLK) (0.101:0.103:0.105))
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__dfxtp_1")
(INSTANCE _5410_)
(DELAY
(ABSOLUTE
(IOPATH CLK Q (0.422:0.422:0.422) (0.362:0.362:0.362))
)
)
(TIMINGCHECK
(HOLD (posedge D) (posedge CLK) (-0.028:-0.029:-0.029))
(HOLD (negedge D) (posedge CLK) (-0.044:-0.046:-0.047))
(SETUP (posedge D) (posedge CLK) (0.051:0.051:0.052))
(SETUP (negedge D) (posedge CLK) (0.100:0.102:0.104))
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__dfxtp_1")
(INSTANCE _5411_)
(DELAY
(ABSOLUTE
(IOPATH CLK Q (0.774:0.774:0.774) (0.547:0.547:0.547))
)
)
(TIMINGCHECK
(HOLD (posedge D) (posedge CLK) (-0.026:-0.026:-0.026))
(HOLD (negedge D) (posedge CLK) (-0.043:-0.043:-0.043))
(SETUP (posedge D) (posedge CLK) (0.048:0.048:0.048))
(SETUP (negedge D) (posedge CLK) (0.100:0.100:0.100))
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__dfxtp_1")
(INSTANCE _5412_)
(DELAY
(ABSOLUTE
(IOPATH CLK Q (0.409:0.409:0.409) (0.355:0.355:0.355))
)
)
(TIMINGCHECK
(HOLD (posedge D) (posedge CLK) (-0.030:-0.030:-0.030))
(HOLD (negedge D) (posedge CLK) (-0.045:-0.047:-0.049))
(SETUP (posedge D) (posedge CLK) (0.052:0.053:0.053))
(SETUP (negedge D) (posedge CLK) (0.102:0.104:0.106))
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__dfxtp_1")
(INSTANCE _5413_)
(DELAY
(ABSOLUTE
(IOPATH CLK Q (0.376:0.376:0.376) (0.333:0.333:0.333))
)
)
(TIMINGCHECK
(HOLD (posedge D) (posedge CLK) (-0.031:-0.031:-0.032))
(HOLD (negedge D) (posedge CLK) (-0.048:-0.050:-0.052))
(SETUP (posedge D) (posedge CLK) (0.054:0.054:0.054))
(SETUP (negedge D) (posedge CLK) (0.105:0.107:0.109))
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__dfxtp_1")
(INSTANCE _5414_)
(DELAY
(ABSOLUTE
(IOPATH CLK Q (0.375:0.375:0.375) (0.335:0.335:0.335))
)
)
(TIMINGCHECK
(HOLD (posedge D) (posedge CLK) (-0.029:-0.029:-0.029))
(HOLD (negedge D) (posedge CLK) (-0.048:-0.048:-0.048))
(SETUP (posedge D) (posedge CLK) (0.052:0.052:0.052))
(SETUP (negedge D) (posedge CLK) (0.105:0.105:0.105))
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__dfxtp_1")
(INSTANCE _5415_)
(DELAY
(ABSOLUTE
(IOPATH CLK Q (0.392:0.392:0.392) (0.343:0.343:0.343))
)
)
(TIMINGCHECK
(HOLD (posedge D) (posedge CLK) (-0.028:-0.028:-0.028))
(HOLD (negedge D) (posedge CLK) (-0.043:-0.045:-0.047))
(SETUP (posedge D) (posedge CLK) (0.050:0.051:0.051))
(SETUP (negedge D) (posedge CLK) (0.100:0.102:0.104))
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__dfxtp_1")
(INSTANCE _5416_)
(DELAY
(ABSOLUTE
(IOPATH CLK Q (0.410:0.410:0.410) (0.354:0.354:0.354))
)
)
(TIMINGCHECK
(HOLD (posedge D) (posedge CLK) (-0.029:-0.029:-0.029))
(HOLD (negedge D) (posedge CLK) (-0.047:-0.047:-0.047))
(SETUP (posedge D) (posedge CLK) (0.051:0.051:0.051))
(SETUP (negedge D) (posedge CLK) (0.104:0.104:0.104))
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__dfxtp_1")
(INSTANCE _5417_)
(DELAY
(ABSOLUTE
(IOPATH CLK Q (0.390:0.390:0.390) (0.342:0.342:0.342))
)
)
(TIMINGCHECK
(HOLD (posedge D) (posedge CLK) (-0.031:-0.032:-0.032))
(HOLD (negedge D) (posedge CLK) (-0.048:-0.050:-0.052))
(SETUP (posedge D) (posedge CLK) (0.054:0.054:0.055))
(SETUP (negedge D) (posedge CLK) (0.104:0.106:0.109))
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__dfxtp_1")
(INSTANCE _5418_)
(DELAY
(ABSOLUTE
(IOPATH CLK Q (0.439:0.439:0.439) (0.373:0.373:0.373))
)
)
(TIMINGCHECK
(HOLD (posedge D) (posedge CLK) (-0.027:-0.027:-0.027))
(HOLD (negedge D) (posedge CLK) (-0.045:-0.045:-0.045))
(SETUP (posedge D) (posedge CLK) (0.050:0.050:0.050))
(SETUP (negedge D) (posedge CLK) (0.101:0.101:0.101))
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__dfxtp_1")
(INSTANCE _5419_)
(DELAY
(ABSOLUTE
(IOPATH CLK Q (0.437:0.437:0.437) (0.368:0.368:0.368))
)
)
(TIMINGCHECK
(HOLD (posedge D) (posedge CLK) (-0.031:-0.031:-0.031))
(HOLD (negedge D) (posedge CLK) (-0.050:-0.050:-0.050))
(SETUP (posedge D) (posedge CLK) (0.053:0.053:0.053))
(SETUP (negedge D) (posedge CLK) (0.107:0.107:0.107))
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__dfxtp_1")
(INSTANCE _5420_)
(DELAY
(ABSOLUTE
(IOPATH CLK Q (0.484:0.484:0.484) (0.396:0.396:0.396))
)
)
(TIMINGCHECK
(HOLD (posedge D) (posedge CLK) (-0.025:-0.026:-0.026))
(HOLD (negedge D) (posedge CLK) (-0.039:-0.041:-0.043))
(SETUP (posedge D) (posedge CLK) (0.048:0.048:0.048))
(SETUP (negedge D) (posedge CLK) (0.095:0.097:0.099))
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__dfxtp_1")
(INSTANCE _5421_)
(DELAY
(ABSOLUTE
(IOPATH CLK Q (0.463:0.463:0.463) (0.385:0.385:0.385))
)
)
(TIMINGCHECK
(HOLD (posedge D) (posedge CLK) (-0.025:-0.026:-0.026))
(HOLD (negedge D) (posedge CLK) (-0.039:-0.041:-0.043))
(SETUP (posedge D) (posedge CLK) (0.048:0.048:0.048))
(SETUP (negedge D) (posedge CLK) (0.095:0.097:0.099))
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__dfxtp_1")
(INSTANCE _5422_)
(DELAY
(ABSOLUTE
(IOPATH CLK Q (0.396:0.396:0.396) (0.350:0.350:0.350))
)
)
(TIMINGCHECK
(HOLD (posedge D) (posedge CLK) (-0.027:-0.027:-0.027))
(HOLD (negedge D) (posedge CLK) (-0.041:-0.043:-0.044))
(SETUP (posedge D) (posedge CLK) (0.049:0.049:0.049))
(SETUP (negedge D) (posedge CLK) (0.097:0.099:0.101))
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__dfxtp_1")
(INSTANCE _5423_)
(DELAY
(ABSOLUTE
(IOPATH CLK Q (0.434:0.434:0.434) (0.370:0.370:0.370))
)
)
(TIMINGCHECK
(HOLD (posedge D) (posedge CLK) (-0.031:-0.031:-0.031))
(HOLD (negedge D) (posedge CLK) (-0.045:-0.047:-0.049))
(SETUP (posedge D) (posedge CLK) (0.053:0.054:0.054))
(SETUP (negedge D) (posedge CLK) (0.101:0.104:0.106))
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__dfxtp_1")
(INSTANCE _5424_)
(DELAY
(ABSOLUTE
(IOPATH CLK Q (0.450:0.450:0.450) (0.380:0.380:0.380))
)
)
(TIMINGCHECK
(HOLD (posedge D) (posedge CLK) (-0.027:-0.027:-0.027))
(HOLD (negedge D) (posedge CLK) (-0.045:-0.045:-0.045))
(SETUP (posedge D) (posedge CLK) (0.050:0.050:0.050))
(SETUP (negedge D) (posedge CLK) (0.101:0.101:0.101))
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__dfxtp_1")
(INSTANCE _5425_)
(DELAY
(ABSOLUTE
(IOPATH CLK Q (0.401:0.401:0.401) (0.353:0.353:0.353))
)
)
(TIMINGCHECK
(HOLD (posedge D) (posedge CLK) (-0.026:-0.026:-0.026))
(HOLD (negedge D) (posedge CLK) (-0.044:-0.044:-0.044))
(SETUP (posedge D) (posedge CLK) (0.049:0.049:0.049))
(SETUP (negedge D) (posedge CLK) (0.100:0.100:0.100))
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__dfxtp_1")
(INSTANCE _5426_)
(DELAY
(ABSOLUTE
(IOPATH CLK Q (0.327:0.327:0.327) (0.307:0.307:0.307))
)
)
(TIMINGCHECK
(HOLD (posedge D) (posedge CLK) (-0.029:-0.029:-0.029))
(HOLD (negedge D) (posedge CLK) (-0.045:-0.047:-0.049))
(SETUP (posedge D) (posedge CLK) (0.052:0.052:0.052))
(SETUP (negedge D) (posedge CLK) (0.102:0.104:0.106))
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__dfxtp_1")
(INSTANCE _5427_)
(DELAY
(ABSOLUTE
(IOPATH CLK Q (0.410:0.410:0.410) (0.352:0.352:0.352))
)
)
(TIMINGCHECK
(HOLD (posedge D) (posedge CLK) (-0.029:-0.029:-0.030))
(HOLD (negedge D) (posedge CLK) (-0.045:-0.047:-0.049))
(SETUP (posedge D) (posedge CLK) (0.052:0.052:0.052))
(SETUP (negedge D) (posedge CLK) (0.102:0.104:0.106))
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__dfxtp_1")
(INSTANCE _5428_)
(DELAY
(ABSOLUTE
(IOPATH CLK Q (0.424:0.424:0.424) (0.362:0.362:0.362))
)
)
(TIMINGCHECK
(HOLD (posedge D) (posedge CLK) (-0.028:-0.028:-0.028))
(HOLD (negedge D) (posedge CLK) (-0.043:-0.045:-0.046))
(SETUP (posedge D) (posedge CLK) (0.050:0.050:0.050))
(SETUP (negedge D) (posedge CLK) (0.099:0.101:0.103))
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__dfxtp_1")
(INSTANCE _5429_)
(DELAY
(ABSOLUTE
(IOPATH CLK Q (0.397:0.397:0.397) (0.345:0.345:0.345))
)
)
(TIMINGCHECK
(HOLD (posedge D) (posedge CLK) (-0.032:-0.032:-0.033))
(HOLD (negedge D) (posedge CLK) (-0.049:-0.051:-0.053))
(SETUP (posedge D) (posedge CLK) (0.055:0.055:0.055))
(SETUP (negedge D) (posedge CLK) (0.105:0.108:0.110))
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__dfxtp_1")
(INSTANCE _5430_)
(DELAY
(ABSOLUTE
(IOPATH CLK Q (0.387:0.387:0.387) (0.342:0.342:0.342))
)
)
(TIMINGCHECK
(HOLD (posedge D) (posedge CLK) (-0.027:-0.028:-0.028))
(HOLD (negedge D) (posedge CLK) (-0.042:-0.044:-0.046))
(SETUP (posedge D) (posedge CLK) (0.050:0.050:0.050))
(SETUP (negedge D) (posedge CLK) (0.099:0.101:0.103))
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__dfxtp_1")
(INSTANCE _5431_)
(DELAY
(ABSOLUTE
(IOPATH CLK Q (0.419:0.419:0.419) (0.360:0.360:0.360))
)
)
(TIMINGCHECK
(HOLD (posedge D) (posedge CLK) (-0.028:-0.028:-0.028))
(HOLD (negedge D) (posedge CLK) (-0.043:-0.045:-0.046))
(SETUP (posedge D) (posedge CLK) (0.050:0.050:0.050))
(SETUP (negedge D) (posedge CLK) (0.099:0.101:0.103))
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__dfxtp_1")
(INSTANCE _5432_)
(DELAY
(ABSOLUTE
(IOPATH CLK Q (0.372:0.372:0.372) (0.334:0.334:0.334))
)
)
(TIMINGCHECK
(HOLD (posedge D) (posedge CLK) (-0.027:-0.027:-0.028))
(HOLD (negedge D) (posedge CLK) (-0.042:-0.044:-0.046))
(SETUP (posedge D) (posedge CLK) (0.050:0.050:0.050))
(SETUP (negedge D) (posedge CLK) (0.099:0.101:0.103))
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__dfxtp_1")
(INSTANCE _5433_)
(DELAY
(ABSOLUTE
(IOPATH CLK Q (0.402:0.402:0.402) (0.350:0.350:0.350))
)
)
(TIMINGCHECK
(HOLD (posedge D) (posedge CLK) (-0.027:-0.027:-0.028))
(HOLD (negedge D) (posedge CLK) (-0.042:-0.044:-0.046))
(SETUP (posedge D) (posedge CLK) (0.050:0.050:0.050))
(SETUP (negedge D) (posedge CLK) (0.099:0.101:0.103))
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__dfxtp_1")
(INSTANCE _5434_)
(DELAY
(ABSOLUTE
(IOPATH CLK Q (0.401:0.401:0.401) (0.350:0.350:0.350))
)
)
(TIMINGCHECK
(HOLD (posedge D) (posedge CLK) (-0.027:-0.027:-0.028))
(HOLD (negedge D) (posedge CLK) (-0.042:-0.044:-0.046))
(SETUP (posedge D) (posedge CLK) (0.049:0.050:0.050))
(SETUP (negedge D) (posedge CLK) (0.098:0.100:0.102))
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__dfxtp_1")
(INSTANCE _5435_)
(DELAY
(ABSOLUTE
(IOPATH CLK Q (0.445:0.445:0.445) (0.374:0.374:0.374))
)
)
(TIMINGCHECK
(HOLD (posedge D) (posedge CLK) (-0.027:-0.027:-0.027))
(HOLD (negedge D) (posedge CLK) (-0.044:-0.044:-0.044))
(SETUP (posedge D) (posedge CLK) (0.049:0.049:0.049))
(SETUP (negedge D) (posedge CLK) (0.101:0.101:0.101))
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__dfxtp_1")
(INSTANCE _5436_)
(DELAY
(ABSOLUTE
(IOPATH CLK Q (0.411:0.411:0.411) (0.356:0.356:0.356))
)
)
(TIMINGCHECK
(HOLD (posedge D) (posedge CLK) (-0.033:-0.033:-0.033))
(HOLD (negedge D) (posedge CLK) (-0.050:-0.051:-0.053))
(SETUP (posedge D) (posedge CLK) (0.056:0.056:0.056))
(SETUP (negedge D) (posedge CLK) (0.106:0.108:0.110))
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__dfxtp_1")
(INSTANCE _5437_)
(DELAY
(ABSOLUTE
(IOPATH CLK Q (0.369:0.369:0.369) (0.332:0.332:0.332))
)
)
(TIMINGCHECK
(HOLD (posedge D) (posedge CLK) (-0.027:-0.028:-0.028))
(HOLD (negedge D) (posedge CLK) (-0.043:-0.044:-0.046))
(SETUP (posedge D) (posedge CLK) (0.050:0.050:0.050))
(SETUP (negedge D) (posedge CLK) (0.099:0.101:0.103))
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__dfxtp_1")
(INSTANCE _5438_)
(DELAY
(ABSOLUTE
(IOPATH CLK Q (0.367:0.367:0.367) (0.331:0.331:0.331))
)
)
(TIMINGCHECK
(HOLD (posedge D) (posedge CLK) (-0.027:-0.027:-0.027))
(HOLD (negedge D) (posedge CLK) (-0.040:-0.040:-0.040))
(SETUP (posedge D) (posedge CLK) (0.050:0.050:0.050))
(SETUP (negedge D) (posedge CLK) (0.097:0.097:0.097))
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__dfxtp_1")
(INSTANCE _5439_)
(DELAY
(ABSOLUTE
(IOPATH CLK Q (0.526:0.526:0.526) (0.487:0.487:0.487))
)
)
(TIMINGCHECK
(HOLD (posedge D) (posedge CLK) (0.040:0.040:0.040))
(HOLD (negedge D) (posedge CLK) (0.090:0.090:0.090))
(SETUP (posedge D) (posedge CLK) (-0.024:-0.024:-0.024))
(SETUP (negedge D) (posedge CLK) (-0.041:-0.041:-0.041))
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__dfxtp_1")
(INSTANCE _5440_)
(DELAY
(ABSOLUTE
(IOPATH CLK Q (0.375:0.375:0.375) (0.339:0.339:0.339))
)
)
(TIMINGCHECK
(HOLD (posedge D) (posedge CLK) (-0.026:-0.026:-0.026))
(HOLD (negedge D) (posedge CLK) (-0.038:-0.038:-0.038))
(SETUP (posedge D) (posedge CLK) (0.048:0.048:0.048))
(SETUP (negedge D) (posedge CLK) (0.094:0.094:0.094))
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__dfxtp_1")
(INSTANCE _5441_)
(DELAY
(ABSOLUTE
(IOPATH CLK Q (0.363:0.363:0.363) (0.332:0.332:0.332))
)
)
(TIMINGCHECK
(HOLD (posedge D) (posedge CLK) (-0.026:-0.026:-0.026))
(HOLD (negedge D) (posedge CLK) (-0.038:-0.038:-0.038))
(SETUP (posedge D) (posedge CLK) (0.048:0.048:0.048))
(SETUP (negedge D) (posedge CLK) (0.094:0.094:0.094))
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__dfxtp_1")
(INSTANCE _5442_)
(DELAY
(ABSOLUTE
(IOPATH CLK Q (0.386:0.386:0.386) (0.342:0.342:0.342))
)
)
(TIMINGCHECK
(HOLD (posedge D) (posedge CLK) (-0.028:-0.028:-0.028))
(HOLD (negedge D) (posedge CLK) (-0.041:-0.041:-0.041))
(SETUP (posedge D) (posedge CLK) (0.050:0.050:0.050))
(SETUP (negedge D) (posedge CLK) (0.097:0.097:0.097))
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__dfxtp_1")
(INSTANCE _5443_)
(DELAY
(ABSOLUTE
(IOPATH CLK Q (0.372:0.372:0.372) (0.337:0.337:0.337))
)
)
(TIMINGCHECK
(HOLD (posedge D) (posedge CLK) (-0.025:-0.025:-0.025))
(HOLD (negedge D) (posedge CLK) (-0.037:-0.037:-0.037))
(SETUP (posedge D) (posedge CLK) (0.047:0.047:0.047))
(SETUP (negedge D) (posedge CLK) (0.093:0.093:0.093))
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__dfxtp_1")
(INSTANCE _5444_)
(DELAY
(ABSOLUTE
(IOPATH CLK Q (0.387:0.387:0.387) (0.342:0.342:0.342))
)
)
(TIMINGCHECK
(HOLD (posedge D) (posedge CLK) (-0.028:-0.028:-0.028))
(HOLD (negedge D) (posedge CLK) (-0.041:-0.041:-0.041))
(SETUP (posedge D) (posedge CLK) (0.051:0.051:0.051))
(SETUP (negedge D) (posedge CLK) (0.098:0.098:0.098))
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__dfxtp_1")
(INSTANCE _5445_)
(DELAY
(ABSOLUTE
(IOPATH CLK Q (0.384:0.384:0.384) (0.340:0.340:0.340))
)
)
(TIMINGCHECK
(HOLD (posedge D) (posedge CLK) (-0.033:-0.033:-0.033))
(HOLD (negedge D) (posedge CLK) (-0.046:-0.046:-0.046))
(SETUP (posedge D) (posedge CLK) (0.056:0.056:0.056))
(SETUP (negedge D) (posedge CLK) (0.102:0.102:0.102))
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__dfxtp_1")
(INSTANCE _5446_)
(DELAY
(ABSOLUTE
(IOPATH CLK Q (0.348:0.348:0.348) (0.322:0.322:0.322))
)
)
(TIMINGCHECK
(HOLD (posedge D) (posedge CLK) (-0.034:-0.034:-0.034))
(HOLD (negedge D) (posedge CLK) (-0.046:-0.046:-0.046))
(SETUP (posedge D) (posedge CLK) (0.057:0.057:0.057))
(SETUP (negedge D) (posedge CLK) (0.103:0.103:0.103))
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__dfxtp_1")
(INSTANCE _5447_)
(DELAY
(ABSOLUTE
(IOPATH CLK Q (0.350:0.350:0.350) (0.322:0.322:0.322))
)
)
(TIMINGCHECK
(HOLD (posedge D) (posedge CLK) (-0.029:-0.029:-0.029))
(HOLD (negedge D) (posedge CLK) (-0.042:-0.042:-0.042))
(SETUP (posedge D) (posedge CLK) (0.051:0.051:0.051))
(SETUP (negedge D) (posedge CLK) (0.098:0.098:0.098))
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__dfxtp_1")
(INSTANCE _5448_)
(DELAY
(ABSOLUTE
(IOPATH CLK Q (0.347:0.347:0.347) (0.320:0.320:0.320))
)
)
(TIMINGCHECK
(HOLD (posedge D) (posedge CLK) (-0.030:-0.030:-0.030))
(HOLD (negedge D) (posedge CLK) (-0.043:-0.043:-0.043))
(SETUP (posedge D) (posedge CLK) (0.052:0.052:0.052))
(SETUP (negedge D) (posedge CLK) (0.100:0.100:0.100))
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__dfxtp_1")
(INSTANCE _5449_)
(DELAY
(ABSOLUTE
(IOPATH CLK Q (0.339:0.339:0.339) (0.315:0.315:0.315))
)
)
(TIMINGCHECK
(HOLD (posedge D) (posedge CLK) (-0.033:-0.033:-0.033))
(HOLD (negedge D) (posedge CLK) (-0.046:-0.046:-0.046))
(SETUP (posedge D) (posedge CLK) (0.056:0.056:0.056))
(SETUP (negedge D) (posedge CLK) (0.103:0.103:0.103))
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__dfxtp_1")
(INSTANCE _5450_)
(DELAY
(ABSOLUTE
(IOPATH CLK Q (0.382:0.382:0.382) (0.342:0.342:0.342))
)
)
(TIMINGCHECK
(HOLD (posedge D) (posedge CLK) (-0.025:-0.025:-0.025))
(HOLD (negedge D) (posedge CLK) (-0.037:-0.037:-0.037))
(SETUP (posedge D) (posedge CLK) (0.047:0.047:0.047))
(SETUP (negedge D) (posedge CLK) (0.093:0.093:0.093))
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__dfxtp_1")
(INSTANCE _5451_)
(DELAY
(ABSOLUTE
(IOPATH CLK Q (0.368:0.368:0.368) (0.335:0.335:0.335))
)
)
(TIMINGCHECK
(HOLD (posedge D) (posedge CLK) (-0.025:-0.025:-0.025))
(HOLD (negedge D) (posedge CLK) (-0.036:-0.036:-0.036))
(SETUP (posedge D) (posedge CLK) (0.047:0.047:0.047))
(SETUP (negedge D) (posedge CLK) (0.093:0.093:0.093))
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__dfxtp_1")
(INSTANCE _5452_)
(DELAY
(ABSOLUTE
(IOPATH CLK Q (0.385:0.385:0.385) (0.344:0.344:0.344))
)
)
(TIMINGCHECK
(HOLD (posedge D) (posedge CLK) (-0.025:-0.025:-0.025))
(HOLD (negedge D) (posedge CLK) (-0.036:-0.036:-0.036))
(SETUP (posedge D) (posedge CLK) (0.047:0.047:0.047))
(SETUP (negedge D) (posedge CLK) (0.093:0.093:0.093))
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__dfxtp_1")
(INSTANCE _5453_)
(DELAY
(ABSOLUTE
(IOPATH CLK Q (0.344:0.344:0.344) (0.321:0.321:0.321))
)
)
(TIMINGCHECK
(HOLD (posedge D) (posedge CLK) (-0.027:-0.027:-0.027))
(HOLD (negedge D) (posedge CLK) (-0.039:-0.039:-0.039))
(SETUP (posedge D) (posedge CLK) (0.050:0.050:0.050))
(SETUP (negedge D) (posedge CLK) (0.095:0.095:0.095))
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__dfxtp_1")
(INSTANCE _5454_)
(DELAY
(ABSOLUTE
(IOPATH CLK Q (0.376:0.376:0.376) (0.339:0.339:0.339))
)
)
(TIMINGCHECK
(HOLD (posedge D) (posedge CLK) (-0.025:-0.025:-0.025))
(HOLD (negedge D) (posedge CLK) (-0.037:-0.037:-0.037))
(SETUP (posedge D) (posedge CLK) (0.047:0.047:0.047))
(SETUP (negedge D) (posedge CLK) (0.093:0.093:0.093))
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__dfxtp_1")
(INSTANCE _5455_)
(DELAY
(ABSOLUTE
(IOPATH CLK Q (0.360:0.360:0.360) (0.327:0.327:0.327))
)
)
(TIMINGCHECK
(HOLD (posedge D) (posedge CLK) (-0.028:-0.028:-0.028))
(HOLD (negedge D) (posedge CLK) (-0.042:-0.042:-0.042))
(SETUP (posedge D) (posedge CLK) (0.051:0.051:0.051))
(SETUP (negedge D) (posedge CLK) (0.099:0.099:0.099))
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__dfxtp_1")
(INSTANCE _5456_)
(DELAY
(ABSOLUTE
(IOPATH CLK Q (0.382:0.382:0.382) (0.338:0.338:0.338))
)
)
(TIMINGCHECK
(HOLD (posedge D) (posedge CLK) (-0.028:-0.028:-0.028))
(HOLD (negedge D) (posedge CLK) (-0.042:-0.042:-0.042))
(SETUP (posedge D) (posedge CLK) (0.051:0.051:0.051))
(SETUP (negedge D) (posedge CLK) (0.099:0.099:0.099))
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__dfxtp_1")
(INSTANCE _5457_)
(DELAY
(ABSOLUTE
(IOPATH CLK Q (0.376:0.376:0.376) (0.335:0.335:0.335))
)
)
(TIMINGCHECK
(HOLD (posedge D) (posedge CLK) (-0.035:-0.035:-0.035))
(HOLD (negedge D) (posedge CLK) (-0.048:-0.048:-0.048))
(SETUP (posedge D) (posedge CLK) (0.058:0.058:0.058))
(SETUP (negedge D) (posedge CLK) (0.105:0.105:0.105))
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__dfxtp_1")
(INSTANCE _5458_)
(DELAY
(ABSOLUTE
(IOPATH CLK Q (0.347:0.347:0.347) (0.320:0.320:0.320))
)
)
(TIMINGCHECK
(HOLD (posedge D) (posedge CLK) (-0.029:-0.029:-0.029))
(HOLD (negedge D) (posedge CLK) (-0.043:-0.043:-0.043))
(SETUP (posedge D) (posedge CLK) (0.052:0.052:0.052))
(SETUP (negedge D) (posedge CLK) (0.099:0.099:0.099))
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__dfxtp_1")
(INSTANCE _5459_)
(DELAY
(ABSOLUTE
(IOPATH CLK Q (0.353:0.353:0.353) (0.325:0.325:0.325))
)
)
(TIMINGCHECK
(HOLD (posedge D) (posedge CLK) (-0.028:-0.028:-0.028))
(HOLD (negedge D) (posedge CLK) (-0.040:-0.040:-0.040))
(SETUP (posedge D) (posedge CLK) (0.050:0.050:0.050))
(SETUP (negedge D) (posedge CLK) (0.096:0.096:0.096))
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__dfxtp_1")
(INSTANCE _5460_)
(DELAY
(ABSOLUTE
(IOPATH CLK Q (0.345:0.345:0.345) (0.321:0.321:0.321))
)
)
(TIMINGCHECK
(HOLD (posedge D) (posedge CLK) (-0.027:-0.027:-0.027))
(HOLD (negedge D) (posedge CLK) (-0.039:-0.039:-0.039))
(SETUP (posedge D) (posedge CLK) (0.049:0.049:0.049))
(SETUP (negedge D) (posedge CLK) (0.095:0.095:0.095))
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__dfxtp_1")
(INSTANCE _5461_)
(DELAY
(ABSOLUTE
(IOPATH CLK Q (0.346:0.346:0.346) (0.322:0.322:0.322))
)
)
(TIMINGCHECK
(HOLD (posedge D) (posedge CLK) (-0.027:-0.027:-0.027))
(HOLD (negedge D) (posedge CLK) (-0.039:-0.039:-0.039))
(SETUP (posedge D) (posedge CLK) (0.049:0.049:0.049))
(SETUP (negedge D) (posedge CLK) (0.096:0.096:0.096))
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__dfxtp_1")
(INSTANCE _5462_)
(DELAY
(ABSOLUTE
(IOPATH CLK Q (0.340:0.340:0.340) (0.318:0.318:0.318))
)
)
(TIMINGCHECK
(HOLD (posedge D) (posedge CLK) (-0.027:-0.027:-0.027))
(HOLD (negedge D) (posedge CLK) (-0.039:-0.039:-0.039))
(SETUP (posedge D) (posedge CLK) (0.049:0.049:0.049))
(SETUP (negedge D) (posedge CLK) (0.095:0.095:0.095))
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__dfxtp_1")
(INSTANCE _5463_)
(DELAY
(ABSOLUTE
(IOPATH CLK Q (0.373:0.373:0.373) (0.338:0.338:0.338))
)
)
(TIMINGCHECK
(HOLD (posedge D) (posedge CLK) (-0.025:-0.025:-0.025))
(HOLD (negedge D) (posedge CLK) (-0.036:-0.036:-0.036))
(SETUP (posedge D) (posedge CLK) (0.047:0.047:0.047))
(SETUP (negedge D) (posedge CLK) (0.093:0.093:0.093))
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__dfxtp_1")
(INSTANCE _5464_)
(DELAY
(ABSOLUTE
(IOPATH CLK Q (0.391:0.391:0.391) (0.347:0.347:0.347))
)
)
(TIMINGCHECK
(HOLD (posedge D) (posedge CLK) (-0.025:-0.025:-0.025))
(HOLD (negedge D) (posedge CLK) (-0.037:-0.037:-0.037))
(SETUP (posedge D) (posedge CLK) (0.047:0.047:0.047))
(SETUP (negedge D) (posedge CLK) (0.093:0.093:0.093))
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__dfxtp_1")
(INSTANCE _5465_)
(DELAY
(ABSOLUTE
(IOPATH CLK Q (0.364:0.364:0.364) (0.333:0.333:0.333))
)
)
(TIMINGCHECK
(HOLD (posedge D) (posedge CLK) (-0.025:-0.025:-0.025))
(HOLD (negedge D) (posedge CLK) (-0.036:-0.036:-0.036))
(SETUP (posedge D) (posedge CLK) (0.047:0.047:0.047))
(SETUP (negedge D) (posedge CLK) (0.093:0.093:0.093))
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__dfxtp_1")
(INSTANCE _5466_)
(DELAY
(ABSOLUTE
(IOPATH CLK Q (0.352:0.352:0.352) (0.328:0.328:0.328))
)
)
(TIMINGCHECK
(HOLD (posedge D) (posedge CLK) (-0.024:-0.024:-0.024))
(HOLD (negedge D) (posedge CLK) (-0.034:-0.034:-0.034))
(SETUP (posedge D) (posedge CLK) (0.046:0.046:0.046))
(SETUP (negedge D) (posedge CLK) (0.091:0.091:0.091))
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__dfxtp_1")
(INSTANCE _5467_)
(DELAY
(ABSOLUTE
(IOPATH CLK Q (0.345:0.345:0.345) (0.321:0.321:0.321))
)
)
(TIMINGCHECK
(HOLD (posedge D) (posedge CLK) (-0.028:-0.028:-0.028))
(HOLD (negedge D) (posedge CLK) (-0.040:-0.040:-0.040))
(SETUP (posedge D) (posedge CLK) (0.051:0.051:0.051))
(SETUP (negedge D) (posedge CLK) (0.097:0.097:0.097))
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__dfxtp_1")
(INSTANCE _5468_)
(DELAY
(ABSOLUTE
(IOPATH CLK Q (0.398:0.398:0.398) (0.349:0.349:0.349))
)
)
(TIMINGCHECK
(HOLD (posedge D) (posedge CLK) (-0.031:-0.031:-0.031))
(HOLD (negedge D) (posedge CLK) (-0.043:-0.043:-0.043))
(SETUP (posedge D) (posedge CLK) (0.054:0.054:0.054))
(SETUP (negedge D) (posedge CLK) (0.100:0.100:0.100))
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__dfxtp_1")
(INSTANCE _5469_)
(DELAY
(ABSOLUTE
(IOPATH CLK Q (0.363:0.363:0.363) (0.331:0.331:0.331))
)
)
(TIMINGCHECK
(HOLD (posedge D) (posedge CLK) (-0.030:-0.030:-0.030))
(HOLD (negedge D) (posedge CLK) (-0.042:-0.042:-0.042))
(SETUP (posedge D) (posedge CLK) (0.053:0.053:0.053))
(SETUP (negedge D) (posedge CLK) (0.099:0.099:0.099))
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__dfxtp_1")
(INSTANCE _5470_)
(DELAY
(ABSOLUTE
(IOPATH CLK Q (0.370:0.370:0.370) (0.335:0.335:0.335))
)
)
(TIMINGCHECK
(HOLD (posedge D) (posedge CLK) (-0.028:-0.028:-0.028))
(HOLD (negedge D) (posedge CLK) (-0.041:-0.041:-0.041))
(SETUP (posedge D) (posedge CLK) (0.051:0.051:0.051))
(SETUP (negedge D) (posedge CLK) (0.097:0.097:0.097))
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__dfxtp_1")
(INSTANCE _5471_)
(DELAY
(ABSOLUTE
(IOPATH CLK Q (0.344:0.344:0.344) (0.318:0.318:0.318))
)
)
(TIMINGCHECK
(HOLD (posedge D) (posedge CLK) (-0.028:-0.028:-0.028))
(HOLD (negedge D) (posedge CLK) (-0.042:-0.042:-0.042))
(SETUP (posedge D) (posedge CLK) (0.051:0.051:0.051))
(SETUP (negedge D) (posedge CLK) (0.099:0.099:0.099))
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__dfxtp_1")
(INSTANCE _5472_)
(DELAY
(ABSOLUTE
(IOPATH CLK Q (0.370:0.370:0.370) (0.331:0.331:0.331))
)
)
(TIMINGCHECK
(HOLD (posedge D) (posedge CLK) (-0.030:-0.030:-0.030))
(HOLD (negedge D) (posedge CLK) (-0.044:-0.044:-0.044))
(SETUP (posedge D) (posedge CLK) (0.052:0.052:0.052))
(SETUP (negedge D) (posedge CLK) (0.100:0.100:0.100))
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__dfxtp_1")
(INSTANCE _5473_)
(DELAY
(ABSOLUTE
(IOPATH CLK Q (0.336:0.336:0.336) (0.313:0.313:0.313))
)
)
(TIMINGCHECK
(HOLD (posedge D) (posedge CLK) (-0.029:-0.029:-0.029))
(HOLD (negedge D) (posedge CLK) (-0.043:-0.043:-0.043))
(SETUP (posedge D) (posedge CLK) (0.051:0.051:0.051))
(SETUP (negedge D) (posedge CLK) (0.099:0.099:0.099))
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__dfxtp_1")
(INSTANCE _5474_)
(DELAY
(ABSOLUTE
(IOPATH CLK Q (0.365:0.365:0.365) (0.336:0.336:0.336))
)
)
(TIMINGCHECK
(HOLD (posedge D) (posedge CLK) (-0.027:-0.027:-0.027))
(HOLD (negedge D) (posedge CLK) (-0.037:-0.037:-0.037))
(SETUP (posedge D) (posedge CLK) (0.050:0.050:0.050))
(SETUP (negedge D) (posedge CLK) (0.094:0.094:0.094))
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__dfxtp_1")
(INSTANCE _5475_)
(DELAY
(ABSOLUTE
(IOPATH CLK Q (0.403:0.403:0.403) (0.357:0.357:0.357))
)
)
(TIMINGCHECK
(HOLD (posedge D) (posedge CLK) (-0.024:-0.024:-0.024))
(HOLD (negedge D) (posedge CLK) (-0.034:-0.034:-0.034))
(SETUP (posedge D) (posedge CLK) (0.046:0.046:0.046))
(SETUP (negedge D) (posedge CLK) (0.091:0.091:0.091))
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__dfxtp_1")
(INSTANCE _5476_)
(DELAY
(ABSOLUTE
(IOPATH CLK Q (0.396:0.396:0.396) (0.352:0.352:0.352))
)
)
(TIMINGCHECK
(HOLD (posedge D) (posedge CLK) (-0.024:-0.024:-0.024))
(HOLD (negedge D) (posedge CLK) (-0.035:-0.035:-0.035))
(SETUP (posedge D) (posedge CLK) (0.046:0.046:0.046))
(SETUP (negedge D) (posedge CLK) (0.091:0.091:0.091))
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__dfxtp_1")
(INSTANCE _5477_)
(DELAY
(ABSOLUTE
(IOPATH CLK Q (0.376:0.376:0.376) (0.340:0.340:0.340))
)
)
(TIMINGCHECK
(HOLD (posedge D) (posedge CLK) (-0.025:-0.025:-0.025))
(HOLD (negedge D) (posedge CLK) (-0.036:-0.036:-0.036))
(SETUP (posedge D) (posedge CLK) (0.047:0.047:0.047))
(SETUP (negedge D) (posedge CLK) (0.092:0.092:0.092))
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__dfxtp_1")
(INSTANCE _5478_)
(DELAY
(ABSOLUTE
(IOPATH CLK Q (0.385:0.385:0.385) (0.346:0.346:0.346))
)
)
(TIMINGCHECK
(HOLD (posedge D) (posedge CLK) (-0.025:-0.025:-0.025))
(HOLD (negedge D) (posedge CLK) (-0.035:-0.035:-0.035))
(SETUP (posedge D) (posedge CLK) (0.047:0.047:0.047))
(SETUP (negedge D) (posedge CLK) (0.091:0.091:0.091))
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__dfxtp_1")
(INSTANCE _5479_)
(DELAY
(ABSOLUTE
(IOPATH CLK Q (0.382:0.382:0.382) (0.343:0.343:0.343))
)
)
(TIMINGCHECK
(HOLD (posedge D) (posedge CLK) (-0.025:-0.025:-0.025))
(HOLD (negedge D) (posedge CLK) (-0.037:-0.037:-0.037))
(SETUP (posedge D) (posedge CLK) (0.048:0.048:0.048))
(SETUP (negedge D) (posedge CLK) (0.093:0.093:0.093))
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__dfxtp_1")
(INSTANCE _5480_)
(DELAY
(ABSOLUTE
(IOPATH CLK Q (0.414:0.414:0.414) (0.353:0.353:0.353))
)
)
(TIMINGCHECK
(HOLD (posedge D) (posedge CLK) (-0.029:-0.029:-0.029))
(HOLD (negedge D) (posedge CLK) (-0.043:-0.043:-0.043))
(SETUP (posedge D) (posedge CLK) (0.052:0.052:0.052))
(SETUP (negedge D) (posedge CLK) (0.100:0.100:0.100))
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__dfxtp_1")
(INSTANCE _5481_)
(DELAY
(ABSOLUTE
(IOPATH CLK Q (0.366:0.366:0.366) (0.329:0.329:0.329))
)
)
(TIMINGCHECK
(HOLD (posedge D) (posedge CLK) (-0.030:-0.030:-0.030))
(HOLD (negedge D) (posedge CLK) (-0.044:-0.044:-0.044))
(SETUP (posedge D) (posedge CLK) (0.052:0.052:0.052))
(SETUP (negedge D) (posedge CLK) (0.100:0.100:0.100))
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__dfxtp_1")
(INSTANCE _5482_)
(DELAY
(ABSOLUTE
(IOPATH CLK Q (0.361:0.361:0.361) (0.332:0.332:0.332))
)
)
(TIMINGCHECK
(HOLD (posedge D) (posedge CLK) (-0.026:-0.026:-0.026))
(HOLD (negedge D) (posedge CLK) (-0.038:-0.038:-0.038))
(SETUP (posedge D) (posedge CLK) (0.048:0.048:0.048))
(SETUP (negedge D) (posedge CLK) (0.094:0.094:0.094))
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__dfxtp_1")
(INSTANCE _5483_)
(DELAY
(ABSOLUTE
(IOPATH CLK Q (0.381:0.381:0.381) (0.339:0.339:0.339))
)
)
(TIMINGCHECK
(HOLD (posedge D) (posedge CLK) (-0.028:-0.028:-0.028))
(HOLD (negedge D) (posedge CLK) (-0.041:-0.041:-0.041))
(SETUP (posedge D) (posedge CLK) (0.050:0.050:0.050))
(SETUP (negedge D) (posedge CLK) (0.098:0.098:0.098))
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__dfxtp_1")
(INSTANCE _5484_)
(DELAY
(ABSOLUTE
(IOPATH CLK Q (0.372:0.372:0.372) (0.334:0.334:0.334))
)
)
(TIMINGCHECK
(HOLD (posedge D) (posedge CLK) (-0.028:-0.028:-0.028))
(HOLD (negedge D) (posedge CLK) (-0.042:-0.042:-0.042))
(SETUP (posedge D) (posedge CLK) (0.051:0.051:0.051))
(SETUP (negedge D) (posedge CLK) (0.098:0.098:0.098))
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__dfxtp_1")
(INSTANCE _5485_)
(DELAY
(ABSOLUTE
(IOPATH CLK Q (0.350:0.350:0.350) (0.325:0.325:0.325))
)
)
(TIMINGCHECK
(HOLD (posedge D) (posedge CLK) (-0.024:-0.024:-0.024))
(HOLD (negedge D) (posedge CLK) (-0.036:-0.036:-0.036))
(SETUP (posedge D) (posedge CLK) (0.046:0.047:0.047))
(SETUP (negedge D) (posedge CLK) (0.092:0.092:0.092))
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__dfxtp_1")
(INSTANCE _5486_)
(DELAY
(ABSOLUTE
(IOPATH CLK Q (0.362:0.362:0.362) (0.333:0.333:0.333))
)
)
(TIMINGCHECK
(HOLD (posedge D) (posedge CLK) (-0.025:-0.025:-0.025))
(HOLD (negedge D) (posedge CLK) (-0.037:-0.037:-0.037))
(SETUP (posedge D) (posedge CLK) (0.048:0.048:0.048))
(SETUP (negedge D) (posedge CLK) (0.093:0.093:0.093))
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__dfxtp_1")
(INSTANCE _5487_)
(DELAY
(ABSOLUTE
(IOPATH CLK Q (0.390:0.390:0.390) (0.347:0.347:0.347))
)
)
(TIMINGCHECK
(HOLD (posedge D) (posedge CLK) (-0.025:-0.025:-0.025))
(HOLD (negedge D) (posedge CLK) (-0.036:-0.036:-0.036))
(SETUP (posedge D) (posedge CLK) (0.047:0.047:0.047))
(SETUP (negedge D) (posedge CLK) (0.092:0.092:0.092))
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__dfxtp_1")
(INSTANCE _5488_)
(DELAY
(ABSOLUTE
(IOPATH CLK Q (0.373:0.373:0.373) (0.338:0.338:0.338))
)
)
(TIMINGCHECK
(HOLD (posedge D) (posedge CLK) (-0.026:-0.026:-0.026))
(HOLD (negedge D) (posedge CLK) (-0.037:-0.037:-0.037))
(SETUP (posedge D) (posedge CLK) (0.048:0.048:0.048))
(SETUP (negedge D) (posedge CLK) (0.093:0.093:0.093))
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__dfxtp_1")
(INSTANCE _5489_)
(DELAY
(ABSOLUTE
(IOPATH CLK Q (0.371:0.371:0.371) (0.339:0.339:0.339))
)
)
(TIMINGCHECK
(HOLD (posedge D) (posedge CLK) (-0.025:-0.025:-0.025))
(HOLD (negedge D) (posedge CLK) (-0.035:-0.035:-0.035))
(SETUP (posedge D) (posedge CLK) (0.047:0.047:0.047))
(SETUP (negedge D) (posedge CLK) (0.091:0.091:0.091))
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__dfxtp_1")
(INSTANCE _5490_)
(DELAY
(ABSOLUTE
(IOPATH CLK Q (0.383:0.383:0.383) (0.339:0.339:0.339))
)
)
(TIMINGCHECK
(HOLD (posedge D) (posedge CLK) (-0.029:-0.029:-0.029))
(HOLD (negedge D) (posedge CLK) (-0.047:-0.047:-0.047))
(SETUP (posedge D) (posedge CLK) (0.051:0.051:0.051))
(SETUP (negedge D) (posedge CLK) (0.104:0.104:0.104))
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__dfxtp_1")
(INSTANCE _5491_)
(DELAY
(ABSOLUTE
(IOPATH CLK Q (0.393:0.393:0.393) (0.345:0.345:0.345))
)
)
(TIMINGCHECK
(HOLD (posedge D) (posedge CLK) (-0.029:-0.029:-0.029))
(HOLD (negedge D) (posedge CLK) (-0.043:-0.043:-0.043))
(SETUP (posedge D) (posedge CLK) (0.052:0.052:0.052))
(SETUP (negedge D) (posedge CLK) (0.099:0.099:0.099))
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__dfxtp_1")
(INSTANCE _5492_)
(DELAY
(ABSOLUTE
(IOPATH CLK Q (0.459:0.459:0.459) (0.380:0.380:0.380))
)
)
(TIMINGCHECK
(HOLD (posedge D) (posedge CLK) (-0.027:-0.027:-0.027))
(HOLD (negedge D) (posedge CLK) (-0.041:-0.041:-0.041))
(SETUP (posedge D) (posedge CLK) (0.050:0.050:0.050))
(SETUP (negedge D) (posedge CLK) (0.097:0.097:0.097))
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__dfxtp_1")
(INSTANCE _5493_)
(DELAY
(ABSOLUTE
(IOPATH CLK Q (0.429:0.429:0.429) (0.364:0.364:0.364))
)
)
(TIMINGCHECK
(HOLD (posedge D) (posedge CLK) (-0.030:-0.030:-0.030))
(HOLD (negedge D) (posedge CLK) (-0.043:-0.043:-0.043))
(SETUP (posedge D) (posedge CLK) (0.053:0.053:0.053))
(SETUP (negedge D) (posedge CLK) (0.100:0.100:0.100))
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__dfxtp_1")
(INSTANCE _5494_)
(DELAY
(ABSOLUTE
(IOPATH CLK Q (0.407:0.407:0.407) (0.351:0.351:0.351))
)
)
(TIMINGCHECK
(HOLD (posedge D) (posedge CLK) (-0.034:-0.034:-0.034))
(HOLD (negedge D) (posedge CLK) (-0.048:-0.048:-0.048))
(SETUP (posedge D) (posedge CLK) (0.057:0.057:0.057))
(SETUP (negedge D) (posedge CLK) (0.105:0.105:0.105))
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__dfxtp_1")
(INSTANCE _5495_)
(DELAY
(ABSOLUTE
(IOPATH CLK Q (0.359:0.359:0.359) (0.329:0.329:0.329))
)
)
(TIMINGCHECK
(HOLD (posedge D) (posedge CLK) (-0.027:-0.027:-0.027))
(HOLD (negedge D) (posedge CLK) (-0.039:-0.039:-0.039))
(SETUP (posedge D) (posedge CLK) (0.049:0.049:0.049))
(SETUP (negedge D) (posedge CLK) (0.095:0.095:0.095))
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__dfxtp_1")
(INSTANCE _5496_)
(DELAY
(ABSOLUTE
(IOPATH CLK Q (0.376:0.376:0.376) (0.339:0.339:0.339))
)
)
(TIMINGCHECK
(HOLD (posedge D) (posedge CLK) (-0.030:-0.030:-0.030))
(HOLD (negedge D) (posedge CLK) (-0.042:-0.042:-0.042))
(SETUP (posedge D) (posedge CLK) (0.053:0.053:0.053))
(SETUP (negedge D) (posedge CLK) (0.098:0.098:0.098))
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__dfxtp_1")
(INSTANCE _5497_)
(DELAY
(ABSOLUTE
(IOPATH CLK Q (0.375:0.375:0.375) (0.338:0.338:0.338))
)
)
(TIMINGCHECK
(HOLD (posedge D) (posedge CLK) (-0.026:-0.026:-0.026))
(HOLD (negedge D) (posedge CLK) (-0.039:-0.039:-0.039))
(SETUP (posedge D) (posedge CLK) (0.049:0.049:0.049))
(SETUP (negedge D) (posedge CLK) (0.095:0.095:0.095))
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__dfxtp_1")
(INSTANCE _5498_)
(DELAY
(ABSOLUTE
(IOPATH CLK Q (0.378:0.378:0.378) (0.343:0.343:0.343))
)
)
(TIMINGCHECK
(HOLD (posedge D) (posedge CLK) (-0.024:-0.024:-0.024))
(HOLD (negedge D) (posedge CLK) (-0.035:-0.035:-0.035))
(SETUP (posedge D) (posedge CLK) (0.046:0.047:0.047))
(SETUP (negedge D) (posedge CLK) (0.091:0.091:0.091))
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__dfxtp_1")
(INSTANCE _5499_)
(DELAY
(ABSOLUTE
(IOPATH CLK Q (0.385:0.385:0.385) (0.347:0.347:0.347))
)
)
(TIMINGCHECK
(HOLD (posedge D) (posedge CLK) (-0.024:-0.024:-0.024))
(HOLD (negedge D) (posedge CLK) (-0.034:-0.034:-0.034))
(SETUP (posedge D) (posedge CLK) (0.046:0.046:0.046))
(SETUP (negedge D) (posedge CLK) (0.091:0.091:0.091))
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__dfxtp_1")
(INSTANCE _5500_)
(DELAY
(ABSOLUTE
(IOPATH CLK Q (0.393:0.393:0.393) (0.349:0.349:0.349))
)
)
(TIMINGCHECK
(HOLD (posedge D) (posedge CLK) (-0.024:-0.024:-0.024))
(HOLD (negedge D) (posedge CLK) (-0.035:-0.035:-0.035))
(SETUP (posedge D) (posedge CLK) (0.046:0.046:0.046))
(SETUP (negedge D) (posedge CLK) (0.092:0.092:0.092))
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__dfxtp_1")
(INSTANCE _5501_)
(DELAY
(ABSOLUTE
(IOPATH CLK Q (0.377:0.377:0.377) (0.340:0.340:0.340))
)
)
(TIMINGCHECK
(HOLD (posedge D) (posedge CLK) (-0.025:-0.025:-0.025))
(HOLD (negedge D) (posedge CLK) (-0.036:-0.036:-0.036))
(SETUP (posedge D) (posedge CLK) (0.047:0.047:0.047))
(SETUP (negedge D) (posedge CLK) (0.092:0.092:0.092))
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__dfxtp_1")
(INSTANCE _5502_)
(DELAY
(ABSOLUTE
(IOPATH CLK Q (0.386:0.386:0.386) (0.351:0.351:0.351))
)
)
(TIMINGCHECK
(HOLD (posedge D) (posedge CLK) (-0.022:-0.022:-0.022))
(HOLD (negedge D) (posedge CLK) (-0.030:-0.030:-0.030))
(SETUP (posedge D) (posedge CLK) (0.044:0.044:0.044))
(SETUP (negedge D) (posedge CLK) (0.086:0.086:0.086))
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__dfxtp_1")
(INSTANCE _5503_)
(DELAY
(ABSOLUTE
(IOPATH CLK Q (0.392:0.392:0.392) (0.350:0.350:0.350))
)
)
(TIMINGCHECK
(HOLD (posedge D) (posedge CLK) (-0.023:-0.023:-0.023))
(HOLD (negedge D) (posedge CLK) (-0.034:-0.034:-0.034))
(SETUP (posedge D) (posedge CLK) (0.045:0.045:0.045))
(SETUP (negedge D) (posedge CLK) (0.090:0.090:0.090))
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__dfxtp_1")
(INSTANCE _5504_)
(DELAY
(ABSOLUTE
(IOPATH CLK Q (0.433:0.433:0.433) (0.371:0.371:0.371))
)
)
(TIMINGCHECK
(HOLD (posedge D) (posedge CLK) (-0.024:-0.024:-0.024))
(HOLD (negedge D) (posedge CLK) (-0.035:-0.035:-0.035))
(SETUP (posedge D) (posedge CLK) (0.046:0.046:0.046))
(SETUP (negedge D) (posedge CLK) (0.091:0.091:0.091))
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__dfxtp_1")
(INSTANCE _5505_)
(DELAY
(ABSOLUTE
(IOPATH CLK Q (0.404:0.404:0.404) (0.353:0.353:0.353))
)
)
(TIMINGCHECK
(HOLD (posedge D) (posedge CLK) (-0.028:-0.028:-0.028))
(HOLD (negedge D) (posedge CLK) (-0.040:-0.040:-0.040))
(SETUP (posedge D) (posedge CLK) (0.050:0.050:0.050))
(SETUP (negedge D) (posedge CLK) (0.096:0.096:0.096))
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__dfxtp_1")
(INSTANCE _5506_)
(DELAY
(ABSOLUTE
(IOPATH CLK Q (0.388:0.388:0.388) (0.355:0.355:0.355))
)
)
(TIMINGCHECK
(HOLD (posedge D) (posedge CLK) (-0.017:-0.017:-0.017))
(HOLD (negedge D) (posedge CLK) (-0.024:-0.024:-0.024))
(SETUP (posedge D) (posedge CLK) (0.039:0.039:0.039))
(SETUP (negedge D) (posedge CLK) (0.080:0.080:0.080))
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__dfxtp_1")
(INSTANCE _5507_)
(DELAY
(ABSOLUTE
(IOPATH CLK Q (0.408:0.408:0.408) (0.366:0.366:0.366))
)
)
(TIMINGCHECK
(HOLD (posedge D) (posedge CLK) (-0.017:-0.017:-0.017))
(HOLD (negedge D) (posedge CLK) (-0.024:-0.024:-0.024))
(SETUP (posedge D) (posedge CLK) (0.039:0.039:0.039))
(SETUP (negedge D) (posedge CLK) (0.079:0.079:0.079))
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__dfxtp_1")
(INSTANCE _5508_)
(DELAY
(ABSOLUTE
(IOPATH CLK Q (0.360:0.360:0.360) (0.336:0.336:0.336))
)
)
(TIMINGCHECK
(HOLD (posedge D) (posedge CLK) (-0.021:-0.021:-0.021))
(HOLD (negedge D) (posedge CLK) (-0.029:-0.029:-0.029))
(SETUP (posedge D) (posedge CLK) (0.043:0.043:0.043))
(SETUP (negedge D) (posedge CLK) (0.085:0.085:0.085))
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__dfxtp_1")
(INSTANCE _5509_)
(DELAY
(ABSOLUTE
(IOPATH CLK Q (0.369:0.369:0.369) (0.344:0.344:0.344))
)
)
(TIMINGCHECK
(HOLD (posedge D) (posedge CLK) (-0.017:-0.017:-0.017))
(HOLD (negedge D) (posedge CLK) (-0.023:-0.023:-0.023))
(SETUP (posedge D) (posedge CLK) (0.039:0.039:0.039))
(SETUP (negedge D) (posedge CLK) (0.079:0.079:0.079))
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__dfxtp_1")
(INSTANCE _5510_)
(DELAY
(ABSOLUTE
(IOPATH CLK Q (0.374:0.374:0.374) (0.345:0.345:0.345))
)
)
(TIMINGCHECK
(HOLD (posedge D) (posedge CLK) (-0.020:-0.020:-0.020))
(HOLD (negedge D) (posedge CLK) (-0.028:-0.028:-0.028))
(SETUP (posedge D) (posedge CLK) (0.042:0.042:0.042))
(SETUP (negedge D) (posedge CLK) (0.084:0.084:0.084))
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__dfxtp_1")
(INSTANCE _5511_)
(DELAY
(ABSOLUTE
(IOPATH CLK Q (0.369:0.369:0.369) (0.336:0.336:0.336))
)
)
(TIMINGCHECK
(HOLD (posedge D) (posedge CLK) (-0.025:-0.025:-0.025))
(HOLD (negedge D) (posedge CLK) (-0.036:-0.036:-0.036))
(SETUP (posedge D) (posedge CLK) (0.047:0.047:0.047))
(SETUP (negedge D) (posedge CLK) (0.092:0.092:0.092))
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__dfxtp_1")
(INSTANCE _5512_)
(DELAY
(ABSOLUTE
(IOPATH CLK Q (0.385:0.385:0.385) (0.345:0.345:0.345))
)
)
(TIMINGCHECK
(HOLD (posedge D) (posedge CLK) (-0.025:-0.025:-0.025))
(HOLD (negedge D) (posedge CLK) (-0.037:-0.037:-0.037))
(SETUP (posedge D) (posedge CLK) (0.048:0.048:0.048))
(SETUP (negedge D) (posedge CLK) (0.093:0.093:0.093))
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__dfxtp_1")
(INSTANCE _5513_)
(DELAY
(ABSOLUTE
(IOPATH CLK Q (0.356:0.356:0.356) (0.328:0.328:0.328))
)
)
(TIMINGCHECK
(HOLD (posedge D) (posedge CLK) (-0.025:-0.025:-0.025))
(HOLD (negedge D) (posedge CLK) (-0.036:-0.036:-0.036))
(SETUP (posedge D) (posedge CLK) (0.047:0.047:0.047))
(SETUP (negedge D) (posedge CLK) (0.093:0.093:0.093))
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__dfxtp_1")
(INSTANCE _5514_)
(DELAY
(ABSOLUTE
(IOPATH CLK Q (0.360:0.360:0.360) (0.327:0.327:0.327))
)
)
(TIMINGCHECK
(HOLD (posedge D) (posedge CLK) (-0.027:-0.027:-0.027))
(HOLD (negedge D) (posedge CLK) (-0.041:-0.041:-0.041))
(SETUP (posedge D) (posedge CLK) (0.050:0.050:0.050))
(SETUP (negedge D) (posedge CLK) (0.097:0.097:0.097))
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__dfxtp_1")
(INSTANCE _5515_)
(DELAY
(ABSOLUTE
(IOPATH CLK Q (0.345:0.345:0.345) (0.319:0.319:0.319))
)
)
(TIMINGCHECK
(HOLD (posedge D) (posedge CLK) (-0.028:-0.028:-0.028))
(HOLD (negedge D) (posedge CLK) (-0.042:-0.042:-0.042))
(SETUP (posedge D) (posedge CLK) (0.051:0.051:0.051))
(SETUP (negedge D) (posedge CLK) (0.098:0.098:0.098))
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__dfxtp_1")
(INSTANCE _5516_)
(DELAY
(ABSOLUTE
(IOPATH CLK Q (0.388:0.388:0.388) (0.344:0.344:0.344))
)
)
(TIMINGCHECK
(HOLD (posedge D) (posedge CLK) (-0.026:-0.026:-0.026))
(HOLD (negedge D) (posedge CLK) (-0.038:-0.038:-0.038))
(SETUP (posedge D) (posedge CLK) (0.048:0.048:0.048))
(SETUP (negedge D) (posedge CLK) (0.094:0.094:0.094))
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__dfxtp_1")
(INSTANCE _5517_)
(DELAY
(ABSOLUTE
(IOPATH CLK Q (0.362:0.362:0.362) (0.338:0.338:0.338))
)
)
(TIMINGCHECK
(HOLD (posedge D) (posedge CLK) (-0.021:-0.021:-0.021))
(HOLD (negedge D) (posedge CLK) (-0.029:-0.029:-0.029))
(SETUP (posedge D) (posedge CLK) (0.043:0.043:0.043))
(SETUP (negedge D) (posedge CLK) (0.085:0.085:0.085))
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__dfxtp_1")
(INSTANCE _5518_)
(DELAY
(ABSOLUTE
(IOPATH CLK Q (0.371:0.371:0.371) (0.343:0.343:0.343))
)
)
(TIMINGCHECK
(HOLD (posedge D) (posedge CLK) (-0.020:-0.020:-0.020))
(HOLD (negedge D) (posedge CLK) (-0.028:-0.028:-0.028))
(SETUP (posedge D) (posedge CLK) (0.042:0.042:0.042))
(SETUP (negedge D) (posedge CLK) (0.084:0.084:0.084))
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__dfxtp_1")
(INSTANCE _5519_)
(DELAY
(ABSOLUTE
(IOPATH CLK Q (0.396:0.396:0.396) (0.360:0.360:0.360))
)
)
(TIMINGCHECK
(HOLD (posedge D) (posedge CLK) (-0.018:-0.018:-0.018))
(HOLD (negedge D) (posedge CLK) (-0.024:-0.024:-0.024))
(SETUP (posedge D) (posedge CLK) (0.039:0.039:0.039))
(SETUP (negedge D) (posedge CLK) (0.080:0.080:0.080))
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__dfxtp_1")
(INSTANCE _5520_)
(DELAY
(ABSOLUTE
(IOPATH CLK Q (0.352:0.352:0.352) (0.325:0.325:0.325))
)
)
(TIMINGCHECK
(HOLD (posedge D) (posedge CLK) (-0.031:-0.031:-0.031))
(HOLD (negedge D) (posedge CLK) (-0.044:-0.044:-0.044))
(SETUP (posedge D) (posedge CLK) (0.054:0.054:0.054))
(SETUP (negedge D) (posedge CLK) (0.100:0.100:0.100))
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__dfxtp_1")
(INSTANCE _5521_)
(DELAY
(ABSOLUTE
(IOPATH CLK Q (0.343:0.343:0.343) (0.319:0.319:0.319))
)
)
(TIMINGCHECK
(HOLD (posedge D) (posedge CLK) (-0.032:-0.032:-0.032))
(HOLD (negedge D) (posedge CLK) (-0.044:-0.044:-0.044))
(SETUP (posedge D) (posedge CLK) (0.054:0.054:0.054))
(SETUP (negedge D) (posedge CLK) (0.101:0.101:0.101))
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__dfxtp_1")
(INSTANCE _5522_)
(DELAY
(ABSOLUTE
(IOPATH CLK Q (0.358:0.358:0.358) (0.328:0.328:0.328))
)
)
(TIMINGCHECK
(HOLD (posedge D) (posedge CLK) (-0.027:-0.027:-0.027))
(HOLD (negedge D) (posedge CLK) (-0.040:-0.040:-0.040))
(SETUP (posedge D) (posedge CLK) (0.049:0.049:0.049))
(SETUP (negedge D) (posedge CLK) (0.096:0.096:0.096))
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__dfxtp_1")
(INSTANCE _5523_)
(DELAY
(ABSOLUTE
(IOPATH CLK Q (0.384:0.384:0.384) (0.341:0.341:0.341))
)
)
(TIMINGCHECK
(HOLD (posedge D) (posedge CLK) (-0.029:-0.029:-0.029))
(HOLD (negedge D) (posedge CLK) (-0.043:-0.043:-0.043))
(SETUP (posedge D) (posedge CLK) (0.052:0.052:0.052))
(SETUP (negedge D) (posedge CLK) (0.099:0.099:0.099))
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__dfxtp_1")
(INSTANCE _5524_)
(DELAY
(ABSOLUTE
(IOPATH CLK Q (0.395:0.395:0.395) (0.346:0.346:0.346))
)
)
(TIMINGCHECK
(HOLD (posedge D) (posedge CLK) (-0.027:-0.027:-0.027))
(HOLD (negedge D) (posedge CLK) (-0.040:-0.040:-0.040))
(SETUP (posedge D) (posedge CLK) (0.049:0.049:0.049))
(SETUP (negedge D) (posedge CLK) (0.097:0.097:0.097))
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__dfxtp_1")
(INSTANCE _5525_)
(DELAY
(ABSOLUTE
(IOPATH CLK Q (0.367:0.367:0.367) (0.333:0.333:0.333))
)
)
(TIMINGCHECK
(HOLD (posedge D) (posedge CLK) (-0.026:-0.026:-0.026))
(HOLD (negedge D) (posedge CLK) (-0.039:-0.039:-0.039))
(SETUP (posedge D) (posedge CLK) (0.049:0.049:0.049))
(SETUP (negedge D) (posedge CLK) (0.095:0.095:0.095))
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__dfxtp_1")
(INSTANCE _5526_)
(DELAY
(ABSOLUTE
(IOPATH CLK Q (0.380:0.380:0.380) (0.340:0.340:0.340))
)
)
(TIMINGCHECK
(HOLD (posedge D) (posedge CLK) (-0.026:-0.026:-0.026))
(HOLD (negedge D) (posedge CLK) (-0.038:-0.038:-0.038))
(SETUP (posedge D) (posedge CLK) (0.048:0.048:0.048))
(SETUP (negedge D) (posedge CLK) (0.094:0.094:0.094))
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__dfxtp_1")
(INSTANCE _5527_)
(DELAY
(ABSOLUTE
(IOPATH CLK Q (0.357:0.357:0.357) (0.328:0.328:0.328))
)
)
(TIMINGCHECK
(HOLD (posedge D) (posedge CLK) (-0.026:-0.026:-0.026))
(HOLD (negedge D) (posedge CLK) (-0.039:-0.039:-0.039))
(SETUP (posedge D) (posedge CLK) (0.049:0.049:0.049))
(SETUP (negedge D) (posedge CLK) (0.095:0.095:0.095))
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__dfxtp_1")
(INSTANCE _5528_)
(DELAY
(ABSOLUTE
(IOPATH CLK Q (0.410:0.410:0.410) (0.356:0.356:0.356))
)
)
(TIMINGCHECK
(HOLD (posedge D) (posedge CLK) (-0.027:-0.027:-0.027))
(HOLD (negedge D) (posedge CLK) (-0.039:-0.039:-0.039))
(SETUP (posedge D) (posedge CLK) (0.050:0.050:0.050))
(SETUP (negedge D) (posedge CLK) (0.096:0.096:0.096))
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__dfxtp_1")
(INSTANCE _5529_)
(DELAY
(ABSOLUTE
(IOPATH CLK Q (0.398:0.398:0.398) (0.349:0.349:0.349))
)
)
(TIMINGCHECK
(HOLD (posedge D) (posedge CLK) (-0.029:-0.029:-0.029))
(HOLD (negedge D) (posedge CLK) (-0.041:-0.041:-0.041))
(SETUP (posedge D) (posedge CLK) (0.052:0.052:0.052))
(SETUP (negedge D) (posedge CLK) (0.098:0.098:0.098))
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__dfxtp_1")
(INSTANCE _5530_)
(DELAY
(ABSOLUTE
(IOPATH CLK Q (0.348:0.348:0.348) (0.323:0.323:0.323))
)
)
(TIMINGCHECK
(HOLD (posedge D) (posedge CLK) (-0.029:-0.029:-0.029))
(HOLD (negedge D) (posedge CLK) (-0.041:-0.041:-0.041))
(SETUP (posedge D) (posedge CLK) (0.052:0.052:0.052))
(SETUP (negedge D) (posedge CLK) (0.098:0.098:0.098))
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__dfxtp_1")
(INSTANCE _5531_)
(DELAY
(ABSOLUTE
(IOPATH CLK Q (0.360:0.360:0.360) (0.330:0.330:0.330))
)
)
(TIMINGCHECK
(HOLD (posedge D) (posedge CLK) (-0.029:-0.029:-0.029))
(HOLD (negedge D) (posedge CLK) (-0.041:-0.041:-0.041))
(SETUP (posedge D) (posedge CLK) (0.052:0.052:0.052))
(SETUP (negedge D) (posedge CLK) (0.098:0.098:0.098))
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__dfxtp_1")
(INSTANCE _5532_)
(DELAY
(ABSOLUTE
(IOPATH CLK Q (0.345:0.345:0.345) (0.321:0.321:0.321))
)
)
(TIMINGCHECK
(HOLD (posedge D) (posedge CLK) (-0.027:-0.027:-0.027))
(HOLD (negedge D) (posedge CLK) (-0.039:-0.039:-0.039))
(SETUP (posedge D) (posedge CLK) (0.049:0.049:0.049))
(SETUP (negedge D) (posedge CLK) (0.096:0.096:0.096))
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__dfxtp_1")
(INSTANCE _5533_)
(DELAY
(ABSOLUTE
(IOPATH CLK Q (0.351:0.351:0.351) (0.324:0.324:0.324))
)
)
(TIMINGCHECK
(HOLD (posedge D) (posedge CLK) (-0.028:-0.028:-0.028))
(HOLD (negedge D) (posedge CLK) (-0.041:-0.041:-0.041))
(SETUP (posedge D) (posedge CLK) (0.051:0.051:0.051))
(SETUP (negedge D) (posedge CLK) (0.097:0.097:0.097))
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__dfxtp_1")
(INSTANCE _5534_)
(DELAY
(ABSOLUTE
(IOPATH CLK Q (0.354:0.354:0.354) (0.327:0.327:0.327))
)
)
(TIMINGCHECK
(HOLD (posedge D) (posedge CLK) (-0.026:-0.026:-0.026))
(HOLD (negedge D) (posedge CLK) (-0.039:-0.039:-0.039))
(SETUP (posedge D) (posedge CLK) (0.049:0.049:0.049))
(SETUP (negedge D) (posedge CLK) (0.095:0.095:0.095))
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__dfxtp_1")
(INSTANCE _5535_)
(DELAY
(ABSOLUTE
(IOPATH CLK Q (0.393:0.393:0.393) (0.348:0.348:0.348))
)
)
(TIMINGCHECK
(HOLD (posedge D) (posedge CLK) (-0.026:-0.026:-0.026))
(HOLD (negedge D) (posedge CLK) (-0.038:-0.038:-0.038))
(SETUP (posedge D) (posedge CLK) (0.048:0.048:0.048))
(SETUP (negedge D) (posedge CLK) (0.094:0.094:0.094))
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__dfxtp_1")
(INSTANCE _5536_)
(DELAY
(ABSOLUTE
(IOPATH CLK Q (0.395:0.395:0.395) (0.348:0.348:0.348))
)
)
(TIMINGCHECK
(HOLD (posedge D) (posedge CLK) (-0.026:-0.026:-0.026))
(HOLD (negedge D) (posedge CLK) (-0.038:-0.038:-0.038))
(SETUP (posedge D) (posedge CLK) (0.048:0.048:0.048))
(SETUP (negedge D) (posedge CLK) (0.094:0.094:0.094))
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__dfxtp_1")
(INSTANCE _5537_)
(DELAY
(ABSOLUTE
(IOPATH CLK Q (0.376:0.376:0.376) (0.338:0.338:0.338))
)
)
(TIMINGCHECK
(HOLD (posedge D) (posedge CLK) (-0.026:-0.026:-0.026))
(HOLD (negedge D) (posedge CLK) (-0.038:-0.038:-0.038))
(SETUP (posedge D) (posedge CLK) (0.048:0.048:0.048))
(SETUP (negedge D) (posedge CLK) (0.094:0.094:0.094))
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__dfxtp_1")
(INSTANCE _5538_)
(DELAY
(ABSOLUTE
(IOPATH CLK Q (0.382:0.382:0.382) (0.343:0.343:0.343))
)
)
(TIMINGCHECK
(HOLD (posedge D) (posedge CLK) (-0.025:-0.025:-0.025))
(HOLD (negedge D) (posedge CLK) (-0.036:-0.036:-0.036))
(SETUP (posedge D) (posedge CLK) (0.047:0.047:0.047))
(SETUP (negedge D) (posedge CLK) (0.092:0.092:0.092))
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__dfxtp_1")
(INSTANCE _5539_)
(DELAY
(ABSOLUTE
(IOPATH CLK Q (0.366:0.366:0.366) (0.335:0.335:0.335))
)
)
(TIMINGCHECK
(HOLD (posedge D) (posedge CLK) (-0.024:-0.024:-0.024))
(HOLD (negedge D) (posedge CLK) (-0.036:-0.036:-0.036))
(SETUP (posedge D) (posedge CLK) (0.046:0.046:0.046))
(SETUP (negedge D) (posedge CLK) (0.092:0.092:0.092))
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__dfxtp_1")
(INSTANCE _5540_)
(DELAY
(ABSOLUTE
(IOPATH CLK Q (0.398:0.398:0.398) (0.349:0.349:0.349))
)
)
(TIMINGCHECK
(HOLD (posedge D) (posedge CLK) (-0.027:-0.027:-0.027))
(HOLD (negedge D) (posedge CLK) (-0.040:-0.040:-0.040))
(SETUP (posedge D) (posedge CLK) (0.050:0.050:0.050))
(SETUP (negedge D) (posedge CLK) (0.096:0.096:0.096))
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__dfxtp_1")
(INSTANCE _5541_)
(DELAY
(ABSOLUTE
(IOPATH CLK Q (0.378:0.378:0.378) (0.338:0.338:0.338))
)
)
(TIMINGCHECK
(HOLD (posedge D) (posedge CLK) (-0.029:-0.029:-0.029))
(HOLD (negedge D) (posedge CLK) (-0.042:-0.042:-0.042))
(SETUP (posedge D) (posedge CLK) (0.052:0.052:0.052))
(SETUP (negedge D) (posedge CLK) (0.098:0.098:0.098))
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__dfxtp_1")
(INSTANCE _5542_)
(DELAY
(ABSOLUTE
(IOPATH CLK Q (0.356:0.356:0.356) (0.327:0.327:0.327))
)
)
(TIMINGCHECK
(HOLD (posedge D) (posedge CLK) (-0.028:-0.028:-0.028))
(HOLD (negedge D) (posedge CLK) (-0.040:-0.040:-0.040))
(SETUP (posedge D) (posedge CLK) (0.050:0.050:0.050))
(SETUP (negedge D) (posedge CLK) (0.096:0.096:0.096))
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__dfxtp_1")
(INSTANCE _5543_)
(DELAY
(ABSOLUTE
(IOPATH CLK Q (0.411:0.411:0.411) (0.359:0.359:0.359))
)
)
(TIMINGCHECK
(HOLD (posedge D) (posedge CLK) (-0.025:-0.025:-0.025))
(HOLD (negedge D) (posedge CLK) (-0.036:-0.036:-0.036))
(SETUP (posedge D) (posedge CLK) (0.047:0.047:0.047))
(SETUP (negedge D) (posedge CLK) (0.093:0.093:0.093))
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__dfxtp_1")
(INSTANCE _5544_)
(DELAY
(ABSOLUTE
(IOPATH CLK Q (0.355:0.355:0.355) (0.327:0.327:0.327))
)
)
(TIMINGCHECK
(HOLD (posedge D) (posedge CLK) (-0.025:-0.025:-0.025))
(HOLD (negedge D) (posedge CLK) (-0.037:-0.037:-0.037))
(SETUP (posedge D) (posedge CLK) (0.047:0.047:0.047))
(SETUP (negedge D) (posedge CLK) (0.094:0.094:0.094))
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__dfxtp_1")
(INSTANCE _5545_)
(DELAY
(ABSOLUTE
(IOPATH CLK Q (0.343:0.343:0.343) (0.321:0.321:0.321))
)
)
(TIMINGCHECK
(HOLD (posedge D) (posedge CLK) (-0.026:-0.026:-0.026))
(HOLD (negedge D) (posedge CLK) (-0.038:-0.038:-0.038))
(SETUP (posedge D) (posedge CLK) (0.048:0.048:0.048))
(SETUP (negedge D) (posedge CLK) (0.094:0.094:0.094))
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__dfxtp_1")
(INSTANCE _5546_)
(DELAY
(ABSOLUTE
(IOPATH CLK Q (0.347:0.347:0.347) (0.322:0.322:0.322))
)
)
(TIMINGCHECK
(HOLD (posedge D) (posedge CLK) (-0.029:-0.029:-0.029))
(HOLD (negedge D) (posedge CLK) (-0.041:-0.041:-0.041))
(SETUP (posedge D) (posedge CLK) (0.051:0.051:0.051))
(SETUP (negedge D) (posedge CLK) (0.098:0.098:0.098))
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__dfxtp_1")
(INSTANCE _5547_)
(DELAY
(ABSOLUTE
(IOPATH CLK Q (0.353:0.353:0.353) (0.320:0.320:0.320))
)
)
(TIMINGCHECK
(HOLD (posedge D) (posedge CLK) (-0.034:-0.034:-0.034))
(HOLD (negedge D) (posedge CLK) (-0.049:-0.049:-0.049))
(SETUP (posedge D) (posedge CLK) (0.056:0.056:0.056))
(SETUP (negedge D) (posedge CLK) (0.106:0.106:0.106))
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__dfxtp_1")
(INSTANCE _5548_)
(DELAY
(ABSOLUTE
(IOPATH CLK Q (0.360:0.360:0.360) (0.323:0.323:0.323))
)
)
(TIMINGCHECK
(HOLD (posedge D) (posedge CLK) (-0.031:-0.031:-0.031))
(HOLD (negedge D) (posedge CLK) (-0.047:-0.047:-0.047))
(SETUP (posedge D) (posedge CLK) (0.054:0.054:0.054))
(SETUP (negedge D) (posedge CLK) (0.103:0.103:0.103))
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__dfxtp_1")
(INSTANCE _5549_)
(DELAY
(ABSOLUTE
(IOPATH CLK Q (0.362:0.362:0.362) (0.330:0.330:0.330))
)
)
(TIMINGCHECK
(HOLD (posedge D) (posedge CLK) (-0.026:-0.026:-0.026))
(HOLD (negedge D) (posedge CLK) (-0.039:-0.039:-0.039))
(SETUP (posedge D) (posedge CLK) (0.049:0.049:0.049))
(SETUP (negedge D) (posedge CLK) (0.095:0.095:0.095))
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__dfxtp_1")
(INSTANCE _5550_)
(DELAY
(ABSOLUTE
(IOPATH CLK Q (0.391:0.391:0.391) (0.345:0.345:0.345))
)
)
(TIMINGCHECK
(HOLD (posedge D) (posedge CLK) (-0.031:-0.031:-0.031))
(HOLD (negedge D) (posedge CLK) (-0.044:-0.044:-0.044))
(SETUP (posedge D) (posedge CLK) (0.054:0.054:0.054))
(SETUP (negedge D) (posedge CLK) (0.100:0.100:0.100))
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__dfxtp_1")
(INSTANCE _5551_)
(DELAY
(ABSOLUTE
(IOPATH CLK Q (0.365:0.365:0.365) (0.332:0.332:0.332))
)
)
(TIMINGCHECK
(HOLD (posedge D) (posedge CLK) (-0.027:-0.027:-0.027))
(HOLD (negedge D) (posedge CLK) (-0.039:-0.039:-0.039))
(SETUP (posedge D) (posedge CLK) (0.049:0.049:0.049))
(SETUP (negedge D) (posedge CLK) (0.096:0.096:0.096))
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__dfxtp_1")
(INSTANCE _5552_)
(DELAY
(ABSOLUTE
(IOPATH CLK Q (0.401:0.401:0.401) (0.350:0.350:0.350))
)
)
(TIMINGCHECK
(HOLD (posedge D) (posedge CLK) (-0.026:-0.026:-0.026))
(HOLD (negedge D) (posedge CLK) (-0.039:-0.039:-0.039))
(SETUP (posedge D) (posedge CLK) (0.049:0.049:0.049))
(SETUP (negedge D) (posedge CLK) (0.095:0.095:0.095))
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__dfxtp_1")
(INSTANCE _5553_)
(DELAY
(ABSOLUTE
(IOPATH CLK Q (0.399:0.399:0.399) (0.352:0.352:0.352))
)
)
(TIMINGCHECK
(HOLD (posedge D) (posedge CLK) (-0.024:-0.024:-0.024))
(HOLD (negedge D) (posedge CLK) (-0.036:-0.036:-0.036))
(SETUP (posedge D) (posedge CLK) (0.046:0.046:0.046))
(SETUP (negedge D) (posedge CLK) (0.092:0.092:0.092))
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__dfxtp_1")
(INSTANCE _5554_)
(DELAY
(ABSOLUTE
(IOPATH CLK Q (0.328:0.328:0.328) (0.311:0.311:0.311))
)
)
(TIMINGCHECK
(HOLD (posedge D) (posedge CLK) (-0.030:-0.030:-0.030))
(HOLD (negedge D) (posedge CLK) (-0.042:-0.042:-0.042))
(SETUP (posedge D) (posedge CLK) (0.053:0.053:0.053))
(SETUP (negedge D) (posedge CLK) (0.098:0.098:0.098))
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__dfxtp_1")
(INSTANCE _5555_)
(DELAY
(ABSOLUTE
(IOPATH CLK Q (0.361:0.361:0.361) (0.327:0.327:0.327))
)
)
(TIMINGCHECK
(HOLD (posedge D) (posedge CLK) (-0.028:-0.028:-0.028))
(HOLD (negedge D) (posedge CLK) (-0.042:-0.042:-0.042))
(SETUP (posedge D) (posedge CLK) (0.050:0.050:0.050))
(SETUP (negedge D) (posedge CLK) (0.098:0.098:0.098))
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__dfxtp_1")
(INSTANCE _5556_)
(DELAY
(ABSOLUTE
(IOPATH CLK Q (0.372:0.372:0.372) (0.334:0.334:0.334))
)
)
(TIMINGCHECK
(HOLD (posedge D) (posedge CLK) (-0.029:-0.029:-0.029))
(HOLD (negedge D) (posedge CLK) (-0.048:-0.048:-0.048))
(SETUP (posedge D) (posedge CLK) (0.052:0.052:0.052))
(SETUP (negedge D) (posedge CLK) (0.105:0.105:0.105))
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__dfxtp_1")
(INSTANCE _5557_)
(DELAY
(ABSOLUTE
(IOPATH CLK Q (0.363:0.363:0.363) (0.341:0.341:0.341))
)
)
(TIMINGCHECK
(HOLD (posedge D) (posedge CLK) (-0.018:-0.018:-0.018))
(HOLD (negedge D) (posedge CLK) (-0.024:-0.024:-0.024))
(SETUP (posedge D) (posedge CLK) (0.039:0.039:0.039))
(SETUP (negedge D) (posedge CLK) (0.080:0.080:0.080))
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__dfxtp_1")
(INSTANCE _5558_)
(DELAY
(ABSOLUTE
(IOPATH CLK Q (0.336:0.336:0.336) (0.309:0.309:0.309))
)
)
(TIMINGCHECK
(HOLD (posedge D) (posedge CLK) (-0.032:-0.032:-0.032))
(HOLD (negedge D) (posedge CLK) (-0.048:-0.048:-0.048))
(SETUP (posedge D) (posedge CLK) (0.055:0.055:0.055))
(SETUP (negedge D) (posedge CLK) (0.105:0.105:0.105))
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__dfxtp_1")
(INSTANCE _5559_)
(DELAY
(ABSOLUTE
(IOPATH CLK Q (0.355:0.355:0.355) (0.320:0.320:0.320))
)
)
(TIMINGCHECK
(HOLD (posedge D) (posedge CLK) (-0.033:-0.033:-0.033))
(HOLD (negedge D) (posedge CLK) (-0.049:-0.049:-0.049))
(SETUP (posedge D) (posedge CLK) (0.056:0.056:0.056))
(SETUP (negedge D) (posedge CLK) (0.106:0.106:0.106))
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__dfxtp_1")
(INSTANCE _5560_)
(DELAY
(ABSOLUTE
(IOPATH CLK Q (0.364:0.364:0.364) (0.332:0.332:0.332))
)
)
(TIMINGCHECK
(HOLD (posedge D) (posedge CLK) (-0.026:-0.026:-0.026))
(HOLD (negedge D) (posedge CLK) (-0.039:-0.039:-0.039))
(SETUP (posedge D) (posedge CLK) (0.049:0.049:0.049))
(SETUP (negedge D) (posedge CLK) (0.095:0.095:0.095))
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__dfxtp_1")
(INSTANCE _5561_)
(DELAY
(ABSOLUTE
(IOPATH CLK Q (0.365:0.365:0.365) (0.329:0.329:0.329))
)
)
(TIMINGCHECK
(HOLD (posedge D) (posedge CLK) (-0.028:-0.028:-0.028))
(HOLD (negedge D) (posedge CLK) (-0.042:-0.042:-0.042))
(SETUP (posedge D) (posedge CLK) (0.051:0.051:0.051))
(SETUP (negedge D) (posedge CLK) (0.099:0.099:0.099))
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__dfxtp_1")
(INSTANCE _5562_)
(DELAY
(ABSOLUTE
(IOPATH CLK Q (0.381:0.381:0.381) (0.337:0.337:0.337))
)
)
(TIMINGCHECK
(HOLD (posedge D) (posedge CLK) (-0.029:-0.029:-0.029))
(HOLD (negedge D) (posedge CLK) (-0.043:-0.043:-0.043))
(SETUP (posedge D) (posedge CLK) (0.052:0.052:0.052))
(SETUP (negedge D) (posedge CLK) (0.100:0.100:0.100))
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__dfxtp_1")
(INSTANCE _5563_)
(DELAY
(ABSOLUTE
(IOPATH CLK Q (0.333:0.333:0.333) (0.312:0.312:0.312))
)
)
(TIMINGCHECK
(HOLD (posedge D) (posedge CLK) (-0.030:-0.030:-0.030))
(HOLD (negedge D) (posedge CLK) (-0.044:-0.044:-0.044))
(SETUP (posedge D) (posedge CLK) (0.052:0.052:0.052))
(SETUP (negedge D) (posedge CLK) (0.100:0.100:0.100))
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__dfxtp_1")
(INSTANCE _5564_)
(DELAY
(ABSOLUTE
(IOPATH CLK Q (0.385:0.385:0.385) (0.340:0.340:0.340))
)
)
(TIMINGCHECK
(HOLD (posedge D) (posedge CLK) (-0.028:-0.028:-0.028))
(HOLD (negedge D) (posedge CLK) (-0.042:-0.042:-0.042))
(SETUP (posedge D) (posedge CLK) (0.050:0.050:0.050))
(SETUP (negedge D) (posedge CLK) (0.098:0.098:0.098))
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__dfxtp_1")
(INSTANCE _5565_)
(DELAY
(ABSOLUTE
(IOPATH CLK Q (0.378:0.378:0.378) (0.336:0.336:0.336))
)
)
(TIMINGCHECK
(HOLD (posedge D) (posedge CLK) (-0.031:-0.031:-0.031))
(HOLD (negedge D) (posedge CLK) (-0.044:-0.044:-0.044))
(SETUP (posedge D) (posedge CLK) (0.053:0.053:0.053))
(SETUP (negedge D) (posedge CLK) (0.101:0.101:0.101))
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__dfxtp_1")
(INSTANCE _5566_)
(DELAY
(ABSOLUTE
(IOPATH CLK Q (0.404:0.404:0.404) (0.350:0.350:0.350))
)
)
(TIMINGCHECK
(HOLD (posedge D) (posedge CLK) (-0.028:-0.028:-0.028))
(HOLD (negedge D) (posedge CLK) (-0.042:-0.042:-0.042))
(SETUP (posedge D) (posedge CLK) (0.050:0.050:0.050))
(SETUP (negedge D) (posedge CLK) (0.098:0.098:0.098))
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__dfxtp_1")
(INSTANCE _5567_)
(DELAY
(ABSOLUTE
(IOPATH CLK Q (0.371:0.371:0.371) (0.332:0.332:0.332))
)
)
(TIMINGCHECK
(HOLD (posedge D) (posedge CLK) (-0.028:-0.028:-0.028))
(HOLD (negedge D) (posedge CLK) (-0.042:-0.042:-0.042))
(SETUP (posedge D) (posedge CLK) (0.050:0.050:0.050))
(SETUP (negedge D) (posedge CLK) (0.098:0.098:0.098))
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__dfxtp_1")
(INSTANCE _5568_)
(DELAY
(ABSOLUTE
(IOPATH CLK Q (0.353:0.353:0.353) (0.335:0.335:0.335))
)
)
(TIMINGCHECK
(HOLD (posedge D) (posedge CLK) (-0.018:-0.018:-0.018))
(HOLD (negedge D) (posedge CLK) (-0.025:-0.025:-0.025))
(SETUP (posedge D) (posedge CLK) (0.040:0.040:0.040))
(SETUP (negedge D) (posedge CLK) (0.080:0.080:0.080))
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__dfxtp_1")
(INSTANCE _5569_)
(DELAY
(ABSOLUTE
(IOPATH CLK Q (0.370:0.370:0.370) (0.346:0.346:0.346))
)
)
(TIMINGCHECK
(HOLD (posedge D) (posedge CLK) (-0.017:-0.017:-0.017))
(HOLD (negedge D) (posedge CLK) (-0.024:-0.024:-0.024))
(SETUP (posedge D) (posedge CLK) (0.039:0.039:0.039))
(SETUP (negedge D) (posedge CLK) (0.080:0.080:0.080))
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__dfxtp_1")
(INSTANCE _5570_)
(DELAY
(ABSOLUTE
(IOPATH CLK Q (0.352:0.352:0.352) (0.323:0.323:0.323))
)
)
(TIMINGCHECK
(HOLD (posedge D) (posedge CLK) (-0.034:-0.034:-0.034))
(HOLD (negedge D) (posedge CLK) (-0.047:-0.047:-0.047))
(SETUP (posedge D) (posedge CLK) (0.057:0.057:0.057))
(SETUP (negedge D) (posedge CLK) (0.104:0.104:0.104))
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__dfxtp_1")
(INSTANCE _5571_)
(DELAY
(ABSOLUTE
(IOPATH CLK Q (0.366:0.366:0.366) (0.331:0.331:0.331))
)
)
(TIMINGCHECK
(HOLD (posedge D) (posedge CLK) (-0.028:-0.028:-0.028))
(HOLD (negedge D) (posedge CLK) (-0.047:-0.047:-0.047))
(SETUP (posedge D) (posedge CLK) (0.051:0.051:0.051))
(SETUP (negedge D) (posedge CLK) (0.104:0.104:0.104))
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__dfxtp_1")
(INSTANCE _5572_)
(DELAY
(ABSOLUTE
(IOPATH CLK Q (0.365:0.365:0.365) (0.330:0.330:0.330))
)
)
(TIMINGCHECK
(HOLD (posedge D) (posedge CLK) (-0.028:-0.028:-0.028))
(HOLD (negedge D) (posedge CLK) (-0.041:-0.041:-0.041))
(SETUP (posedge D) (posedge CLK) (0.050:0.050:0.050))
(SETUP (negedge D) (posedge CLK) (0.098:0.098:0.098))
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__dfxtp_1")
(INSTANCE _5573_)
(DELAY
(ABSOLUTE
(IOPATH CLK Q (0.332:0.332:0.332) (0.311:0.311:0.311))
)
)
(TIMINGCHECK
(HOLD (posedge D) (posedge CLK) (-0.029:-0.029:-0.029))
(HOLD (negedge D) (posedge CLK) (-0.043:-0.043:-0.043))
(SETUP (posedge D) (posedge CLK) (0.051:0.051:0.051))
(SETUP (negedge D) (posedge CLK) (0.099:0.099:0.099))
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__dfxtp_1")
(INSTANCE _5574_)
(DELAY
(ABSOLUTE
(IOPATH CLK Q (0.384:0.384:0.384) (0.339:0.339:0.339))
)
)
(TIMINGCHECK
(HOLD (posedge D) (posedge CLK) (-0.029:-0.029:-0.029))
(HOLD (negedge D) (posedge CLK) (-0.043:-0.043:-0.043))
(SETUP (posedge D) (posedge CLK) (0.052:0.052:0.052))
(SETUP (negedge D) (posedge CLK) (0.100:0.100:0.100))
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__dfxtp_1")
(INSTANCE _5575_)
(DELAY
(ABSOLUTE
(IOPATH CLK Q (0.360:0.360:0.360) (0.326:0.326:0.326))
)
)
(TIMINGCHECK
(HOLD (posedge D) (posedge CLK) (-0.029:-0.029:-0.029))
(HOLD (negedge D) (posedge CLK) (-0.049:-0.049:-0.049))
(SETUP (posedge D) (posedge CLK) (0.052:0.052:0.052))
(SETUP (negedge D) (posedge CLK) (0.105:0.105:0.105))
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__dfxtp_1")
(INSTANCE _5576_)
(DELAY
(ABSOLUTE
(IOPATH CLK Q (0.391:0.391:0.391) (0.343:0.343:0.343))
)
)
(TIMINGCHECK
(HOLD (posedge D) (posedge CLK) (-0.030:-0.030:-0.030))
(HOLD (negedge D) (posedge CLK) (-0.043:-0.043:-0.043))
(SETUP (posedge D) (posedge CLK) (0.052:0.052:0.052))
(SETUP (negedge D) (posedge CLK) (0.100:0.100:0.100))
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__dfxtp_1")
(INSTANCE _5577_)
(DELAY
(ABSOLUTE
(IOPATH CLK Q (0.374:0.374:0.374) (0.333:0.333:0.333))
)
)
(TIMINGCHECK
(HOLD (posedge D) (posedge CLK) (-0.028:-0.028:-0.028))
(HOLD (negedge D) (posedge CLK) (-0.042:-0.042:-0.042))
(SETUP (posedge D) (posedge CLK) (0.051:0.051:0.051))
(SETUP (negedge D) (posedge CLK) (0.099:0.099:0.099))
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__dfxtp_1")
(INSTANCE _5578_)
(DELAY
(ABSOLUTE
(IOPATH CLK Q (0.350:0.350:0.350) (0.333:0.333:0.333))
)
)
(TIMINGCHECK
(HOLD (posedge D) (posedge CLK) (-0.019:-0.019:-0.019))
(HOLD (negedge D) (posedge CLK) (-0.025:-0.025:-0.025))
(SETUP (posedge D) (posedge CLK) (0.040:0.040:0.040))
(SETUP (negedge D) (posedge CLK) (0.081:0.081:0.081))
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__dfxtp_1")
(INSTANCE _5579_)
(DELAY
(ABSOLUTE
(IOPATH CLK Q (0.400:0.400:0.400) (0.362:0.362:0.362))
)
)
(TIMINGCHECK
(HOLD (posedge D) (posedge CLK) (-0.018:-0.018:-0.018))
(HOLD (negedge D) (posedge CLK) (-0.024:-0.024:-0.024))
(SETUP (posedge D) (posedge CLK) (0.039:0.039:0.039))
(SETUP (negedge D) (posedge CLK) (0.080:0.080:0.080))
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__dfxtp_1")
(INSTANCE _5580_)
(DELAY
(ABSOLUTE
(IOPATH CLK Q (0.362:0.362:0.362) (0.331:0.331:0.331))
)
)
(TIMINGCHECK
(HOLD (posedge D) (posedge CLK) (-0.026:-0.026:-0.026))
(HOLD (negedge D) (posedge CLK) (-0.038:-0.038:-0.038))
(SETUP (posedge D) (posedge CLK) (0.049:0.049:0.049))
(SETUP (negedge D) (posedge CLK) (0.095:0.095:0.095))
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__dfxtp_1")
(INSTANCE _5581_)
(DELAY
(ABSOLUTE
(IOPATH CLK Q (0.343:0.343:0.343) (0.320:0.320:0.320))
)
)
(TIMINGCHECK
(HOLD (posedge D) (posedge CLK) (-0.028:-0.028:-0.028))
(HOLD (negedge D) (posedge CLK) (-0.041:-0.041:-0.041))
(SETUP (posedge D) (posedge CLK) (0.051:0.051:0.051))
(SETUP (negedge D) (posedge CLK) (0.097:0.097:0.097))
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__dfxtp_1")
(INSTANCE _5582_)
(DELAY
(ABSOLUTE
(IOPATH CLK Q (0.351:0.351:0.351) (0.322:0.322:0.322))
)
)
(TIMINGCHECK
(HOLD (posedge D) (posedge CLK) (-0.029:-0.029:-0.029))
(HOLD (negedge D) (posedge CLK) (-0.043:-0.043:-0.043))
(SETUP (posedge D) (posedge CLK) (0.051:0.051:0.051))
(SETUP (negedge D) (posedge CLK) (0.099:0.099:0.099))
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__dfxtp_1")
(INSTANCE _5583_)
(DELAY
(ABSOLUTE
(IOPATH CLK Q (0.371:0.371:0.371) (0.334:0.334:0.334))
)
)
(TIMINGCHECK
(HOLD (posedge D) (posedge CLK) (-0.029:-0.029:-0.029))
(HOLD (negedge D) (posedge CLK) (-0.043:-0.043:-0.043))
(SETUP (posedge D) (posedge CLK) (0.052:0.052:0.052))
(SETUP (negedge D) (posedge CLK) (0.099:0.099:0.099))
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__dfxtp_1")
(INSTANCE _5584_)
(DELAY
(ABSOLUTE
(IOPATH CLK Q (0.358:0.358:0.358) (0.325:0.325:0.325))
)
)
(TIMINGCHECK
(HOLD (posedge D) (posedge CLK) (-0.030:-0.030:-0.030))
(HOLD (negedge D) (posedge CLK) (-0.044:-0.044:-0.044))
(SETUP (posedge D) (posedge CLK) (0.053:0.053:0.053))
(SETUP (negedge D) (posedge CLK) (0.100:0.100:0.100))
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__dfxtp_1")
(INSTANCE _5585_)
(DELAY
(ABSOLUTE
(IOPATH CLK Q (0.396:0.396:0.396) (0.346:0.346:0.346))
)
)
(TIMINGCHECK
(HOLD (posedge D) (posedge CLK) (-0.029:-0.029:-0.029))
(HOLD (negedge D) (posedge CLK) (-0.043:-0.043:-0.043))
(SETUP (posedge D) (posedge CLK) (0.052:0.052:0.052))
(SETUP (negedge D) (posedge CLK) (0.099:0.099:0.099))
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__dfxtp_1")
(INSTANCE _5586_)
(DELAY
(ABSOLUTE
(IOPATH CLK Q (0.414:0.414:0.414) (0.359:0.359:0.359))
)
)
(TIMINGCHECK
(HOLD (posedge D) (posedge CLK) (-0.026:-0.026:-0.026))
(HOLD (negedge D) (posedge CLK) (-0.043:-0.043:-0.043))
(SETUP (posedge D) (posedge CLK) (0.048:0.048:0.048))
(SETUP (negedge D) (posedge CLK) (0.100:0.100:0.100))
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__dfxtp_1")
(INSTANCE _5587_)
(DELAY
(ABSOLUTE
(IOPATH CLK Q (0.359:0.359:0.359) (0.326:0.326:0.326))
)
)
(TIMINGCHECK
(HOLD (posedge D) (posedge CLK) (-0.030:-0.030:-0.030))
(HOLD (negedge D) (posedge CLK) (-0.049:-0.049:-0.049))
(SETUP (posedge D) (posedge CLK) (0.053:0.053:0.053))
(SETUP (negedge D) (posedge CLK) (0.106:0.106:0.106))
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__dfxtp_1")
(INSTANCE _5588_)
(DELAY
(ABSOLUTE
(IOPATH CLK Q (0.432:0.432:0.432) (0.366:0.366:0.366))
)
)
(TIMINGCHECK
(HOLD (posedge D) (posedge CLK) (-0.028:-0.028:-0.028))
(HOLD (negedge D) (posedge CLK) (-0.041:-0.041:-0.041))
(SETUP (posedge D) (posedge CLK) (0.050:0.050:0.050))
(SETUP (negedge D) (posedge CLK) (0.097:0.097:0.097))
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__dfxtp_1")
(INSTANCE _5589_)
(DELAY
(ABSOLUTE
(IOPATH CLK Q (0.416:0.416:0.416) (0.357:0.357:0.357))
)
)
(TIMINGCHECK
(HOLD (posedge D) (posedge CLK) (-0.028:-0.028:-0.028))
(HOLD (negedge D) (posedge CLK) (-0.041:-0.041:-0.041))
(SETUP (posedge D) (posedge CLK) (0.051:0.051:0.051))
(SETUP (negedge D) (posedge CLK) (0.098:0.098:0.098))
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__dfxtp_1")
(INSTANCE _5590_)
(DELAY
(ABSOLUTE
(IOPATH CLK Q (0.351:0.351:0.351) (0.324:0.324:0.324))
)
)
(TIMINGCHECK
(HOLD (posedge D) (posedge CLK) (-0.033:-0.033:-0.033))
(HOLD (negedge D) (posedge CLK) (-0.045:-0.045:-0.045))
(SETUP (posedge D) (posedge CLK) (0.056:0.056:0.056))
(SETUP (negedge D) (posedge CLK) (0.102:0.102:0.102))
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__dfxtp_1")
(INSTANCE _5591_)
(DELAY
(ABSOLUTE
(IOPATH CLK Q (0.393:0.393:0.393) (0.346:0.346:0.346))
)
)
(TIMINGCHECK
(HOLD (posedge D) (posedge CLK) (-0.027:-0.027:-0.027))
(HOLD (negedge D) (posedge CLK) (-0.040:-0.040:-0.040))
(SETUP (posedge D) (posedge CLK) (0.049:0.049:0.049))
(SETUP (negedge D) (posedge CLK) (0.096:0.096:0.096))
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__dfxtp_1")
(INSTANCE _5592_)
(DELAY
(ABSOLUTE
(IOPATH CLK Q (0.389:0.389:0.389) (0.344:0.344:0.344))
)
)
(TIMINGCHECK
(HOLD (posedge D) (posedge CLK) (-0.030:-0.030:-0.030))
(HOLD (negedge D) (posedge CLK) (-0.043:-0.043:-0.043))
(SETUP (posedge D) (posedge CLK) (0.053:0.053:0.053))
(SETUP (negedge D) (posedge CLK) (0.099:0.099:0.099))
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__dfxtp_1")
(INSTANCE _5593_)
(DELAY
(ABSOLUTE
(IOPATH CLK Q (0.352:0.352:0.352) (0.326:0.326:0.326))
)
)
(TIMINGCHECK
(HOLD (posedge D) (posedge CLK) (-0.028:-0.028:-0.028))
(HOLD (negedge D) (posedge CLK) (-0.046:-0.046:-0.046))
(SETUP (posedge D) (posedge CLK) (0.051:0.051:0.051))
(SETUP (negedge D) (posedge CLK) (0.103:0.103:0.103))
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__dfxtp_1")
(INSTANCE _5594_)
(DELAY
(ABSOLUTE
(IOPATH CLK Q (0.368:0.368:0.368) (0.331:0.331:0.331))
)
)
(TIMINGCHECK
(HOLD (posedge D) (posedge CLK) (-0.029:-0.029:-0.029))
(HOLD (negedge D) (posedge CLK) (-0.043:-0.043:-0.043))
(SETUP (posedge D) (posedge CLK) (0.052:0.052:0.052))
(SETUP (negedge D) (posedge CLK) (0.099:0.099:0.099))
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__dfxtp_1")
(INSTANCE _5595_)
(DELAY
(ABSOLUTE
(IOPATH CLK Q (0.335:0.335:0.335) (0.312:0.312:0.312))
)
)
(TIMINGCHECK
(HOLD (posedge D) (posedge CLK) (-0.030:-0.030:-0.030))
(HOLD (negedge D) (posedge CLK) (-0.044:-0.044:-0.044))
(SETUP (posedge D) (posedge CLK) (0.052:0.052:0.052))
(SETUP (negedge D) (posedge CLK) (0.101:0.101:0.101))
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__dfxtp_1")
(INSTANCE _5596_)
(DELAY
(ABSOLUTE
(IOPATH CLK Q (0.373:0.373:0.373) (0.332:0.332:0.332))
)
)
(TIMINGCHECK
(HOLD (posedge D) (posedge CLK) (-0.030:-0.030:-0.030))
(HOLD (negedge D) (posedge CLK) (-0.045:-0.045:-0.045))
(SETUP (posedge D) (posedge CLK) (0.053:0.053:0.053))
(SETUP (negedge D) (posedge CLK) (0.101:0.101:0.101))
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__dfxtp_1")
(INSTANCE _5597_)
(DELAY
(ABSOLUTE
(IOPATH CLK Q (0.374:0.374:0.374) (0.332:0.332:0.332))
)
)
(TIMINGCHECK
(HOLD (posedge D) (posedge CLK) (-0.031:-0.031:-0.031))
(HOLD (negedge D) (posedge CLK) (-0.045:-0.045:-0.045))
(SETUP (posedge D) (posedge CLK) (0.054:0.054:0.054))
(SETUP (negedge D) (posedge CLK) (0.102:0.102:0.102))
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__dfxtp_1")
(INSTANCE _5598_)
(DELAY
(ABSOLUTE
(IOPATH CLK Q (0.412:0.412:0.412) (0.354:0.354:0.354))
)
)
(TIMINGCHECK
(HOLD (posedge D) (posedge CLK) (-0.031:-0.031:-0.031))
(HOLD (negedge D) (posedge CLK) (-0.046:-0.046:-0.046))
(SETUP (posedge D) (posedge CLK) (0.054:0.054:0.054))
(SETUP (negedge D) (posedge CLK) (0.102:0.102:0.102))
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__dfxtp_1")
(INSTANCE _5599_)
(DELAY
(ABSOLUTE
(IOPATH CLK Q (0.326:0.326:0.326) (0.306:0.306:0.306))
)
)
(TIMINGCHECK
(HOLD (posedge D) (posedge CLK) (-0.030:-0.030:-0.030))
(HOLD (negedge D) (posedge CLK) (-0.045:-0.045:-0.045))
(SETUP (posedge D) (posedge CLK) (0.053:0.053:0.053))
(SETUP (negedge D) (posedge CLK) (0.101:0.101:0.101))
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__dfxtp_1")
(INSTANCE _5600_)
(DELAY
(ABSOLUTE
(IOPATH CLK Q (0.437:0.437:0.437) (0.372:0.372:0.372))
)
)
(TIMINGCHECK
(HOLD (posedge D) (posedge CLK) (-0.025:-0.025:-0.025))
(HOLD (negedge D) (posedge CLK) (-0.037:-0.037:-0.037))
(SETUP (posedge D) (posedge CLK) (0.047:0.047:0.047))
(SETUP (negedge D) (posedge CLK) (0.093:0.093:0.093))
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__dfxtp_1")
(INSTANCE _5601_)
(DELAY
(ABSOLUTE
(IOPATH CLK Q (0.417:0.417:0.417) (0.360:0.360:0.360))
)
)
(TIMINGCHECK
(HOLD (posedge D) (posedge CLK) (-0.027:-0.027:-0.027))
(HOLD (negedge D) (posedge CLK) (-0.039:-0.039:-0.039))
(SETUP (posedge D) (posedge CLK) (0.050:0.050:0.050))
(SETUP (negedge D) (posedge CLK) (0.096:0.096:0.096))
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__dfxtp_1")
(INSTANCE _5602_)
(DELAY
(ABSOLUTE
(IOPATH CLK Q (0.392:0.392:0.392) (0.348:0.348:0.348))
)
)
(TIMINGCHECK
(HOLD (posedge D) (posedge CLK) (-0.030:-0.030:-0.030))
(HOLD (negedge D) (posedge CLK) (-0.042:-0.042:-0.042))
(SETUP (posedge D) (posedge CLK) (0.053:0.053:0.053))
(SETUP (negedge D) (posedge CLK) (0.099:0.099:0.099))
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__dfxtp_1")
(INSTANCE _5603_)
(DELAY
(ABSOLUTE
(IOPATH CLK Q (0.408:0.408:0.408) (0.356:0.356:0.356))
)
)
(TIMINGCHECK
(HOLD (posedge D) (posedge CLK) (-0.026:-0.026:-0.026))
(HOLD (negedge D) (posedge CLK) (-0.038:-0.038:-0.038))
(SETUP (posedge D) (posedge CLK) (0.049:0.049:0.049))
(SETUP (negedge D) (posedge CLK) (0.094:0.094:0.094))
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__dfxtp_1")
(INSTANCE _5604_)
(DELAY
(ABSOLUTE
(IOPATH CLK Q (0.325:0.325:0.325) (0.306:0.306:0.306))
)
)
(TIMINGCHECK
(HOLD (posedge D) (posedge CLK) (-0.029:-0.029:-0.029))
(HOLD (negedge D) (posedge CLK) (-0.044:-0.044:-0.044))
(SETUP (posedge D) (posedge CLK) (0.052:0.052:0.052))
(SETUP (negedge D) (posedge CLK) (0.100:0.100:0.100))
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__dfxtp_1")
(INSTANCE _5605_)
(DELAY
(ABSOLUTE
(IOPATH CLK Q (0.337:0.337:0.337) (0.312:0.312:0.312))
)
)
(TIMINGCHECK
(HOLD (posedge D) (posedge CLK) (-0.031:-0.031:-0.031))
(HOLD (negedge D) (posedge CLK) (-0.045:-0.045:-0.045))
(SETUP (posedge D) (posedge CLK) (0.054:0.054:0.054))
(SETUP (negedge D) (posedge CLK) (0.102:0.102:0.102))
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__dfxtp_1")
(INSTANCE _5606_)
(DELAY
(ABSOLUTE
(IOPATH CLK Q (0.363:0.363:0.363) (0.329:0.329:0.329))
)
)
(TIMINGCHECK
(HOLD (posedge D) (posedge CLK) (-0.028:-0.028:-0.028))
(HOLD (negedge D) (posedge CLK) (-0.042:-0.042:-0.042))
(SETUP (posedge D) (posedge CLK) (0.051:0.051:0.051))
(SETUP (negedge D) (posedge CLK) (0.098:0.098:0.098))
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__dfxtp_1")
(INSTANCE _5607_)
(DELAY
(ABSOLUTE
(IOPATH CLK Q (0.380:0.380:0.380) (0.336:0.336:0.336))
)
)
(TIMINGCHECK
(HOLD (posedge D) (posedge CLK) (-0.030:-0.030:-0.030))
(HOLD (negedge D) (posedge CLK) (-0.045:-0.045:-0.045))
(SETUP (posedge D) (posedge CLK) (0.053:0.053:0.053))
(SETUP (negedge D) (posedge CLK) (0.101:0.101:0.101))
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__dfxtp_1")
(INSTANCE _5608_)
(DELAY
(ABSOLUTE
(IOPATH CLK Q (0.374:0.374:0.374) (0.330:0.330:0.330))
)
)
(TIMINGCHECK
(HOLD (posedge D) (posedge CLK) (-0.031:-0.031:-0.031))
(HOLD (negedge D) (posedge CLK) (-0.047:-0.047:-0.047))
(SETUP (posedge D) (posedge CLK) (0.054:0.054:0.054))
(SETUP (negedge D) (posedge CLK) (0.103:0.103:0.103))
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__dfxtp_1")
(INSTANCE _5609_)
(DELAY
(ABSOLUTE
(IOPATH CLK Q (0.357:0.357:0.357) (0.321:0.321:0.321))
)
)
(TIMINGCHECK
(HOLD (posedge D) (posedge CLK) (-0.031:-0.031:-0.031))
(HOLD (negedge D) (posedge CLK) (-0.047:-0.047:-0.047))
(SETUP (posedge D) (posedge CLK) (0.054:0.054:0.054))
(SETUP (negedge D) (posedge CLK) (0.103:0.103:0.103))
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__dfxtp_1")
(INSTANCE _5610_)
(DELAY
(ABSOLUTE
(IOPATH CLK Q (0.367:0.367:0.367) (0.332:0.332:0.332))
)
)
(TIMINGCHECK
(HOLD (posedge D) (posedge CLK) (-0.027:-0.027:-0.027))
(HOLD (negedge D) (posedge CLK) (-0.040:-0.040:-0.040))
(SETUP (posedge D) (posedge CLK) (0.049:0.049:0.049))
(SETUP (negedge D) (posedge CLK) (0.096:0.096:0.096))
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__dfxtp_1")
(INSTANCE _5611_)
(DELAY
(ABSOLUTE
(IOPATH CLK Q (0.348:0.348:0.348) (0.317:0.317:0.317))
)
)
(TIMINGCHECK
(HOLD (posedge D) (posedge CLK) (-0.032:-0.032:-0.032))
(HOLD (negedge D) (posedge CLK) (-0.048:-0.048:-0.048))
(SETUP (posedge D) (posedge CLK) (0.055:0.055:0.055))
(SETUP (negedge D) (posedge CLK) (0.105:0.105:0.105))
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__dfxtp_1")
(INSTANCE _5612_)
(DELAY
(ABSOLUTE
(IOPATH CLK Q (0.382:0.382:0.382) (0.340:0.340:0.340))
)
)
(TIMINGCHECK
(HOLD (posedge D) (posedge CLK) (-0.029:-0.029:-0.029))
(HOLD (negedge D) (posedge CLK) (-0.042:-0.042:-0.042))
(SETUP (posedge D) (posedge CLK) (0.052:0.052:0.052))
(SETUP (negedge D) (posedge CLK) (0.098:0.098:0.098))
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__dfxtp_1")
(INSTANCE _5613_)
(DELAY
(ABSOLUTE
(IOPATH CLK Q (0.384:0.384:0.384) (0.341:0.341:0.341))
)
)
(TIMINGCHECK
(HOLD (posedge D) (posedge CLK) (-0.027:-0.027:-0.027))
(HOLD (negedge D) (posedge CLK) (-0.040:-0.040:-0.040))
(SETUP (posedge D) (posedge CLK) (0.050:0.050:0.050))
(SETUP (negedge D) (posedge CLK) (0.097:0.097:0.097))
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__dfxtp_1")
(INSTANCE _5614_)
(DELAY
(ABSOLUTE
(IOPATH CLK Q (0.356:0.356:0.356) (0.327:0.327:0.327))
)
)
(TIMINGCHECK
(HOLD (posedge D) (posedge CLK) (-0.028:-0.028:-0.028))
(HOLD (negedge D) (posedge CLK) (-0.046:-0.046:-0.046))
(SETUP (posedge D) (posedge CLK) (0.050:0.050:0.050))
(SETUP (negedge D) (posedge CLK) (0.102:0.102:0.102))
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__dfxtp_1")
(INSTANCE _5615_)
(DELAY
(ABSOLUTE
(IOPATH CLK Q (0.372:0.372:0.372) (0.334:0.334:0.334))
)
)
(TIMINGCHECK
(HOLD (posedge D) (posedge CLK) (-0.028:-0.028:-0.028))
(HOLD (negedge D) (posedge CLK) (-0.041:-0.041:-0.041))
(SETUP (posedge D) (posedge CLK) (0.050:0.050:0.050))
(SETUP (negedge D) (posedge CLK) (0.098:0.098:0.098))
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__dfxtp_1")
(INSTANCE _5616_)
(DELAY
(ABSOLUTE
(IOPATH CLK Q (0.405:0.405:0.405) (0.350:0.350:0.350))
)
)
(TIMINGCHECK
(HOLD (posedge D) (posedge CLK) (-0.031:-0.031:-0.031))
(HOLD (negedge D) (posedge CLK) (-0.045:-0.045:-0.045))
(SETUP (posedge D) (posedge CLK) (0.053:0.053:0.053))
(SETUP (negedge D) (posedge CLK) (0.102:0.102:0.102))
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__dfxtp_1")
(INSTANCE _5617_)
(DELAY
(ABSOLUTE
(IOPATH CLK Q (0.333:0.333:0.333) (0.310:0.310:0.310))
)
)
(TIMINGCHECK
(HOLD (posedge D) (posedge CLK) (-0.031:-0.031:-0.031))
(HOLD (negedge D) (posedge CLK) (-0.046:-0.046:-0.046))
(SETUP (posedge D) (posedge CLK) (0.054:0.054:0.054))
(SETUP (negedge D) (posedge CLK) (0.103:0.103:0.103))
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__dfxtp_1")
(INSTANCE _5618_)
(DELAY
(ABSOLUTE
(IOPATH CLK Q (0.396:0.396:0.396) (0.347:0.347:0.347))
)
)
(TIMINGCHECK
(HOLD (posedge D) (posedge CLK) (-0.029:-0.029:-0.029))
(HOLD (negedge D) (posedge CLK) (-0.044:-0.046:-0.048))
(SETUP (posedge D) (posedge CLK) (0.051:0.052:0.052))
(SETUP (negedge D) (posedge CLK) (0.100:0.102:0.104))
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__dfxtp_1")
(INSTANCE _5619_)
(DELAY
(ABSOLUTE
(IOPATH CLK Q (0.442:0.442:0.442) (0.372:0.372:0.372))
)
)
(TIMINGCHECK
(HOLD (posedge D) (posedge CLK) (-0.029:-0.029:-0.029))
(HOLD (negedge D) (posedge CLK) (-0.042:-0.045:-0.047))
(SETUP (posedge D) (posedge CLK) (0.051:0.051:0.051))
(SETUP (negedge D) (posedge CLK) (0.099:0.101:0.104))
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__dfxtp_1")
(INSTANCE _5620_)
(DELAY
(ABSOLUTE
(IOPATH CLK Q (0.429:0.429:0.429) (0.365:0.365:0.365))
)
)
(TIMINGCHECK
(HOLD (posedge D) (posedge CLK) (-0.029:-0.029:-0.029))
(HOLD (negedge D) (posedge CLK) (-0.042:-0.045:-0.047))
(SETUP (posedge D) (posedge CLK) (0.051:0.051:0.051))
(SETUP (negedge D) (posedge CLK) (0.099:0.101:0.104))
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__dfxtp_1")
(INSTANCE _5621_)
(DELAY
(ABSOLUTE
(IOPATH CLK Q (0.419:0.419:0.419) (0.360:0.360:0.360))
)
)
(TIMINGCHECK
(HOLD (posedge D) (posedge CLK) (-0.030:-0.030:-0.030))
(HOLD (negedge D) (posedge CLK) (-0.044:-0.046:-0.049))
(SETUP (posedge D) (posedge CLK) (0.052:0.053:0.053))
(SETUP (negedge D) (posedge CLK) (0.101:0.103:0.106))
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__dfxtp_1")
(INSTANCE _5622_)
(DELAY
(ABSOLUTE
(IOPATH CLK Q (0.389:0.389:0.389) (0.344:0.344:0.344))
)
)
(TIMINGCHECK
(HOLD (posedge D) (posedge CLK) (-0.030:-0.030:-0.030))
(HOLD (negedge D) (posedge CLK) (-0.044:-0.046:-0.049))
(SETUP (posedge D) (posedge CLK) (0.052:0.052:0.053))
(SETUP (negedge D) (posedge CLK) (0.100:0.103:0.105))
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__dfxtp_1")
(INSTANCE _5623_)
(DELAY
(ABSOLUTE
(IOPATH CLK Q (0.394:0.394:0.394) (0.347:0.347:0.347))
)
)
(TIMINGCHECK
(HOLD (posedge D) (posedge CLK) (-0.029:-0.029:-0.029))
(HOLD (negedge D) (posedge CLK) (-0.042:-0.045:-0.047))
(SETUP (posedge D) (posedge CLK) (0.051:0.051:0.051))
(SETUP (negedge D) (posedge CLK) (0.099:0.101:0.104))
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__dfxtp_1")
(INSTANCE _5624_)
(DELAY
(ABSOLUTE
(IOPATH CLK Q (0.384:0.384:0.384) (0.341:0.341:0.341))
)
)
(TIMINGCHECK
(HOLD (posedge D) (posedge CLK) (-0.030:-0.030:-0.030))
(HOLD (negedge D) (posedge CLK) (-0.044:-0.046:-0.049))
(SETUP (posedge D) (posedge CLK) (0.052:0.053:0.053))
(SETUP (negedge D) (posedge CLK) (0.101:0.103:0.106))
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__dfxtp_1")
(INSTANCE _5625_)
(DELAY
(ABSOLUTE
(IOPATH CLK Q (0.384:0.384:0.384) (0.341:0.341:0.341))
)
)
(TIMINGCHECK
(HOLD (posedge D) (posedge CLK) (-0.029:-0.029:-0.029))
(HOLD (negedge D) (posedge CLK) (-0.043:-0.045:-0.048))
(SETUP (posedge D) (posedge CLK) (0.052:0.052:0.052))
(SETUP (negedge D) (posedge CLK) (0.100:0.102:0.105))
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__dfxtp_1")
(INSTANCE _5626_)
(DELAY
(ABSOLUTE
(IOPATH CLK Q (0.385:0.385:0.385) (0.342:0.342:0.342))
)
)
(TIMINGCHECK
(HOLD (posedge D) (posedge CLK) (-0.029:-0.029:-0.029))
(HOLD (negedge D) (posedge CLK) (-0.042:-0.045:-0.047))
(SETUP (posedge D) (posedge CLK) (0.051:0.051:0.051))
(SETUP (negedge D) (posedge CLK) (0.099:0.101:0.104))
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__dfxtp_1")
(INSTANCE _5627_)
(DELAY
(ABSOLUTE
(IOPATH CLK Q (0.426:0.426:0.426) (0.364:0.364:0.364))
)
)
(TIMINGCHECK
(HOLD (posedge D) (posedge CLK) (-0.031:-0.031:-0.031))
(HOLD (negedge D) (posedge CLK) (-0.045:-0.048:-0.050))
(SETUP (posedge D) (posedge CLK) (0.054:0.054:0.054))
(SETUP (negedge D) (posedge CLK) (0.102:0.105:0.107))
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__dfxtp_1")
(INSTANCE _5628_)
(DELAY
(ABSOLUTE
(IOPATH CLK Q (0.438:0.438:0.438) (0.371:0.371:0.371))
)
)
(TIMINGCHECK
(HOLD (posedge D) (posedge CLK) (-0.031:-0.031:-0.031))
(HOLD (negedge D) (posedge CLK) (-0.047:-0.048:-0.050))
(SETUP (posedge D) (posedge CLK) (0.054:0.054:0.054))
(SETUP (negedge D) (posedge CLK) (0.103:0.105:0.107))
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__dfxtp_1")
(INSTANCE _5629_)
(DELAY
(ABSOLUTE
(IOPATH CLK Q (0.349:0.349:0.349) (0.322:0.322:0.322))
)
)
(TIMINGCHECK
(HOLD (posedge D) (posedge CLK) (-0.028:-0.028:-0.029))
(HOLD (negedge D) (posedge CLK) (-0.043:-0.045:-0.047))
(SETUP (posedge D) (posedge CLK) (0.050:0.051:0.051))
(SETUP (negedge D) (posedge CLK) (0.100:0.101:0.103))
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__dfxtp_1")
(INSTANCE _5630_)
(DELAY
(ABSOLUTE
(IOPATH CLK Q (0.309:0.309:0.309) (0.299:0.299:0.299))
)
)
(TIMINGCHECK
(HOLD (posedge D) (posedge CLK) (-0.030:-0.030:-0.030))
(HOLD (negedge D) (posedge CLK) (-0.042:-0.042:-0.042))
(SETUP (posedge D) (posedge CLK) (0.053:0.053:0.053))
(SETUP (negedge D) (posedge CLK) (0.099:0.099:0.099))
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__dfxtp_1")
(INSTANCE _5631_)
(DELAY
(ABSOLUTE
(IOPATH CLK Q (0.309:0.309:0.309) (0.299:0.299:0.299))
)
)
(TIMINGCHECK
(HOLD (posedge D) (posedge CLK) (-0.035:-0.035:-0.035))
(HOLD (negedge D) (posedge CLK) (-0.046:-0.046:-0.046))
(SETUP (posedge D) (posedge CLK) (0.058:0.058:0.058))
(SETUP (negedge D) (posedge CLK) (0.103:0.103:0.103))
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__dfxtp_1")
(INSTANCE _5632_)
(DELAY
(ABSOLUTE
(IOPATH CLK Q (0.349:0.349:0.349) (0.323:0.323:0.323))
)
)
(TIMINGCHECK
(HOLD (posedge D) (posedge CLK) (-0.029:-0.029:-0.029))
(HOLD (negedge D) (posedge CLK) (-0.041:-0.041:-0.041))
(SETUP (posedge D) (posedge CLK) (0.052:0.052:0.052))
(SETUP (negedge D) (posedge CLK) (0.098:0.098:0.098))
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__dfxtp_1")
(INSTANCE _5633_)
(DELAY
(ABSOLUTE
(IOPATH CLK Q (0.795:0.795:0.795) (0.560:0.560:0.560))
)
)
(TIMINGCHECK
(HOLD (posedge D) (posedge CLK) (-0.041:-0.041:-0.041))
(HOLD (negedge D) (posedge CLK) (-0.055:-0.057:-0.059))
(SETUP (posedge D) (posedge CLK) (0.064:0.065:0.065))
(SETUP (negedge D) (posedge CLK) (0.113:0.114:0.116))
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__dfxtp_1")
(INSTANCE _5634_)
(DELAY
(ABSOLUTE
(IOPATH CLK Q (0.337:0.337:0.337) (0.316:0.316:0.316))
)
)
(TIMINGCHECK
(HOLD (posedge D) (posedge CLK) (-0.029:-0.029:-0.029))
(HOLD (negedge D) (posedge CLK) (-0.044:-0.046:-0.048))
(SETUP (posedge D) (posedge CLK) (0.051:0.051:0.051))
(SETUP (negedge D) (posedge CLK) (0.100:0.102:0.104))
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__dfxtp_1")
(INSTANCE _5635_)
(DELAY
(ABSOLUTE
(IOPATH CLK Q (0.351:0.351:0.351) (0.324:0.324:0.324))
)
)
(TIMINGCHECK
(HOLD (posedge D) (posedge CLK) (-0.028:-0.028:-0.028))
(HOLD (negedge D) (posedge CLK) (-0.043:-0.045:-0.047))
(SETUP (posedge D) (posedge CLK) (0.051:0.051:0.051))
(SETUP (negedge D) (posedge CLK) (0.100:0.102:0.104))
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__dfxtp_1")
(INSTANCE _5636_)
(DELAY
(ABSOLUTE
(IOPATH CLK Q (0.342:0.342:0.342) (0.319:0.319:0.319))
)
)
(TIMINGCHECK
(HOLD (posedge D) (posedge CLK) (-0.027:-0.027:-0.028))
(HOLD (negedge D) (posedge CLK) (-0.042:-0.044:-0.046))
(SETUP (posedge D) (posedge CLK) (0.050:0.050:0.050))
(SETUP (negedge D) (posedge CLK) (0.098:0.100:0.102))
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__dfxtp_1")
(INSTANCE _5637_)
(DELAY
(ABSOLUTE
(IOPATH CLK Q (0.347:0.347:0.347) (0.322:0.322:0.322))
)
)
(TIMINGCHECK
(HOLD (posedge D) (posedge CLK) (-0.028:-0.028:-0.028))
(HOLD (negedge D) (posedge CLK) (-0.042:-0.044:-0.046))
(SETUP (posedge D) (posedge CLK) (0.050:0.050:0.050))
(SETUP (negedge D) (posedge CLK) (0.099:0.101:0.103))
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__dfxtp_1")
(INSTANCE _5638_)
(DELAY
(ABSOLUTE
(IOPATH CLK Q (0.348:0.348:0.348) (0.322:0.322:0.322))
)
)
(TIMINGCHECK
(HOLD (posedge D) (posedge CLK) (-0.027:-0.027:-0.027))
(HOLD (negedge D) (posedge CLK) (-0.042:-0.043:-0.045))
(SETUP (posedge D) (posedge CLK) (0.050:0.050:0.050))
(SETUP (negedge D) (posedge CLK) (0.098:0.100:0.102))
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__dfxtp_1")
(INSTANCE _5639_)
(DELAY
(ABSOLUTE
(IOPATH CLK Q (0.350:0.350:0.350) (0.324:0.324:0.324))
)
)
(TIMINGCHECK
(HOLD (posedge D) (posedge CLK) (-0.027:-0.027:-0.027))
(HOLD (negedge D) (posedge CLK) (-0.042:-0.043:-0.045))
(SETUP (posedge D) (posedge CLK) (0.050:0.050:0.050))
(SETUP (negedge D) (posedge CLK) (0.098:0.100:0.102))
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__dfxtp_1")
(INSTANCE _5640_)
(DELAY
(ABSOLUTE
(IOPATH CLK Q (0.334:0.334:0.334) (0.312:0.312:0.312))
)
)
(TIMINGCHECK
(HOLD (posedge D) (posedge CLK) (-0.032:-0.032:-0.032))
(HOLD (negedge D) (posedge CLK) (-0.048:-0.050:-0.052))
(SETUP (posedge D) (posedge CLK) (0.054:0.054:0.055))
(SETUP (negedge D) (posedge CLK) (0.105:0.107:0.109))
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__dfxtp_1")
(INSTANCE _5641_)
(DELAY
(ABSOLUTE
(IOPATH CLK Q (0.330:0.330:0.330) (0.312:0.312:0.312))
)
)
(TIMINGCHECK
(HOLD (posedge D) (posedge CLK) (-0.027:-0.027:-0.027))
(HOLD (negedge D) (posedge CLK) (-0.041:-0.043:-0.045))
(SETUP (posedge D) (posedge CLK) (0.049:0.049:0.049))
(SETUP (negedge D) (posedge CLK) (0.097:0.099:0.101))
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__dfxtp_1")
(INSTANCE _5642_)
(DELAY
(ABSOLUTE
(IOPATH CLK Q (0.324:0.324:0.324) (0.308:0.308:0.308))
)
)
(TIMINGCHECK
(HOLD (posedge D) (posedge CLK) (-0.027:-0.027:-0.027))
(HOLD (negedge D) (posedge CLK) (-0.042:-0.043:-0.045))
(SETUP (posedge D) (posedge CLK) (0.050:0.050:0.050))
(SETUP (negedge D) (posedge CLK) (0.098:0.100:0.102))
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__dfxtp_1")
(INSTANCE _5643_)
(DELAY
(ABSOLUTE
(IOPATH CLK Q (0.324:0.324:0.324) (0.308:0.308:0.308))
)
)
(TIMINGCHECK
(HOLD (posedge D) (posedge CLK) (-0.028:-0.028:-0.028))
(HOLD (negedge D) (posedge CLK) (-0.042:-0.044:-0.046))
(SETUP (posedge D) (posedge CLK) (0.050:0.050:0.050))
(SETUP (negedge D) (posedge CLK) (0.099:0.101:0.103))
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__dfxtp_1")
(INSTANCE _5644_)
(DELAY
(ABSOLUTE
(IOPATH CLK Q (0.338:0.338:0.338) (0.317:0.317:0.317))
)
)
(TIMINGCHECK
(HOLD (posedge D) (posedge CLK) (-0.027:-0.027:-0.027))
(HOLD (negedge D) (posedge CLK) (-0.041:-0.043:-0.045))
(SETUP (posedge D) (posedge CLK) (0.049:0.049:0.050))
(SETUP (negedge D) (posedge CLK) (0.098:0.100:0.102))
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__dfxtp_1")
(INSTANCE _5645_)
(DELAY
(ABSOLUTE
(IOPATH CLK Q (0.335:0.335:0.335) (0.315:0.315:0.315))
)
)
(TIMINGCHECK
(HOLD (posedge D) (posedge CLK) (-0.027:-0.027:-0.027))
(HOLD (negedge D) (posedge CLK) (-0.042:-0.044:-0.045))
(SETUP (posedge D) (posedge CLK) (0.049:0.050:0.050))
(SETUP (negedge D) (posedge CLK) (0.098:0.100:0.102))
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__dfxtp_1")
(INSTANCE _5646_)
(DELAY
(ABSOLUTE
(IOPATH CLK Q (0.417:0.417:0.417) (0.403:0.403:0.403))
)
)
(TIMINGCHECK
(HOLD (posedge D) (posedge CLK) (0.024:0.024:0.024))
(HOLD (negedge D) (posedge CLK) (0.051:0.051:0.051))
(SETUP (posedge D) (posedge CLK) (-0.006:-0.006:-0.006))
(SETUP (negedge D) (posedge CLK) (0.003:0.003:0.003))
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__dfxtp_1")
(INSTANCE _5647_)
(DELAY
(ABSOLUTE
(IOPATH CLK Q (0.425:0.425:0.425) (0.409:0.409:0.409))
)
)
(TIMINGCHECK
(HOLD (posedge D) (posedge CLK) (0.026:0.026:0.026))
(HOLD (negedge D) (posedge CLK) (0.053:0.053:0.053))
(SETUP (posedge D) (posedge CLK) (-0.008:-0.008:-0.008))
(SETUP (negedge D) (posedge CLK) (0.000:0.000:0.000))
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__dfxtp_1")
(INSTANCE _5648_)
(DELAY
(ABSOLUTE
(IOPATH CLK Q (0.417:0.417:0.417) (0.403:0.403:0.403))
)
)
(TIMINGCHECK
(HOLD (posedge D) (posedge CLK) (0.024:0.024:0.024))
(HOLD (negedge D) (posedge CLK) (0.050:0.050:0.050))
(SETUP (posedge D) (posedge CLK) (-0.006:-0.006:-0.006))
(SETUP (negedge D) (posedge CLK) (0.003:0.003:0.003))
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__dfxtp_1")
(INSTANCE _5649_)
(DELAY
(ABSOLUTE
(IOPATH CLK Q (0.418:0.418:0.418) (0.404:0.404:0.404))
)
)
(TIMINGCHECK
(HOLD (posedge D) (posedge CLK) (0.028:0.028:0.028))
(HOLD (negedge D) (posedge CLK) (0.055:0.055:0.055))
(SETUP (posedge D) (posedge CLK) (-0.010:-0.010:-0.010))
(SETUP (negedge D) (posedge CLK) (-0.002:-0.002:-0.002))
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__dfxtp_1")
(INSTANCE _5650_)
(DELAY
(ABSOLUTE
(IOPATH CLK Q (0.425:0.425:0.425) (0.409:0.409:0.409))
)
)
(TIMINGCHECK
(HOLD (posedge D) (posedge CLK) (0.029:0.029:0.029))
(HOLD (negedge D) (posedge CLK) (0.057:0.057:0.057))
(SETUP (posedge D) (posedge CLK) (-0.012:-0.012:-0.011))
(SETUP (negedge D) (posedge CLK) (-0.004:-0.004:-0.004))
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__dfxtp_1")
(INSTANCE _5651_)
(DELAY
(ABSOLUTE
(IOPATH CLK Q (0.420:0.420:0.420) (0.406:0.406:0.406))
)
)
(TIMINGCHECK
(HOLD (posedge D) (posedge CLK) (0.030:0.030:0.030))
(HOLD (negedge D) (posedge CLK) (0.058:0.058:0.058))
(SETUP (posedge D) (posedge CLK) (-0.012:-0.012:-0.012))
(SETUP (negedge D) (posedge CLK) (-0.005:-0.005:-0.005))
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__clkbuf_1")
(INSTANCE _5837_)
(DELAY
(ABSOLUTE
(IOPATH A X (0.142:0.142:0.142) (0.123:0.129:0.135))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__clkbuf_16")
(INSTANCE clkbuf_0_acorn_prng\.clk)
(DELAY
(ABSOLUTE
(IOPATH A X (0.315:0.315:0.315) (0.384:0.384:0.384))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__clkbuf_2")
(INSTANCE clkbuf_1_0_0_acorn_prng\.clk)
(DELAY
(ABSOLUTE
(IOPATH A X (0.119:0.119:0.119) (0.114:0.114:0.114))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__clkbuf_2")
(INSTANCE clkbuf_1_0_1_acorn_prng\.clk)
(DELAY
(ABSOLUTE
(IOPATH A X (0.111:0.111:0.111) (0.109:0.109:0.109))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__clkbuf_2")
(INSTANCE clkbuf_1_0_2_acorn_prng\.clk)
(DELAY
(ABSOLUTE
(IOPATH A X (0.183:0.183:0.183) (0.164:0.164:0.164))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__clkbuf_2")
(INSTANCE clkbuf_1_1_0_acorn_prng\.clk)
(DELAY
(ABSOLUTE
(IOPATH A X (0.117:0.117:0.117) (0.112:0.112:0.112))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__clkbuf_2")
(INSTANCE clkbuf_1_1_1_acorn_prng\.clk)
(DELAY
(ABSOLUTE
(IOPATH A X (0.111:0.111:0.111) (0.109:0.109:0.109))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__clkbuf_2")
(INSTANCE clkbuf_1_1_2_acorn_prng\.clk)
(DELAY
(ABSOLUTE
(IOPATH A X (0.191:0.191:0.191) (0.170:0.170:0.170))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__clkbuf_2")
(INSTANCE clkbuf_2_0_0_acorn_prng\.clk)
(DELAY
(ABSOLUTE
(IOPATH A X (0.145:0.145:0.145) (0.140:0.140:0.140))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__clkbuf_2")
(INSTANCE clkbuf_2_0_1_acorn_prng\.clk)
(DELAY
(ABSOLUTE
(IOPATH A X (0.532:0.532:0.532) (0.401:0.401:0.401))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__clkbuf_2")
(INSTANCE clkbuf_2_1_0_acorn_prng\.clk)
(DELAY
(ABSOLUTE
(IOPATH A X (0.146:0.146:0.146) (0.141:0.141:0.141))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__clkbuf_2")
(INSTANCE clkbuf_2_1_1_acorn_prng\.clk)
(DELAY
(ABSOLUTE
(IOPATH A X (0.695:0.695:0.695) (0.515:0.515:0.515))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__clkbuf_2")
(INSTANCE clkbuf_2_2_0_acorn_prng\.clk)
(DELAY
(ABSOLUTE
(IOPATH A X (0.152:0.152:0.152) (0.147:0.147:0.147))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__clkbuf_2")
(INSTANCE clkbuf_2_2_1_acorn_prng\.clk)
(DELAY
(ABSOLUTE
(IOPATH A X (0.696:0.696:0.696) (0.516:0.516:0.516))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__clkbuf_2")
(INSTANCE clkbuf_2_3_0_acorn_prng\.clk)
(DELAY
(ABSOLUTE
(IOPATH A X (0.147:0.147:0.147) (0.143:0.143:0.143))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__clkbuf_2")
(INSTANCE clkbuf_2_3_1_acorn_prng\.clk)
(DELAY
(ABSOLUTE
(IOPATH A X (0.966:0.966:0.966) (0.699:0.699:0.699))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__clkbuf_16")
(INSTANCE clkbuf_leaf_0_acorn_prng\.clk)
(DELAY
(ABSOLUTE
(IOPATH A X (0.115:0.115:0.115) (0.134:0.134:0.134))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__clkbuf_16")
(INSTANCE clkbuf_leaf_10_acorn_prng\.clk)
(DELAY
(ABSOLUTE
(IOPATH A X (0.135:0.135:0.135) (0.150:0.150:0.150))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__clkbuf_16")
(INSTANCE clkbuf_leaf_11_acorn_prng\.clk)
(DELAY
(ABSOLUTE
(IOPATH A X (0.158:0.158:0.158) (0.167:0.167:0.167))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__clkbuf_16")
(INSTANCE clkbuf_leaf_12_acorn_prng\.clk)
(DELAY
(ABSOLUTE
(IOPATH A X (0.144:0.144:0.144) (0.158:0.158:0.158))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__clkbuf_16")
(INSTANCE clkbuf_leaf_13_acorn_prng\.clk)
(DELAY
(ABSOLUTE
(IOPATH A X (0.140:0.140:0.140) (0.154:0.154:0.154))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__clkbuf_16")
(INSTANCE clkbuf_leaf_14_acorn_prng\.clk)
(DELAY
(ABSOLUTE
(IOPATH A X (0.140:0.140:0.140) (0.155:0.155:0.155))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__clkbuf_16")
(INSTANCE clkbuf_leaf_15_acorn_prng\.clk)
(DELAY
(ABSOLUTE
(IOPATH A X (0.149:0.149:0.149) (0.160:0.160:0.160))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__clkbuf_16")
(INSTANCE clkbuf_leaf_16_acorn_prng\.clk)
(DELAY
(ABSOLUTE
(IOPATH A X (0.335:0.335:0.335) (0.450:0.450:0.450))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__clkbuf_16")
(INSTANCE clkbuf_leaf_17_acorn_prng\.clk)
(DELAY
(ABSOLUTE
(IOPATH A X (0.304:0.304:0.304) (0.384:0.384:0.384))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__clkbuf_16")
(INSTANCE clkbuf_leaf_18_acorn_prng\.clk)
(DELAY
(ABSOLUTE
(IOPATH A X (0.303:0.303:0.303) (0.383:0.383:0.383))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__clkbuf_16")
(INSTANCE clkbuf_leaf_19_acorn_prng\.clk)
(DELAY
(ABSOLUTE
(IOPATH A X (0.282:0.282:0.282) (0.323:0.323:0.323))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__clkbuf_16")
(INSTANCE clkbuf_leaf_1_acorn_prng\.clk)
(DELAY
(ABSOLUTE
(IOPATH A X (0.110:0.110:0.110) (0.130:0.130:0.130))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__clkbuf_16")
(INSTANCE clkbuf_leaf_20_acorn_prng\.clk)
(DELAY
(ABSOLUTE
(IOPATH A X (0.287:0.287:0.287) (0.326:0.326:0.326))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__clkbuf_16")
(INSTANCE clkbuf_leaf_21_acorn_prng\.clk)
(DELAY
(ABSOLUTE
(IOPATH A X (0.276:0.276:0.276) (0.318:0.318:0.318))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__clkbuf_16")
(INSTANCE clkbuf_leaf_22_acorn_prng\.clk)
(DELAY
(ABSOLUTE
(IOPATH A X (0.342:0.342:0.342) (0.410:0.410:0.410))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__clkbuf_16")
(INSTANCE clkbuf_leaf_23_acorn_prng\.clk)
(DELAY
(ABSOLUTE
(IOPATH A X (0.366:0.366:0.366) (0.429:0.429:0.429))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__clkbuf_16")
(INSTANCE clkbuf_leaf_24_acorn_prng\.clk)
(DELAY
(ABSOLUTE
(IOPATH A X (0.168:0.168:0.168) (0.176:0.176:0.176))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__clkbuf_16")
(INSTANCE clkbuf_leaf_25_acorn_prng\.clk)
(DELAY
(ABSOLUTE
(IOPATH A X (0.151:0.151:0.151) (0.163:0.163:0.163))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__clkbuf_16")
(INSTANCE clkbuf_leaf_26_acorn_prng\.clk)
(DELAY
(ABSOLUTE
(IOPATH A X (0.148:0.148:0.148) (0.160:0.160:0.160))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__clkbuf_16")
(INSTANCE clkbuf_leaf_27_acorn_prng\.clk)
(DELAY
(ABSOLUTE
(IOPATH A X (0.333:0.333:0.333) (0.448:0.448:0.448))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__clkbuf_16")
(INSTANCE clkbuf_leaf_28_acorn_prng\.clk)
(DELAY
(ABSOLUTE
(IOPATH A X (0.346:0.346:0.346) (0.458:0.458:0.458))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__clkbuf_16")
(INSTANCE clkbuf_leaf_29_acorn_prng\.clk)
(DELAY
(ABSOLUTE
(IOPATH A X (0.335:0.335:0.335) (0.450:0.450:0.450))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__clkbuf_16")
(INSTANCE clkbuf_leaf_2_acorn_prng\.clk)
(DELAY
(ABSOLUTE
(IOPATH A X (0.118:0.118:0.118) (0.137:0.137:0.137))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__clkbuf_16")
(INSTANCE clkbuf_leaf_30_acorn_prng\.clk)
(DELAY
(ABSOLUTE
(IOPATH A X (0.347:0.347:0.347) (0.458:0.458:0.458))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__clkbuf_16")
(INSTANCE clkbuf_leaf_31_acorn_prng\.clk)
(DELAY
(ABSOLUTE
(IOPATH A X (0.138:0.138:0.138) (0.153:0.153:0.153))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__clkbuf_16")
(INSTANCE clkbuf_leaf_32_acorn_prng\.clk)
(DELAY
(ABSOLUTE
(IOPATH A X (0.150:0.150:0.150) (0.161:0.161:0.161))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__clkbuf_16")
(INSTANCE clkbuf_leaf_33_acorn_prng\.clk)
(DELAY
(ABSOLUTE
(IOPATH A X (0.331:0.331:0.331) (0.447:0.447:0.447))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__clkbuf_16")
(INSTANCE clkbuf_leaf_34_acorn_prng\.clk)
(DELAY
(ABSOLUTE
(IOPATH A X (0.308:0.308:0.308) (0.383:0.383:0.383))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__clkbuf_16")
(INSTANCE clkbuf_leaf_35_acorn_prng\.clk)
(DELAY
(ABSOLUTE
(IOPATH A X (0.144:0.144:0.144) (0.158:0.158:0.158))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__clkbuf_16")
(INSTANCE clkbuf_leaf_36_acorn_prng\.clk)
(DELAY
(ABSOLUTE
(IOPATH A X (0.146:0.146:0.146) (0.158:0.158:0.158))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__clkbuf_16")
(INSTANCE clkbuf_leaf_37_acorn_prng\.clk)
(DELAY
(ABSOLUTE
(IOPATH A X (0.144:0.144:0.144) (0.156:0.156:0.156))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__clkbuf_16")
(INSTANCE clkbuf_leaf_3_acorn_prng\.clk)
(DELAY
(ABSOLUTE
(IOPATH A X (0.155:0.155:0.155) (0.166:0.166:0.166))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__clkbuf_16")
(INSTANCE clkbuf_leaf_40_acorn_prng\.clk)
(DELAY
(ABSOLUTE
(IOPATH A X (0.131:0.131:0.131) (0.147:0.147:0.147))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__clkbuf_16")
(INSTANCE clkbuf_leaf_41_acorn_prng\.clk)
(DELAY
(ABSOLUTE
(IOPATH A X (0.136:0.136:0.136) (0.151:0.151:0.151))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__clkbuf_16")
(INSTANCE clkbuf_leaf_42_acorn_prng\.clk)
(DELAY
(ABSOLUTE
(IOPATH A X (0.142:0.142:0.142) (0.156:0.156:0.156))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__clkbuf_16")
(INSTANCE clkbuf_leaf_43_acorn_prng\.clk)
(DELAY
(ABSOLUTE
(IOPATH A X (0.124:0.124:0.124) (0.141:0.141:0.141))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__clkbuf_16")
(INSTANCE clkbuf_leaf_4_acorn_prng\.clk)
(DELAY
(ABSOLUTE
(IOPATH A X (0.150:0.150:0.150) (0.162:0.162:0.162))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__clkbuf_16")
(INSTANCE clkbuf_leaf_5_acorn_prng\.clk)
(DELAY
(ABSOLUTE
(IOPATH A X (0.140:0.140:0.140) (0.154:0.154:0.154))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__clkbuf_16")
(INSTANCE clkbuf_leaf_6_acorn_prng\.clk)
(DELAY
(ABSOLUTE
(IOPATH A X (0.134:0.134:0.134) (0.150:0.150:0.150))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__clkbuf_16")
(INSTANCE clkbuf_leaf_7_acorn_prng\.clk)
(DELAY
(ABSOLUTE
(IOPATH A X (0.143:0.143:0.143) (0.156:0.156:0.156))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__clkbuf_16")
(INSTANCE clkbuf_leaf_8_acorn_prng\.clk)
(DELAY
(ABSOLUTE
(IOPATH A X (0.143:0.143:0.143) (0.157:0.157:0.157))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__clkbuf_16")
(INSTANCE clkbuf_leaf_9_acorn_prng\.clk)
(DELAY
(ABSOLUTE
(IOPATH A X (0.171:0.171:0.171) (0.177:0.177:0.177))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__clkbuf_16")
(INSTANCE clkbuf_opt_10_0_acorn_prng\.clk)
(DELAY
(ABSOLUTE
(IOPATH A X (0.279:0.279:0.279) (0.365:0.365:0.365))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__clkbuf_16")
(INSTANCE clkbuf_opt_10_1_acorn_prng\.clk)
(DELAY
(ABSOLUTE
(IOPATH A X (0.134:0.134:0.134) (0.148:0.148:0.148))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__clkbuf_16")
(INSTANCE clkbuf_opt_11_0_acorn_prng\.clk)
(DELAY
(ABSOLUTE
(IOPATH A X (0.280:0.280:0.280) (0.366:0.366:0.366))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__clkbuf_16")
(INSTANCE clkbuf_opt_11_1_acorn_prng\.clk)
(DELAY
(ABSOLUTE
(IOPATH A X (0.125:0.125:0.125) (0.142:0.142:0.142))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__clkbuf_16")
(INSTANCE clkbuf_opt_11_2_acorn_prng\.clk)
(DELAY
(ABSOLUTE
(IOPATH A X (0.166:0.166:0.166) (0.173:0.173:0.173))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__clkbuf_16")
(INSTANCE clkbuf_opt_12_0_acorn_prng\.clk)
(DELAY
(ABSOLUTE
(IOPATH A X (0.278:0.278:0.278) (0.361:0.361:0.361))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__clkbuf_16")
(INSTANCE clkbuf_opt_12_1_acorn_prng\.clk)
(DELAY
(ABSOLUTE
(IOPATH A X (0.145:0.145:0.145) (0.157:0.157:0.157))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__clkbuf_16")
(INSTANCE clkbuf_opt_13_0_acorn_prng\.clk)
(DELAY
(ABSOLUTE
(IOPATH A X (0.279:0.279:0.279) (0.361:0.361:0.361))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__clkbuf_16")
(INSTANCE clkbuf_opt_13_1_acorn_prng\.clk)
(DELAY
(ABSOLUTE
(IOPATH A X (0.137:0.137:0.137) (0.151:0.151:0.151))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__clkbuf_16")
(INSTANCE clkbuf_opt_14_0_acorn_prng\.clk)
(DELAY
(ABSOLUTE
(IOPATH A X (0.279:0.279:0.279) (0.361:0.361:0.361))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__clkbuf_16")
(INSTANCE clkbuf_opt_14_1_acorn_prng\.clk)
(DELAY
(ABSOLUTE
(IOPATH A X (0.130:0.130:0.130) (0.145:0.145:0.145))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__clkbuf_16")
(INSTANCE clkbuf_opt_15_0_acorn_prng\.clk)
(DELAY
(ABSOLUTE
(IOPATH A X (0.279:0.279:0.279) (0.361:0.361:0.361))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__clkbuf_16")
(INSTANCE clkbuf_opt_15_1_acorn_prng\.clk)
(DELAY
(ABSOLUTE
(IOPATH A X (0.131:0.131:0.131) (0.147:0.147:0.147))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__clkbuf_16")
(INSTANCE clkbuf_opt_16_0_acorn_prng\.clk)
(DELAY
(ABSOLUTE
(IOPATH A X (0.278:0.278:0.278) (0.360:0.360:0.360))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__clkbuf_16")
(INSTANCE clkbuf_opt_16_1_acorn_prng\.clk)
(DELAY
(ABSOLUTE
(IOPATH A X (0.124:0.124:0.124) (0.140:0.140:0.140))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__clkbuf_16")
(INSTANCE clkbuf_opt_16_2_acorn_prng\.clk)
(DELAY
(ABSOLUTE
(IOPATH A X (0.130:0.130:0.130) (0.146:0.146:0.146))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__clkbuf_16")
(INSTANCE clkbuf_opt_17_0_acorn_prng\.clk)
(DELAY
(ABSOLUTE
(IOPATH A X (0.281:0.281:0.281) (0.363:0.363:0.363))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__clkbuf_16")
(INSTANCE clkbuf_opt_17_1_acorn_prng\.clk)
(DELAY
(ABSOLUTE
(IOPATH A X (0.123:0.123:0.123) (0.139:0.139:0.139))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__clkbuf_16")
(INSTANCE clkbuf_opt_17_2_acorn_prng\.clk)
(DELAY
(ABSOLUTE
(IOPATH A X (0.115:0.115:0.115) (0.134:0.134:0.134))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__clkbuf_16")
(INSTANCE clkbuf_opt_17_3_acorn_prng\.clk)
(DELAY
(ABSOLUTE
(IOPATH A X (0.129:0.129:0.129) (0.145:0.145:0.145))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__clkbuf_16")
(INSTANCE clkbuf_opt_18_0_acorn_prng\.clk)
(DELAY
(ABSOLUTE
(IOPATH A X (0.281:0.281:0.281) (0.363:0.363:0.363))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__clkbuf_16")
(INSTANCE clkbuf_opt_18_1_acorn_prng\.clk)
(DELAY
(ABSOLUTE
(IOPATH A X (0.124:0.124:0.124) (0.140:0.140:0.140))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__clkbuf_16")
(INSTANCE clkbuf_opt_18_2_acorn_prng\.clk)
(DELAY
(ABSOLUTE
(IOPATH A X (0.115:0.115:0.115) (0.134:0.134:0.134))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__clkbuf_16")
(INSTANCE clkbuf_opt_18_3_acorn_prng\.clk)
(DELAY
(ABSOLUTE
(IOPATH A X (0.133:0.133:0.133) (0.148:0.148:0.148))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__clkbuf_16")
(INSTANCE clkbuf_opt_19_0_acorn_prng\.clk)
(DELAY
(ABSOLUTE
(IOPATH A X (0.278:0.278:0.278) (0.361:0.361:0.361))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__clkbuf_16")
(INSTANCE clkbuf_opt_19_1_acorn_prng\.clk)
(DELAY
(ABSOLUTE
(IOPATH A X (0.124:0.124:0.124) (0.140:0.140:0.140))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__clkbuf_16")
(INSTANCE clkbuf_opt_19_2_acorn_prng\.clk)
(DELAY
(ABSOLUTE
(IOPATH A X (0.115:0.115:0.115) (0.134:0.134:0.134))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__clkbuf_16")
(INSTANCE clkbuf_opt_19_3_acorn_prng\.clk)
(DELAY
(ABSOLUTE
(IOPATH A X (0.115:0.115:0.115) (0.134:0.134:0.134))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__clkbuf_16")
(INSTANCE clkbuf_opt_19_4_acorn_prng\.clk)
(DELAY
(ABSOLUTE
(IOPATH A X (0.132:0.132:0.132) (0.148:0.148:0.148))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__clkbuf_16")
(INSTANCE clkbuf_opt_1_0_acorn_prng\.clk)
(DELAY
(ABSOLUTE
(IOPATH A X (0.260:0.260:0.260) (0.306:0.306:0.306))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__clkbuf_16")
(INSTANCE clkbuf_opt_1_1_acorn_prng\.clk)
(DELAY
(ABSOLUTE
(IOPATH A X (0.128:0.128:0.128) (0.143:0.143:0.143))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__clkbuf_16")
(INSTANCE clkbuf_opt_20_0_acorn_prng\.clk)
(DELAY
(ABSOLUTE
(IOPATH A X (0.279:0.279:0.279) (0.361:0.361:0.361))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__clkbuf_16")
(INSTANCE clkbuf_opt_20_1_acorn_prng\.clk)
(DELAY
(ABSOLUTE
(IOPATH A X (0.123:0.123:0.123) (0.139:0.139:0.139))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__clkbuf_16")
(INSTANCE clkbuf_opt_20_2_acorn_prng\.clk)
(DELAY
(ABSOLUTE
(IOPATH A X (0.115:0.115:0.115) (0.134:0.134:0.134))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__clkbuf_16")
(INSTANCE clkbuf_opt_20_3_acorn_prng\.clk)
(DELAY
(ABSOLUTE
(IOPATH A X (0.115:0.115:0.115) (0.134:0.134:0.134))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__clkbuf_16")
(INSTANCE clkbuf_opt_20_4_acorn_prng\.clk)
(DELAY
(ABSOLUTE
(IOPATH A X (0.115:0.115:0.115) (0.134:0.134:0.134))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__clkbuf_16")
(INSTANCE clkbuf_opt_20_5_acorn_prng\.clk)
(DELAY
(ABSOLUTE
(IOPATH A X (0.115:0.115:0.115) (0.134:0.134:0.134))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__clkbuf_16")
(INSTANCE clkbuf_opt_20_6_acorn_prng\.clk)
(DELAY
(ABSOLUTE
(IOPATH A X (0.115:0.115:0.115) (0.134:0.134:0.134))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__clkbuf_16")
(INSTANCE clkbuf_opt_20_7_acorn_prng\.clk)
(DELAY
(ABSOLUTE
(IOPATH A X (0.141:0.141:0.141) (0.154:0.154:0.154))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__clkbuf_16")
(INSTANCE clkbuf_opt_21_0_acorn_prng\.clk)
(DELAY
(ABSOLUTE
(IOPATH A X (0.314:0.314:0.314) (0.434:0.434:0.434))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__clkbuf_16")
(INSTANCE clkbuf_opt_21_1_acorn_prng\.clk)
(DELAY
(ABSOLUTE
(IOPATH A X (0.127:0.127:0.127) (0.143:0.143:0.143))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__clkbuf_16")
(INSTANCE clkbuf_opt_21_2_acorn_prng\.clk)
(DELAY
(ABSOLUTE
(IOPATH A X (0.123:0.123:0.123) (0.141:0.141:0.141))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__clkbuf_16")
(INSTANCE clkbuf_opt_22_0_acorn_prng\.clk)
(DELAY
(ABSOLUTE
(IOPATH A X (0.314:0.314:0.314) (0.434:0.434:0.434))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__clkbuf_16")
(INSTANCE clkbuf_opt_22_1_acorn_prng\.clk)
(DELAY
(ABSOLUTE
(IOPATH A X (0.130:0.130:0.130) (0.145:0.145:0.145))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__clkbuf_16")
(INSTANCE clkbuf_opt_22_2_acorn_prng\.clk)
(DELAY
(ABSOLUTE
(IOPATH A X (0.116:0.116:0.116) (0.135:0.135:0.135))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__clkbuf_16")
(INSTANCE clkbuf_opt_22_3_acorn_prng\.clk)
(DELAY
(ABSOLUTE
(IOPATH A X (0.138:0.138:0.138) (0.152:0.152:0.152))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__clkbuf_16")
(INSTANCE clkbuf_opt_23_0_acorn_prng\.clk)
(DELAY
(ABSOLUTE
(IOPATH A X (0.312:0.312:0.312) (0.433:0.433:0.433))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__clkbuf_16")
(INSTANCE clkbuf_opt_23_1_acorn_prng\.clk)
(DELAY
(ABSOLUTE
(IOPATH A X (0.127:0.127:0.127) (0.143:0.143:0.143))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__clkbuf_16")
(INSTANCE clkbuf_opt_23_2_acorn_prng\.clk)
(DELAY
(ABSOLUTE
(IOPATH A X (0.126:0.126:0.126) (0.143:0.143:0.143))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__clkbuf_16")
(INSTANCE clkbuf_opt_24_0_acorn_prng\.clk)
(DELAY
(ABSOLUTE
(IOPATH A X (0.313:0.313:0.313) (0.433:0.433:0.433))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__clkbuf_16")
(INSTANCE clkbuf_opt_24_1_acorn_prng\.clk)
(DELAY
(ABSOLUTE
(IOPATH A X (0.127:0.127:0.127) (0.143:0.143:0.143))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__clkbuf_16")
(INSTANCE clkbuf_opt_24_2_acorn_prng\.clk)
(DELAY
(ABSOLUTE
(IOPATH A X (0.134:0.134:0.134) (0.150:0.150:0.150))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__clkbuf_16")
(INSTANCE clkbuf_opt_25_0_acorn_prng\.clk)
(DELAY
(ABSOLUTE
(IOPATH A X (0.316:0.316:0.316) (0.436:0.436:0.436))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__clkbuf_16")
(INSTANCE clkbuf_opt_25_1_acorn_prng\.clk)
(DELAY
(ABSOLUTE
(IOPATH A X (0.130:0.130:0.130) (0.145:0.145:0.145))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__clkbuf_16")
(INSTANCE clkbuf_opt_25_2_acorn_prng\.clk)
(DELAY
(ABSOLUTE
(IOPATH A X (0.159:0.159:0.159) (0.168:0.168:0.168))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__clkbuf_16")
(INSTANCE clkbuf_opt_26_0_acorn_prng\.clk)
(DELAY
(ABSOLUTE
(IOPATH A X (0.311:0.311:0.311) (0.432:0.432:0.432))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__clkbuf_16")
(INSTANCE clkbuf_opt_26_1_acorn_prng\.clk)
(DELAY
(ABSOLUTE
(IOPATH A X (0.149:0.149:0.149) (0.161:0.161:0.161))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__clkbuf_16")
(INSTANCE clkbuf_opt_27_0_acorn_prng\.clk)
(DELAY
(ABSOLUTE
(IOPATH A X (0.313:0.313:0.313) (0.433:0.433:0.433))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__clkbuf_16")
(INSTANCE clkbuf_opt_27_1_acorn_prng\.clk)
(DELAY
(ABSOLUTE
(IOPATH A X (0.143:0.143:0.143) (0.157:0.157:0.157))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__clkbuf_16")
(INSTANCE clkbuf_opt_28_0_acorn_prng\.clk)
(DELAY
(ABSOLUTE
(IOPATH A X (0.312:0.312:0.312) (0.433:0.433:0.433))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__clkbuf_16")
(INSTANCE clkbuf_opt_28_1_acorn_prng\.clk)
(DELAY
(ABSOLUTE
(IOPATH A X (0.151:0.151:0.151) (0.162:0.162:0.162))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__clkbuf_16")
(INSTANCE clkbuf_opt_29_0_acorn_prng\.clk)
(DELAY
(ABSOLUTE
(IOPATH A X (0.310:0.310:0.310) (0.431:0.431:0.431))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__clkbuf_16")
(INSTANCE clkbuf_opt_29_1_acorn_prng\.clk)
(DELAY
(ABSOLUTE
(IOPATH A X (0.138:0.138:0.138) (0.152:0.152:0.152))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__clkbuf_16")
(INSTANCE clkbuf_opt_2_0_acorn_prng\.clk)
(DELAY
(ABSOLUTE
(IOPATH A X (0.259:0.259:0.259) (0.305:0.305:0.305))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__clkbuf_16")
(INSTANCE clkbuf_opt_2_1_acorn_prng\.clk)
(DELAY
(ABSOLUTE
(IOPATH A X (0.121:0.121:0.121) (0.137:0.137:0.137))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__clkbuf_16")
(INSTANCE clkbuf_opt_2_2_acorn_prng\.clk)
(DELAY
(ABSOLUTE
(IOPATH A X (0.115:0.115:0.115) (0.134:0.134:0.134))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__clkbuf_16")
(INSTANCE clkbuf_opt_2_3_acorn_prng\.clk)
(DELAY
(ABSOLUTE
(IOPATH A X (0.145:0.145:0.145) (0.158:0.158:0.158))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__clkbuf_16")
(INSTANCE clkbuf_opt_30_0_acorn_prng\.clk)
(DELAY
(ABSOLUTE
(IOPATH A X (0.310:0.310:0.310) (0.431:0.431:0.431))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__clkbuf_16")
(INSTANCE clkbuf_opt_30_1_acorn_prng\.clk)
(DELAY
(ABSOLUTE
(IOPATH A X (0.135:0.135:0.135) (0.150:0.150:0.150))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__clkbuf_16")
(INSTANCE clkbuf_opt_3_0_acorn_prng\.clk)
(DELAY
(ABSOLUTE
(IOPATH A X (0.259:0.259:0.259) (0.306:0.306:0.306))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__clkbuf_16")
(INSTANCE clkbuf_opt_3_1_acorn_prng\.clk)
(DELAY
(ABSOLUTE
(IOPATH A X (0.121:0.121:0.121) (0.137:0.137:0.137))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__clkbuf_16")
(INSTANCE clkbuf_opt_3_2_acorn_prng\.clk)
(DELAY
(ABSOLUTE
(IOPATH A X (0.130:0.130:0.130) (0.146:0.146:0.146))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__clkbuf_16")
(INSTANCE clkbuf_opt_4_0_acorn_prng\.clk)
(DELAY
(ABSOLUTE
(IOPATH A X (0.260:0.260:0.260) (0.307:0.307:0.307))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__clkbuf_16")
(INSTANCE clkbuf_opt_4_1_acorn_prng\.clk)
(DELAY
(ABSOLUTE
(IOPATH A X (0.123:0.123:0.123) (0.139:0.139:0.139))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__clkbuf_16")
(INSTANCE clkbuf_opt_4_2_acorn_prng\.clk)
(DELAY
(ABSOLUTE
(IOPATH A X (0.152:0.152:0.152) (0.162:0.162:0.162))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__clkbuf_16")
(INSTANCE clkbuf_opt_5_0_acorn_prng\.clk)
(DELAY
(ABSOLUTE
(IOPATH A X (0.260:0.260:0.260) (0.306:0.306:0.306))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__clkbuf_16")
(INSTANCE clkbuf_opt_5_1_acorn_prng\.clk)
(DELAY
(ABSOLUTE
(IOPATH A X (0.160:0.160:0.160) (0.166:0.166:0.166))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__clkbuf_16")
(INSTANCE clkbuf_opt_6_0_acorn_prng\.clk)
(DELAY
(ABSOLUTE
(IOPATH A X (0.283:0.283:0.283) (0.368:0.368:0.368))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__clkbuf_16")
(INSTANCE clkbuf_opt_6_1_acorn_prng\.clk)
(DELAY
(ABSOLUTE
(IOPATH A X (0.123:0.123:0.123) (0.140:0.140:0.140))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__clkbuf_16")
(INSTANCE clkbuf_opt_6_2_acorn_prng\.clk)
(DELAY
(ABSOLUTE
(IOPATH A X (0.116:0.116:0.116) (0.135:0.135:0.135))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__clkbuf_16")
(INSTANCE clkbuf_opt_6_3_acorn_prng\.clk)
(DELAY
(ABSOLUTE
(IOPATH A X (0.129:0.129:0.129) (0.145:0.145:0.145))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__clkbuf_16")
(INSTANCE clkbuf_opt_7_0_acorn_prng\.clk)
(DELAY
(ABSOLUTE
(IOPATH A X (0.280:0.280:0.280) (0.365:0.365:0.365))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__clkbuf_16")
(INSTANCE clkbuf_opt_7_1_acorn_prng\.clk)
(DELAY
(ABSOLUTE
(IOPATH A X (0.123:0.123:0.123) (0.139:0.139:0.139))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__clkbuf_16")
(INSTANCE clkbuf_opt_7_2_acorn_prng\.clk)
(DELAY
(ABSOLUTE
(IOPATH A X (0.116:0.116:0.116) (0.135:0.135:0.135))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__clkbuf_16")
(INSTANCE clkbuf_opt_7_3_acorn_prng\.clk)
(DELAY
(ABSOLUTE
(IOPATH A X (0.140:0.140:0.140) (0.153:0.153:0.153))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__clkbuf_16")
(INSTANCE clkbuf_opt_8_0_acorn_prng\.clk)
(DELAY
(ABSOLUTE
(IOPATH A X (0.280:0.280:0.280) (0.365:0.365:0.365))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__clkbuf_16")
(INSTANCE clkbuf_opt_8_1_acorn_prng\.clk)
(DELAY
(ABSOLUTE
(IOPATH A X (0.126:0.126:0.126) (0.142:0.142:0.142))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__clkbuf_16")
(INSTANCE clkbuf_opt_8_2_acorn_prng\.clk)
(DELAY
(ABSOLUTE
(IOPATH A X (0.154:0.154:0.154) (0.164:0.164:0.164))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__clkbuf_16")
(INSTANCE clkbuf_opt_9_0_acorn_prng\.clk)
(DELAY
(ABSOLUTE
(IOPATH A X (0.279:0.279:0.279) (0.365:0.365:0.365))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__clkbuf_16")
(INSTANCE clkbuf_opt_9_1_acorn_prng\.clk)
(DELAY
(ABSOLUTE
(IOPATH A X (0.140:0.140:0.140) (0.154:0.154:0.154))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__clkdlybuf4s50_1")
(INSTANCE hold1)
(DELAY
(ABSOLUTE
(IOPATH A X (0.520:0.520:0.520) (0.449:0.449:0.449))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__clkdlybuf4s50_1")
(INSTANCE hold10)
(DELAY
(ABSOLUTE
(IOPATH A X (0.497:0.497:0.497) (0.434:0.434:0.434))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__clkdlybuf4s50_1")
(INSTANCE hold100)
(DELAY
(ABSOLUTE
(IOPATH A X (0.578:0.578:0.578) (0.506:0.506:0.506))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__clkdlybuf4s50_1")
(INSTANCE hold101)
(DELAY
(ABSOLUTE
(IOPATH A X (0.490:0.490:0.490) (0.434:0.434:0.434))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__clkdlybuf4s50_1")
(INSTANCE hold102)
(DELAY
(ABSOLUTE
(IOPATH A X (0.507:0.518:0.530) (0.449:0.452:0.456))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__clkdlybuf4s25_1")
(INSTANCE hold103)
(DELAY
(ABSOLUTE
(IOPATH A X (0.271:0.271:0.272) (0.261:0.264:0.268))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__clkdlybuf4s25_1")
(INSTANCE hold104)
(DELAY
(ABSOLUTE
(IOPATH A X (0.276:0.279:0.282) (0.252:0.259:0.265))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__clkdlybuf4s25_1")
(INSTANCE hold105)
(DELAY
(ABSOLUTE
(IOPATH A X (0.366:0.366:0.366) (0.328:0.328:0.328))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__clkdlybuf4s25_1")
(INSTANCE hold106)
(DELAY
(ABSOLUTE
(IOPATH A X (0.289:0.289:0.289) (0.267:0.267:0.267))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__clkdlybuf4s50_1")
(INSTANCE hold107)
(DELAY
(ABSOLUTE
(IOPATH A X (0.507:0.507:0.507) (0.440:0.440:0.440))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__clkdlybuf4s25_1")
(INSTANCE hold108)
(DELAY
(ABSOLUTE
(IOPATH A X (0.242:0.242:0.242) (0.235:0.237:0.239))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__clkdlybuf4s25_1")
(INSTANCE hold109)
(DELAY
(ABSOLUTE
(IOPATH A X (0.286:0.286:0.286) (0.272:0.272:0.272))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__clkdlybuf4s25_1")
(INSTANCE hold11)
(DELAY
(ABSOLUTE
(IOPATH A X (0.266:0.269:0.272) (0.238:0.243:0.248))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__clkdlybuf4s50_1")
(INSTANCE hold110)
(DELAY
(ABSOLUTE
(IOPATH A X (0.550:0.550:0.550) (0.482:0.482:0.482))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__clkdlybuf4s25_1")
(INSTANCE hold111)
(DELAY
(ABSOLUTE
(IOPATH A X (0.288:0.289:0.289) (0.280:0.285:0.290))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__clkdlybuf4s25_1")
(INSTANCE hold112)
(DELAY
(ABSOLUTE
(IOPATH A X (0.280:0.292:0.303) (0.267:0.269:0.272))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__clkdlybuf4s50_1")
(INSTANCE hold113)
(DELAY
(ABSOLUTE
(IOPATH A X (0.605:0.605:0.605) (0.524:0.524:0.524))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__clkdlybuf4s25_1")
(INSTANCE hold114)
(DELAY
(ABSOLUTE
(IOPATH A X (0.457:0.457:0.457) (0.404:0.404:0.404))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__clkdlybuf4s50_1")
(INSTANCE hold115)
(DELAY
(ABSOLUTE
(IOPATH A X (0.540:0.542:0.544) (0.446:0.450:0.454))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__clkdlybuf4s50_1")
(INSTANCE hold116)
(DELAY
(ABSOLUTE
(IOPATH A X (0.529:0.529:0.529) (0.453:0.453:0.453))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__dlymetal6s2s_1")
(INSTANCE hold117)
(DELAY
(ABSOLUTE
(IOPATH A X (0.199:0.199:0.199) (0.185:0.185:0.185))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__clkdlybuf4s25_1")
(INSTANCE hold118)
(DELAY
(ABSOLUTE
(IOPATH A X (0.277:0.278:0.279) (0.268:0.275:0.282))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__clkdlybuf4s25_1")
(INSTANCE hold119)
(DELAY
(ABSOLUTE
(IOPATH A X (0.291:0.300:0.308) (0.267:0.272:0.277))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__clkdlybuf4s25_1")
(INSTANCE hold12)
(DELAY
(ABSOLUTE
(IOPATH A X (0.280:0.281:0.281) (0.275:0.277:0.280))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__clkdlybuf4s25_1")
(INSTANCE hold120)
(DELAY
(ABSOLUTE
(IOPATH A X (0.266:0.269:0.272) (0.245:0.250:0.255))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__clkdlybuf4s25_1")
(INSTANCE hold121)
(DELAY
(ABSOLUTE
(IOPATH A X (0.290:0.290:0.290) (0.266:0.266:0.266))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__clkdlybuf4s50_1")
(INSTANCE hold122)
(DELAY
(ABSOLUTE
(IOPATH A X (0.537:0.537:0.537) (0.478:0.478:0.478))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__clkdlybuf4s25_1")
(INSTANCE hold123)
(DELAY
(ABSOLUTE
(IOPATH A X (0.291:0.291:0.291) (0.267:0.267:0.267))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__clkdlybuf4s25_1")
(INSTANCE hold124)
(DELAY
(ABSOLUTE
(IOPATH A X (0.248:0.248:0.249) (0.240:0.242:0.244))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__clkdlybuf4s50_1")
(INSTANCE hold125)
(DELAY
(ABSOLUTE
(IOPATH A X (0.543:0.543:0.543) (0.474:0.474:0.474))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__dlygate4sd3_1")
(INSTANCE hold126)
(DELAY
(ABSOLUTE
(IOPATH A X (0.669:0.669:0.669) (0.647:0.647:0.647))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__clkdlybuf4s25_1")
(INSTANCE hold127)
(DELAY
(ABSOLUTE
(IOPATH A X (0.268:0.268:0.269) (0.259:0.264:0.269))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__clkdlybuf4s25_1")
(INSTANCE hold128)
(DELAY
(ABSOLUTE
(IOPATH A X (0.249:0.249:0.250) (0.244:0.248:0.251))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__clkdlybuf4s25_1")
(INSTANCE hold129)
(DELAY
(ABSOLUTE
(IOPATH A X (0.274:0.274:0.274) (0.256:0.256:0.256))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__clkdlybuf4s25_1")
(INSTANCE hold13)
(DELAY
(ABSOLUTE
(IOPATH A X (0.241:0.241:0.241) (0.232:0.234:0.236))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__clkdlybuf4s25_1")
(INSTANCE hold14)
(DELAY
(ABSOLUTE
(IOPATH A X (0.249:0.250:0.250) (0.241:0.242:0.242))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__clkbuf_1")
(INSTANCE hold15)
(DELAY
(ABSOLUTE
(IOPATH A X (0.790:0.790:0.790) (0.513:0.513:0.513))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__clkdlybuf4s25_1")
(INSTANCE hold16)
(DELAY
(ABSOLUTE
(IOPATH A X (0.240:0.241:0.241) (0.231:0.233:0.236))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__clkdlybuf4s25_1")
(INSTANCE hold17)
(DELAY
(ABSOLUTE
(IOPATH A X (0.253:0.253:0.254) (0.244:0.245:0.246))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__clkdlybuf4s25_1")
(INSTANCE hold18)
(DELAY
(ABSOLUTE
(IOPATH A X (0.266:0.268:0.269) (0.270:0.270:0.270))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__dlymetal6s2s_1")
(INSTANCE hold19)
(DELAY
(ABSOLUTE
(IOPATH A X (0.156:0.156:0.156) (0.161:0.161:0.161))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__clkdlybuf4s25_1")
(INSTANCE hold2)
(DELAY
(ABSOLUTE
(IOPATH A X (0.242:0.242:0.242) (0.232:0.232:0.232))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__clkdlybuf4s25_1")
(INSTANCE hold20)
(DELAY
(ABSOLUTE
(IOPATH A X (0.243:0.243:0.243) (0.232:0.232:0.232))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__clkdlybuf4s25_1")
(INSTANCE hold21)
(DELAY
(ABSOLUTE
(IOPATH A X (0.250:0.250:0.251) (0.241:0.241:0.241))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__dlymetal6s2s_1")
(INSTANCE hold22)
(DELAY
(ABSOLUTE
(IOPATH A X (0.187:0.187:0.187) (0.179:0.179:0.179))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__dlymetal6s2s_1")
(INSTANCE hold23)
(DELAY
(ABSOLUTE
(IOPATH A X (0.213:0.214:0.214) (0.201:0.204:0.207))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__clkdlybuf4s25_1")
(INSTANCE hold24)
(DELAY
(ABSOLUTE
(IOPATH A X (0.254:0.254:0.255) (0.246:0.246:0.246))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__clkdlybuf4s25_1")
(INSTANCE hold25)
(DELAY
(ABSOLUTE
(IOPATH A X (0.239:0.239:0.240) (0.232:0.234:0.236))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__clkdlybuf4s25_1")
(INSTANCE hold26)
(DELAY
(ABSOLUTE
(IOPATH A X (0.310:0.310:0.310) (0.287:0.287:0.287))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__clkdlybuf4s25_1")
(INSTANCE hold27)
(DELAY
(ABSOLUTE
(IOPATH A X (0.334:0.334:0.335) (0.320:0.322:0.324))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__clkdlybuf4s50_1")
(INSTANCE hold28)
(DELAY
(ABSOLUTE
(IOPATH A X (0.570:0.570:0.570) (0.500:0.500:0.500))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__clkdlybuf4s50_1")
(INSTANCE hold29)
(DELAY
(ABSOLUTE
(IOPATH A X (0.616:0.617:0.618) (0.552:0.554:0.556))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__clkdlybuf4s50_1")
(INSTANCE hold3)
(DELAY
(ABSOLUTE
(IOPATH A X (0.533:0.533:0.533) (0.469:0.469:0.469))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__clkdlybuf4s25_1")
(INSTANCE hold30)
(DELAY
(ABSOLUTE
(IOPATH A X (0.241:0.241:0.241) (0.233:0.235:0.237))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__clkdlybuf4s25_1")
(INSTANCE hold31)
(DELAY
(ABSOLUTE
(IOPATH A X (0.248:0.248:0.249) (0.239:0.240:0.240))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__clkdlybuf4s25_1")
(INSTANCE hold32)
(DELAY
(ABSOLUTE
(IOPATH A X (0.247:0.248:0.249) (0.240:0.240:0.241))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__clkdlybuf4s25_1")
(INSTANCE hold33)
(DELAY
(ABSOLUTE
(IOPATH A X (0.244:0.245:0.246) (0.235:0.237:0.240))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__clkdlybuf4s50_1")
(INSTANCE hold34)
(DELAY
(ABSOLUTE
(IOPATH A X (0.524:0.524:0.524) (0.462:0.462:0.462))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__clkdlybuf4s25_1")
(INSTANCE hold35)
(DELAY
(ABSOLUTE
(IOPATH A X (0.338:0.339:0.339) (0.324:0.324:0.325))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__clkdlybuf4s50_1")
(INSTANCE hold36)
(DELAY
(ABSOLUTE
(IOPATH A X (0.551:0.551:0.551) (0.479:0.479:0.479))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__clkdlybuf4s25_1")
(INSTANCE hold37)
(DELAY
(ABSOLUTE
(IOPATH A X (0.277:0.278:0.279) (0.269:0.271:0.272))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__clkdlybuf4s25_1")
(INSTANCE hold38)
(DELAY
(ABSOLUTE
(IOPATH A X (0.241:0.241:0.241) (0.232:0.234:0.236))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__clkdlybuf4s50_1")
(INSTANCE hold39)
(DELAY
(ABSOLUTE
(IOPATH A X (0.514:0.514:0.514) (0.453:0.454:0.455))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__clkdlybuf4s50_1")
(INSTANCE hold4)
(DELAY
(ABSOLUTE
(IOPATH A X (0.556:0.557:0.557) (0.502:0.504:0.507))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__clkdlybuf4s25_1")
(INSTANCE hold40)
(DELAY
(ABSOLUTE
(IOPATH A X (0.251:0.251:0.252) (0.244:0.248:0.253))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__clkdlybuf4s50_1")
(INSTANCE hold41)
(DELAY
(ABSOLUTE
(IOPATH A X (0.539:0.539:0.539) (0.472:0.472:0.472))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__clkdlybuf4s50_1")
(INSTANCE hold42)
(DELAY
(ABSOLUTE
(IOPATH A X (0.525:0.535:0.546) (0.463:0.467:0.471))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__clkdlybuf4s25_1")
(INSTANCE hold43)
(DELAY
(ABSOLUTE
(IOPATH A X (0.248:0.248:0.248) (0.241:0.242:0.242))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__clkdlybuf4s25_1")
(INSTANCE hold44)
(DELAY
(ABSOLUTE
(IOPATH A X (0.272:0.275:0.278) (0.251:0.254:0.257))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__clkdlybuf4s50_1")
(INSTANCE hold45)
(DELAY
(ABSOLUTE
(IOPATH A X (0.503:0.503:0.503) (0.437:0.437:0.437))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__clkdlybuf4s25_1")
(INSTANCE hold46)
(DELAY
(ABSOLUTE
(IOPATH A X (0.251:0.251:0.251) (0.240:0.243:0.245))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__clkdlybuf4s25_1")
(INSTANCE hold47)
(DELAY
(ABSOLUTE
(IOPATH A X (0.350:0.350:0.350) (0.316:0.316:0.316))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__clkdlybuf4s25_1")
(INSTANCE hold48)
(DELAY
(ABSOLUTE
(IOPATH A X (0.243:0.243:0.243) (0.232:0.232:0.232))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__clkbuf_2")
(INSTANCE hold49)
(DELAY
(ABSOLUTE
(IOPATH A X (0.228:0.228:0.228) (0.190:0.190:0.190))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__clkdlybuf4s25_1")
(INSTANCE hold5)
(DELAY
(ABSOLUTE
(IOPATH A X (0.249:0.249:0.250) (0.240:0.242:0.244))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__dlymetal6s2s_1")
(INSTANCE hold50)
(DELAY
(ABSOLUTE
(IOPATH A X (0.216:0.216:0.216) (0.193:0.193:0.193))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__clkdlybuf4s50_1")
(INSTANCE hold51)
(DELAY
(ABSOLUTE
(IOPATH A X (0.516:0.517:0.517) (0.460:0.463:0.467))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__clkdlybuf4s25_1")
(INSTANCE hold52)
(DELAY
(ABSOLUTE
(IOPATH A X (0.262:0.262:0.263) (0.255:0.256:0.256))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__clkdlybuf4s25_1")
(INSTANCE hold53)
(DELAY
(ABSOLUTE
(IOPATH A X (0.239:0.240:0.240) (0.233:0.234:0.236))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__clkdlybuf4s25_1")
(INSTANCE hold54)
(DELAY
(ABSOLUTE
(IOPATH A X (0.252:0.252:0.252) (0.251:0.251:0.251))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__clkdlybuf4s25_1")
(INSTANCE hold55)
(DELAY
(ABSOLUTE
(IOPATH A X (0.259:0.259:0.259) (0.246:0.246:0.247))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__clkdlybuf4s25_1")
(INSTANCE hold56)
(DELAY
(ABSOLUTE
(IOPATH A X (0.274:0.274:0.274) (0.252:0.252:0.252))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__clkdlybuf4s25_1")
(INSTANCE hold57)
(DELAY
(ABSOLUTE
(IOPATH A X (0.242:0.242:0.242) (0.233:0.233:0.233))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__dlymetal6s2s_1")
(INSTANCE hold58)
(DELAY
(ABSOLUTE
(IOPATH A X (0.259:0.259:0.259) (0.224:0.224:0.224))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__clkdlybuf4s25_1")
(INSTANCE hold59)
(DELAY
(ABSOLUTE
(IOPATH A X (0.243:0.243:0.243) (0.232:0.232:0.232))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__clkdlybuf4s25_1")
(INSTANCE hold6)
(DELAY
(ABSOLUTE
(IOPATH A X (0.290:0.290:0.290) (0.266:0.266:0.266))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__clkdlybuf4s50_1")
(INSTANCE hold60)
(DELAY
(ABSOLUTE
(IOPATH A X (0.554:0.554:0.554) (0.480:0.480:0.480))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__clkdlybuf4s25_1")
(INSTANCE hold61)
(DELAY
(ABSOLUTE
(IOPATH A X (0.267:0.270:0.273) (0.247:0.251:0.256))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__clkdlybuf4s25_1")
(INSTANCE hold62)
(DELAY
(ABSOLUTE
(IOPATH A X (0.253:0.253:0.253) (0.243:0.246:0.248))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__clkdlybuf4s50_1")
(INSTANCE hold63)
(DELAY
(ABSOLUTE
(IOPATH A X (0.570:0.570:0.570) (0.494:0.494:0.494))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__clkdlybuf4s50_1")
(INSTANCE hold64)
(DELAY
(ABSOLUTE
(IOPATH A X (0.553:0.553:0.553) (0.477:0.477:0.477))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__clkdlybuf4s50_1")
(INSTANCE hold65)
(DELAY
(ABSOLUTE
(IOPATH A X (0.547:0.547:0.547) (0.475:0.475:0.475))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__clkdlybuf4s25_1")
(INSTANCE hold66)
(DELAY
(ABSOLUTE
(IOPATH A X (0.261:0.264:0.266) (0.236:0.240:0.244))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__clkdlybuf4s25_1")
(INSTANCE hold67)
(DELAY
(ABSOLUTE
(IOPATH A X (0.235:0.236:0.236) (0.228:0.230:0.232))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__dlygate4sd3_1")
(INSTANCE hold68)
(DELAY
(ABSOLUTE
(IOPATH A X (0.655:0.655:0.655) (0.630:0.630:0.630))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__clkdlybuf4s25_1")
(INSTANCE hold69)
(DELAY
(ABSOLUTE
(IOPATH A X (0.283:0.283:0.283) (0.260:0.260:0.260))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__clkdlybuf4s25_1")
(INSTANCE hold7)
(DELAY
(ABSOLUTE
(IOPATH A X (0.242:0.242:0.242) (0.231:0.231:0.231))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__clkdlybuf4s25_1")
(INSTANCE hold70)
(DELAY
(ABSOLUTE
(IOPATH A X (0.243:0.243:0.244) (0.236:0.238:0.240))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__clkdlybuf4s50_1")
(INSTANCE hold71)
(DELAY
(ABSOLUTE
(IOPATH A X (0.494:0.494:0.494) (0.453:0.453:0.453))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__clkbuf_1")
(INSTANCE hold72)
(DELAY
(ABSOLUTE
(IOPATH A X (0.260:0.260:0.260) (0.182:0.182:0.182))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__clkdlybuf4s25_1")
(INSTANCE hold73)
(DELAY
(ABSOLUTE
(IOPATH A X (0.242:0.242:0.242) (0.232:0.232:0.232))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__clkdlybuf4s50_1")
(INSTANCE hold74)
(DELAY
(ABSOLUTE
(IOPATH A X (0.551:0.551:0.551) (0.478:0.478:0.478))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__clkdlybuf4s50_1")
(INSTANCE hold75)
(DELAY
(ABSOLUTE
(IOPATH A X (0.547:0.547:0.548) (0.486:0.487:0.487))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__clkdlybuf4s25_1")
(INSTANCE hold76)
(DELAY
(ABSOLUTE
(IOPATH A X (0.247:0.248:0.248) (0.242:0.246:0.250))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__clkdlybuf4s25_1")
(INSTANCE hold77)
(DELAY
(ABSOLUTE
(IOPATH A X (0.245:0.245:0.246) (0.238:0.240:0.242))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__dlymetal6s2s_1")
(INSTANCE hold78)
(DELAY
(ABSOLUTE
(IOPATH A X (0.177:0.177:0.177) (0.173:0.173:0.173))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__clkbuf_1")
(INSTANCE hold79)
(DELAY
(ABSOLUTE
(IOPATH A X (0.220:0.220:0.220) (0.172:0.172:0.172))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__clkdlybuf4s25_1")
(INSTANCE hold8)
(DELAY
(ABSOLUTE
(IOPATH A X (0.291:0.291:0.291) (0.267:0.267:0.267))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__clkdlybuf4s50_1")
(INSTANCE hold80)
(DELAY
(ABSOLUTE
(IOPATH A X (0.500:0.500:0.500) (0.441:0.441:0.442))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__clkdlybuf4s25_1")
(INSTANCE hold81)
(DELAY
(ABSOLUTE
(IOPATH A X (0.253:0.254:0.254) (0.247:0.251:0.255))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__clkdlybuf4s25_1")
(INSTANCE hold82)
(DELAY
(ABSOLUTE
(IOPATH A X (0.238:0.238:0.239) (0.231:0.233:0.235))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__clkdlybuf4s50_1")
(INSTANCE hold83)
(DELAY
(ABSOLUTE
(IOPATH A X (0.502:0.502:0.502) (0.436:0.436:0.436))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__clkdlybuf4s25_1")
(INSTANCE hold84)
(DELAY
(ABSOLUTE
(IOPATH A X (0.266:0.269:0.272) (0.248:0.252:0.255))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__clkdlybuf4s25_1")
(INSTANCE hold85)
(DELAY
(ABSOLUTE
(IOPATH A X (0.238:0.238:0.238) (0.229:0.231:0.234))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__clkbuf_1")
(INSTANCE hold86)
(DELAY
(ABSOLUTE
(IOPATH A X (0.242:0.242:0.242) (0.218:0.218:0.218))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__clkdlybuf4s50_1")
(INSTANCE hold87)
(DELAY
(ABSOLUTE
(IOPATH A X (0.542:0.542:0.542) (0.483:0.486:0.490))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__clkdlybuf4s50_1")
(INSTANCE hold88)
(DELAY
(ABSOLUTE
(IOPATH A X (0.540:0.541:0.541) (0.482:0.483:0.485))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__clkdlybuf4s25_1")
(INSTANCE hold89)
(DELAY
(ABSOLUTE
(IOPATH A X (0.268:0.271:0.273) (0.242:0.244:0.246))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__clkdlybuf4s25_1")
(INSTANCE hold9)
(DELAY
(ABSOLUTE
(IOPATH A X (0.247:0.247:0.247) (0.238:0.238:0.238))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__clkdlybuf4s25_1")
(INSTANCE hold90)
(DELAY
(ABSOLUTE
(IOPATH A X (0.252:0.252:0.253) (0.244:0.246:0.248))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__clkdlybuf4s50_1")
(INSTANCE hold91)
(DELAY
(ABSOLUTE
(IOPATH A X (0.490:0.491:0.491) (0.435:0.435:0.436))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__clkdlybuf4s50_1")
(INSTANCE hold92)
(DELAY
(ABSOLUTE
(IOPATH A X (0.542:0.542:0.542) (0.470:0.470:0.470))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__clkdlybuf4s50_1")
(INSTANCE hold93)
(DELAY
(ABSOLUTE
(IOPATH A X (0.521:0.523:0.526) (0.444:0.453:0.462))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__clkdlybuf4s50_1")
(INSTANCE hold94)
(DELAY
(ABSOLUTE
(IOPATH A X (0.555:0.555:0.555) (0.479:0.479:0.479))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__clkdlybuf4s25_1")
(INSTANCE hold95)
(DELAY
(ABSOLUTE
(IOPATH A X (0.243:0.243:0.244) (0.235:0.237:0.239))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__clkdlybuf4s25_1")
(INSTANCE hold96)
(DELAY
(ABSOLUTE
(IOPATH A X (0.294:0.294:0.294) (0.269:0.269:0.269))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__clkdlybuf4s25_1")
(INSTANCE hold97)
(DELAY
(ABSOLUTE
(IOPATH A X (0.250:0.250:0.251) (0.244:0.249:0.254))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__clkdlybuf4s50_1")
(INSTANCE hold98)
(DELAY
(ABSOLUTE
(IOPATH A X (0.521:0.521:0.521) (0.451:0.451:0.451))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__clkdlybuf4s25_1")
(INSTANCE hold99)
(DELAY
(ABSOLUTE
(IOPATH A X (0.248:0.249:0.249) (0.241:0.243:0.244))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__buf_12")
(INSTANCE input1)
(DELAY
(ABSOLUTE
(IOPATH A X (0.159:0.159:0.159) (0.143:0.143:0.143))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__clkbuf_2")
(INSTANCE input10)
(DELAY
(ABSOLUTE
(IOPATH A X (0.198:0.198:0.198) (0.172:0.172:0.172))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__clkbuf_1")
(INSTANCE input100)
(DELAY
(ABSOLUTE
(IOPATH A X (0.176:0.176:0.176) (0.133:0.133:0.133))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__clkbuf_1")
(INSTANCE input101)
(DELAY
(ABSOLUTE
(IOPATH A X (0.216:0.216:0.216) (0.153:0.153:0.153))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__buf_2")
(INSTANCE input102)
(DELAY
(ABSOLUTE
(IOPATH A X (0.206:0.206:0.206) (0.174:0.174:0.174))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__clkbuf_1")
(INSTANCE input103)
(DELAY
(ABSOLUTE
(IOPATH A X (0.229:0.229:0.229) (0.160:0.160:0.160))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__clkbuf_1")
(INSTANCE input104)
(DELAY
(ABSOLUTE
(IOPATH A X (0.159:0.159:0.159) (0.122:0.122:0.122))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__clkbuf_1")
(INSTANCE input105)
(DELAY
(ABSOLUTE
(IOPATH A X (0.142:0.142:0.142) (0.114:0.114:0.114))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__clkbuf_1")
(INSTANCE input106)
(DELAY
(ABSOLUTE
(IOPATH A X (0.136:0.136:0.136) (0.109:0.109:0.109))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__clkbuf_1")
(INSTANCE input107)
(DELAY
(ABSOLUTE
(IOPATH A X (0.161:0.161:0.161) (0.123:0.123:0.123))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__clkbuf_1")
(INSTANCE input108)
(DELAY
(ABSOLUTE
(IOPATH A X (0.157:0.157:0.157) (0.120:0.120:0.120))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__clkbuf_1")
(INSTANCE input109)
(DELAY
(ABSOLUTE
(IOPATH A X (0.155:0.155:0.155) (0.118:0.118:0.118))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__clkbuf_1")
(INSTANCE input11)
(DELAY
(ABSOLUTE
(IOPATH A X (0.169:0.169:0.169) (0.125:0.125:0.125))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__clkbuf_1")
(INSTANCE input110)
(DELAY
(ABSOLUTE
(IOPATH A X (0.127:0.127:0.127) (0.104:0.104:0.104))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__clkbuf_1")
(INSTANCE input111)
(DELAY
(ABSOLUTE
(IOPATH A X (0.112:0.112:0.112) (0.094:0.094:0.094))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__clkbuf_1")
(INSTANCE input112)
(DELAY
(ABSOLUTE
(IOPATH A X (0.148:0.148:0.148) (0.114:0.114:0.114))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__clkbuf_1")
(INSTANCE input113)
(DELAY
(ABSOLUTE
(IOPATH A X (0.150:0.150:0.150) (0.116:0.116:0.116))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__clkbuf_1")
(INSTANCE input114)
(DELAY
(ABSOLUTE
(IOPATH A X (0.228:0.228:0.228) (0.163:0.163:0.163))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__clkbuf_1")
(INSTANCE input115)
(DELAY
(ABSOLUTE
(IOPATH A X (0.301:0.301:0.301) (0.198:0.198:0.198))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__clkbuf_16")
(INSTANCE input116)
(DELAY
(ABSOLUTE
(IOPATH A X (0.165:0.165:0.165) (0.160:0.160:0.160))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__clkbuf_1")
(INSTANCE input12)
(DELAY
(ABSOLUTE
(IOPATH A X (0.134:0.134:0.134) (0.106:0.106:0.106))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__clkbuf_1")
(INSTANCE input13)
(DELAY
(ABSOLUTE
(IOPATH A X (0.147:0.147:0.147) (0.114:0.114:0.114))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__clkbuf_1")
(INSTANCE input14)
(DELAY
(ABSOLUTE
(IOPATH A X (0.156:0.156:0.156) (0.118:0.118:0.118))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__clkbuf_1")
(INSTANCE input15)
(DELAY
(ABSOLUTE
(IOPATH A X (0.180:0.180:0.180) (0.131:0.131:0.131))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__clkbuf_1")
(INSTANCE input16)
(DELAY
(ABSOLUTE
(IOPATH A X (0.173:0.173:0.173) (0.127:0.127:0.127))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__dlymetal6s2s_1")
(INSTANCE input17)
(DELAY
(ABSOLUTE
(IOPATH A X (0.181:0.181:0.181) (0.174:0.174:0.174))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__dlymetal6s2s_1")
(INSTANCE input18)
(DELAY
(ABSOLUTE
(IOPATH A X (0.170:0.170:0.170) (0.168:0.168:0.168))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__dlymetal6s2s_1")
(INSTANCE input19)
(DELAY
(ABSOLUTE
(IOPATH A X (0.207:0.207:0.207) (0.188:0.188:0.188))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__buf_12")
(INSTANCE input2)
(DELAY
(ABSOLUTE
(IOPATH A X (0.158:0.158:0.158) (0.143:0.143:0.143))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__dlymetal6s2s_1")
(INSTANCE input20)
(DELAY
(ABSOLUTE
(IOPATH A X (0.177:0.177:0.177) (0.172:0.172:0.172))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__clkbuf_1")
(INSTANCE input21)
(DELAY
(ABSOLUTE
(IOPATH A X (0.090:0.090:0.090) (0.083:0.083:0.083))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__clkbuf_2")
(INSTANCE input22)
(DELAY
(ABSOLUTE
(IOPATH A X (0.148:0.148:0.148) (0.134:0.134:0.134))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__clkbuf_2")
(INSTANCE input23)
(DELAY
(ABSOLUTE
(IOPATH A X (0.181:0.181:0.181) (0.159:0.159:0.159))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__buf_6")
(INSTANCE input24)
(DELAY
(ABSOLUTE
(IOPATH A X (0.241:0.241:0.241) (0.178:0.178:0.178))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__clkbuf_1")
(INSTANCE input25)
(DELAY
(ABSOLUTE
(IOPATH A X (0.093:0.093:0.093) (0.085:0.085:0.085))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__clkbuf_1")
(INSTANCE input26)
(DELAY
(ABSOLUTE
(IOPATH A X (0.103:0.103:0.103) (0.091:0.091:0.091))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__clkbuf_1")
(INSTANCE input27)
(DELAY
(ABSOLUTE
(IOPATH A X (0.089:0.089:0.089) (0.082:0.082:0.082))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__clkbuf_1")
(INSTANCE input28)
(DELAY
(ABSOLUTE
(IOPATH A X (0.087:0.087:0.087) (0.082:0.082:0.082))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__clkbuf_1")
(INSTANCE input29)
(DELAY
(ABSOLUTE
(IOPATH A X (0.076:0.076:0.076) (0.074:0.074:0.074))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__buf_12")
(INSTANCE input3)
(DELAY
(ABSOLUTE
(IOPATH A X (0.161:0.161:0.161) (0.145:0.145:0.145))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__clkbuf_1")
(INSTANCE input30)
(DELAY
(ABSOLUTE
(IOPATH A X (0.162:0.162:0.162) (0.123:0.123:0.123))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__clkbuf_1")
(INSTANCE input31)
(DELAY
(ABSOLUTE
(IOPATH A X (0.094:0.094:0.094) (0.085:0.085:0.085))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__clkbuf_1")
(INSTANCE input32)
(DELAY
(ABSOLUTE
(IOPATH A X (0.080:0.080:0.080) (0.076:0.076:0.076))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__clkbuf_1")
(INSTANCE input33)
(DELAY
(ABSOLUTE
(IOPATH A X (0.113:0.113:0.113) (0.097:0.097:0.097))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__clkbuf_2")
(INSTANCE input34)
(DELAY
(ABSOLUTE
(IOPATH A X (0.188:0.188:0.188) (0.165:0.165:0.165))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__clkbuf_1")
(INSTANCE input35)
(DELAY
(ABSOLUTE
(IOPATH A X (0.100:0.100:0.100) (0.088:0.088:0.088))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__clkbuf_1")
(INSTANCE input36)
(DELAY
(ABSOLUTE
(IOPATH A X (0.083:0.083:0.083) (0.078:0.078:0.078))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__dlymetal6s2s_1")
(INSTANCE input37)
(DELAY
(ABSOLUTE
(IOPATH A X (0.218:0.218:0.218) (0.194:0.194:0.194))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__clkbuf_2")
(INSTANCE input38)
(DELAY
(ABSOLUTE
(IOPATH A X (0.168:0.168:0.168) (0.149:0.149:0.149))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__dlymetal6s2s_1")
(INSTANCE input39)
(DELAY
(ABSOLUTE
(IOPATH A X (0.196:0.196:0.196) (0.182:0.182:0.182))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__buf_12")
(INSTANCE input4)
(DELAY
(ABSOLUTE
(IOPATH A X (0.155:0.155:0.155) (0.141:0.141:0.141))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__clkbuf_1")
(INSTANCE input40)
(DELAY
(ABSOLUTE
(IOPATH A X (0.162:0.162:0.162) (0.121:0.121:0.121))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__clkbuf_1")
(INSTANCE input41)
(DELAY
(ABSOLUTE
(IOPATH A X (0.166:0.166:0.166) (0.124:0.124:0.124))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__clkbuf_1")
(INSTANCE input42)
(DELAY
(ABSOLUTE
(IOPATH A X (0.161:0.161:0.161) (0.121:0.121:0.121))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__clkbuf_1")
(INSTANCE input43)
(DELAY
(ABSOLUTE
(IOPATH A X (0.122:0.122:0.122) (0.100:0.100:0.100))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__clkbuf_1")
(INSTANCE input44)
(DELAY
(ABSOLUTE
(IOPATH A X (0.078:0.078:0.078) (0.075:0.075:0.075))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__clkbuf_1")
(INSTANCE input45)
(DELAY
(ABSOLUTE
(IOPATH A X (0.100:0.100:0.100) (0.088:0.088:0.088))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__clkbuf_1")
(INSTANCE input46)
(DELAY
(ABSOLUTE
(IOPATH A X (0.094:0.094:0.094) (0.085:0.085:0.085))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__dlymetal6s2s_1")
(INSTANCE input47)
(DELAY
(ABSOLUTE
(IOPATH A X (0.215:0.215:0.215) (0.193:0.193:0.193))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__clkbuf_1")
(INSTANCE input48)
(DELAY
(ABSOLUTE
(IOPATH A X (0.104:0.104:0.104) (0.091:0.091:0.091))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__clkbuf_1")
(INSTANCE input49)
(DELAY
(ABSOLUTE
(IOPATH A X (0.103:0.103:0.103) (0.091:0.091:0.091))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__buf_12")
(INSTANCE input5)
(DELAY
(ABSOLUTE
(IOPATH A X (0.159:0.159:0.159) (0.144:0.144:0.144))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__clkbuf_1")
(INSTANCE input50)
(DELAY
(ABSOLUTE
(IOPATH A X (0.158:0.158:0.158) (0.121:0.121:0.121))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__clkbuf_1")
(INSTANCE input51)
(DELAY
(ABSOLUTE
(IOPATH A X (0.105:0.105:0.105) (0.093:0.093:0.093))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__clkbuf_1")
(INSTANCE input52)
(DELAY
(ABSOLUTE
(IOPATH A X (0.113:0.113:0.113) (0.098:0.098:0.098))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__clkbuf_1")
(INSTANCE input53)
(DELAY
(ABSOLUTE
(IOPATH A X (0.110:0.110:0.110) (0.096:0.096:0.096))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__clkbuf_1")
(INSTANCE input54)
(DELAY
(ABSOLUTE
(IOPATH A X (0.146:0.146:0.146) (0.117:0.117:0.117))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__clkbuf_1")
(INSTANCE input55)
(DELAY
(ABSOLUTE
(IOPATH A X (0.151:0.151:0.151) (0.120:0.120:0.120))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__clkbuf_1")
(INSTANCE input56)
(DELAY
(ABSOLUTE
(IOPATH A X (0.170:0.170:0.170) (0.131:0.131:0.131))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__clkbuf_1")
(INSTANCE input57)
(DELAY
(ABSOLUTE
(IOPATH A X (0.140:0.140:0.140) (0.114:0.114:0.114))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__clkbuf_1")
(INSTANCE input58)
(DELAY
(ABSOLUTE
(IOPATH A X (0.168:0.168:0.168) (0.126:0.126:0.126))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__clkbuf_1")
(INSTANCE input59)
(DELAY
(ABSOLUTE
(IOPATH A X (0.138:0.138:0.138) (0.115:0.115:0.115))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__buf_12")
(INSTANCE input6)
(DELAY
(ABSOLUTE
(IOPATH A X (0.160:0.160:0.160) (0.144:0.144:0.144))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__clkbuf_1")
(INSTANCE input60)
(DELAY
(ABSOLUTE
(IOPATH A X (0.129:0.129:0.129) (0.111:0.111:0.111))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__clkbuf_1")
(INSTANCE input61)
(DELAY
(ABSOLUTE
(IOPATH A X (0.141:0.141:0.141) (0.115:0.115:0.115))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__clkbuf_1")
(INSTANCE input62)
(DELAY
(ABSOLUTE
(IOPATH A X (0.154:0.154:0.154) (0.122:0.122:0.122))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__clkbuf_1")
(INSTANCE input63)
(DELAY
(ABSOLUTE
(IOPATH A X (0.141:0.141:0.141) (0.114:0.114:0.114))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__clkbuf_1")
(INSTANCE input64)
(DELAY
(ABSOLUTE
(IOPATH A X (0.151:0.151:0.151) (0.120:0.120:0.120))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__clkbuf_1")
(INSTANCE input65)
(DELAY
(ABSOLUTE
(IOPATH A X (0.151:0.151:0.151) (0.120:0.120:0.120))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__clkbuf_1")
(INSTANCE input66)
(DELAY
(ABSOLUTE
(IOPATH A X (0.189:0.189:0.189) (0.140:0.140:0.140))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__clkbuf_1")
(INSTANCE input67)
(DELAY
(ABSOLUTE
(IOPATH A X (0.206:0.206:0.206) (0.149:0.149:0.149))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__clkbuf_1")
(INSTANCE input68)
(DELAY
(ABSOLUTE
(IOPATH A X (0.147:0.147:0.147) (0.117:0.117:0.117))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__clkbuf_1")
(INSTANCE input69)
(DELAY
(ABSOLUTE
(IOPATH A X (0.142:0.142:0.142) (0.112:0.112:0.112))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__buf_12")
(INSTANCE input7)
(DELAY
(ABSOLUTE
(IOPATH A X (0.156:0.156:0.156) (0.142:0.142:0.142))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__clkbuf_1")
(INSTANCE input70)
(DELAY
(ABSOLUTE
(IOPATH A X (0.167:0.167:0.167) (0.129:0.129:0.129))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__clkbuf_1")
(INSTANCE input71)
(DELAY
(ABSOLUTE
(IOPATH A X (0.174:0.174:0.174) (0.132:0.132:0.132))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__clkbuf_1")
(INSTANCE input72)
(DELAY
(ABSOLUTE
(IOPATH A X (0.189:0.189:0.189) (0.145:0.145:0.145))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__clkbuf_1")
(INSTANCE input73)
(DELAY
(ABSOLUTE
(IOPATH A X (0.264:0.264:0.264) (0.180:0.180:0.180))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__clkbuf_1")
(INSTANCE input74)
(DELAY
(ABSOLUTE
(IOPATH A X (0.252:0.252:0.252) (0.174:0.174:0.174))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__clkbuf_1")
(INSTANCE input75)
(DELAY
(ABSOLUTE
(IOPATH A X (0.281:0.281:0.281) (0.192:0.192:0.192))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__clkbuf_1")
(INSTANCE input76)
(DELAY
(ABSOLUTE
(IOPATH A X (0.306:0.306:0.306) (0.201:0.201:0.201))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__clkbuf_1")
(INSTANCE input77)
(DELAY
(ABSOLUTE
(IOPATH A X (0.621:0.621:0.621) (0.396:0.396:0.396))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__clkbuf_1")
(INSTANCE input78)
(DELAY
(ABSOLUTE
(IOPATH A X (0.634:0.634:0.634) (0.402:0.402:0.402))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__clkbuf_1")
(INSTANCE input79)
(DELAY
(ABSOLUTE
(IOPATH A X (0.540:0.540:0.540) (0.347:0.347:0.347))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__buf_12")
(INSTANCE input8)
(DELAY
(ABSOLUTE
(IOPATH A X (0.160:0.160:0.160) (0.144:0.144:0.144))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__clkbuf_1")
(INSTANCE input80)
(DELAY
(ABSOLUTE
(IOPATH A X (0.171:0.171:0.171) (0.127:0.127:0.127))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__clkbuf_1")
(INSTANCE input81)
(DELAY
(ABSOLUTE
(IOPATH A X (0.503:0.503:0.503) (0.323:0.323:0.323))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__buf_12")
(INSTANCE input82)
(DELAY
(ABSOLUTE
(IOPATH A X (0.365:0.365:0.365) (0.243:0.243:0.243))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__clkbuf_4")
(INSTANCE input83)
(DELAY
(ABSOLUTE
(IOPATH A X (0.288:0.288:0.288) (0.237:0.237:0.237))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__clkbuf_1")
(INSTANCE input84)
(DELAY
(ABSOLUTE
(IOPATH A X (0.591:0.591:0.591) (0.372:0.372:0.372))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__clkbuf_1")
(INSTANCE input85)
(DELAY
(ABSOLUTE
(IOPATH A X (0.670:0.670:0.670) (0.409:0.409:0.409))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__clkbuf_1")
(INSTANCE input86)
(DELAY
(ABSOLUTE
(IOPATH A X (0.600:0.600:0.600) (0.367:0.367:0.367))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__clkbuf_1")
(INSTANCE input87)
(DELAY
(ABSOLUTE
(IOPATH A X (0.430:0.430:0.430) (0.281:0.281:0.281))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__clkbuf_1")
(INSTANCE input88)
(DELAY
(ABSOLUTE
(IOPATH A X (0.590:0.590:0.590) (0.366:0.366:0.366))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__clkbuf_1")
(INSTANCE input89)
(DELAY
(ABSOLUTE
(IOPATH A X (0.488:0.488:0.488) (0.312:0.312:0.312))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__buf_12")
(INSTANCE input9)
(DELAY
(ABSOLUTE
(IOPATH A X (0.160:0.160:0.160) (0.144:0.144:0.144))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__clkbuf_1")
(INSTANCE input90)
(DELAY
(ABSOLUTE
(IOPATH A X (0.465:0.465:0.465) (0.297:0.297:0.297))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__clkbuf_1")
(INSTANCE input91)
(DELAY
(ABSOLUTE
(IOPATH A X (0.158:0.158:0.158) (0.121:0.121:0.121))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__clkbuf_1")
(INSTANCE input92)
(DELAY
(ABSOLUTE
(IOPATH A X (0.533:0.533:0.533) (0.331:0.331:0.331))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__clkbuf_1")
(INSTANCE input93)
(DELAY
(ABSOLUTE
(IOPATH A X (0.398:0.398:0.398) (0.253:0.253:0.253))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__clkbuf_1")
(INSTANCE input94)
(DELAY
(ABSOLUTE
(IOPATH A X (0.558:0.558:0.558) (0.345:0.345:0.345))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__clkbuf_1")
(INSTANCE input95)
(DELAY
(ABSOLUTE
(IOPATH A X (0.549:0.549:0.549) (0.330:0.330:0.330))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__clkbuf_1")
(INSTANCE input96)
(DELAY
(ABSOLUTE
(IOPATH A X (0.425:0.425:0.425) (0.267:0.267:0.267))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__clkbuf_2")
(INSTANCE input97)
(DELAY
(ABSOLUTE
(IOPATH A X (0.340:0.340:0.340) (0.271:0.271:0.271))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__clkbuf_1")
(INSTANCE input98)
(DELAY
(ABSOLUTE
(IOPATH A X (0.185:0.185:0.185) (0.138:0.138:0.138))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__dlymetal6s2s_1")
(INSTANCE input99)
(DELAY
(ABSOLUTE
(IOPATH A X (0.256:0.256:0.256) (0.220:0.220:0.220))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__buf_2")
(INSTANCE output117)
(DELAY
(ABSOLUTE
(IOPATH A X (0.247:0.247:0.247) (0.210:0.210:0.210))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__buf_2")
(INSTANCE output118)
(DELAY
(ABSOLUTE
(IOPATH A X (0.312:0.312:0.312) (0.304:0.305:0.306))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__buf_2")
(INSTANCE output119)
(DELAY
(ABSOLUTE
(IOPATH A X (0.250:0.250:0.250) (0.232:0.232:0.232))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__buf_2")
(INSTANCE output120)
(DELAY
(ABSOLUTE
(IOPATH A X (0.291:0.291:0.292) (0.289:0.290:0.290))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__buf_2")
(INSTANCE output121)
(DELAY
(ABSOLUTE
(IOPATH A X (0.249:0.249:0.249) (0.231:0.231:0.231))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__buf_2")
(INSTANCE output122)
(DELAY
(ABSOLUTE
(IOPATH A X (0.262:0.262:0.262) (0.251:0.251:0.251))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__buf_2")
(INSTANCE output123)
(DELAY
(ABSOLUTE
(IOPATH A X (0.252:0.252:0.252) (0.234:0.234:0.234))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__buf_2")
(INSTANCE output124)
(DELAY
(ABSOLUTE
(IOPATH A X (0.282:0.282:0.282) (0.254:0.255:0.256))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__buf_2")
(INSTANCE output125)
(DELAY
(ABSOLUTE
(IOPATH A X (0.232:0.232:0.232) (0.192:0.192:0.192))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__buf_2")
(INSTANCE output126)
(DELAY
(ABSOLUTE
(IOPATH A X (0.191:0.191:0.191) (0.173:0.173:0.173))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__buf_2")
(INSTANCE output127)
(DELAY
(ABSOLUTE
(IOPATH A X (0.312:0.312:0.312) (0.263:0.267:0.272))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__buf_2")
(INSTANCE output128)
(DELAY
(ABSOLUTE
(IOPATH A X (0.280:0.280:0.280) (0.250:0.251:0.252))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__buf_2")
(INSTANCE output129)
(DELAY
(ABSOLUTE
(IOPATH A X (0.257:0.257:0.257) (0.244:0.244:0.244))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__buf_2")
(INSTANCE output130)
(DELAY
(ABSOLUTE
(IOPATH A X (0.246:0.246:0.246) (0.226:0.226:0.226))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__buf_2")
(INSTANCE output131)
(DELAY
(ABSOLUTE
(IOPATH A X (0.229:0.229:0.229) (0.190:0.190:0.190))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__buf_2")
(INSTANCE output132)
(DELAY
(ABSOLUTE
(IOPATH A X (0.274:0.274:0.274) (0.226:0.226:0.226))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__buf_2")
(INSTANCE output133)
(DELAY
(ABSOLUTE
(IOPATH A X (0.274:0.274:0.274) (0.226:0.226:0.226))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__buf_2")
(INSTANCE output134)
(DELAY
(ABSOLUTE
(IOPATH A X (0.274:0.274:0.274) (0.227:0.227:0.227))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__buf_2")
(INSTANCE output135)
(DELAY
(ABSOLUTE
(IOPATH A X (0.247:0.247:0.247) (0.199:0.199:0.199))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__buf_2")
(INSTANCE output136)
(DELAY
(ABSOLUTE
(IOPATH A X (0.264:0.264:0.264) (0.226:0.226:0.227))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__buf_2")
(INSTANCE output137)
(DELAY
(ABSOLUTE
(IOPATH A X (0.247:0.247:0.247) (0.198:0.198:0.198))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__buf_2")
(INSTANCE output138)
(DELAY
(ABSOLUTE
(IOPATH A X (0.227:0.227:0.227) (0.188:0.188:0.188))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__buf_2")
(INSTANCE output139)
(DELAY
(ABSOLUTE
(IOPATH A X (0.235:0.235:0.235) (0.192:0.192:0.192))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__buf_2")
(INSTANCE output140)
(DELAY
(ABSOLUTE
(IOPATH A X (0.222:0.222:0.222) (0.186:0.186:0.186))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__buf_2")
(INSTANCE output141)
(DELAY
(ABSOLUTE
(IOPATH A X (0.220:0.220:0.220) (0.185:0.185:0.185))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__buf_2")
(INSTANCE output142)
(DELAY
(ABSOLUTE
(IOPATH A X (0.239:0.239:0.239) (0.196:0.196:0.196))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__buf_2")
(INSTANCE output143)
(DELAY
(ABSOLUTE
(IOPATH A X (0.232:0.232:0.232) (0.191:0.191:0.191))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__buf_2")
(INSTANCE output144)
(DELAY
(ABSOLUTE
(IOPATH A X (0.225:0.225:0.225) (0.187:0.187:0.187))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__buf_2")
(INSTANCE output145)
(DELAY
(ABSOLUTE
(IOPATH A X (0.232:0.232:0.232) (0.191:0.191:0.191))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__buf_2")
(INSTANCE output146)
(DELAY
(ABSOLUTE
(IOPATH A X (0.232:0.232:0.232) (0.193:0.193:0.193))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__buf_2")
(INSTANCE output147)
(DELAY
(ABSOLUTE
(IOPATH A X (0.237:0.237:0.237) (0.193:0.193:0.193))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__buf_2")
(INSTANCE output148)
(DELAY
(ABSOLUTE
(IOPATH A X (0.225:0.225:0.225) (0.187:0.187:0.187))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__buf_2")
(INSTANCE output149)
(DELAY
(ABSOLUTE
(IOPATH A X (0.215:0.215:0.215) (0.182:0.182:0.182))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__buf_2")
(INSTANCE output150)
(DELAY
(ABSOLUTE
(IOPATH A X (0.217:0.217:0.217) (0.183:0.183:0.183))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__buf_2")
(INSTANCE output151)
(DELAY
(ABSOLUTE
(IOPATH A X (0.216:0.216:0.216) (0.182:0.182:0.182))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__buf_2")
(INSTANCE output152)
(DELAY
(ABSOLUTE
(IOPATH A X (0.220:0.220:0.220) (0.184:0.184:0.184))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__buf_2")
(INSTANCE output153)
(DELAY
(ABSOLUTE
(IOPATH A X (0.233:0.233:0.233) (0.191:0.191:0.191))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__buf_2")
(INSTANCE output154)
(DELAY
(ABSOLUTE
(IOPATH A X (0.214:0.214:0.214) (0.181:0.181:0.181))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__buf_2")
(INSTANCE output155)
(DELAY
(ABSOLUTE
(IOPATH A X (0.226:0.226:0.226) (0.188:0.188:0.188))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__buf_2")
(INSTANCE output156)
(DELAY
(ABSOLUTE
(IOPATH A X (0.228:0.228:0.228) (0.188:0.188:0.188))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__buf_2")
(INSTANCE output157)
(DELAY
(ABSOLUTE
(IOPATH A X (0.226:0.226:0.226) (0.188:0.188:0.188))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__buf_2")
(INSTANCE output158)
(DELAY
(ABSOLUTE
(IOPATH A X (0.223:0.223:0.223) (0.186:0.186:0.186))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__buf_2")
(INSTANCE output159)
(DELAY
(ABSOLUTE
(IOPATH A X (0.214:0.214:0.214) (0.181:0.181:0.181))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__buf_2")
(INSTANCE output160)
(DELAY
(ABSOLUTE
(IOPATH A X (0.208:0.208:0.208) (0.177:0.177:0.177))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__buf_2")
(INSTANCE output161)
(DELAY
(ABSOLUTE
(IOPATH A X (0.222:0.222:0.222) (0.186:0.186:0.186))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__buf_2")
(INSTANCE output162)
(DELAY
(ABSOLUTE
(IOPATH A X (0.210:0.210:0.210) (0.179:0.179:0.179))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__buf_2")
(INSTANCE output163)
(DELAY
(ABSOLUTE
(IOPATH A X (0.221:0.221:0.221) (0.185:0.185:0.185))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__buf_2")
(INSTANCE output164)
(DELAY
(ABSOLUTE
(IOPATH A X (0.228:0.228:0.228) (0.189:0.189:0.189))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__buf_2")
(INSTANCE output165)
(DELAY
(ABSOLUTE
(IOPATH A X (0.258:0.258:0.258) (0.211:0.211:0.211))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__buf_2")
(INSTANCE output166)
(DELAY
(ABSOLUTE
(IOPATH A X (0.239:0.239:0.239) (0.194:0.194:0.194))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__buf_2")
(INSTANCE output167)
(DELAY
(ABSOLUTE
(IOPATH A X (0.278:0.278:0.278) (0.226:0.226:0.226))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__buf_2")
(INSTANCE output168)
(DELAY
(ABSOLUTE
(IOPATH A X (0.270:0.270:0.270) (0.221:0.221:0.221))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__buf_2")
(INSTANCE output169)
(DELAY
(ABSOLUTE
(IOPATH A X (0.284:0.284:0.284) (0.236:0.236:0.236))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__buf_2")
(INSTANCE output170)
(DELAY
(ABSOLUTE
(IOPATH A X (0.288:0.288:0.288) (0.241:0.241:0.241))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__buf_2")
(INSTANCE output171)
(DELAY
(ABSOLUTE
(IOPATH A X (0.241:0.241:0.241) (0.195:0.195:0.195))
)
)
)
)