blob: fd1df4ec991dc325719495489d674cbfb190487e [file] [log] [blame]
VERSION 5.7 ;
NOWIREEXTENSIONATPIN ON ;
DIVIDERCHAR "/" ;
BUSBITCHARS "[]" ;
MACRO pwm_top
CLASS BLOCK ;
FOREIGN pwm_top ;
ORIGIN 0.000 0.000 ;
SIZE 2400.000 BY 1000.000 ;
PIN io_in[0]
DIRECTION INPUT ;
USE SIGNAL ;
PORT
LAYER met3 ;
RECT 2396.000 10.920 2400.000 11.520 ;
END
END io_in[0]
PIN io_in[10]
DIRECTION INPUT ;
USE SIGNAL ;
PORT
LAYER met3 ;
RECT 2396.000 677.320 2400.000 677.920 ;
END
END io_in[10]
PIN io_in[11]
DIRECTION INPUT ;
USE SIGNAL ;
PORT
LAYER met3 ;
RECT 2396.000 743.960 2400.000 744.560 ;
END
END io_in[11]
PIN io_in[12]
DIRECTION INPUT ;
USE SIGNAL ;
PORT
LAYER met3 ;
RECT 2396.000 810.600 2400.000 811.200 ;
END
END io_in[12]
PIN io_in[13]
DIRECTION INPUT ;
USE SIGNAL ;
PORT
LAYER met3 ;
RECT 2396.000 877.240 2400.000 877.840 ;
END
END io_in[13]
PIN io_in[14]
DIRECTION INPUT ;
USE SIGNAL ;
PORT
LAYER met3 ;
RECT 2396.000 943.880 2400.000 944.480 ;
END
END io_in[14]
PIN io_in[15]
DIRECTION INPUT ;
USE SIGNAL ;
PORT
LAYER met2 ;
RECT 2354.830 996.000 2355.110 1000.000 ;
END
END io_in[15]
PIN io_in[16]
DIRECTION INPUT ;
USE SIGNAL ;
PORT
LAYER met2 ;
RECT 2088.490 996.000 2088.770 1000.000 ;
END
END io_in[16]
PIN io_in[17]
DIRECTION INPUT ;
USE SIGNAL ;
PORT
LAYER met2 ;
RECT 1821.690 996.000 1821.970 1000.000 ;
END
END io_in[17]
PIN io_in[18]
DIRECTION INPUT ;
USE SIGNAL ;
PORT
LAYER met2 ;
RECT 1554.890 996.000 1555.170 1000.000 ;
END
END io_in[18]
PIN io_in[19]
DIRECTION INPUT ;
USE SIGNAL ;
PORT
LAYER met2 ;
RECT 1288.550 996.000 1288.830 1000.000 ;
END
END io_in[19]
PIN io_in[1]
DIRECTION INPUT ;
USE SIGNAL ;
PORT
LAYER met3 ;
RECT 2396.000 77.560 2400.000 78.160 ;
END
END io_in[1]
PIN io_in[20]
DIRECTION INPUT ;
USE SIGNAL ;
PORT
LAYER met2 ;
RECT 1021.750 996.000 1022.030 1000.000 ;
END
END io_in[20]
PIN io_in[21]
DIRECTION INPUT ;
USE SIGNAL ;
PORT
LAYER met2 ;
RECT 754.950 996.000 755.230 1000.000 ;
END
END io_in[21]
PIN io_in[22]
DIRECTION INPUT ;
USE SIGNAL ;
PORT
LAYER met2 ;
RECT 488.610 996.000 488.890 1000.000 ;
END
END io_in[22]
PIN io_in[23]
DIRECTION INPUT ;
USE SIGNAL ;
PORT
LAYER met2 ;
RECT 221.810 996.000 222.090 1000.000 ;
END
END io_in[23]
PIN io_in[24]
DIRECTION INPUT ;
USE SIGNAL ;
PORT
LAYER met3 ;
RECT 0.000 987.400 4.000 988.000 ;
END
END io_in[24]
PIN io_in[25]
DIRECTION INPUT ;
USE SIGNAL ;
PORT
LAYER met3 ;
RECT 0.000 916.000 4.000 916.600 ;
END
END io_in[25]
PIN io_in[26]
DIRECTION INPUT ;
USE SIGNAL ;
PORT
LAYER met3 ;
RECT 0.000 844.600 4.000 845.200 ;
END
END io_in[26]
PIN io_in[27]
DIRECTION INPUT ;
USE SIGNAL ;
PORT
LAYER met3 ;
RECT 0.000 773.200 4.000 773.800 ;
END
END io_in[27]
PIN io_in[28]
DIRECTION INPUT ;
USE SIGNAL ;
PORT
LAYER met3 ;
RECT 0.000 701.800 4.000 702.400 ;
END
END io_in[28]
PIN io_in[29]
DIRECTION INPUT ;
USE SIGNAL ;
PORT
LAYER met3 ;
RECT 0.000 630.400 4.000 631.000 ;
END
END io_in[29]
PIN io_in[2]
DIRECTION INPUT ;
USE SIGNAL ;
PORT
LAYER met3 ;
RECT 2396.000 144.200 2400.000 144.800 ;
END
END io_in[2]
PIN io_in[30]
DIRECTION INPUT ;
USE SIGNAL ;
PORT
LAYER met3 ;
RECT 0.000 559.000 4.000 559.600 ;
END
END io_in[30]
PIN io_in[31]
DIRECTION INPUT ;
USE SIGNAL ;
PORT
LAYER met3 ;
RECT 0.000 487.600 4.000 488.200 ;
END
END io_in[31]
PIN io_in[32]
DIRECTION INPUT ;
USE SIGNAL ;
PORT
LAYER met3 ;
RECT 0.000 416.200 4.000 416.800 ;
END
END io_in[32]
PIN io_in[33]
DIRECTION INPUT ;
USE SIGNAL ;
PORT
LAYER met3 ;
RECT 0.000 344.800 4.000 345.400 ;
END
END io_in[33]
PIN io_in[34]
DIRECTION INPUT ;
USE SIGNAL ;
PORT
LAYER met3 ;
RECT 0.000 273.400 4.000 274.000 ;
END
END io_in[34]
PIN io_in[35]
DIRECTION INPUT ;
USE SIGNAL ;
PORT
LAYER met3 ;
RECT 0.000 202.000 4.000 202.600 ;
END
END io_in[35]
PIN io_in[36]
DIRECTION INPUT ;
USE SIGNAL ;
PORT
LAYER met3 ;
RECT 0.000 130.600 4.000 131.200 ;
END
END io_in[36]
PIN io_in[37]
DIRECTION INPUT ;
USE SIGNAL ;
PORT
LAYER met3 ;
RECT 0.000 59.200 4.000 59.800 ;
END
END io_in[37]
PIN io_in[3]
DIRECTION INPUT ;
USE SIGNAL ;
PORT
LAYER met3 ;
RECT 2396.000 210.840 2400.000 211.440 ;
END
END io_in[3]
PIN io_in[4]
DIRECTION INPUT ;
USE SIGNAL ;
PORT
LAYER met3 ;
RECT 2396.000 277.480 2400.000 278.080 ;
END
END io_in[4]
PIN io_in[5]
DIRECTION INPUT ;
USE SIGNAL ;
PORT
LAYER met3 ;
RECT 2396.000 344.120 2400.000 344.720 ;
END
END io_in[5]
PIN io_in[6]
DIRECTION INPUT ;
USE SIGNAL ;
PORT
LAYER met3 ;
RECT 2396.000 410.760 2400.000 411.360 ;
END
END io_in[6]
PIN io_in[7]
DIRECTION INPUT ;
USE SIGNAL ;
PORT
LAYER met3 ;
RECT 2396.000 477.400 2400.000 478.000 ;
END
END io_in[7]
PIN io_in[8]
DIRECTION INPUT ;
USE SIGNAL ;
PORT
LAYER met3 ;
RECT 2396.000 544.040 2400.000 544.640 ;
END
END io_in[8]
PIN io_in[9]
DIRECTION INPUT ;
USE SIGNAL ;
PORT
LAYER met3 ;
RECT 2396.000 610.680 2400.000 611.280 ;
END
END io_in[9]
PIN io_oeb[0]
DIRECTION OUTPUT TRISTATE ;
USE SIGNAL ;
PORT
LAYER met3 ;
RECT 2396.000 55.120 2400.000 55.720 ;
END
END io_oeb[0]
PIN io_oeb[10]
DIRECTION OUTPUT TRISTATE ;
USE SIGNAL ;
PORT
LAYER met3 ;
RECT 2396.000 722.200 2400.000 722.800 ;
END
END io_oeb[10]
PIN io_oeb[11]
DIRECTION OUTPUT TRISTATE ;
USE SIGNAL ;
PORT
LAYER met3 ;
RECT 2396.000 788.840 2400.000 789.440 ;
END
END io_oeb[11]
PIN io_oeb[12]
DIRECTION OUTPUT TRISTATE ;
USE SIGNAL ;
PORT
LAYER met3 ;
RECT 2396.000 855.480 2400.000 856.080 ;
END
END io_oeb[12]
PIN io_oeb[13]
DIRECTION OUTPUT TRISTATE ;
USE SIGNAL ;
PORT
LAYER met3 ;
RECT 2396.000 922.120 2400.000 922.720 ;
END
END io_oeb[13]
PIN io_oeb[14]
DIRECTION OUTPUT TRISTATE ;
USE SIGNAL ;
PORT
LAYER met3 ;
RECT 2396.000 988.760 2400.000 989.360 ;
END
END io_oeb[14]
PIN io_oeb[15]
DIRECTION OUTPUT TRISTATE ;
USE SIGNAL ;
PORT
LAYER met2 ;
RECT 2177.270 996.000 2177.550 1000.000 ;
END
END io_oeb[15]
PIN io_oeb[16]
DIRECTION OUTPUT TRISTATE ;
USE SIGNAL ;
PORT
LAYER met2 ;
RECT 1910.470 996.000 1910.750 1000.000 ;
END
END io_oeb[16]
PIN io_oeb[17]
DIRECTION OUTPUT TRISTATE ;
USE SIGNAL ;
PORT
LAYER met2 ;
RECT 1644.130 996.000 1644.410 1000.000 ;
END
END io_oeb[17]
PIN io_oeb[18]
DIRECTION OUTPUT TRISTATE ;
USE SIGNAL ;
PORT
LAYER met2 ;
RECT 1377.330 996.000 1377.610 1000.000 ;
END
END io_oeb[18]
PIN io_oeb[19]
DIRECTION OUTPUT TRISTATE ;
USE SIGNAL ;
PORT
LAYER met2 ;
RECT 1110.530 996.000 1110.810 1000.000 ;
END
END io_oeb[19]
PIN io_oeb[1]
DIRECTION OUTPUT TRISTATE ;
USE SIGNAL ;
PORT
LAYER met3 ;
RECT 2396.000 121.760 2400.000 122.360 ;
END
END io_oeb[1]
PIN io_oeb[20]
DIRECTION OUTPUT TRISTATE ;
USE SIGNAL ;
PORT
LAYER met2 ;
RECT 844.190 996.000 844.470 1000.000 ;
END
END io_oeb[20]
PIN io_oeb[21]
DIRECTION OUTPUT TRISTATE ;
USE SIGNAL ;
PORT
LAYER met2 ;
RECT 577.390 996.000 577.670 1000.000 ;
END
END io_oeb[21]
PIN io_oeb[22]
DIRECTION OUTPUT TRISTATE ;
USE SIGNAL ;
PORT
LAYER met2 ;
RECT 310.590 996.000 310.870 1000.000 ;
END
END io_oeb[22]
PIN io_oeb[23]
DIRECTION OUTPUT TRISTATE ;
USE SIGNAL ;
PORT
LAYER met2 ;
RECT 44.250 996.000 44.530 1000.000 ;
END
END io_oeb[23]
PIN io_oeb[24]
DIRECTION OUTPUT TRISTATE ;
USE SIGNAL ;
PORT
LAYER met3 ;
RECT 0.000 939.800 4.000 940.400 ;
END
END io_oeb[24]
PIN io_oeb[25]
DIRECTION OUTPUT TRISTATE ;
USE SIGNAL ;
PORT
LAYER met3 ;
RECT 0.000 868.400 4.000 869.000 ;
END
END io_oeb[25]
PIN io_oeb[26]
DIRECTION OUTPUT TRISTATE ;
USE SIGNAL ;
PORT
LAYER met3 ;
RECT 0.000 797.000 4.000 797.600 ;
END
END io_oeb[26]
PIN io_oeb[27]
DIRECTION OUTPUT TRISTATE ;
USE SIGNAL ;
PORT
LAYER met3 ;
RECT 0.000 725.600 4.000 726.200 ;
END
END io_oeb[27]
PIN io_oeb[28]
DIRECTION OUTPUT TRISTATE ;
USE SIGNAL ;
PORT
LAYER met3 ;
RECT 0.000 654.200 4.000 654.800 ;
END
END io_oeb[28]
PIN io_oeb[29]
DIRECTION OUTPUT TRISTATE ;
USE SIGNAL ;
PORT
LAYER met3 ;
RECT 0.000 582.800 4.000 583.400 ;
END
END io_oeb[29]
PIN io_oeb[2]
DIRECTION OUTPUT TRISTATE ;
USE SIGNAL ;
PORT
LAYER met3 ;
RECT 2396.000 188.400 2400.000 189.000 ;
END
END io_oeb[2]
PIN io_oeb[30]
DIRECTION OUTPUT TRISTATE ;
USE SIGNAL ;
PORT
LAYER met3 ;
RECT 0.000 511.400 4.000 512.000 ;
END
END io_oeb[30]
PIN io_oeb[31]
DIRECTION OUTPUT TRISTATE ;
USE SIGNAL ;
PORT
LAYER met3 ;
RECT 0.000 440.000 4.000 440.600 ;
END
END io_oeb[31]
PIN io_oeb[32]
DIRECTION OUTPUT TRISTATE ;
USE SIGNAL ;
PORT
LAYER met3 ;
RECT 0.000 368.600 4.000 369.200 ;
END
END io_oeb[32]
PIN io_oeb[33]
DIRECTION OUTPUT TRISTATE ;
USE SIGNAL ;
PORT
LAYER met3 ;
RECT 0.000 297.200 4.000 297.800 ;
END
END io_oeb[33]
PIN io_oeb[34]
DIRECTION OUTPUT TRISTATE ;
USE SIGNAL ;
PORT
LAYER met3 ;
RECT 0.000 225.800 4.000 226.400 ;
END
END io_oeb[34]
PIN io_oeb[35]
DIRECTION OUTPUT TRISTATE ;
USE SIGNAL ;
PORT
LAYER met3 ;
RECT 0.000 154.400 4.000 155.000 ;
END
END io_oeb[35]
PIN io_oeb[36]
DIRECTION OUTPUT TRISTATE ;
USE SIGNAL ;
PORT
LAYER met3 ;
RECT 0.000 83.000 4.000 83.600 ;
END
END io_oeb[36]
PIN io_oeb[37]
DIRECTION OUTPUT TRISTATE ;
USE SIGNAL ;
PORT
LAYER met3 ;
RECT 0.000 11.600 4.000 12.200 ;
END
END io_oeb[37]
PIN io_oeb[3]
DIRECTION OUTPUT TRISTATE ;
USE SIGNAL ;
PORT
LAYER met3 ;
RECT 2396.000 255.040 2400.000 255.640 ;
END
END io_oeb[3]
PIN io_oeb[4]
DIRECTION OUTPUT TRISTATE ;
USE SIGNAL ;
PORT
LAYER met3 ;
RECT 2396.000 321.680 2400.000 322.280 ;
END
END io_oeb[4]
PIN io_oeb[5]
DIRECTION OUTPUT TRISTATE ;
USE SIGNAL ;
PORT
LAYER met3 ;
RECT 2396.000 388.320 2400.000 388.920 ;
END
END io_oeb[5]
PIN io_oeb[6]
DIRECTION OUTPUT TRISTATE ;
USE SIGNAL ;
PORT
LAYER met3 ;
RECT 2396.000 454.960 2400.000 455.560 ;
END
END io_oeb[6]
PIN io_oeb[7]
DIRECTION OUTPUT TRISTATE ;
USE SIGNAL ;
PORT
LAYER met3 ;
RECT 2396.000 521.600 2400.000 522.200 ;
END
END io_oeb[7]
PIN io_oeb[8]
DIRECTION OUTPUT TRISTATE ;
USE SIGNAL ;
PORT
LAYER met3 ;
RECT 2396.000 588.240 2400.000 588.840 ;
END
END io_oeb[8]
PIN io_oeb[9]
DIRECTION OUTPUT TRISTATE ;
USE SIGNAL ;
PORT
LAYER met3 ;
RECT 2396.000 654.880 2400.000 655.480 ;
END
END io_oeb[9]
PIN io_out[0]
DIRECTION OUTPUT TRISTATE ;
USE SIGNAL ;
PORT
LAYER met3 ;
RECT 2396.000 32.680 2400.000 33.280 ;
END
END io_out[0]
PIN io_out[10]
DIRECTION OUTPUT TRISTATE ;
USE SIGNAL ;
PORT
LAYER met3 ;
RECT 2396.000 699.760 2400.000 700.360 ;
END
END io_out[10]
PIN io_out[11]
DIRECTION OUTPUT TRISTATE ;
USE SIGNAL ;
PORT
LAYER met3 ;
RECT 2396.000 766.400 2400.000 767.000 ;
END
END io_out[11]
PIN io_out[12]
DIRECTION OUTPUT TRISTATE ;
USE SIGNAL ;
PORT
LAYER met3 ;
RECT 2396.000 833.040 2400.000 833.640 ;
END
END io_out[12]
PIN io_out[13]
DIRECTION OUTPUT TRISTATE ;
USE SIGNAL ;
PORT
LAYER met3 ;
RECT 2396.000 899.680 2400.000 900.280 ;
END
END io_out[13]
PIN io_out[14]
DIRECTION OUTPUT TRISTATE ;
USE SIGNAL ;
PORT
LAYER met3 ;
RECT 2396.000 966.320 2400.000 966.920 ;
END
END io_out[14]
PIN io_out[15]
DIRECTION OUTPUT TRISTATE ;
USE SIGNAL ;
PORT
LAYER met2 ;
RECT 2266.050 996.000 2266.330 1000.000 ;
END
END io_out[15]
PIN io_out[16]
DIRECTION OUTPUT TRISTATE ;
USE SIGNAL ;
PORT
LAYER met2 ;
RECT 1999.250 996.000 1999.530 1000.000 ;
END
END io_out[16]
PIN io_out[17]
DIRECTION OUTPUT TRISTATE ;
USE SIGNAL ;
PORT
LAYER met2 ;
RECT 1732.910 996.000 1733.190 1000.000 ;
END
END io_out[17]
PIN io_out[18]
DIRECTION OUTPUT TRISTATE ;
USE SIGNAL ;
PORT
LAYER met2 ;
RECT 1466.110 996.000 1466.390 1000.000 ;
END
END io_out[18]
PIN io_out[19]
DIRECTION OUTPUT TRISTATE ;
USE SIGNAL ;
PORT
LAYER met2 ;
RECT 1199.310 996.000 1199.590 1000.000 ;
END
END io_out[19]
PIN io_out[1]
DIRECTION OUTPUT TRISTATE ;
USE SIGNAL ;
PORT
LAYER met3 ;
RECT 2396.000 99.320 2400.000 99.920 ;
END
END io_out[1]
PIN io_out[20]
DIRECTION OUTPUT TRISTATE ;
USE SIGNAL ;
PORT
LAYER met2 ;
RECT 932.970 996.000 933.250 1000.000 ;
END
END io_out[20]
PIN io_out[21]
DIRECTION OUTPUT TRISTATE ;
USE SIGNAL ;
PORT
LAYER met2 ;
RECT 666.170 996.000 666.450 1000.000 ;
END
END io_out[21]
PIN io_out[22]
DIRECTION OUTPUT TRISTATE ;
USE SIGNAL ;
PORT
LAYER met2 ;
RECT 399.370 996.000 399.650 1000.000 ;
END
END io_out[22]
PIN io_out[23]
DIRECTION OUTPUT TRISTATE ;
USE SIGNAL ;
PORT
LAYER met2 ;
RECT 133.030 996.000 133.310 1000.000 ;
END
END io_out[23]
PIN io_out[24]
DIRECTION OUTPUT TRISTATE ;
USE SIGNAL ;
PORT
LAYER met3 ;
RECT 0.000 963.600 4.000 964.200 ;
END
END io_out[24]
PIN io_out[25]
DIRECTION OUTPUT TRISTATE ;
USE SIGNAL ;
PORT
LAYER met3 ;
RECT 0.000 892.200 4.000 892.800 ;
END
END io_out[25]
PIN io_out[26]
DIRECTION OUTPUT TRISTATE ;
USE SIGNAL ;
PORT
LAYER met3 ;
RECT 0.000 820.800 4.000 821.400 ;
END
END io_out[26]
PIN io_out[27]
DIRECTION OUTPUT TRISTATE ;
USE SIGNAL ;
PORT
LAYER met3 ;
RECT 0.000 749.400 4.000 750.000 ;
END
END io_out[27]
PIN io_out[28]
DIRECTION OUTPUT TRISTATE ;
USE SIGNAL ;
PORT
LAYER met3 ;
RECT 0.000 678.000 4.000 678.600 ;
END
END io_out[28]
PIN io_out[29]
DIRECTION OUTPUT TRISTATE ;
USE SIGNAL ;
PORT
LAYER met3 ;
RECT 0.000 606.600 4.000 607.200 ;
END
END io_out[29]
PIN io_out[2]
DIRECTION OUTPUT TRISTATE ;
USE SIGNAL ;
PORT
LAYER met3 ;
RECT 2396.000 165.960 2400.000 166.560 ;
END
END io_out[2]
PIN io_out[30]
DIRECTION OUTPUT TRISTATE ;
USE SIGNAL ;
PORT
LAYER met3 ;
RECT 0.000 535.200 4.000 535.800 ;
END
END io_out[30]
PIN io_out[31]
DIRECTION OUTPUT TRISTATE ;
USE SIGNAL ;
PORT
LAYER met3 ;
RECT 0.000 463.800 4.000 464.400 ;
END
END io_out[31]
PIN io_out[32]
DIRECTION OUTPUT TRISTATE ;
USE SIGNAL ;
PORT
LAYER met3 ;
RECT 0.000 392.400 4.000 393.000 ;
END
END io_out[32]
PIN io_out[33]
DIRECTION OUTPUT TRISTATE ;
USE SIGNAL ;
PORT
LAYER met3 ;
RECT 0.000 321.000 4.000 321.600 ;
END
END io_out[33]
PIN io_out[34]
DIRECTION OUTPUT TRISTATE ;
USE SIGNAL ;
PORT
LAYER met3 ;
RECT 0.000 249.600 4.000 250.200 ;
END
END io_out[34]
PIN io_out[35]
DIRECTION OUTPUT TRISTATE ;
USE SIGNAL ;
PORT
LAYER met3 ;
RECT 0.000 178.200 4.000 178.800 ;
END
END io_out[35]
PIN io_out[36]
DIRECTION OUTPUT TRISTATE ;
USE SIGNAL ;
PORT
LAYER met3 ;
RECT 0.000 106.800 4.000 107.400 ;
END
END io_out[36]
PIN io_out[37]
DIRECTION OUTPUT TRISTATE ;
USE SIGNAL ;
PORT
LAYER met3 ;
RECT 0.000 35.400 4.000 36.000 ;
END
END io_out[37]
PIN io_out[3]
DIRECTION OUTPUT TRISTATE ;
USE SIGNAL ;
PORT
LAYER met3 ;
RECT 2396.000 232.600 2400.000 233.200 ;
END
END io_out[3]
PIN io_out[4]
DIRECTION OUTPUT TRISTATE ;
USE SIGNAL ;
PORT
LAYER met3 ;
RECT 2396.000 299.240 2400.000 299.840 ;
END
END io_out[4]
PIN io_out[5]
DIRECTION OUTPUT TRISTATE ;
USE SIGNAL ;
PORT
LAYER met3 ;
RECT 2396.000 366.560 2400.000 367.160 ;
END
END io_out[5]
PIN io_out[6]
DIRECTION OUTPUT TRISTATE ;
USE SIGNAL ;
PORT
LAYER met3 ;
RECT 2396.000 433.200 2400.000 433.800 ;
END
END io_out[6]
PIN io_out[7]
DIRECTION OUTPUT TRISTATE ;
USE SIGNAL ;
PORT
LAYER met3 ;
RECT 2396.000 499.840 2400.000 500.440 ;
END
END io_out[7]
PIN io_out[8]
DIRECTION OUTPUT TRISTATE ;
USE SIGNAL ;
PORT
LAYER met3 ;
RECT 2396.000 566.480 2400.000 567.080 ;
END
END io_out[8]
PIN io_out[9]
DIRECTION OUTPUT TRISTATE ;
USE SIGNAL ;
PORT
LAYER met3 ;
RECT 2396.000 633.120 2400.000 633.720 ;
END
END io_out[9]
PIN irq[0]
DIRECTION OUTPUT TRISTATE ;
USE SIGNAL ;
PORT
LAYER met2 ;
RECT 2387.490 0.000 2387.770 4.000 ;
END
END irq[0]
PIN irq[1]
DIRECTION OUTPUT TRISTATE ;
USE SIGNAL ;
PORT
LAYER met2 ;
RECT 2392.090 0.000 2392.370 4.000 ;
END
END irq[1]
PIN irq[2]
DIRECTION OUTPUT TRISTATE ;
USE SIGNAL ;
PORT
LAYER met2 ;
RECT 2397.150 0.000 2397.430 4.000 ;
END
END irq[2]
PIN la_data_in[0]
DIRECTION INPUT ;
USE SIGNAL ;
PORT
LAYER met2 ;
RECT 518.050 0.000 518.330 4.000 ;
END
END la_data_in[0]
PIN la_data_in[100]
DIRECTION INPUT ;
USE SIGNAL ;
PORT
LAYER met2 ;
RECT 1978.550 0.000 1978.830 4.000 ;
END
END la_data_in[100]
PIN la_data_in[101]
DIRECTION INPUT ;
USE SIGNAL ;
PORT
LAYER met2 ;
RECT 1993.270 0.000 1993.550 4.000 ;
END
END la_data_in[101]
PIN la_data_in[102]
DIRECTION INPUT ;
USE SIGNAL ;
PORT
LAYER met2 ;
RECT 2007.530 0.000 2007.810 4.000 ;
END
END la_data_in[102]
PIN la_data_in[103]
DIRECTION INPUT ;
USE SIGNAL ;
PORT
LAYER met2 ;
RECT 2022.250 0.000 2022.530 4.000 ;
END
END la_data_in[103]
PIN la_data_in[104]
DIRECTION INPUT ;
USE SIGNAL ;
PORT
LAYER met2 ;
RECT 2036.970 0.000 2037.250 4.000 ;
END
END la_data_in[104]
PIN la_data_in[105]
DIRECTION INPUT ;
USE SIGNAL ;
PORT
LAYER met2 ;
RECT 2051.690 0.000 2051.970 4.000 ;
END
END la_data_in[105]
PIN la_data_in[106]
DIRECTION INPUT ;
USE SIGNAL ;
PORT
LAYER met2 ;
RECT 2065.950 0.000 2066.230 4.000 ;
END
END la_data_in[106]
PIN la_data_in[107]
DIRECTION INPUT ;
USE SIGNAL ;
PORT
LAYER met2 ;
RECT 2080.670 0.000 2080.950 4.000 ;
END
END la_data_in[107]
PIN la_data_in[108]
DIRECTION INPUT ;
USE SIGNAL ;
PORT
LAYER met2 ;
RECT 2095.390 0.000 2095.670 4.000 ;
END
END la_data_in[108]
PIN la_data_in[109]
DIRECTION INPUT ;
USE SIGNAL ;
PORT
LAYER met2 ;
RECT 2110.110 0.000 2110.390 4.000 ;
END
END la_data_in[109]
PIN la_data_in[10]
DIRECTION INPUT ;
USE SIGNAL ;
PORT
LAYER met2 ;
RECT 664.330 0.000 664.610 4.000 ;
END
END la_data_in[10]
PIN la_data_in[110]
DIRECTION INPUT ;
USE SIGNAL ;
PORT
LAYER met2 ;
RECT 2124.370 0.000 2124.650 4.000 ;
END
END la_data_in[110]
PIN la_data_in[111]
DIRECTION INPUT ;
USE SIGNAL ;
PORT
LAYER met2 ;
RECT 2139.090 0.000 2139.370 4.000 ;
END
END la_data_in[111]
PIN la_data_in[112]
DIRECTION INPUT ;
USE SIGNAL ;
PORT
LAYER met2 ;
RECT 2153.810 0.000 2154.090 4.000 ;
END
END la_data_in[112]
PIN la_data_in[113]
DIRECTION INPUT ;
USE SIGNAL ;
PORT
LAYER met2 ;
RECT 2168.530 0.000 2168.810 4.000 ;
END
END la_data_in[113]
PIN la_data_in[114]
DIRECTION INPUT ;
USE SIGNAL ;
PORT
LAYER met2 ;
RECT 2182.790 0.000 2183.070 4.000 ;
END
END la_data_in[114]
PIN la_data_in[115]
DIRECTION INPUT ;
USE SIGNAL ;
PORT
LAYER met2 ;
RECT 2197.510 0.000 2197.790 4.000 ;
END
END la_data_in[115]
PIN la_data_in[116]
DIRECTION INPUT ;
USE SIGNAL ;
PORT
LAYER met2 ;
RECT 2212.230 0.000 2212.510 4.000 ;
END
END la_data_in[116]
PIN la_data_in[117]
DIRECTION INPUT ;
USE SIGNAL ;
PORT
LAYER met2 ;
RECT 2226.950 0.000 2227.230 4.000 ;
END
END la_data_in[117]
PIN la_data_in[118]
DIRECTION INPUT ;
USE SIGNAL ;
PORT
LAYER met2 ;
RECT 2241.210 0.000 2241.490 4.000 ;
END
END la_data_in[118]
PIN la_data_in[119]
DIRECTION INPUT ;
USE SIGNAL ;
PORT
LAYER met2 ;
RECT 2255.930 0.000 2256.210 4.000 ;
END
END la_data_in[119]
PIN la_data_in[11]
DIRECTION INPUT ;
USE SIGNAL ;
PORT
LAYER met2 ;
RECT 678.590 0.000 678.870 4.000 ;
END
END la_data_in[11]
PIN la_data_in[120]
DIRECTION INPUT ;
USE SIGNAL ;
PORT
LAYER met2 ;
RECT 2270.650 0.000 2270.930 4.000 ;
END
END la_data_in[120]
PIN la_data_in[121]
DIRECTION INPUT ;
USE SIGNAL ;
PORT
LAYER met2 ;
RECT 2285.370 0.000 2285.650 4.000 ;
END
END la_data_in[121]
PIN la_data_in[122]
DIRECTION INPUT ;
USE SIGNAL ;
PORT
LAYER met2 ;
RECT 2299.630 0.000 2299.910 4.000 ;
END
END la_data_in[122]
PIN la_data_in[123]
DIRECTION INPUT ;
USE SIGNAL ;
PORT
LAYER met2 ;
RECT 2314.350 0.000 2314.630 4.000 ;
END
END la_data_in[123]
PIN la_data_in[124]
DIRECTION INPUT ;
USE SIGNAL ;
PORT
LAYER met2 ;
RECT 2329.070 0.000 2329.350 4.000 ;
END
END la_data_in[124]
PIN la_data_in[125]
DIRECTION INPUT ;
USE SIGNAL ;
PORT
LAYER met2 ;
RECT 2343.790 0.000 2344.070 4.000 ;
END
END la_data_in[125]
PIN la_data_in[126]
DIRECTION INPUT ;
USE SIGNAL ;
PORT
LAYER met2 ;
RECT 2358.050 0.000 2358.330 4.000 ;
END
END la_data_in[126]
PIN la_data_in[127]
DIRECTION INPUT ;
USE SIGNAL ;
PORT
LAYER met2 ;
RECT 2372.770 0.000 2373.050 4.000 ;
END
END la_data_in[127]
PIN la_data_in[12]
DIRECTION INPUT ;
USE SIGNAL ;
PORT
LAYER met2 ;
RECT 693.310 0.000 693.590 4.000 ;
END
END la_data_in[12]
PIN la_data_in[13]
DIRECTION INPUT ;
USE SIGNAL ;
PORT
LAYER met2 ;
RECT 708.030 0.000 708.310 4.000 ;
END
END la_data_in[13]
PIN la_data_in[14]
DIRECTION INPUT ;
USE SIGNAL ;
PORT
LAYER met2 ;
RECT 722.750 0.000 723.030 4.000 ;
END
END la_data_in[14]
PIN la_data_in[15]
DIRECTION INPUT ;
USE SIGNAL ;
PORT
LAYER met2 ;
RECT 737.010 0.000 737.290 4.000 ;
END
END la_data_in[15]
PIN la_data_in[16]
DIRECTION INPUT ;
USE SIGNAL ;
PORT
LAYER met2 ;
RECT 751.730 0.000 752.010 4.000 ;
END
END la_data_in[16]
PIN la_data_in[17]
DIRECTION INPUT ;
USE SIGNAL ;
PORT
LAYER met2 ;
RECT 766.450 0.000 766.730 4.000 ;
END
END la_data_in[17]
PIN la_data_in[18]
DIRECTION INPUT ;
USE SIGNAL ;
PORT
LAYER met2 ;
RECT 781.170 0.000 781.450 4.000 ;
END
END la_data_in[18]
PIN la_data_in[19]
DIRECTION INPUT ;
USE SIGNAL ;
PORT
LAYER met2 ;
RECT 795.430 0.000 795.710 4.000 ;
END
END la_data_in[19]
PIN la_data_in[1]
DIRECTION INPUT ;
USE SIGNAL ;
PORT
LAYER met2 ;
RECT 532.770 0.000 533.050 4.000 ;
END
END la_data_in[1]
PIN la_data_in[20]
DIRECTION INPUT ;
USE SIGNAL ;
PORT
LAYER met2 ;
RECT 810.150 0.000 810.430 4.000 ;
END
END la_data_in[20]
PIN la_data_in[21]
DIRECTION INPUT ;
USE SIGNAL ;
PORT
LAYER met2 ;
RECT 824.870 0.000 825.150 4.000 ;
END
END la_data_in[21]
PIN la_data_in[22]
DIRECTION INPUT ;
USE SIGNAL ;
PORT
LAYER met2 ;
RECT 839.590 0.000 839.870 4.000 ;
END
END la_data_in[22]
PIN la_data_in[23]
DIRECTION INPUT ;
USE SIGNAL ;
PORT
LAYER met2 ;
RECT 853.850 0.000 854.130 4.000 ;
END
END la_data_in[23]
PIN la_data_in[24]
DIRECTION INPUT ;
USE SIGNAL ;
PORT
LAYER met2 ;
RECT 868.570 0.000 868.850 4.000 ;
END
END la_data_in[24]
PIN la_data_in[25]
DIRECTION INPUT ;
USE SIGNAL ;
PORT
LAYER met2 ;
RECT 883.290 0.000 883.570 4.000 ;
END
END la_data_in[25]
PIN la_data_in[26]
DIRECTION INPUT ;
USE SIGNAL ;
PORT
LAYER met2 ;
RECT 898.010 0.000 898.290 4.000 ;
END
END la_data_in[26]
PIN la_data_in[27]
DIRECTION INPUT ;
USE SIGNAL ;
PORT
LAYER met2 ;
RECT 912.270 0.000 912.550 4.000 ;
END
END la_data_in[27]
PIN la_data_in[28]
DIRECTION INPUT ;
USE SIGNAL ;
PORT
LAYER met2 ;
RECT 926.990 0.000 927.270 4.000 ;
END
END la_data_in[28]
PIN la_data_in[29]
DIRECTION INPUT ;
USE SIGNAL ;
PORT
LAYER met2 ;
RECT 941.710 0.000 941.990 4.000 ;
END
END la_data_in[29]
PIN la_data_in[2]
DIRECTION INPUT ;
USE SIGNAL ;
PORT
LAYER met2 ;
RECT 547.490 0.000 547.770 4.000 ;
END
END la_data_in[2]
PIN la_data_in[30]
DIRECTION INPUT ;
USE SIGNAL ;
PORT
LAYER met2 ;
RECT 956.430 0.000 956.710 4.000 ;
END
END la_data_in[30]
PIN la_data_in[31]
DIRECTION INPUT ;
USE SIGNAL ;
PORT
LAYER met2 ;
RECT 970.690 0.000 970.970 4.000 ;
END
END la_data_in[31]
PIN la_data_in[32]
DIRECTION INPUT ;
USE SIGNAL ;
PORT
LAYER met2 ;
RECT 985.410 0.000 985.690 4.000 ;
END
END la_data_in[32]
PIN la_data_in[33]
DIRECTION INPUT ;
USE SIGNAL ;
PORT
LAYER met2 ;
RECT 1000.130 0.000 1000.410 4.000 ;
END
END la_data_in[33]
PIN la_data_in[34]
DIRECTION INPUT ;
USE SIGNAL ;
PORT
LAYER met2 ;
RECT 1014.850 0.000 1015.130 4.000 ;
END
END la_data_in[34]
PIN la_data_in[35]
DIRECTION INPUT ;
USE SIGNAL ;
PORT
LAYER met2 ;
RECT 1029.110 0.000 1029.390 4.000 ;
END
END la_data_in[35]
PIN la_data_in[36]
DIRECTION INPUT ;
USE SIGNAL ;
PORT
LAYER met2 ;
RECT 1043.830 0.000 1044.110 4.000 ;
END
END la_data_in[36]
PIN la_data_in[37]
DIRECTION INPUT ;
USE SIGNAL ;
PORT
LAYER met2 ;
RECT 1058.550 0.000 1058.830 4.000 ;
END
END la_data_in[37]
PIN la_data_in[38]
DIRECTION INPUT ;
USE SIGNAL ;
PORT
LAYER met2 ;
RECT 1073.270 0.000 1073.550 4.000 ;
END
END la_data_in[38]
PIN la_data_in[39]
DIRECTION INPUT ;
USE SIGNAL ;
PORT
LAYER met2 ;
RECT 1087.530 0.000 1087.810 4.000 ;
END
END la_data_in[39]
PIN la_data_in[3]
DIRECTION INPUT ;
USE SIGNAL ;
PORT
LAYER met2 ;
RECT 561.750 0.000 562.030 4.000 ;
END
END la_data_in[3]
PIN la_data_in[40]
DIRECTION INPUT ;
USE SIGNAL ;
PORT
LAYER met2 ;
RECT 1102.250 0.000 1102.530 4.000 ;
END
END la_data_in[40]
PIN la_data_in[41]
DIRECTION INPUT ;
USE SIGNAL ;
PORT
LAYER met2 ;
RECT 1116.970 0.000 1117.250 4.000 ;
END
END la_data_in[41]
PIN la_data_in[42]
DIRECTION INPUT ;
USE SIGNAL ;
PORT
LAYER met2 ;
RECT 1131.690 0.000 1131.970 4.000 ;
END
END la_data_in[42]
PIN la_data_in[43]
DIRECTION INPUT ;
USE SIGNAL ;
PORT
LAYER met2 ;
RECT 1145.950 0.000 1146.230 4.000 ;
END
END la_data_in[43]
PIN la_data_in[44]
DIRECTION INPUT ;
USE SIGNAL ;
PORT
LAYER met2 ;
RECT 1160.670 0.000 1160.950 4.000 ;
END
END la_data_in[44]
PIN la_data_in[45]
DIRECTION INPUT ;
USE SIGNAL ;
PORT
LAYER met2 ;
RECT 1175.390 0.000 1175.670 4.000 ;
END
END la_data_in[45]
PIN la_data_in[46]
DIRECTION INPUT ;
USE SIGNAL ;
PORT
LAYER met2 ;
RECT 1190.110 0.000 1190.390 4.000 ;
END
END la_data_in[46]
PIN la_data_in[47]
DIRECTION INPUT ;
USE SIGNAL ;
PORT
LAYER met2 ;
RECT 1204.370 0.000 1204.650 4.000 ;
END
END la_data_in[47]
PIN la_data_in[48]
DIRECTION INPUT ;
USE SIGNAL ;
PORT
LAYER met2 ;
RECT 1219.090 0.000 1219.370 4.000 ;
END
END la_data_in[48]
PIN la_data_in[49]
DIRECTION INPUT ;
USE SIGNAL ;
PORT
LAYER met2 ;
RECT 1233.810 0.000 1234.090 4.000 ;
END
END la_data_in[49]
PIN la_data_in[4]
DIRECTION INPUT ;
USE SIGNAL ;
PORT
LAYER met2 ;
RECT 576.470 0.000 576.750 4.000 ;
END
END la_data_in[4]
PIN la_data_in[50]
DIRECTION INPUT ;
USE SIGNAL ;
PORT
LAYER met2 ;
RECT 1248.530 0.000 1248.810 4.000 ;
END
END la_data_in[50]
PIN la_data_in[51]
DIRECTION INPUT ;
USE SIGNAL ;
PORT
LAYER met2 ;
RECT 1262.790 0.000 1263.070 4.000 ;
END
END la_data_in[51]
PIN la_data_in[52]
DIRECTION INPUT ;
USE SIGNAL ;
PORT
LAYER met2 ;
RECT 1277.510 0.000 1277.790 4.000 ;
END
END la_data_in[52]
PIN la_data_in[53]
DIRECTION INPUT ;
USE SIGNAL ;
PORT
LAYER met2 ;
RECT 1292.230 0.000 1292.510 4.000 ;
END
END la_data_in[53]
PIN la_data_in[54]
DIRECTION INPUT ;
USE SIGNAL ;
PORT
LAYER met2 ;
RECT 1306.950 0.000 1307.230 4.000 ;
END
END la_data_in[54]
PIN la_data_in[55]
DIRECTION INPUT ;
USE SIGNAL ;
PORT
LAYER met2 ;
RECT 1321.210 0.000 1321.490 4.000 ;
END
END la_data_in[55]
PIN la_data_in[56]
DIRECTION INPUT ;
USE SIGNAL ;
PORT
LAYER met2 ;
RECT 1335.930 0.000 1336.210 4.000 ;
END
END la_data_in[56]
PIN la_data_in[57]
DIRECTION INPUT ;
USE SIGNAL ;
PORT
LAYER met2 ;
RECT 1350.650 0.000 1350.930 4.000 ;
END
END la_data_in[57]
PIN la_data_in[58]
DIRECTION INPUT ;
USE SIGNAL ;
PORT
LAYER met2 ;
RECT 1365.370 0.000 1365.650 4.000 ;
END
END la_data_in[58]
PIN la_data_in[59]
DIRECTION INPUT ;
USE SIGNAL ;
PORT
LAYER met2 ;
RECT 1379.630 0.000 1379.910 4.000 ;
END
END la_data_in[59]
PIN la_data_in[5]
DIRECTION INPUT ;
USE SIGNAL ;
PORT
LAYER met2 ;
RECT 591.190 0.000 591.470 4.000 ;
END
END la_data_in[5]
PIN la_data_in[60]
DIRECTION INPUT ;
USE SIGNAL ;
PORT
LAYER met2 ;
RECT 1394.350 0.000 1394.630 4.000 ;
END
END la_data_in[60]
PIN la_data_in[61]
DIRECTION INPUT ;
USE SIGNAL ;
PORT
LAYER met2 ;
RECT 1409.070 0.000 1409.350 4.000 ;
END
END la_data_in[61]
PIN la_data_in[62]
DIRECTION INPUT ;
USE SIGNAL ;
PORT
LAYER met2 ;
RECT 1423.330 0.000 1423.610 4.000 ;
END
END la_data_in[62]
PIN la_data_in[63]
DIRECTION INPUT ;
USE SIGNAL ;
PORT
LAYER met2 ;
RECT 1438.050 0.000 1438.330 4.000 ;
END
END la_data_in[63]
PIN la_data_in[64]
DIRECTION INPUT ;
USE SIGNAL ;
PORT
LAYER met2 ;
RECT 1452.770 0.000 1453.050 4.000 ;
END
END la_data_in[64]
PIN la_data_in[65]
DIRECTION INPUT ;
USE SIGNAL ;
PORT
LAYER met2 ;
RECT 1467.490 0.000 1467.770 4.000 ;
END
END la_data_in[65]
PIN la_data_in[66]
DIRECTION INPUT ;
USE SIGNAL ;
PORT
LAYER met2 ;
RECT 1481.750 0.000 1482.030 4.000 ;
END
END la_data_in[66]
PIN la_data_in[67]
DIRECTION INPUT ;
USE SIGNAL ;
PORT
LAYER met2 ;
RECT 1496.470 0.000 1496.750 4.000 ;
END
END la_data_in[67]
PIN la_data_in[68]
DIRECTION INPUT ;
USE SIGNAL ;
PORT
LAYER met2 ;
RECT 1511.190 0.000 1511.470 4.000 ;
END
END la_data_in[68]
PIN la_data_in[69]
DIRECTION INPUT ;
USE SIGNAL ;
PORT
LAYER met2 ;
RECT 1525.910 0.000 1526.190 4.000 ;
END
END la_data_in[69]
PIN la_data_in[6]
DIRECTION INPUT ;
USE SIGNAL ;
PORT
LAYER met2 ;
RECT 605.910 0.000 606.190 4.000 ;
END
END la_data_in[6]
PIN la_data_in[70]
DIRECTION INPUT ;
USE SIGNAL ;
PORT
LAYER met2 ;
RECT 1540.170 0.000 1540.450 4.000 ;
END
END la_data_in[70]
PIN la_data_in[71]
DIRECTION INPUT ;
USE SIGNAL ;
PORT
LAYER met2 ;
RECT 1554.890 0.000 1555.170 4.000 ;
END
END la_data_in[71]
PIN la_data_in[72]
DIRECTION INPUT ;
USE SIGNAL ;
PORT
LAYER met2 ;
RECT 1569.610 0.000 1569.890 4.000 ;
END
END la_data_in[72]
PIN la_data_in[73]
DIRECTION INPUT ;
USE SIGNAL ;
PORT
LAYER met2 ;
RECT 1584.330 0.000 1584.610 4.000 ;
END
END la_data_in[73]
PIN la_data_in[74]
DIRECTION INPUT ;
USE SIGNAL ;
PORT
LAYER met2 ;
RECT 1598.590 0.000 1598.870 4.000 ;
END
END la_data_in[74]
PIN la_data_in[75]
DIRECTION INPUT ;
USE SIGNAL ;
PORT
LAYER met2 ;
RECT 1613.310 0.000 1613.590 4.000 ;
END
END la_data_in[75]
PIN la_data_in[76]
DIRECTION INPUT ;
USE SIGNAL ;
PORT
LAYER met2 ;
RECT 1628.030 0.000 1628.310 4.000 ;
END
END la_data_in[76]
PIN la_data_in[77]
DIRECTION INPUT ;
USE SIGNAL ;
PORT
LAYER met2 ;
RECT 1642.750 0.000 1643.030 4.000 ;
END
END la_data_in[77]
PIN la_data_in[78]
DIRECTION INPUT ;
USE SIGNAL ;
PORT
LAYER met2 ;
RECT 1657.010 0.000 1657.290 4.000 ;
END
END la_data_in[78]
PIN la_data_in[79]
DIRECTION INPUT ;
USE SIGNAL ;
PORT
LAYER met2 ;
RECT 1671.730 0.000 1672.010 4.000 ;
END
END la_data_in[79]
PIN la_data_in[7]
DIRECTION INPUT ;
USE SIGNAL ;
PORT
LAYER met2 ;
RECT 620.170 0.000 620.450 4.000 ;
END
END la_data_in[7]
PIN la_data_in[80]
DIRECTION INPUT ;
USE SIGNAL ;
PORT
LAYER met2 ;
RECT 1686.450 0.000 1686.730 4.000 ;
END
END la_data_in[80]
PIN la_data_in[81]
DIRECTION INPUT ;
USE SIGNAL ;
PORT
LAYER met2 ;
RECT 1701.170 0.000 1701.450 4.000 ;
END
END la_data_in[81]
PIN la_data_in[82]
DIRECTION INPUT ;
USE SIGNAL ;
PORT
LAYER met2 ;
RECT 1715.430 0.000 1715.710 4.000 ;
END
END la_data_in[82]
PIN la_data_in[83]
DIRECTION INPUT ;
USE SIGNAL ;
PORT
LAYER met2 ;
RECT 1730.150 0.000 1730.430 4.000 ;
END
END la_data_in[83]
PIN la_data_in[84]
DIRECTION INPUT ;
USE SIGNAL ;
PORT
LAYER met2 ;
RECT 1744.870 0.000 1745.150 4.000 ;
END
END la_data_in[84]
PIN la_data_in[85]
DIRECTION INPUT ;
USE SIGNAL ;
PORT
LAYER met2 ;
RECT 1759.590 0.000 1759.870 4.000 ;
END
END la_data_in[85]
PIN la_data_in[86]
DIRECTION INPUT ;
USE SIGNAL ;
PORT
LAYER met2 ;
RECT 1773.850 0.000 1774.130 4.000 ;
END
END la_data_in[86]
PIN la_data_in[87]
DIRECTION INPUT ;
USE SIGNAL ;
PORT
LAYER met2 ;
RECT 1788.570 0.000 1788.850 4.000 ;
END
END la_data_in[87]
PIN la_data_in[88]
DIRECTION INPUT ;
USE SIGNAL ;
PORT
LAYER met2 ;
RECT 1803.290 0.000 1803.570 4.000 ;
END
END la_data_in[88]
PIN la_data_in[89]
DIRECTION INPUT ;
USE SIGNAL ;
PORT
LAYER met2 ;
RECT 1818.010 0.000 1818.290 4.000 ;
END
END la_data_in[89]
PIN la_data_in[8]
DIRECTION INPUT ;
USE SIGNAL ;
PORT
LAYER met2 ;
RECT 634.890 0.000 635.170 4.000 ;
END
END la_data_in[8]
PIN la_data_in[90]
DIRECTION INPUT ;
USE SIGNAL ;
PORT
LAYER met2 ;
RECT 1832.270 0.000 1832.550 4.000 ;
END
END la_data_in[90]
PIN la_data_in[91]
DIRECTION INPUT ;
USE SIGNAL ;
PORT
LAYER met2 ;
RECT 1846.990 0.000 1847.270 4.000 ;
END
END la_data_in[91]
PIN la_data_in[92]
DIRECTION INPUT ;
USE SIGNAL ;
PORT
LAYER met2 ;
RECT 1861.710 0.000 1861.990 4.000 ;
END
END la_data_in[92]
PIN la_data_in[93]
DIRECTION INPUT ;
USE SIGNAL ;
PORT
LAYER met2 ;
RECT 1876.430 0.000 1876.710 4.000 ;
END
END la_data_in[93]
PIN la_data_in[94]
DIRECTION INPUT ;
USE SIGNAL ;
PORT
LAYER met2 ;
RECT 1890.690 0.000 1890.970 4.000 ;
END
END la_data_in[94]
PIN la_data_in[95]
DIRECTION INPUT ;
USE SIGNAL ;
PORT
LAYER met2 ;
RECT 1905.410 0.000 1905.690 4.000 ;
END
END la_data_in[95]
PIN la_data_in[96]
DIRECTION INPUT ;
USE SIGNAL ;
PORT
LAYER met2 ;
RECT 1920.130 0.000 1920.410 4.000 ;
END
END la_data_in[96]
PIN la_data_in[97]
DIRECTION INPUT ;
USE SIGNAL ;
PORT
LAYER met2 ;
RECT 1934.850 0.000 1935.130 4.000 ;
END
END la_data_in[97]
PIN la_data_in[98]
DIRECTION INPUT ;
USE SIGNAL ;
PORT
LAYER met2 ;
RECT 1949.110 0.000 1949.390 4.000 ;
END
END la_data_in[98]
PIN la_data_in[99]
DIRECTION INPUT ;
USE SIGNAL ;
PORT
LAYER met2 ;
RECT 1963.830 0.000 1964.110 4.000 ;
END
END la_data_in[99]
PIN la_data_in[9]
DIRECTION INPUT ;
USE SIGNAL ;
PORT
LAYER met2 ;
RECT 649.610 0.000 649.890 4.000 ;
END
END la_data_in[9]
PIN la_data_out[0]
DIRECTION OUTPUT TRISTATE ;
USE SIGNAL ;
PORT
LAYER met2 ;
RECT 523.110 0.000 523.390 4.000 ;
END
END la_data_out[0]
PIN la_data_out[100]
DIRECTION OUTPUT TRISTATE ;
USE SIGNAL ;
PORT
LAYER met2 ;
RECT 1983.150 0.000 1983.430 4.000 ;
END
END la_data_out[100]
PIN la_data_out[101]
DIRECTION OUTPUT TRISTATE ;
USE SIGNAL ;
PORT
LAYER met2 ;
RECT 1997.870 0.000 1998.150 4.000 ;
END
END la_data_out[101]
PIN la_data_out[102]
DIRECTION OUTPUT TRISTATE ;
USE SIGNAL ;
PORT
LAYER met2 ;
RECT 2012.590 0.000 2012.870 4.000 ;
END
END la_data_out[102]
PIN la_data_out[103]
DIRECTION OUTPUT TRISTATE ;
USE SIGNAL ;
PORT
LAYER met2 ;
RECT 2027.310 0.000 2027.590 4.000 ;
END
END la_data_out[103]
PIN la_data_out[104]
DIRECTION OUTPUT TRISTATE ;
USE SIGNAL ;
PORT
LAYER met2 ;
RECT 2041.570 0.000 2041.850 4.000 ;
END
END la_data_out[104]
PIN la_data_out[105]
DIRECTION OUTPUT TRISTATE ;
USE SIGNAL ;
PORT
LAYER met2 ;
RECT 2056.290 0.000 2056.570 4.000 ;
END
END la_data_out[105]
PIN la_data_out[106]
DIRECTION OUTPUT TRISTATE ;
USE SIGNAL ;
PORT
LAYER met2 ;
RECT 2071.010 0.000 2071.290 4.000 ;
END
END la_data_out[106]
PIN la_data_out[107]
DIRECTION OUTPUT TRISTATE ;
USE SIGNAL ;
PORT
LAYER met2 ;
RECT 2085.730 0.000 2086.010 4.000 ;
END
END la_data_out[107]
PIN la_data_out[108]
DIRECTION OUTPUT TRISTATE ;
USE SIGNAL ;
PORT
LAYER met2 ;
RECT 2099.990 0.000 2100.270 4.000 ;
END
END la_data_out[108]
PIN la_data_out[109]
DIRECTION OUTPUT TRISTATE ;
USE SIGNAL ;
PORT
LAYER met2 ;
RECT 2114.710 0.000 2114.990 4.000 ;
END
END la_data_out[109]
PIN la_data_out[10]
DIRECTION OUTPUT TRISTATE ;
USE SIGNAL ;
PORT
LAYER met2 ;
RECT 668.930 0.000 669.210 4.000 ;
END
END la_data_out[10]
PIN la_data_out[110]
DIRECTION OUTPUT TRISTATE ;
USE SIGNAL ;
PORT
LAYER met2 ;
RECT 2129.430 0.000 2129.710 4.000 ;
END
END la_data_out[110]
PIN la_data_out[111]
DIRECTION OUTPUT TRISTATE ;
USE SIGNAL ;
PORT
LAYER met2 ;
RECT 2144.150 0.000 2144.430 4.000 ;
END
END la_data_out[111]
PIN la_data_out[112]
DIRECTION OUTPUT TRISTATE ;
USE SIGNAL ;
PORT
LAYER met2 ;
RECT 2158.410 0.000 2158.690 4.000 ;
END
END la_data_out[112]
PIN la_data_out[113]
DIRECTION OUTPUT TRISTATE ;
USE SIGNAL ;
PORT
LAYER met2 ;
RECT 2173.130 0.000 2173.410 4.000 ;
END
END la_data_out[113]
PIN la_data_out[114]
DIRECTION OUTPUT TRISTATE ;
USE SIGNAL ;
PORT
LAYER met2 ;
RECT 2187.850 0.000 2188.130 4.000 ;
END
END la_data_out[114]
PIN la_data_out[115]
DIRECTION OUTPUT TRISTATE ;
USE SIGNAL ;
PORT
LAYER met2 ;
RECT 2202.570 0.000 2202.850 4.000 ;
END
END la_data_out[115]
PIN la_data_out[116]
DIRECTION OUTPUT TRISTATE ;
USE SIGNAL ;
PORT
LAYER met2 ;
RECT 2216.830 0.000 2217.110 4.000 ;
END
END la_data_out[116]
PIN la_data_out[117]
DIRECTION OUTPUT TRISTATE ;
USE SIGNAL ;
PORT
LAYER met2 ;
RECT 2231.550 0.000 2231.830 4.000 ;
END
END la_data_out[117]
PIN la_data_out[118]
DIRECTION OUTPUT TRISTATE ;
USE SIGNAL ;
PORT
LAYER met2 ;
RECT 2246.270 0.000 2246.550 4.000 ;
END
END la_data_out[118]
PIN la_data_out[119]
DIRECTION OUTPUT TRISTATE ;
USE SIGNAL ;
PORT
LAYER met2 ;
RECT 2260.990 0.000 2261.270 4.000 ;
END
END la_data_out[119]
PIN la_data_out[11]
DIRECTION OUTPUT TRISTATE ;
USE SIGNAL ;
PORT
LAYER met2 ;
RECT 683.650 0.000 683.930 4.000 ;
END
END la_data_out[11]
PIN la_data_out[120]
DIRECTION OUTPUT TRISTATE ;
USE SIGNAL ;
PORT
LAYER met2 ;
RECT 2275.250 0.000 2275.530 4.000 ;
END
END la_data_out[120]
PIN la_data_out[121]
DIRECTION OUTPUT TRISTATE ;
USE SIGNAL ;
PORT
LAYER met2 ;
RECT 2289.970 0.000 2290.250 4.000 ;
END
END la_data_out[121]
PIN la_data_out[122]
DIRECTION OUTPUT TRISTATE ;
USE SIGNAL ;
PORT
LAYER met2 ;
RECT 2304.690 0.000 2304.970 4.000 ;
END
END la_data_out[122]
PIN la_data_out[123]
DIRECTION OUTPUT TRISTATE ;
USE SIGNAL ;
PORT
LAYER met2 ;
RECT 2319.410 0.000 2319.690 4.000 ;
END
END la_data_out[123]
PIN la_data_out[124]
DIRECTION OUTPUT TRISTATE ;
USE SIGNAL ;
PORT
LAYER met2 ;
RECT 2333.670 0.000 2333.950 4.000 ;
END
END la_data_out[124]
PIN la_data_out[125]
DIRECTION OUTPUT TRISTATE ;
USE SIGNAL ;
PORT
LAYER met2 ;
RECT 2348.390 0.000 2348.670 4.000 ;
END
END la_data_out[125]
PIN la_data_out[126]
DIRECTION OUTPUT TRISTATE ;
USE SIGNAL ;
PORT
LAYER met2 ;
RECT 2363.110 0.000 2363.390 4.000 ;
END
END la_data_out[126]
PIN la_data_out[127]
DIRECTION OUTPUT TRISTATE ;
USE SIGNAL ;
PORT
LAYER met2 ;
RECT 2377.830 0.000 2378.110 4.000 ;
END
END la_data_out[127]
PIN la_data_out[12]
DIRECTION OUTPUT TRISTATE ;
USE SIGNAL ;
PORT
LAYER met2 ;
RECT 698.370 0.000 698.650 4.000 ;
END
END la_data_out[12]
PIN la_data_out[13]
DIRECTION OUTPUT TRISTATE ;
USE SIGNAL ;
PORT
LAYER met2 ;
RECT 712.630 0.000 712.910 4.000 ;
END
END la_data_out[13]
PIN la_data_out[14]
DIRECTION OUTPUT TRISTATE ;
USE SIGNAL ;
PORT
LAYER met2 ;
RECT 727.350 0.000 727.630 4.000 ;
END
END la_data_out[14]
PIN la_data_out[15]
DIRECTION OUTPUT TRISTATE ;
USE SIGNAL ;
PORT
LAYER met2 ;
RECT 742.070 0.000 742.350 4.000 ;
END
END la_data_out[15]
PIN la_data_out[16]
DIRECTION OUTPUT TRISTATE ;
USE SIGNAL ;
PORT
LAYER met2 ;
RECT 756.790 0.000 757.070 4.000 ;
END
END la_data_out[16]
PIN la_data_out[17]
DIRECTION OUTPUT TRISTATE ;
USE SIGNAL ;
PORT
LAYER met2 ;
RECT 771.050 0.000 771.330 4.000 ;
END
END la_data_out[17]
PIN la_data_out[18]
DIRECTION OUTPUT TRISTATE ;
USE SIGNAL ;
PORT
LAYER met2 ;
RECT 785.770 0.000 786.050 4.000 ;
END
END la_data_out[18]
PIN la_data_out[19]
DIRECTION OUTPUT TRISTATE ;
USE SIGNAL ;
PORT
LAYER met2 ;
RECT 800.490 0.000 800.770 4.000 ;
END
END la_data_out[19]
PIN la_data_out[1]
DIRECTION OUTPUT TRISTATE ;
USE SIGNAL ;
PORT
LAYER met2 ;
RECT 537.830 0.000 538.110 4.000 ;
END
END la_data_out[1]
PIN la_data_out[20]
DIRECTION OUTPUT TRISTATE ;
USE SIGNAL ;
PORT
LAYER met2 ;
RECT 815.210 0.000 815.490 4.000 ;
END
END la_data_out[20]
PIN la_data_out[21]
DIRECTION OUTPUT TRISTATE ;
USE SIGNAL ;
PORT
LAYER met2 ;
RECT 829.470 0.000 829.750 4.000 ;
END
END la_data_out[21]
PIN la_data_out[22]
DIRECTION OUTPUT TRISTATE ;
USE SIGNAL ;
PORT
LAYER met2 ;
RECT 844.190 0.000 844.470 4.000 ;
END
END la_data_out[22]
PIN la_data_out[23]
DIRECTION OUTPUT TRISTATE ;
USE SIGNAL ;
PORT
LAYER met2 ;
RECT 858.910 0.000 859.190 4.000 ;
END
END la_data_out[23]
PIN la_data_out[24]
DIRECTION OUTPUT TRISTATE ;
USE SIGNAL ;
PORT
LAYER met2 ;
RECT 873.630 0.000 873.910 4.000 ;
END
END la_data_out[24]
PIN la_data_out[25]
DIRECTION OUTPUT TRISTATE ;
USE SIGNAL ;
PORT
LAYER met2 ;
RECT 887.890 0.000 888.170 4.000 ;
END
END la_data_out[25]
PIN la_data_out[26]
DIRECTION OUTPUT TRISTATE ;
USE SIGNAL ;
PORT
LAYER met2 ;
RECT 902.610 0.000 902.890 4.000 ;
END
END la_data_out[26]
PIN la_data_out[27]
DIRECTION OUTPUT TRISTATE ;
USE SIGNAL ;
PORT
LAYER met2 ;
RECT 917.330 0.000 917.610 4.000 ;
END
END la_data_out[27]
PIN la_data_out[28]
DIRECTION OUTPUT TRISTATE ;
USE SIGNAL ;
PORT
LAYER met2 ;
RECT 932.050 0.000 932.330 4.000 ;
END
END la_data_out[28]
PIN la_data_out[29]
DIRECTION OUTPUT TRISTATE ;
USE SIGNAL ;
PORT
LAYER met2 ;
RECT 946.310 0.000 946.590 4.000 ;
END
END la_data_out[29]
PIN la_data_out[2]
DIRECTION OUTPUT TRISTATE ;
USE SIGNAL ;
PORT
LAYER met2 ;
RECT 552.090 0.000 552.370 4.000 ;
END
END la_data_out[2]
PIN la_data_out[30]
DIRECTION OUTPUT TRISTATE ;
USE SIGNAL ;
PORT
LAYER met2 ;
RECT 961.030 0.000 961.310 4.000 ;
END
END la_data_out[30]
PIN la_data_out[31]
DIRECTION OUTPUT TRISTATE ;
USE SIGNAL ;
PORT
LAYER met2 ;
RECT 975.750 0.000 976.030 4.000 ;
END
END la_data_out[31]
PIN la_data_out[32]
DIRECTION OUTPUT TRISTATE ;
USE SIGNAL ;
PORT
LAYER met2 ;
RECT 990.470 0.000 990.750 4.000 ;
END
END la_data_out[32]
PIN la_data_out[33]
DIRECTION OUTPUT TRISTATE ;
USE SIGNAL ;
PORT
LAYER met2 ;
RECT 1004.730 0.000 1005.010 4.000 ;
END
END la_data_out[33]
PIN la_data_out[34]
DIRECTION OUTPUT TRISTATE ;
USE SIGNAL ;
PORT
LAYER met2 ;
RECT 1019.450 0.000 1019.730 4.000 ;
END
END la_data_out[34]
PIN la_data_out[35]
DIRECTION OUTPUT TRISTATE ;
USE SIGNAL ;
PORT
LAYER met2 ;
RECT 1034.170 0.000 1034.450 4.000 ;
END
END la_data_out[35]
PIN la_data_out[36]
DIRECTION OUTPUT TRISTATE ;
USE SIGNAL ;
PORT
LAYER met2 ;
RECT 1048.890 0.000 1049.170 4.000 ;
END
END la_data_out[36]
PIN la_data_out[37]
DIRECTION OUTPUT TRISTATE ;
USE SIGNAL ;
PORT
LAYER met2 ;
RECT 1063.150 0.000 1063.430 4.000 ;
END
END la_data_out[37]
PIN la_data_out[38]
DIRECTION OUTPUT TRISTATE ;
USE SIGNAL ;
PORT
LAYER met2 ;
RECT 1077.870 0.000 1078.150 4.000 ;
END
END la_data_out[38]
PIN la_data_out[39]
DIRECTION OUTPUT TRISTATE ;
USE SIGNAL ;
PORT
LAYER met2 ;
RECT 1092.590 0.000 1092.870 4.000 ;
END
END la_data_out[39]
PIN la_data_out[3]
DIRECTION OUTPUT TRISTATE ;
USE SIGNAL ;
PORT
LAYER met2 ;
RECT 566.810 0.000 567.090 4.000 ;
END
END la_data_out[3]
PIN la_data_out[40]
DIRECTION OUTPUT TRISTATE ;
USE SIGNAL ;
PORT
LAYER met2 ;
RECT 1107.310 0.000 1107.590 4.000 ;
END
END la_data_out[40]
PIN la_data_out[41]
DIRECTION OUTPUT TRISTATE ;
USE SIGNAL ;
PORT
LAYER met2 ;
RECT 1121.570 0.000 1121.850 4.000 ;
END
END la_data_out[41]
PIN la_data_out[42]
DIRECTION OUTPUT TRISTATE ;
USE SIGNAL ;
PORT
LAYER met2 ;
RECT 1136.290 0.000 1136.570 4.000 ;
END
END la_data_out[42]
PIN la_data_out[43]
DIRECTION OUTPUT TRISTATE ;
USE SIGNAL ;
PORT
LAYER met2 ;
RECT 1151.010 0.000 1151.290 4.000 ;
END
END la_data_out[43]
PIN la_data_out[44]
DIRECTION OUTPUT TRISTATE ;
USE SIGNAL ;
PORT
LAYER met2 ;
RECT 1165.730 0.000 1166.010 4.000 ;
END
END la_data_out[44]
PIN la_data_out[45]
DIRECTION OUTPUT TRISTATE ;
USE SIGNAL ;
PORT
LAYER met2 ;
RECT 1179.990 0.000 1180.270 4.000 ;
END
END la_data_out[45]
PIN la_data_out[46]
DIRECTION OUTPUT TRISTATE ;
USE SIGNAL ;
PORT
LAYER met2 ;
RECT 1194.710 0.000 1194.990 4.000 ;
END
END la_data_out[46]
PIN la_data_out[47]
DIRECTION OUTPUT TRISTATE ;
USE SIGNAL ;
PORT
LAYER met2 ;
RECT 1209.430 0.000 1209.710 4.000 ;
END
END la_data_out[47]
PIN la_data_out[48]
DIRECTION OUTPUT TRISTATE ;
USE SIGNAL ;
PORT
LAYER met2 ;
RECT 1224.150 0.000 1224.430 4.000 ;
END
END la_data_out[48]
PIN la_data_out[49]
DIRECTION OUTPUT TRISTATE ;
USE SIGNAL ;
PORT
LAYER met2 ;
RECT 1238.410 0.000 1238.690 4.000 ;
END
END la_data_out[49]
PIN la_data_out[4]
DIRECTION OUTPUT TRISTATE ;
USE SIGNAL ;
PORT
LAYER met2 ;
RECT 581.530 0.000 581.810 4.000 ;
END
END la_data_out[4]
PIN la_data_out[50]
DIRECTION OUTPUT TRISTATE ;
USE SIGNAL ;
PORT
LAYER met2 ;
RECT 1253.130 0.000 1253.410 4.000 ;
END
END la_data_out[50]
PIN la_data_out[51]
DIRECTION OUTPUT TRISTATE ;
USE SIGNAL ;
PORT
LAYER met2 ;
RECT 1267.850 0.000 1268.130 4.000 ;
END
END la_data_out[51]
PIN la_data_out[52]
DIRECTION OUTPUT TRISTATE ;
USE SIGNAL ;
PORT
LAYER met2 ;
RECT 1282.570 0.000 1282.850 4.000 ;
END
END la_data_out[52]
PIN la_data_out[53]
DIRECTION OUTPUT TRISTATE ;
USE SIGNAL ;
PORT
LAYER met2 ;
RECT 1296.830 0.000 1297.110 4.000 ;
END
END la_data_out[53]
PIN la_data_out[54]
DIRECTION OUTPUT TRISTATE ;
USE SIGNAL ;
PORT
LAYER met2 ;
RECT 1311.550 0.000 1311.830 4.000 ;
END
END la_data_out[54]
PIN la_data_out[55]
DIRECTION OUTPUT TRISTATE ;
USE SIGNAL ;
PORT
LAYER met2 ;
RECT 1326.270 0.000 1326.550 4.000 ;
END
END la_data_out[55]
PIN la_data_out[56]
DIRECTION OUTPUT TRISTATE ;
USE SIGNAL ;
PORT
LAYER met2 ;
RECT 1340.990 0.000 1341.270 4.000 ;
END
END la_data_out[56]
PIN la_data_out[57]
DIRECTION OUTPUT TRISTATE ;
USE SIGNAL ;
PORT
LAYER met2 ;
RECT 1355.250 0.000 1355.530 4.000 ;
END
END la_data_out[57]
PIN la_data_out[58]
DIRECTION OUTPUT TRISTATE ;
USE SIGNAL ;
PORT
LAYER met2 ;
RECT 1369.970 0.000 1370.250 4.000 ;
END
END la_data_out[58]
PIN la_data_out[59]
DIRECTION OUTPUT TRISTATE ;
USE SIGNAL ;
PORT
LAYER met2 ;
RECT 1384.690 0.000 1384.970 4.000 ;
END
END la_data_out[59]
PIN la_data_out[5]
DIRECTION OUTPUT TRISTATE ;
USE SIGNAL ;
PORT
LAYER met2 ;
RECT 596.250 0.000 596.530 4.000 ;
END
END la_data_out[5]
PIN la_data_out[60]
DIRECTION OUTPUT TRISTATE ;
USE SIGNAL ;
PORT
LAYER met2 ;
RECT 1399.410 0.000 1399.690 4.000 ;
END
END la_data_out[60]
PIN la_data_out[61]
DIRECTION OUTPUT TRISTATE ;
USE SIGNAL ;
PORT
LAYER met2 ;
RECT 1413.670 0.000 1413.950 4.000 ;
END
END la_data_out[61]
PIN la_data_out[62]
DIRECTION OUTPUT TRISTATE ;
USE SIGNAL ;
PORT
LAYER met2 ;
RECT 1428.390 0.000 1428.670 4.000 ;
END
END la_data_out[62]
PIN la_data_out[63]
DIRECTION OUTPUT TRISTATE ;
USE SIGNAL ;
PORT
LAYER met2 ;
RECT 1443.110 0.000 1443.390 4.000 ;
END
END la_data_out[63]
PIN la_data_out[64]
DIRECTION OUTPUT TRISTATE ;
USE SIGNAL ;
PORT
LAYER met2 ;
RECT 1457.830 0.000 1458.110 4.000 ;
END
END la_data_out[64]
PIN la_data_out[65]
DIRECTION OUTPUT TRISTATE ;
USE SIGNAL ;
PORT
LAYER met2 ;
RECT 1472.090 0.000 1472.370 4.000 ;
END
END la_data_out[65]
PIN la_data_out[66]
DIRECTION OUTPUT TRISTATE ;
USE SIGNAL ;
PORT
LAYER met2 ;
RECT 1486.810 0.000 1487.090 4.000 ;
END
END la_data_out[66]
PIN la_data_out[67]
DIRECTION OUTPUT TRISTATE ;
USE SIGNAL ;
PORT
LAYER met2 ;
RECT 1501.530 0.000 1501.810 4.000 ;
END
END la_data_out[67]
PIN la_data_out[68]
DIRECTION OUTPUT TRISTATE ;
USE SIGNAL ;
PORT
LAYER met2 ;
RECT 1516.250 0.000 1516.530 4.000 ;
END
END la_data_out[68]
PIN la_data_out[69]
DIRECTION OUTPUT TRISTATE ;
USE SIGNAL ;
PORT
LAYER met2 ;
RECT 1530.510 0.000 1530.790 4.000 ;
END
END la_data_out[69]
PIN la_data_out[6]
DIRECTION OUTPUT TRISTATE ;
USE SIGNAL ;
PORT
LAYER met2 ;
RECT 610.510 0.000 610.790 4.000 ;
END
END la_data_out[6]
PIN la_data_out[70]
DIRECTION OUTPUT TRISTATE ;
USE SIGNAL ;
PORT
LAYER met2 ;
RECT 1545.230 0.000 1545.510 4.000 ;
END
END la_data_out[70]
PIN la_data_out[71]
DIRECTION OUTPUT TRISTATE ;
USE SIGNAL ;
PORT
LAYER met2 ;
RECT 1559.950 0.000 1560.230 4.000 ;
END
END la_data_out[71]
PIN la_data_out[72]
DIRECTION OUTPUT TRISTATE ;
USE SIGNAL ;
PORT
LAYER met2 ;
RECT 1574.670 0.000 1574.950 4.000 ;
END
END la_data_out[72]
PIN la_data_out[73]
DIRECTION OUTPUT TRISTATE ;
USE SIGNAL ;
PORT
LAYER met2 ;
RECT 1588.930 0.000 1589.210 4.000 ;
END
END la_data_out[73]
PIN la_data_out[74]
DIRECTION OUTPUT TRISTATE ;
USE SIGNAL ;
PORT
LAYER met2 ;
RECT 1603.650 0.000 1603.930 4.000 ;
END
END la_data_out[74]
PIN la_data_out[75]
DIRECTION OUTPUT TRISTATE ;
USE SIGNAL ;
PORT
LAYER met2 ;
RECT 1618.370 0.000 1618.650 4.000 ;
END
END la_data_out[75]
PIN la_data_out[76]
DIRECTION OUTPUT TRISTATE ;
USE SIGNAL ;
PORT
LAYER met2 ;
RECT 1633.090 0.000 1633.370 4.000 ;
END
END la_data_out[76]
PIN la_data_out[77]
DIRECTION OUTPUT TRISTATE ;
USE SIGNAL ;
PORT
LAYER met2 ;
RECT 1647.350 0.000 1647.630 4.000 ;
END
END la_data_out[77]
PIN la_data_out[78]
DIRECTION OUTPUT TRISTATE ;
USE SIGNAL ;
PORT
LAYER met2 ;
RECT 1662.070 0.000 1662.350 4.000 ;
END
END la_data_out[78]
PIN la_data_out[79]
DIRECTION OUTPUT TRISTATE ;
USE SIGNAL ;
PORT
LAYER met2 ;
RECT 1676.790 0.000 1677.070 4.000 ;
END
END la_data_out[79]
PIN la_data_out[7]
DIRECTION OUTPUT TRISTATE ;
USE SIGNAL ;
PORT
LAYER met2 ;
RECT 625.230 0.000 625.510 4.000 ;
END
END la_data_out[7]
PIN la_data_out[80]
DIRECTION OUTPUT TRISTATE ;
USE SIGNAL ;
PORT
LAYER met2 ;
RECT 1691.510 0.000 1691.790 4.000 ;
END
END la_data_out[80]
PIN la_data_out[81]
DIRECTION OUTPUT TRISTATE ;
USE SIGNAL ;
PORT
LAYER met2 ;
RECT 1705.770 0.000 1706.050 4.000 ;
END
END la_data_out[81]
PIN la_data_out[82]
DIRECTION OUTPUT TRISTATE ;
USE SIGNAL ;
PORT
LAYER met2 ;
RECT 1720.490 0.000 1720.770 4.000 ;
END
END la_data_out[82]
PIN la_data_out[83]
DIRECTION OUTPUT TRISTATE ;
USE SIGNAL ;
PORT
LAYER met2 ;
RECT 1735.210 0.000 1735.490 4.000 ;
END
END la_data_out[83]
PIN la_data_out[84]
DIRECTION OUTPUT TRISTATE ;
USE SIGNAL ;
PORT
LAYER met2 ;
RECT 1749.470 0.000 1749.750 4.000 ;
END
END la_data_out[84]
PIN la_data_out[85]
DIRECTION OUTPUT TRISTATE ;
USE SIGNAL ;
PORT
LAYER met2 ;
RECT 1764.190 0.000 1764.470 4.000 ;
END
END la_data_out[85]
PIN la_data_out[86]
DIRECTION OUTPUT TRISTATE ;
USE SIGNAL ;
PORT
LAYER met2 ;
RECT 1778.910 0.000 1779.190 4.000 ;
END
END la_data_out[86]
PIN la_data_out[87]
DIRECTION OUTPUT TRISTATE ;
USE SIGNAL ;
PORT
LAYER met2 ;
RECT 1793.630 0.000 1793.910 4.000 ;
END
END la_data_out[87]
PIN la_data_out[88]
DIRECTION OUTPUT TRISTATE ;
USE SIGNAL ;
PORT
LAYER met2 ;
RECT 1807.890 0.000 1808.170 4.000 ;
END
END la_data_out[88]
PIN la_data_out[89]
DIRECTION OUTPUT TRISTATE ;
USE SIGNAL ;
PORT
LAYER met2 ;
RECT 1822.610 0.000 1822.890 4.000 ;
END
END la_data_out[89]
PIN la_data_out[8]
DIRECTION OUTPUT TRISTATE ;
USE SIGNAL ;
PORT
LAYER met2 ;
RECT 639.950 0.000 640.230 4.000 ;
END
END la_data_out[8]
PIN la_data_out[90]
DIRECTION OUTPUT TRISTATE ;
USE SIGNAL ;
PORT
LAYER met2 ;
RECT 1837.330 0.000 1837.610 4.000 ;
END
END la_data_out[90]
PIN la_data_out[91]
DIRECTION OUTPUT TRISTATE ;
USE SIGNAL ;
PORT
LAYER met2 ;
RECT 1852.050 0.000 1852.330 4.000 ;
END
END la_data_out[91]
PIN la_data_out[92]
DIRECTION OUTPUT TRISTATE ;
USE SIGNAL ;
PORT
LAYER met2 ;
RECT 1866.310 0.000 1866.590 4.000 ;
END
END la_data_out[92]
PIN la_data_out[93]
DIRECTION OUTPUT TRISTATE ;
USE SIGNAL ;
PORT
LAYER met2 ;
RECT 1881.030 0.000 1881.310 4.000 ;
END
END la_data_out[93]
PIN la_data_out[94]
DIRECTION OUTPUT TRISTATE ;
USE SIGNAL ;
PORT
LAYER met2 ;
RECT 1895.750 0.000 1896.030 4.000 ;
END
END la_data_out[94]
PIN la_data_out[95]
DIRECTION OUTPUT TRISTATE ;
USE SIGNAL ;
PORT
LAYER met2 ;
RECT 1910.470 0.000 1910.750 4.000 ;
END
END la_data_out[95]
PIN la_data_out[96]
DIRECTION OUTPUT TRISTATE ;
USE SIGNAL ;
PORT
LAYER met2 ;
RECT 1924.730 0.000 1925.010 4.000 ;
END
END la_data_out[96]
PIN la_data_out[97]
DIRECTION OUTPUT TRISTATE ;
USE SIGNAL ;
PORT
LAYER met2 ;
RECT 1939.450 0.000 1939.730 4.000 ;
END
END la_data_out[97]
PIN la_data_out[98]
DIRECTION OUTPUT TRISTATE ;
USE SIGNAL ;
PORT
LAYER met2 ;
RECT 1954.170 0.000 1954.450 4.000 ;
END
END la_data_out[98]
PIN la_data_out[99]
DIRECTION OUTPUT TRISTATE ;
USE SIGNAL ;
PORT
LAYER met2 ;
RECT 1968.890 0.000 1969.170 4.000 ;
END
END la_data_out[99]
PIN la_data_out[9]
DIRECTION OUTPUT TRISTATE ;
USE SIGNAL ;
PORT
LAYER met2 ;
RECT 654.670 0.000 654.950 4.000 ;
END
END la_data_out[9]
PIN la_oenb[0]
DIRECTION INPUT ;
USE SIGNAL ;
PORT
LAYER met2 ;
RECT 527.710 0.000 527.990 4.000 ;
END
END la_oenb[0]
PIN la_oenb[100]
DIRECTION INPUT ;
USE SIGNAL ;
PORT
LAYER met2 ;
RECT 1988.210 0.000 1988.490 4.000 ;
END
END la_oenb[100]
PIN la_oenb[101]
DIRECTION INPUT ;
USE SIGNAL ;
PORT
LAYER met2 ;
RECT 2002.930 0.000 2003.210 4.000 ;
END
END la_oenb[101]
PIN la_oenb[102]
DIRECTION INPUT ;
USE SIGNAL ;
PORT
LAYER met2 ;
RECT 2017.650 0.000 2017.930 4.000 ;
END
END la_oenb[102]
PIN la_oenb[103]
DIRECTION INPUT ;
USE SIGNAL ;
PORT
LAYER met2 ;
RECT 2031.910 0.000 2032.190 4.000 ;
END
END la_oenb[103]
PIN la_oenb[104]
DIRECTION INPUT ;
USE SIGNAL ;
PORT
LAYER met2 ;
RECT 2046.630 0.000 2046.910 4.000 ;
END
END la_oenb[104]
PIN la_oenb[105]
DIRECTION INPUT ;
USE SIGNAL ;
PORT
LAYER met2 ;
RECT 2061.350 0.000 2061.630 4.000 ;
END
END la_oenb[105]
PIN la_oenb[106]
DIRECTION INPUT ;
USE SIGNAL ;
PORT
LAYER met2 ;
RECT 2075.610 0.000 2075.890 4.000 ;
END
END la_oenb[106]
PIN la_oenb[107]
DIRECTION INPUT ;
USE SIGNAL ;
PORT
LAYER met2 ;
RECT 2090.330 0.000 2090.610 4.000 ;
END
END la_oenb[107]
PIN la_oenb[108]
DIRECTION INPUT ;
USE SIGNAL ;
PORT
LAYER met2 ;
RECT 2105.050 0.000 2105.330 4.000 ;
END
END la_oenb[108]
PIN la_oenb[109]
DIRECTION INPUT ;
USE SIGNAL ;
PORT
LAYER met2 ;
RECT 2119.770 0.000 2120.050 4.000 ;
END
END la_oenb[109]
PIN la_oenb[10]
DIRECTION INPUT ;
USE SIGNAL ;
PORT
LAYER met2 ;
RECT 673.990 0.000 674.270 4.000 ;
END
END la_oenb[10]
PIN la_oenb[110]
DIRECTION INPUT ;
USE SIGNAL ;
PORT
LAYER met2 ;
RECT 2134.030 0.000 2134.310 4.000 ;
END
END la_oenb[110]
PIN la_oenb[111]
DIRECTION INPUT ;
USE SIGNAL ;
PORT
LAYER met2 ;
RECT 2148.750 0.000 2149.030 4.000 ;
END
END la_oenb[111]
PIN la_oenb[112]
DIRECTION INPUT ;
USE SIGNAL ;
PORT
LAYER met2 ;
RECT 2163.470 0.000 2163.750 4.000 ;
END
END la_oenb[112]
PIN la_oenb[113]
DIRECTION INPUT ;
USE SIGNAL ;
PORT
LAYER met2 ;
RECT 2178.190 0.000 2178.470 4.000 ;
END
END la_oenb[113]
PIN la_oenb[114]
DIRECTION INPUT ;
USE SIGNAL ;
PORT
LAYER met2 ;
RECT 2192.450 0.000 2192.730 4.000 ;
END
END la_oenb[114]
PIN la_oenb[115]
DIRECTION INPUT ;
USE SIGNAL ;
PORT
LAYER met2 ;
RECT 2207.170 0.000 2207.450 4.000 ;
END
END la_oenb[115]
PIN la_oenb[116]
DIRECTION INPUT ;
USE SIGNAL ;
PORT
LAYER met2 ;
RECT 2221.890 0.000 2222.170 4.000 ;
END
END la_oenb[116]
PIN la_oenb[117]
DIRECTION INPUT ;
USE SIGNAL ;
PORT
LAYER met2 ;
RECT 2236.610 0.000 2236.890 4.000 ;
END
END la_oenb[117]
PIN la_oenb[118]
DIRECTION INPUT ;
USE SIGNAL ;
PORT
LAYER met2 ;
RECT 2250.870 0.000 2251.150 4.000 ;
END
END la_oenb[118]
PIN la_oenb[119]
DIRECTION INPUT ;
USE SIGNAL ;
PORT
LAYER met2 ;
RECT 2265.590 0.000 2265.870 4.000 ;
END
END la_oenb[119]
PIN la_oenb[11]
DIRECTION INPUT ;
USE SIGNAL ;
PORT
LAYER met2 ;
RECT 688.710 0.000 688.990 4.000 ;
END
END la_oenb[11]
PIN la_oenb[120]
DIRECTION INPUT ;
USE SIGNAL ;
PORT
LAYER met2 ;
RECT 2280.310 0.000 2280.590 4.000 ;
END
END la_oenb[120]
PIN la_oenb[121]
DIRECTION INPUT ;
USE SIGNAL ;
PORT
LAYER met2 ;
RECT 2295.030 0.000 2295.310 4.000 ;
END
END la_oenb[121]
PIN la_oenb[122]
DIRECTION INPUT ;
USE SIGNAL ;
PORT
LAYER met2 ;
RECT 2309.290 0.000 2309.570 4.000 ;
END
END la_oenb[122]
PIN la_oenb[123]
DIRECTION INPUT ;
USE SIGNAL ;
PORT
LAYER met2 ;
RECT 2324.010 0.000 2324.290 4.000 ;
END
END la_oenb[123]
PIN la_oenb[124]
DIRECTION INPUT ;
USE SIGNAL ;
PORT
LAYER met2 ;
RECT 2338.730 0.000 2339.010 4.000 ;
END
END la_oenb[124]
PIN la_oenb[125]
DIRECTION INPUT ;
USE SIGNAL ;
PORT
LAYER met2 ;
RECT 2353.450 0.000 2353.730 4.000 ;
END
END la_oenb[125]
PIN la_oenb[126]
DIRECTION INPUT ;
USE SIGNAL ;
PORT
LAYER met2 ;
RECT 2367.710 0.000 2367.990 4.000 ;
END
END la_oenb[126]
PIN la_oenb[127]
DIRECTION INPUT ;
USE SIGNAL ;
PORT
LAYER met2 ;
RECT 2382.430 0.000 2382.710 4.000 ;
END
END la_oenb[127]
PIN la_oenb[12]
DIRECTION INPUT ;
USE SIGNAL ;
PORT
LAYER met2 ;
RECT 702.970 0.000 703.250 4.000 ;
END
END la_oenb[12]
PIN la_oenb[13]
DIRECTION INPUT ;
USE SIGNAL ;
PORT
LAYER met2 ;
RECT 717.690 0.000 717.970 4.000 ;
END
END la_oenb[13]
PIN la_oenb[14]
DIRECTION INPUT ;
USE SIGNAL ;
PORT
LAYER met2 ;
RECT 732.410 0.000 732.690 4.000 ;
END
END la_oenb[14]
PIN la_oenb[15]
DIRECTION INPUT ;
USE SIGNAL ;
PORT
LAYER met2 ;
RECT 747.130 0.000 747.410 4.000 ;
END
END la_oenb[15]
PIN la_oenb[16]
DIRECTION INPUT ;
USE SIGNAL ;
PORT
LAYER met2 ;
RECT 761.390 0.000 761.670 4.000 ;
END
END la_oenb[16]
PIN la_oenb[17]
DIRECTION INPUT ;
USE SIGNAL ;
PORT
LAYER met2 ;
RECT 776.110 0.000 776.390 4.000 ;
END
END la_oenb[17]
PIN la_oenb[18]
DIRECTION INPUT ;
USE SIGNAL ;
PORT
LAYER met2 ;
RECT 790.830 0.000 791.110 4.000 ;
END
END la_oenb[18]
PIN la_oenb[19]
DIRECTION INPUT ;
USE SIGNAL ;
PORT
LAYER met2 ;
RECT 805.550 0.000 805.830 4.000 ;
END
END la_oenb[19]
PIN la_oenb[1]
DIRECTION INPUT ;
USE SIGNAL ;
PORT
LAYER met2 ;
RECT 542.430 0.000 542.710 4.000 ;
END
END la_oenb[1]
PIN la_oenb[20]
DIRECTION INPUT ;
USE SIGNAL ;
PORT
LAYER met2 ;
RECT 819.810 0.000 820.090 4.000 ;
END
END la_oenb[20]
PIN la_oenb[21]
DIRECTION INPUT ;
USE SIGNAL ;
PORT
LAYER met2 ;
RECT 834.530 0.000 834.810 4.000 ;
END
END la_oenb[21]
PIN la_oenb[22]
DIRECTION INPUT ;
USE SIGNAL ;
PORT
LAYER met2 ;
RECT 849.250 0.000 849.530 4.000 ;
END
END la_oenb[22]
PIN la_oenb[23]
DIRECTION INPUT ;
USE SIGNAL ;
PORT
LAYER met2 ;
RECT 863.970 0.000 864.250 4.000 ;
END
END la_oenb[23]
PIN la_oenb[24]
DIRECTION INPUT ;
USE SIGNAL ;
PORT
LAYER met2 ;
RECT 878.230 0.000 878.510 4.000 ;
END
END la_oenb[24]
PIN la_oenb[25]
DIRECTION INPUT ;
USE SIGNAL ;
PORT
LAYER met2 ;
RECT 892.950 0.000 893.230 4.000 ;
END
END la_oenb[25]
PIN la_oenb[26]
DIRECTION INPUT ;
USE SIGNAL ;
PORT
LAYER met2 ;
RECT 907.670 0.000 907.950 4.000 ;
END
END la_oenb[26]
PIN la_oenb[27]
DIRECTION INPUT ;
USE SIGNAL ;
PORT
LAYER met2 ;
RECT 922.390 0.000 922.670 4.000 ;
END
END la_oenb[27]
PIN la_oenb[28]
DIRECTION INPUT ;
USE SIGNAL ;
PORT
LAYER met2 ;
RECT 936.650 0.000 936.930 4.000 ;
END
END la_oenb[28]
PIN la_oenb[29]
DIRECTION INPUT ;
USE SIGNAL ;
PORT
LAYER met2 ;
RECT 951.370 0.000 951.650 4.000 ;
END
END la_oenb[29]
PIN la_oenb[2]
DIRECTION INPUT ;
USE SIGNAL ;
PORT
LAYER met2 ;
RECT 557.150 0.000 557.430 4.000 ;
END
END la_oenb[2]
PIN la_oenb[30]
DIRECTION INPUT ;
USE SIGNAL ;
PORT
LAYER met2 ;
RECT 966.090 0.000 966.370 4.000 ;
END
END la_oenb[30]
PIN la_oenb[31]
DIRECTION INPUT ;
USE SIGNAL ;
PORT
LAYER met2 ;
RECT 980.810 0.000 981.090 4.000 ;
END
END la_oenb[31]
PIN la_oenb[32]
DIRECTION INPUT ;
USE SIGNAL ;
PORT
LAYER met2 ;
RECT 995.070 0.000 995.350 4.000 ;
END
END la_oenb[32]
PIN la_oenb[33]
DIRECTION INPUT ;
USE SIGNAL ;
PORT
LAYER met2 ;
RECT 1009.790 0.000 1010.070 4.000 ;
END
END la_oenb[33]
PIN la_oenb[34]
DIRECTION INPUT ;
USE SIGNAL ;
PORT
LAYER met2 ;
RECT 1024.510 0.000 1024.790 4.000 ;
END
END la_oenb[34]
PIN la_oenb[35]
DIRECTION INPUT ;
USE SIGNAL ;
PORT
LAYER met2 ;
RECT 1038.770 0.000 1039.050 4.000 ;
END
END la_oenb[35]
PIN la_oenb[36]
DIRECTION INPUT ;
USE SIGNAL ;
PORT
LAYER met2 ;
RECT 1053.490 0.000 1053.770 4.000 ;
END
END la_oenb[36]
PIN la_oenb[37]
DIRECTION INPUT ;
USE SIGNAL ;
PORT
LAYER met2 ;
RECT 1068.210 0.000 1068.490 4.000 ;
END
END la_oenb[37]
PIN la_oenb[38]
DIRECTION INPUT ;
USE SIGNAL ;
PORT
LAYER met2 ;
RECT 1082.930 0.000 1083.210 4.000 ;
END
END la_oenb[38]
PIN la_oenb[39]
DIRECTION INPUT ;
USE SIGNAL ;
PORT
LAYER met2 ;
RECT 1097.190 0.000 1097.470 4.000 ;
END
END la_oenb[39]
PIN la_oenb[3]
DIRECTION INPUT ;
USE SIGNAL ;
PORT
LAYER met2 ;
RECT 571.870 0.000 572.150 4.000 ;
END
END la_oenb[3]
PIN la_oenb[40]
DIRECTION INPUT ;
USE SIGNAL ;
PORT
LAYER met2 ;
RECT 1111.910 0.000 1112.190 4.000 ;
END
END la_oenb[40]
PIN la_oenb[41]
DIRECTION INPUT ;
USE SIGNAL ;
PORT
LAYER met2 ;
RECT 1126.630 0.000 1126.910 4.000 ;
END
END la_oenb[41]
PIN la_oenb[42]
DIRECTION INPUT ;
USE SIGNAL ;
PORT
LAYER met2 ;
RECT 1141.350 0.000 1141.630 4.000 ;
END
END la_oenb[42]
PIN la_oenb[43]
DIRECTION INPUT ;
USE SIGNAL ;
PORT
LAYER met2 ;
RECT 1155.610 0.000 1155.890 4.000 ;
END
END la_oenb[43]
PIN la_oenb[44]
DIRECTION INPUT ;
USE SIGNAL ;
PORT
LAYER met2 ;
RECT 1170.330 0.000 1170.610 4.000 ;
END
END la_oenb[44]
PIN la_oenb[45]
DIRECTION INPUT ;
USE SIGNAL ;
PORT
LAYER met2 ;
RECT 1185.050 0.000 1185.330 4.000 ;
END
END la_oenb[45]
PIN la_oenb[46]
DIRECTION INPUT ;
USE SIGNAL ;
PORT
LAYER met2 ;
RECT 1199.770 0.000 1200.050 4.000 ;
END
END la_oenb[46]
PIN la_oenb[47]
DIRECTION INPUT ;
USE SIGNAL ;
PORT
LAYER met2 ;
RECT 1214.030 0.000 1214.310 4.000 ;
END
END la_oenb[47]
PIN la_oenb[48]
DIRECTION INPUT ;
USE SIGNAL ;
PORT
LAYER met2 ;
RECT 1228.750 0.000 1229.030 4.000 ;
END
END la_oenb[48]
PIN la_oenb[49]
DIRECTION INPUT ;
USE SIGNAL ;
PORT
LAYER met2 ;
RECT 1243.470 0.000 1243.750 4.000 ;
END
END la_oenb[49]
PIN la_oenb[4]
DIRECTION INPUT ;
USE SIGNAL ;
PORT
LAYER met2 ;
RECT 586.130 0.000 586.410 4.000 ;
END
END la_oenb[4]
PIN la_oenb[50]
DIRECTION INPUT ;
USE SIGNAL ;
PORT
LAYER met2 ;
RECT 1258.190 0.000 1258.470 4.000 ;
END
END la_oenb[50]
PIN la_oenb[51]
DIRECTION INPUT ;
USE SIGNAL ;
PORT
LAYER met2 ;
RECT 1272.450 0.000 1272.730 4.000 ;
END
END la_oenb[51]
PIN la_oenb[52]
DIRECTION INPUT ;
USE SIGNAL ;
PORT
LAYER met2 ;
RECT 1287.170 0.000 1287.450 4.000 ;
END
END la_oenb[52]
PIN la_oenb[53]
DIRECTION INPUT ;
USE SIGNAL ;
PORT
LAYER met2 ;
RECT 1301.890 0.000 1302.170 4.000 ;
END
END la_oenb[53]
PIN la_oenb[54]
DIRECTION INPUT ;
USE SIGNAL ;
PORT
LAYER met2 ;
RECT 1316.610 0.000 1316.890 4.000 ;
END
END la_oenb[54]
PIN la_oenb[55]
DIRECTION INPUT ;
USE SIGNAL ;
PORT
LAYER met2 ;
RECT 1330.870 0.000 1331.150 4.000 ;
END
END la_oenb[55]
PIN la_oenb[56]
DIRECTION INPUT ;
USE SIGNAL ;
PORT
LAYER met2 ;
RECT 1345.590 0.000 1345.870 4.000 ;
END
END la_oenb[56]
PIN la_oenb[57]
DIRECTION INPUT ;
USE SIGNAL ;
PORT
LAYER met2 ;
RECT 1360.310 0.000 1360.590 4.000 ;
END
END la_oenb[57]
PIN la_oenb[58]
DIRECTION INPUT ;
USE SIGNAL ;
PORT
LAYER met2 ;
RECT 1375.030 0.000 1375.310 4.000 ;
END
END la_oenb[58]
PIN la_oenb[59]
DIRECTION INPUT ;
USE SIGNAL ;
PORT
LAYER met2 ;
RECT 1389.290 0.000 1389.570 4.000 ;
END
END la_oenb[59]
PIN la_oenb[5]
DIRECTION INPUT ;
USE SIGNAL ;
PORT
LAYER met2 ;
RECT 600.850 0.000 601.130 4.000 ;
END
END la_oenb[5]
PIN la_oenb[60]
DIRECTION INPUT ;
USE SIGNAL ;
PORT
LAYER met2 ;
RECT 1404.010 0.000 1404.290 4.000 ;
END
END la_oenb[60]
PIN la_oenb[61]
DIRECTION INPUT ;
USE SIGNAL ;
PORT
LAYER met2 ;
RECT 1418.730 0.000 1419.010 4.000 ;
END
END la_oenb[61]
PIN la_oenb[62]
DIRECTION INPUT ;
USE SIGNAL ;
PORT
LAYER met2 ;
RECT 1433.450 0.000 1433.730 4.000 ;
END
END la_oenb[62]
PIN la_oenb[63]
DIRECTION INPUT ;
USE SIGNAL ;
PORT
LAYER met2 ;
RECT 1447.710 0.000 1447.990 4.000 ;
END
END la_oenb[63]
PIN la_oenb[64]
DIRECTION INPUT ;
USE SIGNAL ;
PORT
LAYER met2 ;
RECT 1462.430 0.000 1462.710 4.000 ;
END
END la_oenb[64]
PIN la_oenb[65]
DIRECTION INPUT ;
USE SIGNAL ;
PORT
LAYER met2 ;
RECT 1477.150 0.000 1477.430 4.000 ;
END
END la_oenb[65]
PIN la_oenb[66]
DIRECTION INPUT ;
USE SIGNAL ;
PORT
LAYER met2 ;
RECT 1491.870 0.000 1492.150 4.000 ;
END
END la_oenb[66]
PIN la_oenb[67]
DIRECTION INPUT ;
USE SIGNAL ;
PORT
LAYER met2 ;
RECT 1506.130 0.000 1506.410 4.000 ;
END
END la_oenb[67]
PIN la_oenb[68]
DIRECTION INPUT ;
USE SIGNAL ;
PORT
LAYER met2 ;
RECT 1520.850 0.000 1521.130 4.000 ;
END
END la_oenb[68]
PIN la_oenb[69]
DIRECTION INPUT ;
USE SIGNAL ;
PORT
LAYER met2 ;
RECT 1535.570 0.000 1535.850 4.000 ;
END
END la_oenb[69]
PIN la_oenb[6]
DIRECTION INPUT ;
USE SIGNAL ;
PORT
LAYER met2 ;
RECT 615.570 0.000 615.850 4.000 ;
END
END la_oenb[6]
PIN la_oenb[70]
DIRECTION INPUT ;
USE SIGNAL ;
PORT
LAYER met2 ;
RECT 1550.290 0.000 1550.570 4.000 ;
END
END la_oenb[70]
PIN la_oenb[71]
DIRECTION INPUT ;
USE SIGNAL ;
PORT
LAYER met2 ;
RECT 1564.550 0.000 1564.830 4.000 ;
END
END la_oenb[71]
PIN la_oenb[72]
DIRECTION INPUT ;
USE SIGNAL ;
PORT
LAYER met2 ;
RECT 1579.270 0.000 1579.550 4.000 ;
END
END la_oenb[72]
PIN la_oenb[73]
DIRECTION INPUT ;
USE SIGNAL ;
PORT
LAYER met2 ;
RECT 1593.990 0.000 1594.270 4.000 ;
END
END la_oenb[73]
PIN la_oenb[74]
DIRECTION INPUT ;
USE SIGNAL ;
PORT
LAYER met2 ;
RECT 1608.710 0.000 1608.990 4.000 ;
END
END la_oenb[74]
PIN la_oenb[75]
DIRECTION INPUT ;
USE SIGNAL ;
PORT
LAYER met2 ;
RECT 1622.970 0.000 1623.250 4.000 ;
END
END la_oenb[75]
PIN la_oenb[76]
DIRECTION INPUT ;
USE SIGNAL ;
PORT
LAYER met2 ;
RECT 1637.690 0.000 1637.970 4.000 ;
END
END la_oenb[76]
PIN la_oenb[77]
DIRECTION INPUT ;
USE SIGNAL ;
PORT
LAYER met2 ;
RECT 1652.410 0.000 1652.690 4.000 ;
END
END la_oenb[77]
PIN la_oenb[78]
DIRECTION INPUT ;
USE SIGNAL ;
PORT
LAYER met2 ;
RECT 1667.130 0.000 1667.410 4.000 ;
END
END la_oenb[78]
PIN la_oenb[79]
DIRECTION INPUT ;
USE SIGNAL ;
PORT
LAYER met2 ;
RECT 1681.390 0.000 1681.670 4.000 ;
END
END la_oenb[79]
PIN la_oenb[7]
DIRECTION INPUT ;
USE SIGNAL ;
PORT
LAYER met2 ;
RECT 630.290 0.000 630.570 4.000 ;
END
END la_oenb[7]
PIN la_oenb[80]
DIRECTION INPUT ;
USE SIGNAL ;
PORT
LAYER met2 ;
RECT 1696.110 0.000 1696.390 4.000 ;
END
END la_oenb[80]
PIN la_oenb[81]
DIRECTION INPUT ;
USE SIGNAL ;
PORT
LAYER met2 ;
RECT 1710.830 0.000 1711.110 4.000 ;
END
END la_oenb[81]
PIN la_oenb[82]
DIRECTION INPUT ;
USE SIGNAL ;
PORT
LAYER met2 ;
RECT 1725.550 0.000 1725.830 4.000 ;
END
END la_oenb[82]
PIN la_oenb[83]
DIRECTION INPUT ;
USE SIGNAL ;
PORT
LAYER met2 ;
RECT 1739.810 0.000 1740.090 4.000 ;
END
END la_oenb[83]
PIN la_oenb[84]
DIRECTION INPUT ;
USE SIGNAL ;
PORT
LAYER met2 ;
RECT 1754.530 0.000 1754.810 4.000 ;
END
END la_oenb[84]
PIN la_oenb[85]
DIRECTION INPUT ;
USE SIGNAL ;
PORT
LAYER met2 ;
RECT 1769.250 0.000 1769.530 4.000 ;
END
END la_oenb[85]
PIN la_oenb[86]
DIRECTION INPUT ;
USE SIGNAL ;
PORT
LAYER met2 ;
RECT 1783.970 0.000 1784.250 4.000 ;
END
END la_oenb[86]
PIN la_oenb[87]
DIRECTION INPUT ;
USE SIGNAL ;
PORT
LAYER met2 ;
RECT 1798.230 0.000 1798.510 4.000 ;
END
END la_oenb[87]
PIN la_oenb[88]
DIRECTION INPUT ;
USE SIGNAL ;
PORT
LAYER met2 ;
RECT 1812.950 0.000 1813.230 4.000 ;
END
END la_oenb[88]
PIN la_oenb[89]
DIRECTION INPUT ;
USE SIGNAL ;
PORT
LAYER met2 ;
RECT 1827.670 0.000 1827.950 4.000 ;
END
END la_oenb[89]
PIN la_oenb[8]
DIRECTION INPUT ;
USE SIGNAL ;
PORT
LAYER met2 ;
RECT 644.550 0.000 644.830 4.000 ;
END
END la_oenb[8]
PIN la_oenb[90]
DIRECTION INPUT ;
USE SIGNAL ;
PORT
LAYER met2 ;
RECT 1842.390 0.000 1842.670 4.000 ;
END
END la_oenb[90]
PIN la_oenb[91]
DIRECTION INPUT ;
USE SIGNAL ;
PORT
LAYER met2 ;
RECT 1856.650 0.000 1856.930 4.000 ;
END
END la_oenb[91]
PIN la_oenb[92]
DIRECTION INPUT ;
USE SIGNAL ;
PORT
LAYER met2 ;
RECT 1871.370 0.000 1871.650 4.000 ;
END
END la_oenb[92]
PIN la_oenb[93]
DIRECTION INPUT ;
USE SIGNAL ;
PORT
LAYER met2 ;
RECT 1886.090 0.000 1886.370 4.000 ;
END
END la_oenb[93]
PIN la_oenb[94]
DIRECTION INPUT ;
USE SIGNAL ;
PORT
LAYER met2 ;
RECT 1900.810 0.000 1901.090 4.000 ;
END
END la_oenb[94]
PIN la_oenb[95]
DIRECTION INPUT ;
USE SIGNAL ;
PORT
LAYER met2 ;
RECT 1915.070 0.000 1915.350 4.000 ;
END
END la_oenb[95]
PIN la_oenb[96]
DIRECTION INPUT ;
USE SIGNAL ;
PORT
LAYER met2 ;
RECT 1929.790 0.000 1930.070 4.000 ;
END
END la_oenb[96]
PIN la_oenb[97]
DIRECTION INPUT ;
USE SIGNAL ;
PORT
LAYER met2 ;
RECT 1944.510 0.000 1944.790 4.000 ;
END
END la_oenb[97]
PIN la_oenb[98]
DIRECTION INPUT ;
USE SIGNAL ;
PORT
LAYER met2 ;
RECT 1959.230 0.000 1959.510 4.000 ;
END
END la_oenb[98]
PIN la_oenb[99]
DIRECTION INPUT ;
USE SIGNAL ;
PORT
LAYER met2 ;
RECT 1973.490 0.000 1973.770 4.000 ;
END
END la_oenb[99]
PIN la_oenb[9]
DIRECTION INPUT ;
USE SIGNAL ;
PORT
LAYER met2 ;
RECT 659.270 0.000 659.550 4.000 ;
END
END la_oenb[9]
PIN vccd1
DIRECTION INPUT ;
USE POWER ;
PORT
LAYER met4 ;
RECT 21.040 10.640 22.640 987.600 ;
END
PORT
LAYER met4 ;
RECT 121.040 10.640 122.640 987.600 ;
END
PORT
LAYER met4 ;
RECT 221.040 10.640 222.640 987.600 ;
END
PORT
LAYER met4 ;
RECT 321.040 10.640 322.640 987.600 ;
END
PORT
LAYER met4 ;
RECT 421.040 10.640 422.640 987.600 ;
END
PORT
LAYER met4 ;
RECT 521.040 10.640 522.640 987.600 ;
END
PORT
LAYER met4 ;
RECT 621.040 10.640 622.640 987.600 ;
END
PORT
LAYER met4 ;
RECT 721.040 10.640 722.640 987.600 ;
END
PORT
LAYER met4 ;
RECT 821.040 10.640 822.640 987.600 ;
END
PORT
LAYER met4 ;
RECT 921.040 10.640 922.640 987.600 ;
END
PORT
LAYER met4 ;
RECT 1021.040 10.640 1022.640 987.600 ;
END
PORT
LAYER met4 ;
RECT 1121.040 10.640 1122.640 987.600 ;
END
PORT
LAYER met4 ;
RECT 1221.040 10.640 1222.640 987.600 ;
END
PORT
LAYER met4 ;
RECT 1321.040 10.640 1322.640 987.600 ;
END
PORT
LAYER met4 ;
RECT 1421.040 10.640 1422.640 987.600 ;
END
PORT
LAYER met4 ;
RECT 1521.040 10.640 1522.640 987.600 ;
END
PORT
LAYER met4 ;
RECT 1621.040 10.640 1622.640 987.600 ;
END
PORT
LAYER met4 ;
RECT 1721.040 10.640 1722.640 987.600 ;
END
PORT
LAYER met4 ;
RECT 1821.040 10.640 1822.640 987.600 ;
END
PORT
LAYER met4 ;
RECT 1921.040 10.640 1922.640 987.600 ;
END
PORT
LAYER met4 ;
RECT 2021.040 10.640 2022.640 987.600 ;
END
PORT
LAYER met4 ;
RECT 2121.040 10.640 2122.640 987.600 ;
END
PORT
LAYER met4 ;
RECT 2221.040 10.640 2222.640 987.600 ;
END
PORT
LAYER met4 ;
RECT 2321.040 10.640 2322.640 987.600 ;
END
END vccd1
PIN vssd1
DIRECTION INPUT ;
USE GROUND ;
PORT
LAYER met4 ;
RECT 71.040 10.640 72.640 987.600 ;
END
PORT
LAYER met4 ;
RECT 171.040 10.640 172.640 987.600 ;
END
PORT
LAYER met4 ;
RECT 271.040 10.640 272.640 987.600 ;
END
PORT
LAYER met4 ;
RECT 371.040 10.640 372.640 987.600 ;
END
PORT
LAYER met4 ;
RECT 471.040 10.640 472.640 987.600 ;
END
PORT
LAYER met4 ;
RECT 571.040 10.640 572.640 987.600 ;
END
PORT
LAYER met4 ;
RECT 671.040 10.640 672.640 987.600 ;
END
PORT
LAYER met4 ;
RECT 771.040 10.640 772.640 987.600 ;
END
PORT
LAYER met4 ;
RECT 871.040 10.640 872.640 987.600 ;
END
PORT
LAYER met4 ;
RECT 971.040 10.640 972.640 987.600 ;
END
PORT
LAYER met4 ;
RECT 1071.040 10.640 1072.640 987.600 ;
END
PORT
LAYER met4 ;
RECT 1171.040 10.640 1172.640 987.600 ;
END
PORT
LAYER met4 ;
RECT 1271.040 10.640 1272.640 987.600 ;
END
PORT
LAYER met4 ;
RECT 1371.040 10.640 1372.640 987.600 ;
END
PORT
LAYER met4 ;
RECT 1471.040 10.640 1472.640 987.600 ;
END
PORT
LAYER met4 ;
RECT 1571.040 10.640 1572.640 987.600 ;
END
PORT
LAYER met4 ;
RECT 1671.040 10.640 1672.640 987.600 ;
END
PORT
LAYER met4 ;
RECT 1771.040 10.640 1772.640 987.600 ;
END
PORT
LAYER met4 ;
RECT 1871.040 10.640 1872.640 987.600 ;
END
PORT
LAYER met4 ;
RECT 1971.040 10.640 1972.640 987.600 ;
END
PORT
LAYER met4 ;
RECT 2071.040 10.640 2072.640 987.600 ;
END
PORT
LAYER met4 ;
RECT 2171.040 10.640 2172.640 987.600 ;
END
PORT
LAYER met4 ;
RECT 2271.040 10.640 2272.640 987.600 ;
END
PORT
LAYER met4 ;
RECT 2371.040 10.640 2372.640 987.600 ;
END
END vssd1
PIN wb_clk_i
DIRECTION INPUT ;
USE SIGNAL ;
PORT
LAYER met2 ;
RECT 2.390 0.000 2.670 4.000 ;
END
END wb_clk_i
PIN wb_rst_i
DIRECTION INPUT ;
USE SIGNAL ;
PORT
LAYER met2 ;
RECT 6.990 0.000 7.270 4.000 ;
END
END wb_rst_i
PIN wbs_ack_o
DIRECTION OUTPUT TRISTATE ;
USE SIGNAL ;
PORT
LAYER met2 ;
RECT 12.050 0.000 12.330 4.000 ;
END
END wbs_ack_o
PIN wbs_adr_i[0]
DIRECTION INPUT ;
USE SIGNAL ;
PORT
LAYER met2 ;
RECT 31.370 0.000 31.650 4.000 ;
END
END wbs_adr_i[0]
PIN wbs_adr_i[10]
DIRECTION INPUT ;
USE SIGNAL ;
PORT
LAYER met2 ;
RECT 196.970 0.000 197.250 4.000 ;
END
END wbs_adr_i[10]
PIN wbs_adr_i[11]
DIRECTION INPUT ;
USE SIGNAL ;
PORT
LAYER met2 ;
RECT 211.690 0.000 211.970 4.000 ;
END
END wbs_adr_i[11]
PIN wbs_adr_i[12]
DIRECTION INPUT ;
USE SIGNAL ;
PORT
LAYER met2 ;
RECT 225.950 0.000 226.230 4.000 ;
END
END wbs_adr_i[12]
PIN wbs_adr_i[13]
DIRECTION INPUT ;
USE SIGNAL ;
PORT
LAYER met2 ;
RECT 240.670 0.000 240.950 4.000 ;
END
END wbs_adr_i[13]
PIN wbs_adr_i[14]
DIRECTION INPUT ;
USE SIGNAL ;
PORT
LAYER met2 ;
RECT 255.390 0.000 255.670 4.000 ;
END
END wbs_adr_i[14]
PIN wbs_adr_i[15]
DIRECTION INPUT ;
USE SIGNAL ;
PORT
LAYER met2 ;
RECT 270.110 0.000 270.390 4.000 ;
END
END wbs_adr_i[15]
PIN wbs_adr_i[16]
DIRECTION INPUT ;
USE SIGNAL ;
PORT
LAYER met2 ;
RECT 284.370 0.000 284.650 4.000 ;
END
END wbs_adr_i[16]
PIN wbs_adr_i[17]
DIRECTION INPUT ;
USE SIGNAL ;
PORT
LAYER met2 ;
RECT 299.090 0.000 299.370 4.000 ;
END
END wbs_adr_i[17]
PIN wbs_adr_i[18]
DIRECTION INPUT ;
USE SIGNAL ;
PORT
LAYER met2 ;
RECT 313.810 0.000 314.090 4.000 ;
END
END wbs_adr_i[18]
PIN wbs_adr_i[19]
DIRECTION INPUT ;
USE SIGNAL ;
PORT
LAYER met2 ;
RECT 328.530 0.000 328.810 4.000 ;
END
END wbs_adr_i[19]
PIN wbs_adr_i[1]
DIRECTION INPUT ;
USE SIGNAL ;
PORT
LAYER met2 ;
RECT 50.690 0.000 50.970 4.000 ;
END
END wbs_adr_i[1]
PIN wbs_adr_i[20]
DIRECTION INPUT ;
USE SIGNAL ;
PORT
LAYER met2 ;
RECT 342.790 0.000 343.070 4.000 ;
END
END wbs_adr_i[20]
PIN wbs_adr_i[21]
DIRECTION INPUT ;
USE SIGNAL ;
PORT
LAYER met2 ;
RECT 357.510 0.000 357.790 4.000 ;
END
END wbs_adr_i[21]
PIN wbs_adr_i[22]
DIRECTION INPUT ;
USE SIGNAL ;
PORT
LAYER met2 ;
RECT 372.230 0.000 372.510 4.000 ;
END
END wbs_adr_i[22]
PIN wbs_adr_i[23]
DIRECTION INPUT ;
USE SIGNAL ;
PORT
LAYER met2 ;
RECT 386.490 0.000 386.770 4.000 ;
END
END wbs_adr_i[23]
PIN wbs_adr_i[24]
DIRECTION INPUT ;
USE SIGNAL ;
PORT
LAYER met2 ;
RECT 401.210 0.000 401.490 4.000 ;
END
END wbs_adr_i[24]
PIN wbs_adr_i[25]
DIRECTION INPUT ;
USE SIGNAL ;
PORT
LAYER met2 ;
RECT 415.930 0.000 416.210 4.000 ;
END
END wbs_adr_i[25]
PIN wbs_adr_i[26]
DIRECTION INPUT ;
USE SIGNAL ;
PORT
LAYER met2 ;
RECT 430.650 0.000 430.930 4.000 ;
END
END wbs_adr_i[26]
PIN wbs_adr_i[27]
DIRECTION INPUT ;
USE SIGNAL ;
PORT
LAYER met2 ;
RECT 444.910 0.000 445.190 4.000 ;
END
END wbs_adr_i[27]
PIN wbs_adr_i[28]
DIRECTION INPUT ;
USE SIGNAL ;
PORT
LAYER met2 ;
RECT 459.630 0.000 459.910 4.000 ;
END
END wbs_adr_i[28]
PIN wbs_adr_i[29]
DIRECTION INPUT ;
USE SIGNAL ;
PORT
LAYER met2 ;
RECT 474.350 0.000 474.630 4.000 ;
END
END wbs_adr_i[29]
PIN wbs_adr_i[2]
DIRECTION INPUT ;
USE SIGNAL ;
PORT
LAYER met2 ;
RECT 70.470 0.000 70.750 4.000 ;
END
END wbs_adr_i[2]
PIN wbs_adr_i[30]
DIRECTION INPUT ;
USE SIGNAL ;
PORT
LAYER met2 ;
RECT 489.070 0.000 489.350 4.000 ;
END
END wbs_adr_i[30]
PIN wbs_adr_i[31]
DIRECTION INPUT ;
USE SIGNAL ;
PORT
LAYER met2 ;
RECT 503.330 0.000 503.610 4.000 ;
END
END wbs_adr_i[31]
PIN wbs_adr_i[3]
DIRECTION INPUT ;
USE SIGNAL ;
PORT
LAYER met2 ;
RECT 89.790 0.000 90.070 4.000 ;
END
END wbs_adr_i[3]
PIN wbs_adr_i[4]
DIRECTION INPUT ;
USE SIGNAL ;
PORT
LAYER met2 ;
RECT 109.110 0.000 109.390 4.000 ;
END
END wbs_adr_i[4]
PIN wbs_adr_i[5]
DIRECTION INPUT ;
USE SIGNAL ;
PORT
LAYER met2 ;
RECT 123.830 0.000 124.110 4.000 ;
END
END wbs_adr_i[5]
PIN wbs_adr_i[6]
DIRECTION INPUT ;
USE SIGNAL ;
PORT
LAYER met2 ;
RECT 138.550 0.000 138.830 4.000 ;
END
END wbs_adr_i[6]
PIN wbs_adr_i[7]
DIRECTION INPUT ;
USE SIGNAL ;
PORT
LAYER met2 ;
RECT 153.270 0.000 153.550 4.000 ;
END
END wbs_adr_i[7]
PIN wbs_adr_i[8]
DIRECTION INPUT ;
USE SIGNAL ;
PORT
LAYER met2 ;
RECT 167.530 0.000 167.810 4.000 ;
END
END wbs_adr_i[8]
PIN wbs_adr_i[9]
DIRECTION INPUT ;
USE SIGNAL ;
PORT
LAYER met2 ;
RECT 182.250 0.000 182.530 4.000 ;
END
END wbs_adr_i[9]
PIN wbs_cyc_i
DIRECTION INPUT ;
USE SIGNAL ;
PORT
LAYER met2 ;
RECT 16.650 0.000 16.930 4.000 ;
END
END wbs_cyc_i
PIN wbs_dat_i[0]
DIRECTION INPUT ;
USE SIGNAL ;
PORT
LAYER met2 ;
RECT 36.430 0.000 36.710 4.000 ;
END
END wbs_dat_i[0]
PIN wbs_dat_i[10]
DIRECTION INPUT ;
USE SIGNAL ;
PORT
LAYER met2 ;
RECT 201.570 0.000 201.850 4.000 ;
END
END wbs_dat_i[10]
PIN wbs_dat_i[11]
DIRECTION INPUT ;
USE SIGNAL ;
PORT
LAYER met2 ;
RECT 216.290 0.000 216.570 4.000 ;
END
END wbs_dat_i[11]
PIN wbs_dat_i[12]
DIRECTION INPUT ;
USE SIGNAL ;
PORT
LAYER met2 ;
RECT 231.010 0.000 231.290 4.000 ;
END
END wbs_dat_i[12]
PIN wbs_dat_i[13]
DIRECTION INPUT ;
USE SIGNAL ;
PORT
LAYER met2 ;
RECT 245.730 0.000 246.010 4.000 ;
END
END wbs_dat_i[13]
PIN wbs_dat_i[14]
DIRECTION INPUT ;
USE SIGNAL ;
PORT
LAYER met2 ;
RECT 259.990 0.000 260.270 4.000 ;
END
END wbs_dat_i[14]
PIN wbs_dat_i[15]
DIRECTION INPUT ;
USE SIGNAL ;
PORT
LAYER met2 ;
RECT 274.710 0.000 274.990 4.000 ;
END
END wbs_dat_i[15]
PIN wbs_dat_i[16]
DIRECTION INPUT ;
USE SIGNAL ;
PORT
LAYER met2 ;
RECT 289.430 0.000 289.710 4.000 ;
END
END wbs_dat_i[16]
PIN wbs_dat_i[17]
DIRECTION INPUT ;
USE SIGNAL ;
PORT
LAYER met2 ;
RECT 304.150 0.000 304.430 4.000 ;
END
END wbs_dat_i[17]
PIN wbs_dat_i[18]
DIRECTION INPUT ;
USE SIGNAL ;
PORT
LAYER met2 ;
RECT 318.410 0.000 318.690 4.000 ;
END
END wbs_dat_i[18]
PIN wbs_dat_i[19]
DIRECTION INPUT ;
USE SIGNAL ;
PORT
LAYER met2 ;
RECT 333.130 0.000 333.410 4.000 ;
END
END wbs_dat_i[19]
PIN wbs_dat_i[1]
DIRECTION INPUT ;
USE SIGNAL ;
PORT
LAYER met2 ;
RECT 55.750 0.000 56.030 4.000 ;
END
END wbs_dat_i[1]
PIN wbs_dat_i[20]
DIRECTION INPUT ;
USE SIGNAL ;
PORT
LAYER met2 ;
RECT 347.850 0.000 348.130 4.000 ;
END
END wbs_dat_i[20]
PIN wbs_dat_i[21]
DIRECTION INPUT ;
USE SIGNAL ;
PORT
LAYER met2 ;
RECT 362.570 0.000 362.850 4.000 ;
END
END wbs_dat_i[21]
PIN wbs_dat_i[22]
DIRECTION INPUT ;
USE SIGNAL ;
PORT
LAYER met2 ;
RECT 376.830 0.000 377.110 4.000 ;
END
END wbs_dat_i[22]
PIN wbs_dat_i[23]
DIRECTION INPUT ;
USE SIGNAL ;
PORT
LAYER met2 ;
RECT 391.550 0.000 391.830 4.000 ;
END
END wbs_dat_i[23]
PIN wbs_dat_i[24]
DIRECTION INPUT ;
USE SIGNAL ;
PORT
LAYER met2 ;
RECT 406.270 0.000 406.550 4.000 ;
END
END wbs_dat_i[24]
PIN wbs_dat_i[25]
DIRECTION INPUT ;
USE SIGNAL ;
PORT
LAYER met2 ;
RECT 420.990 0.000 421.270 4.000 ;
END
END wbs_dat_i[25]
PIN wbs_dat_i[26]
DIRECTION INPUT ;
USE SIGNAL ;
PORT
LAYER met2 ;
RECT 435.250 0.000 435.530 4.000 ;
END
END wbs_dat_i[26]
PIN wbs_dat_i[27]
DIRECTION INPUT ;
USE SIGNAL ;
PORT
LAYER met2 ;
RECT 449.970 0.000 450.250 4.000 ;
END
END wbs_dat_i[27]
PIN wbs_dat_i[28]
DIRECTION INPUT ;
USE SIGNAL ;
PORT
LAYER met2 ;
RECT 464.690 0.000 464.970 4.000 ;
END
END wbs_dat_i[28]
PIN wbs_dat_i[29]
DIRECTION INPUT ;
USE SIGNAL ;
PORT
LAYER met2 ;
RECT 479.410 0.000 479.690 4.000 ;
END
END wbs_dat_i[29]
PIN wbs_dat_i[2]
DIRECTION INPUT ;
USE SIGNAL ;
PORT
LAYER met2 ;
RECT 75.070 0.000 75.350 4.000 ;
END
END wbs_dat_i[2]
PIN wbs_dat_i[30]
DIRECTION INPUT ;
USE SIGNAL ;
PORT
LAYER met2 ;
RECT 493.670 0.000 493.950 4.000 ;
END
END wbs_dat_i[30]
PIN wbs_dat_i[31]
DIRECTION INPUT ;
USE SIGNAL ;
PORT
LAYER met2 ;
RECT 508.390 0.000 508.670 4.000 ;
END
END wbs_dat_i[31]
PIN wbs_dat_i[3]
DIRECTION INPUT ;
USE SIGNAL ;
PORT
LAYER met2 ;
RECT 94.850 0.000 95.130 4.000 ;
END
END wbs_dat_i[3]
PIN wbs_dat_i[4]
DIRECTION INPUT ;
USE SIGNAL ;
PORT
LAYER met2 ;
RECT 114.170 0.000 114.450 4.000 ;
END
END wbs_dat_i[4]
PIN wbs_dat_i[5]
DIRECTION INPUT ;
USE SIGNAL ;
PORT
LAYER met2 ;
RECT 128.890 0.000 129.170 4.000 ;
END
END wbs_dat_i[5]
PIN wbs_dat_i[6]
DIRECTION INPUT ;
USE SIGNAL ;
PORT
LAYER met2 ;
RECT 143.150 0.000 143.430 4.000 ;
END
END wbs_dat_i[6]
PIN wbs_dat_i[7]
DIRECTION INPUT ;
USE SIGNAL ;
PORT
LAYER met2 ;
RECT 157.870 0.000 158.150 4.000 ;
END
END wbs_dat_i[7]
PIN wbs_dat_i[8]
DIRECTION INPUT ;
USE SIGNAL ;
PORT
LAYER met2 ;
RECT 172.590 0.000 172.870 4.000 ;
END
END wbs_dat_i[8]
PIN wbs_dat_i[9]
DIRECTION INPUT ;
USE SIGNAL ;
PORT
LAYER met2 ;
RECT 187.310 0.000 187.590 4.000 ;
END
END wbs_dat_i[9]
PIN wbs_dat_o[0]
DIRECTION OUTPUT TRISTATE ;
USE SIGNAL ;
PORT
LAYER met2 ;
RECT 41.030 0.000 41.310 4.000 ;
END
END wbs_dat_o[0]
PIN wbs_dat_o[10]
DIRECTION OUTPUT TRISTATE ;
USE SIGNAL ;
PORT
LAYER met2 ;
RECT 206.630 0.000 206.910 4.000 ;
END
END wbs_dat_o[10]
PIN wbs_dat_o[11]
DIRECTION OUTPUT TRISTATE ;
USE SIGNAL ;
PORT
LAYER met2 ;
RECT 221.350 0.000 221.630 4.000 ;
END
END wbs_dat_o[11]
PIN wbs_dat_o[12]
DIRECTION OUTPUT TRISTATE ;
USE SIGNAL ;
PORT
LAYER met2 ;
RECT 235.610 0.000 235.890 4.000 ;
END
END wbs_dat_o[12]
PIN wbs_dat_o[13]
DIRECTION OUTPUT TRISTATE ;
USE SIGNAL ;
PORT
LAYER met2 ;
RECT 250.330 0.000 250.610 4.000 ;
END
END wbs_dat_o[13]
PIN wbs_dat_o[14]
DIRECTION OUTPUT TRISTATE ;
USE SIGNAL ;
PORT
LAYER met2 ;
RECT 265.050 0.000 265.330 4.000 ;
END
END wbs_dat_o[14]
PIN wbs_dat_o[15]
DIRECTION OUTPUT TRISTATE ;
USE SIGNAL ;
PORT
LAYER met2 ;
RECT 279.770 0.000 280.050 4.000 ;
END
END wbs_dat_o[15]
PIN wbs_dat_o[16]
DIRECTION OUTPUT TRISTATE ;
USE SIGNAL ;
PORT
LAYER met2 ;
RECT 294.030 0.000 294.310 4.000 ;
END
END wbs_dat_o[16]
PIN wbs_dat_o[17]
DIRECTION OUTPUT TRISTATE ;
USE SIGNAL ;
PORT
LAYER met2 ;
RECT 308.750 0.000 309.030 4.000 ;
END
END wbs_dat_o[17]
PIN wbs_dat_o[18]
DIRECTION OUTPUT TRISTATE ;
USE SIGNAL ;
PORT
LAYER met2 ;
RECT 323.470 0.000 323.750 4.000 ;
END
END wbs_dat_o[18]
PIN wbs_dat_o[19]
DIRECTION OUTPUT TRISTATE ;
USE SIGNAL ;
PORT
LAYER met2 ;
RECT 338.190 0.000 338.470 4.000 ;
END
END wbs_dat_o[19]
PIN wbs_dat_o[1]
DIRECTION OUTPUT TRISTATE ;
USE SIGNAL ;
PORT
LAYER met2 ;
RECT 60.350 0.000 60.630 4.000 ;
END
END wbs_dat_o[1]
PIN wbs_dat_o[20]
DIRECTION OUTPUT TRISTATE ;
USE SIGNAL ;
PORT
LAYER met2 ;
RECT 352.450 0.000 352.730 4.000 ;
END
END wbs_dat_o[20]
PIN wbs_dat_o[21]
DIRECTION OUTPUT TRISTATE ;
USE SIGNAL ;
PORT
LAYER met2 ;
RECT 367.170 0.000 367.450 4.000 ;
END
END wbs_dat_o[21]
PIN wbs_dat_o[22]
DIRECTION OUTPUT TRISTATE ;
USE SIGNAL ;
PORT
LAYER met2 ;
RECT 381.890 0.000 382.170 4.000 ;
END
END wbs_dat_o[22]
PIN wbs_dat_o[23]
DIRECTION OUTPUT TRISTATE ;
USE SIGNAL ;
PORT
LAYER met2 ;
RECT 396.610 0.000 396.890 4.000 ;
END
END wbs_dat_o[23]
PIN wbs_dat_o[24]
DIRECTION OUTPUT TRISTATE ;
USE SIGNAL ;
PORT
LAYER met2 ;
RECT 410.870 0.000 411.150 4.000 ;
END
END wbs_dat_o[24]
PIN wbs_dat_o[25]
DIRECTION OUTPUT TRISTATE ;
USE SIGNAL ;
PORT
LAYER met2 ;
RECT 425.590 0.000 425.870 4.000 ;
END
END wbs_dat_o[25]
PIN wbs_dat_o[26]
DIRECTION OUTPUT TRISTATE ;
USE SIGNAL ;
PORT
LAYER met2 ;
RECT 440.310 0.000 440.590 4.000 ;
END
END wbs_dat_o[26]
PIN wbs_dat_o[27]
DIRECTION OUTPUT TRISTATE ;
USE SIGNAL ;
PORT
LAYER met2 ;
RECT 455.030 0.000 455.310 4.000 ;
END
END wbs_dat_o[27]
PIN wbs_dat_o[28]
DIRECTION OUTPUT TRISTATE ;
USE SIGNAL ;
PORT
LAYER met2 ;
RECT 469.290 0.000 469.570 4.000 ;
END
END wbs_dat_o[28]
PIN wbs_dat_o[29]
DIRECTION OUTPUT TRISTATE ;
USE SIGNAL ;
PORT
LAYER met2 ;
RECT 484.010 0.000 484.290 4.000 ;
END
END wbs_dat_o[29]
PIN wbs_dat_o[2]
DIRECTION OUTPUT TRISTATE ;
USE SIGNAL ;
PORT
LAYER met2 ;
RECT 80.130 0.000 80.410 4.000 ;
END
END wbs_dat_o[2]
PIN wbs_dat_o[30]
DIRECTION OUTPUT TRISTATE ;
USE SIGNAL ;
PORT
LAYER met2 ;
RECT 498.730 0.000 499.010 4.000 ;
END
END wbs_dat_o[30]
PIN wbs_dat_o[31]
DIRECTION OUTPUT TRISTATE ;
USE SIGNAL ;
PORT
LAYER met2 ;
RECT 513.450 0.000 513.730 4.000 ;
END
END wbs_dat_o[31]
PIN wbs_dat_o[3]
DIRECTION OUTPUT TRISTATE ;
USE SIGNAL ;
PORT
LAYER met2 ;
RECT 99.450 0.000 99.730 4.000 ;
END
END wbs_dat_o[3]
PIN wbs_dat_o[4]
DIRECTION OUTPUT TRISTATE ;
USE SIGNAL ;
PORT
LAYER met2 ;
RECT 118.770 0.000 119.050 4.000 ;
END
END wbs_dat_o[4]
PIN wbs_dat_o[5]
DIRECTION OUTPUT TRISTATE ;
USE SIGNAL ;
PORT
LAYER met2 ;
RECT 133.490 0.000 133.770 4.000 ;
END
END wbs_dat_o[5]
PIN wbs_dat_o[6]
DIRECTION OUTPUT TRISTATE ;
USE SIGNAL ;
PORT
LAYER met2 ;
RECT 148.210 0.000 148.490 4.000 ;
END
END wbs_dat_o[6]
PIN wbs_dat_o[7]
DIRECTION OUTPUT TRISTATE ;
USE SIGNAL ;
PORT
LAYER met2 ;
RECT 162.930 0.000 163.210 4.000 ;
END
END wbs_dat_o[7]
PIN wbs_dat_o[8]
DIRECTION OUTPUT TRISTATE ;
USE SIGNAL ;
PORT
LAYER met2 ;
RECT 177.190 0.000 177.470 4.000 ;
END
END wbs_dat_o[8]
PIN wbs_dat_o[9]
DIRECTION OUTPUT TRISTATE ;
USE SIGNAL ;
PORT
LAYER met2 ;
RECT 191.910 0.000 192.190 4.000 ;
END
END wbs_dat_o[9]
PIN wbs_sel_i[0]
DIRECTION INPUT ;
USE SIGNAL ;
PORT
LAYER met2 ;
RECT 46.090 0.000 46.370 4.000 ;
END
END wbs_sel_i[0]
PIN wbs_sel_i[1]
DIRECTION INPUT ;
USE SIGNAL ;
PORT
LAYER met2 ;
RECT 65.410 0.000 65.690 4.000 ;
END
END wbs_sel_i[1]
PIN wbs_sel_i[2]
DIRECTION INPUT ;
USE SIGNAL ;
PORT
LAYER met2 ;
RECT 84.730 0.000 85.010 4.000 ;
END
END wbs_sel_i[2]
PIN wbs_sel_i[3]
DIRECTION INPUT ;
USE SIGNAL ;
PORT
LAYER met2 ;
RECT 104.510 0.000 104.790 4.000 ;
END
END wbs_sel_i[3]
PIN wbs_stb_i
DIRECTION INPUT ;
USE SIGNAL ;
PORT
LAYER met2 ;
RECT 21.710 0.000 21.990 4.000 ;
END
END wbs_stb_i
PIN wbs_we_i
DIRECTION INPUT ;
USE SIGNAL ;
PORT
LAYER met2 ;
RECT 26.310 0.000 26.590 4.000 ;
END
END wbs_we_i
OBS
LAYER li1 ;
RECT 5.520 10.795 2394.300 987.445 ;
LAYER met1 ;
RECT 2.370 9.560 2397.450 987.600 ;
LAYER met2 ;
RECT 2.400 995.720 43.970 996.610 ;
RECT 44.810 995.720 132.750 996.610 ;
RECT 133.590 995.720 221.530 996.610 ;
RECT 222.370 995.720 310.310 996.610 ;
RECT 311.150 995.720 399.090 996.610 ;
RECT 399.930 995.720 488.330 996.610 ;
RECT 489.170 995.720 577.110 996.610 ;
RECT 577.950 995.720 665.890 996.610 ;
RECT 666.730 995.720 754.670 996.610 ;
RECT 755.510 995.720 843.910 996.610 ;
RECT 844.750 995.720 932.690 996.610 ;
RECT 933.530 995.720 1021.470 996.610 ;
RECT 1022.310 995.720 1110.250 996.610 ;
RECT 1111.090 995.720 1199.030 996.610 ;
RECT 1199.870 995.720 1288.270 996.610 ;
RECT 1289.110 995.720 1377.050 996.610 ;
RECT 1377.890 995.720 1465.830 996.610 ;
RECT 1466.670 995.720 1554.610 996.610 ;
RECT 1555.450 995.720 1643.850 996.610 ;
RECT 1644.690 995.720 1732.630 996.610 ;
RECT 1733.470 995.720 1821.410 996.610 ;
RECT 1822.250 995.720 1910.190 996.610 ;
RECT 1911.030 995.720 1998.970 996.610 ;
RECT 1999.810 995.720 2088.210 996.610 ;
RECT 2089.050 995.720 2176.990 996.610 ;
RECT 2177.830 995.720 2265.770 996.610 ;
RECT 2266.610 995.720 2354.550 996.610 ;
RECT 2355.390 995.720 2397.420 996.610 ;
RECT 2.400 4.280 2397.420 995.720 ;
RECT 2.950 3.670 6.710 4.280 ;
RECT 7.550 3.670 11.770 4.280 ;
RECT 12.610 3.670 16.370 4.280 ;
RECT 17.210 3.670 21.430 4.280 ;
RECT 22.270 3.670 26.030 4.280 ;
RECT 26.870 3.670 31.090 4.280 ;
RECT 31.930 3.670 36.150 4.280 ;
RECT 36.990 3.670 40.750 4.280 ;
RECT 41.590 3.670 45.810 4.280 ;
RECT 46.650 3.670 50.410 4.280 ;
RECT 51.250 3.670 55.470 4.280 ;
RECT 56.310 3.670 60.070 4.280 ;
RECT 60.910 3.670 65.130 4.280 ;
RECT 65.970 3.670 70.190 4.280 ;
RECT 71.030 3.670 74.790 4.280 ;
RECT 75.630 3.670 79.850 4.280 ;
RECT 80.690 3.670 84.450 4.280 ;
RECT 85.290 3.670 89.510 4.280 ;
RECT 90.350 3.670 94.570 4.280 ;
RECT 95.410 3.670 99.170 4.280 ;
RECT 100.010 3.670 104.230 4.280 ;
RECT 105.070 3.670 108.830 4.280 ;
RECT 109.670 3.670 113.890 4.280 ;
RECT 114.730 3.670 118.490 4.280 ;
RECT 119.330 3.670 123.550 4.280 ;
RECT 124.390 3.670 128.610 4.280 ;
RECT 129.450 3.670 133.210 4.280 ;
RECT 134.050 3.670 138.270 4.280 ;
RECT 139.110 3.670 142.870 4.280 ;
RECT 143.710 3.670 147.930 4.280 ;
RECT 148.770 3.670 152.990 4.280 ;
RECT 153.830 3.670 157.590 4.280 ;
RECT 158.430 3.670 162.650 4.280 ;
RECT 163.490 3.670 167.250 4.280 ;
RECT 168.090 3.670 172.310 4.280 ;
RECT 173.150 3.670 176.910 4.280 ;
RECT 177.750 3.670 181.970 4.280 ;
RECT 182.810 3.670 187.030 4.280 ;
RECT 187.870 3.670 191.630 4.280 ;
RECT 192.470 3.670 196.690 4.280 ;
RECT 197.530 3.670 201.290 4.280 ;
RECT 202.130 3.670 206.350 4.280 ;
RECT 207.190 3.670 211.410 4.280 ;
RECT 212.250 3.670 216.010 4.280 ;
RECT 216.850 3.670 221.070 4.280 ;
RECT 221.910 3.670 225.670 4.280 ;
RECT 226.510 3.670 230.730 4.280 ;
RECT 231.570 3.670 235.330 4.280 ;
RECT 236.170 3.670 240.390 4.280 ;
RECT 241.230 3.670 245.450 4.280 ;
RECT 246.290 3.670 250.050 4.280 ;
RECT 250.890 3.670 255.110 4.280 ;
RECT 255.950 3.670 259.710 4.280 ;
RECT 260.550 3.670 264.770 4.280 ;
RECT 265.610 3.670 269.830 4.280 ;
RECT 270.670 3.670 274.430 4.280 ;
RECT 275.270 3.670 279.490 4.280 ;
RECT 280.330 3.670 284.090 4.280 ;
RECT 284.930 3.670 289.150 4.280 ;
RECT 289.990 3.670 293.750 4.280 ;
RECT 294.590 3.670 298.810 4.280 ;
RECT 299.650 3.670 303.870 4.280 ;
RECT 304.710 3.670 308.470 4.280 ;
RECT 309.310 3.670 313.530 4.280 ;
RECT 314.370 3.670 318.130 4.280 ;
RECT 318.970 3.670 323.190 4.280 ;
RECT 324.030 3.670 328.250 4.280 ;
RECT 329.090 3.670 332.850 4.280 ;
RECT 333.690 3.670 337.910 4.280 ;
RECT 338.750 3.670 342.510 4.280 ;
RECT 343.350 3.670 347.570 4.280 ;
RECT 348.410 3.670 352.170 4.280 ;
RECT 353.010 3.670 357.230 4.280 ;
RECT 358.070 3.670 362.290 4.280 ;
RECT 363.130 3.670 366.890 4.280 ;
RECT 367.730 3.670 371.950 4.280 ;
RECT 372.790 3.670 376.550 4.280 ;
RECT 377.390 3.670 381.610 4.280 ;
RECT 382.450 3.670 386.210 4.280 ;
RECT 387.050 3.670 391.270 4.280 ;
RECT 392.110 3.670 396.330 4.280 ;
RECT 397.170 3.670 400.930 4.280 ;
RECT 401.770 3.670 405.990 4.280 ;
RECT 406.830 3.670 410.590 4.280 ;
RECT 411.430 3.670 415.650 4.280 ;
RECT 416.490 3.670 420.710 4.280 ;
RECT 421.550 3.670 425.310 4.280 ;
RECT 426.150 3.670 430.370 4.280 ;
RECT 431.210 3.670 434.970 4.280 ;
RECT 435.810 3.670 440.030 4.280 ;
RECT 440.870 3.670 444.630 4.280 ;
RECT 445.470 3.670 449.690 4.280 ;
RECT 450.530 3.670 454.750 4.280 ;
RECT 455.590 3.670 459.350 4.280 ;
RECT 460.190 3.670 464.410 4.280 ;
RECT 465.250 3.670 469.010 4.280 ;
RECT 469.850 3.670 474.070 4.280 ;
RECT 474.910 3.670 479.130 4.280 ;
RECT 479.970 3.670 483.730 4.280 ;
RECT 484.570 3.670 488.790 4.280 ;
RECT 489.630 3.670 493.390 4.280 ;
RECT 494.230 3.670 498.450 4.280 ;
RECT 499.290 3.670 503.050 4.280 ;
RECT 503.890 3.670 508.110 4.280 ;
RECT 508.950 3.670 513.170 4.280 ;
RECT 514.010 3.670 517.770 4.280 ;
RECT 518.610 3.670 522.830 4.280 ;
RECT 523.670 3.670 527.430 4.280 ;
RECT 528.270 3.670 532.490 4.280 ;
RECT 533.330 3.670 537.550 4.280 ;
RECT 538.390 3.670 542.150 4.280 ;
RECT 542.990 3.670 547.210 4.280 ;
RECT 548.050 3.670 551.810 4.280 ;
RECT 552.650 3.670 556.870 4.280 ;
RECT 557.710 3.670 561.470 4.280 ;
RECT 562.310 3.670 566.530 4.280 ;
RECT 567.370 3.670 571.590 4.280 ;
RECT 572.430 3.670 576.190 4.280 ;
RECT 577.030 3.670 581.250 4.280 ;
RECT 582.090 3.670 585.850 4.280 ;
RECT 586.690 3.670 590.910 4.280 ;
RECT 591.750 3.670 595.970 4.280 ;
RECT 596.810 3.670 600.570 4.280 ;
RECT 601.410 3.670 605.630 4.280 ;
RECT 606.470 3.670 610.230 4.280 ;
RECT 611.070 3.670 615.290 4.280 ;
RECT 616.130 3.670 619.890 4.280 ;
RECT 620.730 3.670 624.950 4.280 ;
RECT 625.790 3.670 630.010 4.280 ;
RECT 630.850 3.670 634.610 4.280 ;
RECT 635.450 3.670 639.670 4.280 ;
RECT 640.510 3.670 644.270 4.280 ;
RECT 645.110 3.670 649.330 4.280 ;
RECT 650.170 3.670 654.390 4.280 ;
RECT 655.230 3.670 658.990 4.280 ;
RECT 659.830 3.670 664.050 4.280 ;
RECT 664.890 3.670 668.650 4.280 ;
RECT 669.490 3.670 673.710 4.280 ;
RECT 674.550 3.670 678.310 4.280 ;
RECT 679.150 3.670 683.370 4.280 ;
RECT 684.210 3.670 688.430 4.280 ;
RECT 689.270 3.670 693.030 4.280 ;
RECT 693.870 3.670 698.090 4.280 ;
RECT 698.930 3.670 702.690 4.280 ;
RECT 703.530 3.670 707.750 4.280 ;
RECT 708.590 3.670 712.350 4.280 ;
RECT 713.190 3.670 717.410 4.280 ;
RECT 718.250 3.670 722.470 4.280 ;
RECT 723.310 3.670 727.070 4.280 ;
RECT 727.910 3.670 732.130 4.280 ;
RECT 732.970 3.670 736.730 4.280 ;
RECT 737.570 3.670 741.790 4.280 ;
RECT 742.630 3.670 746.850 4.280 ;
RECT 747.690 3.670 751.450 4.280 ;
RECT 752.290 3.670 756.510 4.280 ;
RECT 757.350 3.670 761.110 4.280 ;
RECT 761.950 3.670 766.170 4.280 ;
RECT 767.010 3.670 770.770 4.280 ;
RECT 771.610 3.670 775.830 4.280 ;
RECT 776.670 3.670 780.890 4.280 ;
RECT 781.730 3.670 785.490 4.280 ;
RECT 786.330 3.670 790.550 4.280 ;
RECT 791.390 3.670 795.150 4.280 ;
RECT 795.990 3.670 800.210 4.280 ;
RECT 801.050 3.670 805.270 4.280 ;
RECT 806.110 3.670 809.870 4.280 ;
RECT 810.710 3.670 814.930 4.280 ;
RECT 815.770 3.670 819.530 4.280 ;
RECT 820.370 3.670 824.590 4.280 ;
RECT 825.430 3.670 829.190 4.280 ;
RECT 830.030 3.670 834.250 4.280 ;
RECT 835.090 3.670 839.310 4.280 ;
RECT 840.150 3.670 843.910 4.280 ;
RECT 844.750 3.670 848.970 4.280 ;
RECT 849.810 3.670 853.570 4.280 ;
RECT 854.410 3.670 858.630 4.280 ;
RECT 859.470 3.670 863.690 4.280 ;
RECT 864.530 3.670 868.290 4.280 ;
RECT 869.130 3.670 873.350 4.280 ;
RECT 874.190 3.670 877.950 4.280 ;
RECT 878.790 3.670 883.010 4.280 ;
RECT 883.850 3.670 887.610 4.280 ;
RECT 888.450 3.670 892.670 4.280 ;
RECT 893.510 3.670 897.730 4.280 ;
RECT 898.570 3.670 902.330 4.280 ;
RECT 903.170 3.670 907.390 4.280 ;
RECT 908.230 3.670 911.990 4.280 ;
RECT 912.830 3.670 917.050 4.280 ;
RECT 917.890 3.670 922.110 4.280 ;
RECT 922.950 3.670 926.710 4.280 ;
RECT 927.550 3.670 931.770 4.280 ;
RECT 932.610 3.670 936.370 4.280 ;
RECT 937.210 3.670 941.430 4.280 ;
RECT 942.270 3.670 946.030 4.280 ;
RECT 946.870 3.670 951.090 4.280 ;
RECT 951.930 3.670 956.150 4.280 ;
RECT 956.990 3.670 960.750 4.280 ;
RECT 961.590 3.670 965.810 4.280 ;
RECT 966.650 3.670 970.410 4.280 ;
RECT 971.250 3.670 975.470 4.280 ;
RECT 976.310 3.670 980.530 4.280 ;
RECT 981.370 3.670 985.130 4.280 ;
RECT 985.970 3.670 990.190 4.280 ;
RECT 991.030 3.670 994.790 4.280 ;
RECT 995.630 3.670 999.850 4.280 ;
RECT 1000.690 3.670 1004.450 4.280 ;
RECT 1005.290 3.670 1009.510 4.280 ;
RECT 1010.350 3.670 1014.570 4.280 ;
RECT 1015.410 3.670 1019.170 4.280 ;
RECT 1020.010 3.670 1024.230 4.280 ;
RECT 1025.070 3.670 1028.830 4.280 ;
RECT 1029.670 3.670 1033.890 4.280 ;
RECT 1034.730 3.670 1038.490 4.280 ;
RECT 1039.330 3.670 1043.550 4.280 ;
RECT 1044.390 3.670 1048.610 4.280 ;
RECT 1049.450 3.670 1053.210 4.280 ;
RECT 1054.050 3.670 1058.270 4.280 ;
RECT 1059.110 3.670 1062.870 4.280 ;
RECT 1063.710 3.670 1067.930 4.280 ;
RECT 1068.770 3.670 1072.990 4.280 ;
RECT 1073.830 3.670 1077.590 4.280 ;
RECT 1078.430 3.670 1082.650 4.280 ;
RECT 1083.490 3.670 1087.250 4.280 ;
RECT 1088.090 3.670 1092.310 4.280 ;
RECT 1093.150 3.670 1096.910 4.280 ;
RECT 1097.750 3.670 1101.970 4.280 ;
RECT 1102.810 3.670 1107.030 4.280 ;
RECT 1107.870 3.670 1111.630 4.280 ;
RECT 1112.470 3.670 1116.690 4.280 ;
RECT 1117.530 3.670 1121.290 4.280 ;
RECT 1122.130 3.670 1126.350 4.280 ;
RECT 1127.190 3.670 1131.410 4.280 ;
RECT 1132.250 3.670 1136.010 4.280 ;
RECT 1136.850 3.670 1141.070 4.280 ;
RECT 1141.910 3.670 1145.670 4.280 ;
RECT 1146.510 3.670 1150.730 4.280 ;
RECT 1151.570 3.670 1155.330 4.280 ;
RECT 1156.170 3.670 1160.390 4.280 ;
RECT 1161.230 3.670 1165.450 4.280 ;
RECT 1166.290 3.670 1170.050 4.280 ;
RECT 1170.890 3.670 1175.110 4.280 ;
RECT 1175.950 3.670 1179.710 4.280 ;
RECT 1180.550 3.670 1184.770 4.280 ;
RECT 1185.610 3.670 1189.830 4.280 ;
RECT 1190.670 3.670 1194.430 4.280 ;
RECT 1195.270 3.670 1199.490 4.280 ;
RECT 1200.330 3.670 1204.090 4.280 ;
RECT 1204.930 3.670 1209.150 4.280 ;
RECT 1209.990 3.670 1213.750 4.280 ;
RECT 1214.590 3.670 1218.810 4.280 ;
RECT 1219.650 3.670 1223.870 4.280 ;
RECT 1224.710 3.670 1228.470 4.280 ;
RECT 1229.310 3.670 1233.530 4.280 ;
RECT 1234.370 3.670 1238.130 4.280 ;
RECT 1238.970 3.670 1243.190 4.280 ;
RECT 1244.030 3.670 1248.250 4.280 ;
RECT 1249.090 3.670 1252.850 4.280 ;
RECT 1253.690 3.670 1257.910 4.280 ;
RECT 1258.750 3.670 1262.510 4.280 ;
RECT 1263.350 3.670 1267.570 4.280 ;
RECT 1268.410 3.670 1272.170 4.280 ;
RECT 1273.010 3.670 1277.230 4.280 ;
RECT 1278.070 3.670 1282.290 4.280 ;
RECT 1283.130 3.670 1286.890 4.280 ;
RECT 1287.730 3.670 1291.950 4.280 ;
RECT 1292.790 3.670 1296.550 4.280 ;
RECT 1297.390 3.670 1301.610 4.280 ;
RECT 1302.450 3.670 1306.670 4.280 ;
RECT 1307.510 3.670 1311.270 4.280 ;
RECT 1312.110 3.670 1316.330 4.280 ;
RECT 1317.170 3.670 1320.930 4.280 ;
RECT 1321.770 3.670 1325.990 4.280 ;
RECT 1326.830 3.670 1330.590 4.280 ;
RECT 1331.430 3.670 1335.650 4.280 ;
RECT 1336.490 3.670 1340.710 4.280 ;
RECT 1341.550 3.670 1345.310 4.280 ;
RECT 1346.150 3.670 1350.370 4.280 ;
RECT 1351.210 3.670 1354.970 4.280 ;
RECT 1355.810 3.670 1360.030 4.280 ;
RECT 1360.870 3.670 1365.090 4.280 ;
RECT 1365.930 3.670 1369.690 4.280 ;
RECT 1370.530 3.670 1374.750 4.280 ;
RECT 1375.590 3.670 1379.350 4.280 ;
RECT 1380.190 3.670 1384.410 4.280 ;
RECT 1385.250 3.670 1389.010 4.280 ;
RECT 1389.850 3.670 1394.070 4.280 ;
RECT 1394.910 3.670 1399.130 4.280 ;
RECT 1399.970 3.670 1403.730 4.280 ;
RECT 1404.570 3.670 1408.790 4.280 ;
RECT 1409.630 3.670 1413.390 4.280 ;
RECT 1414.230 3.670 1418.450 4.280 ;
RECT 1419.290 3.670 1423.050 4.280 ;
RECT 1423.890 3.670 1428.110 4.280 ;
RECT 1428.950 3.670 1433.170 4.280 ;
RECT 1434.010 3.670 1437.770 4.280 ;
RECT 1438.610 3.670 1442.830 4.280 ;
RECT 1443.670 3.670 1447.430 4.280 ;
RECT 1448.270 3.670 1452.490 4.280 ;
RECT 1453.330 3.670 1457.550 4.280 ;
RECT 1458.390 3.670 1462.150 4.280 ;
RECT 1462.990 3.670 1467.210 4.280 ;
RECT 1468.050 3.670 1471.810 4.280 ;
RECT 1472.650 3.670 1476.870 4.280 ;
RECT 1477.710 3.670 1481.470 4.280 ;
RECT 1482.310 3.670 1486.530 4.280 ;
RECT 1487.370 3.670 1491.590 4.280 ;
RECT 1492.430 3.670 1496.190 4.280 ;
RECT 1497.030 3.670 1501.250 4.280 ;
RECT 1502.090 3.670 1505.850 4.280 ;
RECT 1506.690 3.670 1510.910 4.280 ;
RECT 1511.750 3.670 1515.970 4.280 ;
RECT 1516.810 3.670 1520.570 4.280 ;
RECT 1521.410 3.670 1525.630 4.280 ;
RECT 1526.470 3.670 1530.230 4.280 ;
RECT 1531.070 3.670 1535.290 4.280 ;
RECT 1536.130 3.670 1539.890 4.280 ;
RECT 1540.730 3.670 1544.950 4.280 ;
RECT 1545.790 3.670 1550.010 4.280 ;
RECT 1550.850 3.670 1554.610 4.280 ;
RECT 1555.450 3.670 1559.670 4.280 ;
RECT 1560.510 3.670 1564.270 4.280 ;
RECT 1565.110 3.670 1569.330 4.280 ;
RECT 1570.170 3.670 1574.390 4.280 ;
RECT 1575.230 3.670 1578.990 4.280 ;
RECT 1579.830 3.670 1584.050 4.280 ;
RECT 1584.890 3.670 1588.650 4.280 ;
RECT 1589.490 3.670 1593.710 4.280 ;
RECT 1594.550 3.670 1598.310 4.280 ;
RECT 1599.150 3.670 1603.370 4.280 ;
RECT 1604.210 3.670 1608.430 4.280 ;
RECT 1609.270 3.670 1613.030 4.280 ;
RECT 1613.870 3.670 1618.090 4.280 ;
RECT 1618.930 3.670 1622.690 4.280 ;
RECT 1623.530 3.670 1627.750 4.280 ;
RECT 1628.590 3.670 1632.810 4.280 ;
RECT 1633.650 3.670 1637.410 4.280 ;
RECT 1638.250 3.670 1642.470 4.280 ;
RECT 1643.310 3.670 1647.070 4.280 ;
RECT 1647.910 3.670 1652.130 4.280 ;
RECT 1652.970 3.670 1656.730 4.280 ;
RECT 1657.570 3.670 1661.790 4.280 ;
RECT 1662.630 3.670 1666.850 4.280 ;
RECT 1667.690 3.670 1671.450 4.280 ;
RECT 1672.290 3.670 1676.510 4.280 ;
RECT 1677.350 3.670 1681.110 4.280 ;
RECT 1681.950 3.670 1686.170 4.280 ;
RECT 1687.010 3.670 1691.230 4.280 ;
RECT 1692.070 3.670 1695.830 4.280 ;
RECT 1696.670 3.670 1700.890 4.280 ;
RECT 1701.730 3.670 1705.490 4.280 ;
RECT 1706.330 3.670 1710.550 4.280 ;
RECT 1711.390 3.670 1715.150 4.280 ;
RECT 1715.990 3.670 1720.210 4.280 ;
RECT 1721.050 3.670 1725.270 4.280 ;
RECT 1726.110 3.670 1729.870 4.280 ;
RECT 1730.710 3.670 1734.930 4.280 ;
RECT 1735.770 3.670 1739.530 4.280 ;
RECT 1740.370 3.670 1744.590 4.280 ;
RECT 1745.430 3.670 1749.190 4.280 ;
RECT 1750.030 3.670 1754.250 4.280 ;
RECT 1755.090 3.670 1759.310 4.280 ;
RECT 1760.150 3.670 1763.910 4.280 ;
RECT 1764.750 3.670 1768.970 4.280 ;
RECT 1769.810 3.670 1773.570 4.280 ;
RECT 1774.410 3.670 1778.630 4.280 ;
RECT 1779.470 3.670 1783.690 4.280 ;
RECT 1784.530 3.670 1788.290 4.280 ;
RECT 1789.130 3.670 1793.350 4.280 ;
RECT 1794.190 3.670 1797.950 4.280 ;
RECT 1798.790 3.670 1803.010 4.280 ;
RECT 1803.850 3.670 1807.610 4.280 ;
RECT 1808.450 3.670 1812.670 4.280 ;
RECT 1813.510 3.670 1817.730 4.280 ;
RECT 1818.570 3.670 1822.330 4.280 ;
RECT 1823.170 3.670 1827.390 4.280 ;
RECT 1828.230 3.670 1831.990 4.280 ;
RECT 1832.830 3.670 1837.050 4.280 ;
RECT 1837.890 3.670 1842.110 4.280 ;
RECT 1842.950 3.670 1846.710 4.280 ;
RECT 1847.550 3.670 1851.770 4.280 ;
RECT 1852.610 3.670 1856.370 4.280 ;
RECT 1857.210 3.670 1861.430 4.280 ;
RECT 1862.270 3.670 1866.030 4.280 ;
RECT 1866.870 3.670 1871.090 4.280 ;
RECT 1871.930 3.670 1876.150 4.280 ;
RECT 1876.990 3.670 1880.750 4.280 ;
RECT 1881.590 3.670 1885.810 4.280 ;
RECT 1886.650 3.670 1890.410 4.280 ;
RECT 1891.250 3.670 1895.470 4.280 ;
RECT 1896.310 3.670 1900.530 4.280 ;
RECT 1901.370 3.670 1905.130 4.280 ;
RECT 1905.970 3.670 1910.190 4.280 ;
RECT 1911.030 3.670 1914.790 4.280 ;
RECT 1915.630 3.670 1919.850 4.280 ;
RECT 1920.690 3.670 1924.450 4.280 ;
RECT 1925.290 3.670 1929.510 4.280 ;
RECT 1930.350 3.670 1934.570 4.280 ;
RECT 1935.410 3.670 1939.170 4.280 ;
RECT 1940.010 3.670 1944.230 4.280 ;
RECT 1945.070 3.670 1948.830 4.280 ;
RECT 1949.670 3.670 1953.890 4.280 ;
RECT 1954.730 3.670 1958.950 4.280 ;
RECT 1959.790 3.670 1963.550 4.280 ;
RECT 1964.390 3.670 1968.610 4.280 ;
RECT 1969.450 3.670 1973.210 4.280 ;
RECT 1974.050 3.670 1978.270 4.280 ;
RECT 1979.110 3.670 1982.870 4.280 ;
RECT 1983.710 3.670 1987.930 4.280 ;
RECT 1988.770 3.670 1992.990 4.280 ;
RECT 1993.830 3.670 1997.590 4.280 ;
RECT 1998.430 3.670 2002.650 4.280 ;
RECT 2003.490 3.670 2007.250 4.280 ;
RECT 2008.090 3.670 2012.310 4.280 ;
RECT 2013.150 3.670 2017.370 4.280 ;
RECT 2018.210 3.670 2021.970 4.280 ;
RECT 2022.810 3.670 2027.030 4.280 ;
RECT 2027.870 3.670 2031.630 4.280 ;
RECT 2032.470 3.670 2036.690 4.280 ;
RECT 2037.530 3.670 2041.290 4.280 ;
RECT 2042.130 3.670 2046.350 4.280 ;
RECT 2047.190 3.670 2051.410 4.280 ;
RECT 2052.250 3.670 2056.010 4.280 ;
RECT 2056.850 3.670 2061.070 4.280 ;
RECT 2061.910 3.670 2065.670 4.280 ;
RECT 2066.510 3.670 2070.730 4.280 ;
RECT 2071.570 3.670 2075.330 4.280 ;
RECT 2076.170 3.670 2080.390 4.280 ;
RECT 2081.230 3.670 2085.450 4.280 ;
RECT 2086.290 3.670 2090.050 4.280 ;
RECT 2090.890 3.670 2095.110 4.280 ;
RECT 2095.950 3.670 2099.710 4.280 ;
RECT 2100.550 3.670 2104.770 4.280 ;
RECT 2105.610 3.670 2109.830 4.280 ;
RECT 2110.670 3.670 2114.430 4.280 ;
RECT 2115.270 3.670 2119.490 4.280 ;
RECT 2120.330 3.670 2124.090 4.280 ;
RECT 2124.930 3.670 2129.150 4.280 ;
RECT 2129.990 3.670 2133.750 4.280 ;
RECT 2134.590 3.670 2138.810 4.280 ;
RECT 2139.650 3.670 2143.870 4.280 ;
RECT 2144.710 3.670 2148.470 4.280 ;
RECT 2149.310 3.670 2153.530 4.280 ;
RECT 2154.370 3.670 2158.130 4.280 ;
RECT 2158.970 3.670 2163.190 4.280 ;
RECT 2164.030 3.670 2168.250 4.280 ;
RECT 2169.090 3.670 2172.850 4.280 ;
RECT 2173.690 3.670 2177.910 4.280 ;
RECT 2178.750 3.670 2182.510 4.280 ;
RECT 2183.350 3.670 2187.570 4.280 ;
RECT 2188.410 3.670 2192.170 4.280 ;
RECT 2193.010 3.670 2197.230 4.280 ;
RECT 2198.070 3.670 2202.290 4.280 ;
RECT 2203.130 3.670 2206.890 4.280 ;
RECT 2207.730 3.670 2211.950 4.280 ;
RECT 2212.790 3.670 2216.550 4.280 ;
RECT 2217.390 3.670 2221.610 4.280 ;
RECT 2222.450 3.670 2226.670 4.280 ;
RECT 2227.510 3.670 2231.270 4.280 ;
RECT 2232.110 3.670 2236.330 4.280 ;
RECT 2237.170 3.670 2240.930 4.280 ;
RECT 2241.770 3.670 2245.990 4.280 ;
RECT 2246.830 3.670 2250.590 4.280 ;
RECT 2251.430 3.670 2255.650 4.280 ;
RECT 2256.490 3.670 2260.710 4.280 ;
RECT 2261.550 3.670 2265.310 4.280 ;
RECT 2266.150 3.670 2270.370 4.280 ;
RECT 2271.210 3.670 2274.970 4.280 ;
RECT 2275.810 3.670 2280.030 4.280 ;
RECT 2280.870 3.670 2285.090 4.280 ;
RECT 2285.930 3.670 2289.690 4.280 ;
RECT 2290.530 3.670 2294.750 4.280 ;
RECT 2295.590 3.670 2299.350 4.280 ;
RECT 2300.190 3.670 2304.410 4.280 ;
RECT 2305.250 3.670 2309.010 4.280 ;
RECT 2309.850 3.670 2314.070 4.280 ;
RECT 2314.910 3.670 2319.130 4.280 ;
RECT 2319.970 3.670 2323.730 4.280 ;
RECT 2324.570 3.670 2328.790 4.280 ;
RECT 2329.630 3.670 2333.390 4.280 ;
RECT 2334.230 3.670 2338.450 4.280 ;
RECT 2339.290 3.670 2343.510 4.280 ;
RECT 2344.350 3.670 2348.110 4.280 ;
RECT 2348.950 3.670 2353.170 4.280 ;
RECT 2354.010 3.670 2357.770 4.280 ;
RECT 2358.610 3.670 2362.830 4.280 ;
RECT 2363.670 3.670 2367.430 4.280 ;
RECT 2368.270 3.670 2372.490 4.280 ;
RECT 2373.330 3.670 2377.550 4.280 ;
RECT 2378.390 3.670 2382.150 4.280 ;
RECT 2382.990 3.670 2387.210 4.280 ;
RECT 2388.050 3.670 2391.810 4.280 ;
RECT 2392.650 3.670 2396.870 4.280 ;
LAYER met3 ;
RECT 4.000 988.400 2395.600 989.225 ;
RECT 4.400 988.360 2395.600 988.400 ;
RECT 4.400 987.000 2396.000 988.360 ;
RECT 4.000 967.320 2396.000 987.000 ;
RECT 4.000 965.920 2395.600 967.320 ;
RECT 4.000 964.600 2396.000 965.920 ;
RECT 4.400 963.200 2396.000 964.600 ;
RECT 4.000 944.880 2396.000 963.200 ;
RECT 4.000 943.480 2395.600 944.880 ;
RECT 4.000 940.800 2396.000 943.480 ;
RECT 4.400 939.400 2396.000 940.800 ;
RECT 4.000 923.120 2396.000 939.400 ;
RECT 4.000 921.720 2395.600 923.120 ;
RECT 4.000 917.000 2396.000 921.720 ;
RECT 4.400 915.600 2396.000 917.000 ;
RECT 4.000 900.680 2396.000 915.600 ;
RECT 4.000 899.280 2395.600 900.680 ;
RECT 4.000 893.200 2396.000 899.280 ;
RECT 4.400 891.800 2396.000 893.200 ;
RECT 4.000 878.240 2396.000 891.800 ;
RECT 4.000 876.840 2395.600 878.240 ;
RECT 4.000 869.400 2396.000 876.840 ;
RECT 4.400 868.000 2396.000 869.400 ;
RECT 4.000 856.480 2396.000 868.000 ;
RECT 4.000 855.080 2395.600 856.480 ;
RECT 4.000 845.600 2396.000 855.080 ;
RECT 4.400 844.200 2396.000 845.600 ;
RECT 4.000 834.040 2396.000 844.200 ;
RECT 4.000 832.640 2395.600 834.040 ;
RECT 4.000 821.800 2396.000 832.640 ;
RECT 4.400 820.400 2396.000 821.800 ;
RECT 4.000 811.600 2396.000 820.400 ;
RECT 4.000 810.200 2395.600 811.600 ;
RECT 4.000 798.000 2396.000 810.200 ;
RECT 4.400 796.600 2396.000 798.000 ;
RECT 4.000 789.840 2396.000 796.600 ;
RECT 4.000 788.440 2395.600 789.840 ;
RECT 4.000 774.200 2396.000 788.440 ;
RECT 4.400 772.800 2396.000 774.200 ;
RECT 4.000 767.400 2396.000 772.800 ;
RECT 4.000 766.000 2395.600 767.400 ;
RECT 4.000 750.400 2396.000 766.000 ;
RECT 4.400 749.000 2396.000 750.400 ;
RECT 4.000 744.960 2396.000 749.000 ;
RECT 4.000 743.560 2395.600 744.960 ;
RECT 4.000 726.600 2396.000 743.560 ;
RECT 4.400 725.200 2396.000 726.600 ;
RECT 4.000 723.200 2396.000 725.200 ;
RECT 4.000 721.800 2395.600 723.200 ;
RECT 4.000 702.800 2396.000 721.800 ;
RECT 4.400 701.400 2396.000 702.800 ;
RECT 4.000 700.760 2396.000 701.400 ;
RECT 4.000 699.360 2395.600 700.760 ;
RECT 4.000 679.000 2396.000 699.360 ;
RECT 4.400 678.320 2396.000 679.000 ;
RECT 4.400 677.600 2395.600 678.320 ;
RECT 4.000 676.920 2395.600 677.600 ;
RECT 4.000 655.880 2396.000 676.920 ;
RECT 4.000 655.200 2395.600 655.880 ;
RECT 4.400 654.480 2395.600 655.200 ;
RECT 4.400 653.800 2396.000 654.480 ;
RECT 4.000 634.120 2396.000 653.800 ;
RECT 4.000 632.720 2395.600 634.120 ;
RECT 4.000 631.400 2396.000 632.720 ;
RECT 4.400 630.000 2396.000 631.400 ;
RECT 4.000 611.680 2396.000 630.000 ;
RECT 4.000 610.280 2395.600 611.680 ;
RECT 4.000 607.600 2396.000 610.280 ;
RECT 4.400 606.200 2396.000 607.600 ;
RECT 4.000 589.240 2396.000 606.200 ;
RECT 4.000 587.840 2395.600 589.240 ;
RECT 4.000 583.800 2396.000 587.840 ;
RECT 4.400 582.400 2396.000 583.800 ;
RECT 4.000 567.480 2396.000 582.400 ;
RECT 4.000 566.080 2395.600 567.480 ;
RECT 4.000 560.000 2396.000 566.080 ;
RECT 4.400 558.600 2396.000 560.000 ;
RECT 4.000 545.040 2396.000 558.600 ;
RECT 4.000 543.640 2395.600 545.040 ;
RECT 4.000 536.200 2396.000 543.640 ;
RECT 4.400 534.800 2396.000 536.200 ;
RECT 4.000 522.600 2396.000 534.800 ;
RECT 4.000 521.200 2395.600 522.600 ;
RECT 4.000 512.400 2396.000 521.200 ;
RECT 4.400 511.000 2396.000 512.400 ;
RECT 4.000 500.840 2396.000 511.000 ;
RECT 4.000 499.440 2395.600 500.840 ;
RECT 4.000 488.600 2396.000 499.440 ;
RECT 4.400 487.200 2396.000 488.600 ;
RECT 4.000 478.400 2396.000 487.200 ;
RECT 4.000 477.000 2395.600 478.400 ;
RECT 4.000 464.800 2396.000 477.000 ;
RECT 4.400 463.400 2396.000 464.800 ;
RECT 4.000 455.960 2396.000 463.400 ;
RECT 4.000 454.560 2395.600 455.960 ;
RECT 4.000 441.000 2396.000 454.560 ;
RECT 4.400 439.600 2396.000 441.000 ;
RECT 4.000 434.200 2396.000 439.600 ;
RECT 4.000 432.800 2395.600 434.200 ;
RECT 4.000 417.200 2396.000 432.800 ;
RECT 4.400 415.800 2396.000 417.200 ;
RECT 4.000 411.760 2396.000 415.800 ;
RECT 4.000 410.360 2395.600 411.760 ;
RECT 4.000 393.400 2396.000 410.360 ;
RECT 4.400 392.000 2396.000 393.400 ;
RECT 4.000 389.320 2396.000 392.000 ;
RECT 4.000 387.920 2395.600 389.320 ;
RECT 4.000 369.600 2396.000 387.920 ;
RECT 4.400 368.200 2396.000 369.600 ;
RECT 4.000 367.560 2396.000 368.200 ;
RECT 4.000 366.160 2395.600 367.560 ;
RECT 4.000 345.800 2396.000 366.160 ;
RECT 4.400 345.120 2396.000 345.800 ;
RECT 4.400 344.400 2395.600 345.120 ;
RECT 4.000 343.720 2395.600 344.400 ;
RECT 4.000 322.680 2396.000 343.720 ;
RECT 4.000 322.000 2395.600 322.680 ;
RECT 4.400 321.280 2395.600 322.000 ;
RECT 4.400 320.600 2396.000 321.280 ;
RECT 4.000 300.240 2396.000 320.600 ;
RECT 4.000 298.840 2395.600 300.240 ;
RECT 4.000 298.200 2396.000 298.840 ;
RECT 4.400 296.800 2396.000 298.200 ;
RECT 4.000 278.480 2396.000 296.800 ;
RECT 4.000 277.080 2395.600 278.480 ;
RECT 4.000 274.400 2396.000 277.080 ;
RECT 4.400 273.000 2396.000 274.400 ;
RECT 4.000 256.040 2396.000 273.000 ;
RECT 4.000 254.640 2395.600 256.040 ;
RECT 4.000 250.600 2396.000 254.640 ;
RECT 4.400 249.200 2396.000 250.600 ;
RECT 4.000 233.600 2396.000 249.200 ;
RECT 4.000 232.200 2395.600 233.600 ;
RECT 4.000 226.800 2396.000 232.200 ;
RECT 4.400 225.400 2396.000 226.800 ;
RECT 4.000 211.840 2396.000 225.400 ;
RECT 4.000 210.440 2395.600 211.840 ;
RECT 4.000 203.000 2396.000 210.440 ;
RECT 4.400 201.600 2396.000 203.000 ;
RECT 4.000 189.400 2396.000 201.600 ;
RECT 4.000 188.000 2395.600 189.400 ;
RECT 4.000 179.200 2396.000 188.000 ;
RECT 4.400 177.800 2396.000 179.200 ;
RECT 4.000 166.960 2396.000 177.800 ;
RECT 4.000 165.560 2395.600 166.960 ;
RECT 4.000 155.400 2396.000 165.560 ;
RECT 4.400 154.000 2396.000 155.400 ;
RECT 4.000 145.200 2396.000 154.000 ;
RECT 4.000 143.800 2395.600 145.200 ;
RECT 4.000 131.600 2396.000 143.800 ;
RECT 4.400 130.200 2396.000 131.600 ;
RECT 4.000 122.760 2396.000 130.200 ;
RECT 4.000 121.360 2395.600 122.760 ;
RECT 4.000 107.800 2396.000 121.360 ;
RECT 4.400 106.400 2396.000 107.800 ;
RECT 4.000 100.320 2396.000 106.400 ;
RECT 4.000 98.920 2395.600 100.320 ;
RECT 4.000 84.000 2396.000 98.920 ;
RECT 4.400 82.600 2396.000 84.000 ;
RECT 4.000 78.560 2396.000 82.600 ;
RECT 4.000 77.160 2395.600 78.560 ;
RECT 4.000 60.200 2396.000 77.160 ;
RECT 4.400 58.800 2396.000 60.200 ;
RECT 4.000 56.120 2396.000 58.800 ;
RECT 4.000 54.720 2395.600 56.120 ;
RECT 4.000 36.400 2396.000 54.720 ;
RECT 4.400 35.000 2396.000 36.400 ;
RECT 4.000 33.680 2396.000 35.000 ;
RECT 4.000 32.280 2395.600 33.680 ;
RECT 4.000 12.600 2396.000 32.280 ;
RECT 4.400 11.920 2396.000 12.600 ;
RECT 4.400 11.200 2395.600 11.920 ;
RECT 4.000 10.715 2395.600 11.200 ;
LAYER met4 ;
RECT 479.615 121.215 520.640 241.225 ;
RECT 523.040 121.215 570.640 241.225 ;
RECT 573.040 121.215 620.640 241.225 ;
RECT 623.040 121.215 670.640 241.225 ;
RECT 673.040 121.215 720.640 241.225 ;
RECT 723.040 121.215 770.640 241.225 ;
RECT 773.040 121.215 820.640 241.225 ;
RECT 823.040 121.215 870.640 241.225 ;
RECT 873.040 121.215 918.785 241.225 ;
END
END pwm_top
END LIBRARY