blob: b07433acec13618df86be062dcf2ecb9d912e4f3 [file] [log] [blame]
===========================================================================
report_checks -path_delay min (Hold)
============================================================================
Startpoint: la_data_in[65] (input port clocked by wb_clk_i)
Endpoint: _313_ (rising edge-triggered flip-flop clocked by wb_clk_i)
Path Group: wb_clk_i
Path Type: min
Fanout Cap Slew Delay Time Description
-----------------------------------------------------------------------------
0.00 0.00 clock wb_clk_i (rise edge)
0.00 0.00 clock network delay (propagated)
4.00 4.00 ^ input external delay
0.04 0.02 4.02 ^ la_data_in[65] (in)
1 0.01 la_data_in[65] (net)
0.04 0.00 4.02 ^ input2/A (sky130_fd_sc_hd__buf_6)
0.05 0.10 4.12 ^ input2/X (sky130_fd_sc_hd__buf_6)
2 0.02 net2 (net)
0.05 0.00 4.12 ^ repeater1254/A (sky130_fd_sc_hd__clkbuf_4)
0.07 0.15 4.27 ^ repeater1254/X (sky130_fd_sc_hd__clkbuf_4)
1 0.02 net1254 (net)
0.07 0.00 4.28 ^ repeater1253/A (sky130_fd_sc_hd__buf_4)
0.07 0.13 4.41 ^ repeater1253/X (sky130_fd_sc_hd__buf_4)
1 0.02 net1253 (net)
0.07 0.00 4.41 ^ repeater1252/A (sky130_fd_sc_hd__buf_6)
0.05 0.11 4.52 ^ repeater1252/X (sky130_fd_sc_hd__buf_6)
1 0.02 net1252 (net)
0.05 0.00 4.52 ^ _311_/A0 (sky130_fd_sc_hd__mux2_1)
0.08 0.15 4.67 ^ _311_/X (sky130_fd_sc_hd__mux2_1)
1 0.01 _129_ (net)
0.08 0.00 4.67 ^ repeater510/A (sky130_fd_sc_hd__clkdlybuf4s15_2)
0.11 0.24 4.91 ^ repeater510/X (sky130_fd_sc_hd__clkdlybuf4s15_2)
1 0.02 net510 (net)
0.11 0.00 4.91 ^ repeater509/A (sky130_fd_sc_hd__clkbuf_4)
0.05 0.15 5.06 ^ repeater509/X (sky130_fd_sc_hd__clkbuf_4)
1 0.01 net509 (net)
0.05 0.00 5.06 ^ repeater508/A (sky130_fd_sc_hd__buf_4)
0.25 0.23 5.29 ^ repeater508/X (sky130_fd_sc_hd__buf_4)
1 0.09 net508 (net)
0.26 0.04 5.33 ^ repeater507/A (sky130_fd_sc_hd__buf_6)
0.03 0.13 5.46 ^ repeater507/X (sky130_fd_sc_hd__buf_6)
1 0.00 net507 (net)
0.03 0.00 5.46 ^ _312_/B (sky130_fd_sc_hd__nor2_1)
0.04 0.05 5.51 v _312_/Y (sky130_fd_sc_hd__nor2_1)
1 0.01 _008_ (net)
0.04 0.00 5.51 v _313_/D (sky130_fd_sc_hd__dfxtp_1)
5.51 data arrival time
0.00 0.00 clock wb_clk_i (rise edge)
0.00 0.00 clock source latency
0.03 0.02 0.02 ^ wb_clk_i (in)
1 0.00 wb_clk_i (net)
0.03 0.00 0.02 ^ _179_/A1 (sky130_fd_sc_hd__mux2_2)
0.15 0.24 0.25 ^ _179_/X (sky130_fd_sc_hd__mux2_2)
2 0.03 _030_ (net)
0.15 0.00 0.26 ^ _180_/A (sky130_fd_sc_hd__buf_1)
0.14 0.18 0.44 ^ _180_/X (sky130_fd_sc_hd__buf_1)
1 0.01 clk (net)
0.14 0.00 0.44 ^ repeater7/A (sky130_fd_sc_hd__buf_2)
0.14 0.22 0.66 ^ repeater7/X (sky130_fd_sc_hd__buf_2)
2 0.03 net2020 (net)
0.14 0.00 0.66 ^ repeater6/A (sky130_fd_sc_hd__buf_4)
0.09 0.19 0.85 ^ repeater6/X (sky130_fd_sc_hd__buf_4)
1 0.03 net2019 (net)
0.09 0.00 0.85 ^ repeater5/A (sky130_fd_sc_hd__buf_6)
0.05 0.13 0.98 ^ repeater5/X (sky130_fd_sc_hd__buf_6)
1 0.02 net2018 (net)
0.05 0.00 0.98 ^ repeater4/A (sky130_fd_sc_hd__buf_6)
0.18 0.19 1.17 ^ repeater4/X (sky130_fd_sc_hd__buf_6)
2 0.09 net2017 (net)
0.19 0.04 1.21 ^ repeater3/A (sky130_fd_sc_hd__buf_12)
0.04 0.14 1.36 ^ repeater3/X (sky130_fd_sc_hd__buf_12)
1 0.02 net2016 (net)
0.04 0.00 1.36 ^ repeater2/A (sky130_fd_sc_hd__buf_6)
0.06 0.12 1.48 ^ repeater2/X (sky130_fd_sc_hd__buf_6)
1 0.03 net2015 (net)
0.06 0.00 1.48 ^ repeater1/A (sky130_fd_sc_hd__buf_12)
0.05 0.12 1.60 ^ repeater1/X (sky130_fd_sc_hd__buf_12)
1 0.03 net2014 (net)
0.05 0.01 1.60 ^ clkbuf_0_clk/A (sky130_fd_sc_hd__clkbuf_16)
0.04 0.14 1.74 ^ clkbuf_0_clk/X (sky130_fd_sc_hd__clkbuf_16)
2 0.02 clknet_0_clk (net)
0.04 0.00 1.74 ^ clkbuf_1_0_0_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.04 0.12 1.87 ^ clkbuf_1_0_0_clk/X (sky130_fd_sc_hd__clkbuf_8)
1 0.01 clknet_1_0_0_clk (net)
0.04 0.00 1.87 ^ clkbuf_1_0_1_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.04 0.12 1.99 ^ clkbuf_1_0_1_clk/X (sky130_fd_sc_hd__clkbuf_8)
1 0.01 clknet_1_0_1_clk (net)
0.04 0.00 1.99 ^ clkbuf_1_0_2_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.04 0.12 2.11 ^ clkbuf_1_0_2_clk/X (sky130_fd_sc_hd__clkbuf_8)
1 0.01 clknet_1_0_2_clk (net)
0.04 0.00 2.12 ^ clkbuf_1_0_3_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.05 0.13 2.25 ^ clkbuf_1_0_3_clk/X (sky130_fd_sc_hd__clkbuf_8)
3 0.02 clknet_1_0_3_clk (net)
0.05 0.00 2.25 ^ repeater16/A (sky130_fd_sc_hd__clkbuf_2)
0.12 0.17 2.42 ^ repeater16/X (sky130_fd_sc_hd__clkbuf_2)
2 0.02 net2029 (net)
0.12 0.00 2.42 ^ repeater12/A (sky130_fd_sc_hd__buf_2)
0.11 0.19 2.61 ^ repeater12/X (sky130_fd_sc_hd__buf_2)
3 0.02 net2025 (net)
0.11 0.00 2.62 ^ _313_/CLK (sky130_fd_sc_hd__dfxtp_1)
0.25 2.87 clock uncertainty
0.00 2.87 clock reconvergence pessimism
-0.04 2.83 library hold time
2.83 data required time
-----------------------------------------------------------------------------
2.83 data required time
-5.51 data arrival time
-----------------------------------------------------------------------------
2.68 slack (MET)
Startpoint: _313_ (rising edge-triggered flip-flop clocked by wb_clk_i)
Endpoint: wbs_ack_o (output port clocked by wb_clk_i)
Path Group: wb_clk_i
Path Type: min
Fanout Cap Slew Delay Time Description
-----------------------------------------------------------------------------
0.00 0.00 clock wb_clk_i (rise edge)
0.00 0.00 clock source latency
0.03 0.01 0.01 ^ wb_clk_i (in)
1 0.00 wb_clk_i (net)
0.03 0.00 0.01 ^ _179_/A1 (sky130_fd_sc_hd__mux2_2)
0.15 0.21 0.23 ^ _179_/X (sky130_fd_sc_hd__mux2_2)
2 0.03 _030_ (net)
0.15 0.00 0.23 ^ _180_/A (sky130_fd_sc_hd__buf_1)
0.14 0.16 0.40 ^ _180_/X (sky130_fd_sc_hd__buf_1)
1 0.01 clk (net)
0.14 0.00 0.40 ^ repeater7/A (sky130_fd_sc_hd__buf_2)
0.14 0.20 0.60 ^ repeater7/X (sky130_fd_sc_hd__buf_2)
2 0.03 net2020 (net)
0.14 0.00 0.60 ^ repeater6/A (sky130_fd_sc_hd__buf_4)
0.09 0.17 0.77 ^ repeater6/X (sky130_fd_sc_hd__buf_4)
1 0.03 net2019 (net)
0.09 0.00 0.77 ^ repeater5/A (sky130_fd_sc_hd__buf_6)
0.05 0.11 0.89 ^ repeater5/X (sky130_fd_sc_hd__buf_6)
1 0.02 net2018 (net)
0.05 0.00 0.89 ^ repeater4/A (sky130_fd_sc_hd__buf_6)
0.18 0.17 1.06 ^ repeater4/X (sky130_fd_sc_hd__buf_6)
2 0.09 net2017 (net)
0.19 0.04 1.10 ^ repeater3/A (sky130_fd_sc_hd__buf_12)
0.04 0.13 1.23 ^ repeater3/X (sky130_fd_sc_hd__buf_12)
1 0.02 net2016 (net)
0.04 0.00 1.23 ^ repeater2/A (sky130_fd_sc_hd__buf_6)
0.06 0.11 1.34 ^ repeater2/X (sky130_fd_sc_hd__buf_6)
1 0.03 net2015 (net)
0.06 0.00 1.34 ^ repeater1/A (sky130_fd_sc_hd__buf_12)
0.05 0.11 1.45 ^ repeater1/X (sky130_fd_sc_hd__buf_12)
1 0.03 net2014 (net)
0.05 0.01 1.45 ^ clkbuf_0_clk/A (sky130_fd_sc_hd__clkbuf_16)
0.04 0.12 1.57 ^ clkbuf_0_clk/X (sky130_fd_sc_hd__clkbuf_16)
2 0.02 clknet_0_clk (net)
0.04 0.00 1.58 ^ clkbuf_1_0_0_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.04 0.11 1.69 ^ clkbuf_1_0_0_clk/X (sky130_fd_sc_hd__clkbuf_8)
1 0.01 clknet_1_0_0_clk (net)
0.04 0.00 1.69 ^ clkbuf_1_0_1_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.04 0.11 1.80 ^ clkbuf_1_0_1_clk/X (sky130_fd_sc_hd__clkbuf_8)
1 0.01 clknet_1_0_1_clk (net)
0.04 0.00 1.80 ^ clkbuf_1_0_2_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.04 0.11 1.91 ^ clkbuf_1_0_2_clk/X (sky130_fd_sc_hd__clkbuf_8)
1 0.01 clknet_1_0_2_clk (net)
0.04 0.00 1.91 ^ clkbuf_1_0_3_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.05 0.12 2.04 ^ clkbuf_1_0_3_clk/X (sky130_fd_sc_hd__clkbuf_8)
3 0.02 clknet_1_0_3_clk (net)
0.05 0.00 2.04 ^ repeater16/A (sky130_fd_sc_hd__clkbuf_2)
0.12 0.15 2.19 ^ repeater16/X (sky130_fd_sc_hd__clkbuf_2)
2 0.02 net2029 (net)
0.12 0.00 2.19 ^ repeater12/A (sky130_fd_sc_hd__buf_2)
0.11 0.17 2.36 ^ repeater12/X (sky130_fd_sc_hd__buf_2)
3 0.02 net2025 (net)
0.11 0.00 2.37 ^ _313_/CLK (sky130_fd_sc_hd__dfxtp_1)
0.07 0.33 2.69 ^ _313_/Q (sky130_fd_sc_hd__dfxtp_1)
1 0.01 net116 (net)
0.07 0.00 2.69 ^ repeater485/A (sky130_fd_sc_hd__dlymetal6s2s_1)
0.14 0.15 2.85 ^ repeater485/X (sky130_fd_sc_hd__dlymetal6s2s_1)
1 0.01 net485 (net)
0.14 0.00 2.85 ^ repeater484/A (sky130_fd_sc_hd__clkbuf_4)
0.12 0.21 3.06 ^ repeater484/X (sky130_fd_sc_hd__clkbuf_4)
1 0.04 net484 (net)
0.12 0.01 3.07 ^ repeater483/A (sky130_fd_sc_hd__buf_4)
0.04 0.13 3.20 ^ repeater483/X (sky130_fd_sc_hd__buf_4)
1 0.01 net483 (net)
0.04 0.00 3.20 ^ repeater482/A (sky130_fd_sc_hd__buf_6)
0.05 0.10 3.30 ^ repeater482/X (sky130_fd_sc_hd__buf_6)
1 0.02 net482 (net)
0.05 0.00 3.30 ^ repeater481/A (sky130_fd_sc_hd__buf_6)
0.05 0.10 3.40 ^ repeater481/X (sky130_fd_sc_hd__buf_6)
1 0.02 net481 (net)
0.05 0.00 3.40 ^ repeater480/A (sky130_fd_sc_hd__buf_4)
0.06 0.12 3.52 ^ repeater480/X (sky130_fd_sc_hd__buf_4)
1 0.02 net480 (net)
0.06 0.00 3.53 ^ repeater479/A (sky130_fd_sc_hd__buf_4)
0.06 0.13 3.65 ^ repeater479/X (sky130_fd_sc_hd__buf_4)
1 0.02 net479 (net)
0.06 0.00 3.65 ^ repeater478/A (sky130_fd_sc_hd__buf_4)
0.06 0.13 3.78 ^ repeater478/X (sky130_fd_sc_hd__buf_4)
1 0.02 net478 (net)
0.06 0.00 3.78 ^ repeater477/A (sky130_fd_sc_hd__buf_4)
0.07 0.13 3.91 ^ repeater477/X (sky130_fd_sc_hd__buf_4)
3 0.02 net477 (net)
0.07 0.00 3.91 ^ output116/A (sky130_fd_sc_hd__clkbuf_4)
0.12 0.19 4.10 ^ output116/X (sky130_fd_sc_hd__clkbuf_4)
1 0.03 wbs_ack_o (net)
0.12 0.00 4.10 ^ wbs_ack_o (out)
4.10 data arrival time
0.00 0.00 clock wb_clk_i (rise edge)
0.00 0.00 clock network delay (propagated)
0.25 0.25 clock uncertainty
0.00 0.25 clock reconvergence pessimism
-4.00 -3.75 output external delay
-3.75 data required time
-----------------------------------------------------------------------------
-3.75 data required time
-4.10 data arrival time
-----------------------------------------------------------------------------
7.85 slack (MET)
Startpoint: wbs_dat_i[5] (input port clocked by wb_clk_i)
Endpoint: la_data_out[37] (output port clocked by wb_clk_i)
Path Group: wb_clk_i
Path Type: min
Fanout Cap Slew Delay Time Description
-----------------------------------------------------------------------------
0.00 0.00 clock wb_clk_i (rise edge)
0.00 0.00 clock network delay (propagated)
4.00 4.00 ^ input external delay
0.03 0.02 4.02 ^ wbs_dat_i[5] (in)
1 0.01 wbs_dat_i[5] (net)
0.03 0.00 4.02 ^ input45/A (sky130_fd_sc_hd__buf_4)
0.06 0.12 4.13 ^ input45/X (sky130_fd_sc_hd__buf_4)
2 0.02 net45 (net)
0.06 0.00 4.13 ^ repeater717/A (sky130_fd_sc_hd__dlymetal6s2s_1)
0.20 0.20 4.33 ^ repeater717/X (sky130_fd_sc_hd__dlymetal6s2s_1)
1 0.02 net717 (net)
0.20 0.00 4.33 ^ repeater716/A (sky130_fd_sc_hd__clkbuf_4)
0.07 0.20 4.53 ^ repeater716/X (sky130_fd_sc_hd__clkbuf_4)
1 0.02 net716 (net)
0.07 0.00 4.53 ^ repeater715/A (sky130_fd_sc_hd__buf_4)
0.07 0.14 4.67 ^ repeater715/X (sky130_fd_sc_hd__buf_4)
1 0.02 net715 (net)
0.07 0.00 4.67 ^ repeater714/A (sky130_fd_sc_hd__buf_6)
0.05 0.11 4.78 ^ repeater714/X (sky130_fd_sc_hd__buf_6)
1 0.02 net714 (net)
0.05 0.00 4.78 ^ repeater713/A (sky130_fd_sc_hd__buf_6)
0.05 0.11 4.88 ^ repeater713/X (sky130_fd_sc_hd__buf_6)
1 0.02 net713 (net)
0.05 0.00 4.89 ^ repeater712/A (sky130_fd_sc_hd__buf_6)
0.05 0.10 4.99 ^ repeater712/X (sky130_fd_sc_hd__buf_6)
2 0.02 net712 (net)
0.05 0.00 4.99 ^ _482_/A (sky130_fd_sc_hd__dlymetal6s2s_1)
0.07 0.10 5.09 ^ _482_/X (sky130_fd_sc_hd__dlymetal6s2s_1)
1 0.00 net82 (net)
0.07 0.00 5.09 ^ output82/A (sky130_fd_sc_hd__clkbuf_4)
0.12 0.19 5.28 ^ output82/X (sky130_fd_sc_hd__clkbuf_4)
1 0.03 la_data_out[37] (net)
0.12 0.00 5.28 ^ la_data_out[37] (out)
5.28 data arrival time
0.00 0.00 clock wb_clk_i (rise edge)
0.00 0.00 clock network delay (propagated)
0.25 0.25 clock uncertainty
0.00 0.25 clock reconvergence pessimism
-4.00 -3.75 output external delay
-3.75 data required time
-----------------------------------------------------------------------------
-3.75 data required time
-5.28 data arrival time
-----------------------------------------------------------------------------
9.03 slack (MET)
Startpoint: wbs_dat_i[3] (input port clocked by wb_clk_i)
Endpoint: la_data_out[35] (output port clocked by wb_clk_i)
Path Group: wb_clk_i
Path Type: min
Fanout Cap Slew Delay Time Description
-----------------------------------------------------------------------------
0.00 0.00 clock wb_clk_i (rise edge)
0.00 0.00 clock network delay (propagated)
4.00 4.00 ^ input external delay
0.03 0.02 4.02 ^ wbs_dat_i[3] (in)
1 0.00 wbs_dat_i[3] (net)
0.03 0.00 4.02 ^ input43/A (sky130_fd_sc_hd__clkbuf_4)
0.04 0.12 4.13 ^ input43/X (sky130_fd_sc_hd__clkbuf_4)
2 0.01 net43 (net)
0.04 0.00 4.13 ^ repeater763/A (sky130_fd_sc_hd__dlymetal6s2s_1)
0.22 0.20 4.33 ^ repeater763/X (sky130_fd_sc_hd__dlymetal6s2s_1)
2 0.02 net763 (net)
0.22 0.00 4.33 ^ repeater762/A (sky130_fd_sc_hd__clkbuf_4)
0.07 0.20 4.54 ^ repeater762/X (sky130_fd_sc_hd__clkbuf_4)
1 0.02 net762 (net)
0.07 0.00 4.54 ^ repeater761/A (sky130_fd_sc_hd__buf_4)
0.07 0.13 4.67 ^ repeater761/X (sky130_fd_sc_hd__buf_4)
1 0.02 net761 (net)
0.07 0.00 4.67 ^ repeater760/A (sky130_fd_sc_hd__buf_6)
0.05 0.11 4.78 ^ repeater760/X (sky130_fd_sc_hd__buf_6)
1 0.02 net760 (net)
0.05 0.00 4.79 ^ repeater759/A (sky130_fd_sc_hd__buf_6)
0.05 0.10 4.89 ^ repeater759/X (sky130_fd_sc_hd__buf_6)
1 0.02 net759 (net)
0.05 0.00 4.89 ^ repeater758/A (sky130_fd_sc_hd__buf_6)
0.05 0.10 4.99 ^ repeater758/X (sky130_fd_sc_hd__buf_6)
2 0.02 net758 (net)
0.05 0.00 5.00 ^ _480_/A (sky130_fd_sc_hd__dlymetal6s2s_1)
0.07 0.11 5.10 ^ _480_/X (sky130_fd_sc_hd__dlymetal6s2s_1)
1 0.00 net80 (net)
0.07 0.00 5.10 ^ output80/A (sky130_fd_sc_hd__clkbuf_4)
0.12 0.19 5.29 ^ output80/X (sky130_fd_sc_hd__clkbuf_4)
1 0.03 la_data_out[35] (net)
0.12 0.00 5.29 ^ la_data_out[35] (out)
5.29 data arrival time
0.00 0.00 clock wb_clk_i (rise edge)
0.00 0.00 clock network delay (propagated)
0.25 0.25 clock uncertainty
0.00 0.25 clock reconvergence pessimism
-4.00 -3.75 output external delay
-3.75 data required time
-----------------------------------------------------------------------------
-3.75 data required time
-5.29 data arrival time
-----------------------------------------------------------------------------
9.04 slack (MET)
Startpoint: wbs_dat_i[4] (input port clocked by wb_clk_i)
Endpoint: la_data_out[36] (output port clocked by wb_clk_i)
Path Group: wb_clk_i
Path Type: min
Fanout Cap Slew Delay Time Description
-----------------------------------------------------------------------------
0.00 0.00 clock wb_clk_i (rise edge)
0.00 0.00 clock network delay (propagated)
4.00 4.00 ^ input external delay
0.03 0.01 4.01 ^ wbs_dat_i[4] (in)
1 0.00 wbs_dat_i[4] (net)
0.03 0.00 4.01 ^ input44/A (sky130_fd_sc_hd__dlymetal6s2s_1)
0.10 0.11 4.13 ^ input44/X (sky130_fd_sc_hd__dlymetal6s2s_1)
2 0.01 net44 (net)
0.10 0.00 4.13 ^ repeater739/A (sky130_fd_sc_hd__dlymetal6s2s_1)
0.20 0.21 4.34 ^ repeater739/X (sky130_fd_sc_hd__dlymetal6s2s_1)
1 0.02 net739 (net)
0.20 0.00 4.34 ^ repeater738/A (sky130_fd_sc_hd__clkbuf_4)
0.07 0.20 4.54 ^ repeater738/X (sky130_fd_sc_hd__clkbuf_4)
1 0.02 net738 (net)
0.07 0.00 4.54 ^ repeater737/A (sky130_fd_sc_hd__buf_4)
0.07 0.13 4.67 ^ repeater737/X (sky130_fd_sc_hd__buf_4)
1 0.02 net737 (net)
0.07 0.00 4.67 ^ repeater736/A (sky130_fd_sc_hd__buf_6)
0.06 0.11 4.79 ^ repeater736/X (sky130_fd_sc_hd__buf_6)
1 0.02 net736 (net)
0.06 0.00 4.79 ^ repeater735/A (sky130_fd_sc_hd__buf_6)
0.05 0.11 4.89 ^ repeater735/X (sky130_fd_sc_hd__buf_6)
1 0.02 net735 (net)
0.05 0.00 4.89 ^ repeater734/A (sky130_fd_sc_hd__buf_6)
0.05 0.10 5.00 ^ repeater734/X (sky130_fd_sc_hd__buf_6)
2 0.02 net734 (net)
0.05 0.00 5.00 ^ _481_/A (sky130_fd_sc_hd__dlymetal6s2s_1)
0.07 0.10 5.10 ^ _481_/X (sky130_fd_sc_hd__dlymetal6s2s_1)
1 0.00 net81 (net)
0.07 0.00 5.10 ^ output81/A (sky130_fd_sc_hd__clkbuf_4)
0.12 0.19 5.29 ^ output81/X (sky130_fd_sc_hd__clkbuf_4)
1 0.03 la_data_out[36] (net)
0.12 0.00 5.29 ^ la_data_out[36] (out)
5.29 data arrival time
0.00 0.00 clock wb_clk_i (rise edge)
0.00 0.00 clock network delay (propagated)
0.25 0.25 clock uncertainty
0.00 0.25 clock reconvergence pessimism
-4.00 -3.75 output external delay
-3.75 data required time
-----------------------------------------------------------------------------
-3.75 data required time
-5.29 data arrival time
-----------------------------------------------------------------------------
9.04 slack (MET)