blob: a7e8209f1c39737fe54a1d6395dd664ad9d14a3c [file] [log] [blame]
===========================================================================
report_checks -path_delay max (Setup)
============================================================================
Startpoint: wbs_adr_i[11] (input port clocked by wb_clk_i)
Endpoint: wbs_dat_o[29] (output port clocked by wb_clk_i)
Path Group: wb_clk_i
Path Type: max
Fanout Cap Slew Delay Time Description
-----------------------------------------------------------------------------
0.15 0.00 0.00 clock wb_clk_i (rise edge)
0.00 0.00 clock network delay (ideal)
4.00 4.00 v input external delay
0.32 0.31 4.31 v wbs_adr_i[11] (in)
5 0.15 wbs_adr_i[11] (net)
0.38 0.00 4.31 v _159_/A (sky130_fd_sc_hd__inv_2)
0.09 0.14 4.45 ^ _159_/Y (sky130_fd_sc_hd__inv_2)
2 0.00 _016_ (net)
0.09 0.00 4.45 ^ _160_/A (sky130_fd_sc_hd__buf_1)
0.93 0.73 5.18 ^ _160_/X (sky130_fd_sc_hd__buf_1)
5 0.08 _017_ (net)
0.93 0.02 5.20 ^ _161_/A (sky130_fd_sc_hd__buf_1)
0.91 0.79 5.98 ^ _161_/X (sky130_fd_sc_hd__buf_1)
5 0.08 _018_ (net)
0.91 0.02 6.00 ^ _303_/A1 (sky130_fd_sc_hd__a22o_2)
0.31 0.52 6.52 ^ _303_/X (sky130_fd_sc_hd__a22o_2)
1 0.06 _124_ (net)
0.32 0.02 6.54 ^ _304_/B2 (sky130_fd_sc_hd__o22a_2)
0.59 0.59 7.13 ^ _304_/X (sky130_fd_sc_hd__o22a_2)
2 0.04 la_data_out[29] (net)
0.60 0.07 7.19 ^ _538_/A (sky130_fd_sc_hd__buf_4)
0.21 0.36 7.55 ^ _538_/X (sky130_fd_sc_hd__buf_4)
1 0.03 wbs_dat_o[29] (net)
0.21 0.02 7.58 ^ wbs_dat_o[29] (out)
7.58 data arrival time
0.15 20.00 20.00 clock wb_clk_i (rise edge)
0.00 20.00 clock network delay (ideal)
-0.25 19.75 clock uncertainty
0.00 19.75 clock reconvergence pessimism
-4.00 15.75 output external delay
15.75 data required time
-----------------------------------------------------------------------------
15.75 data required time
-7.58 data arrival time
-----------------------------------------------------------------------------
8.17 slack (MET)
Startpoint: wbs_adr_i[11] (input port clocked by wb_clk_i)
Endpoint: wbs_dat_o[28] (output port clocked by wb_clk_i)
Path Group: wb_clk_i
Path Type: max
Fanout Cap Slew Delay Time Description
-----------------------------------------------------------------------------
0.15 0.00 0.00 clock wb_clk_i (rise edge)
0.00 0.00 clock network delay (ideal)
4.00 4.00 v input external delay
0.32 0.31 4.31 v wbs_adr_i[11] (in)
5 0.15 wbs_adr_i[11] (net)
0.38 0.00 4.31 v _159_/A (sky130_fd_sc_hd__inv_2)
0.09 0.14 4.45 ^ _159_/Y (sky130_fd_sc_hd__inv_2)
2 0.00 _016_ (net)
0.09 0.00 4.45 ^ _160_/A (sky130_fd_sc_hd__buf_1)
0.93 0.73 5.18 ^ _160_/X (sky130_fd_sc_hd__buf_1)
5 0.08 _017_ (net)
0.93 0.02 5.20 ^ _161_/A (sky130_fd_sc_hd__buf_1)
0.91 0.79 5.98 ^ _161_/X (sky130_fd_sc_hd__buf_1)
5 0.08 _018_ (net)
0.91 0.02 6.00 ^ _300_/A1 (sky130_fd_sc_hd__a22o_2)
0.31 0.52 6.52 ^ _300_/X (sky130_fd_sc_hd__a22o_2)
1 0.06 _122_ (net)
0.31 0.02 6.54 ^ _301_/B2 (sky130_fd_sc_hd__o22a_2)
0.59 0.59 7.13 ^ _301_/X (sky130_fd_sc_hd__o22a_2)
2 0.04 la_data_out[28] (net)
0.60 0.07 7.19 ^ _537_/A (sky130_fd_sc_hd__buf_4)
0.21 0.36 7.55 ^ _537_/X (sky130_fd_sc_hd__buf_4)
1 0.03 wbs_dat_o[28] (net)
0.21 0.02 7.58 ^ wbs_dat_o[28] (out)
7.58 data arrival time
0.15 20.00 20.00 clock wb_clk_i (rise edge)
0.00 20.00 clock network delay (ideal)
-0.25 19.75 clock uncertainty
0.00 19.75 clock reconvergence pessimism
-4.00 15.75 output external delay
15.75 data required time
-----------------------------------------------------------------------------
15.75 data required time
-7.58 data arrival time
-----------------------------------------------------------------------------
8.17 slack (MET)
Startpoint: wbs_adr_i[11] (input port clocked by wb_clk_i)
Endpoint: wbs_dat_o[31] (output port clocked by wb_clk_i)
Path Group: wb_clk_i
Path Type: max
Fanout Cap Slew Delay Time Description
-----------------------------------------------------------------------------
0.15 0.00 0.00 clock wb_clk_i (rise edge)
0.00 0.00 clock network delay (ideal)
4.00 4.00 v input external delay
0.32 0.31 4.31 v wbs_adr_i[11] (in)
5 0.15 wbs_adr_i[11] (net)
0.38 0.00 4.31 v _159_/A (sky130_fd_sc_hd__inv_2)
0.09 0.14 4.45 ^ _159_/Y (sky130_fd_sc_hd__inv_2)
2 0.00 _016_ (net)
0.09 0.00 4.45 ^ _160_/A (sky130_fd_sc_hd__buf_1)
0.93 0.73 5.18 ^ _160_/X (sky130_fd_sc_hd__buf_1)
5 0.08 _017_ (net)
0.93 0.02 5.20 ^ _161_/A (sky130_fd_sc_hd__buf_1)
0.91 0.79 5.98 ^ _161_/X (sky130_fd_sc_hd__buf_1)
5 0.08 _018_ (net)
0.91 0.01 5.99 ^ _309_/A1 (sky130_fd_sc_hd__a22o_2)
0.14 0.39 6.38 ^ _309_/X (sky130_fd_sc_hd__a22o_2)
1 0.02 _128_ (net)
0.14 0.00 6.38 ^ _310_/B2 (sky130_fd_sc_hd__o22a_2)
0.65 0.58 6.95 ^ _310_/X (sky130_fd_sc_hd__o22a_2)
2 0.04 la_data_out[31] (net)
0.67 0.08 7.03 ^ _540_/A (sky130_fd_sc_hd__buf_4)
0.18 0.35 7.38 ^ _540_/X (sky130_fd_sc_hd__buf_4)
1 0.03 wbs_dat_o[31] (net)
0.18 0.02 7.40 ^ wbs_dat_o[31] (out)
7.40 data arrival time
0.15 20.00 20.00 clock wb_clk_i (rise edge)
0.00 20.00 clock network delay (ideal)
-0.25 19.75 clock uncertainty
0.00 19.75 clock reconvergence pessimism
-4.00 15.75 output external delay
15.75 data required time
-----------------------------------------------------------------------------
15.75 data required time
-7.40 data arrival time
-----------------------------------------------------------------------------
8.35 slack (MET)
Startpoint: wbs_adr_i[11] (input port clocked by wb_clk_i)
Endpoint: wbs_dat_o[30] (output port clocked by wb_clk_i)
Path Group: wb_clk_i
Path Type: max
Fanout Cap Slew Delay Time Description
-----------------------------------------------------------------------------
0.15 0.00 0.00 clock wb_clk_i (rise edge)
0.00 0.00 clock network delay (ideal)
4.00 4.00 v input external delay
0.32 0.31 4.31 v wbs_adr_i[11] (in)
5 0.15 wbs_adr_i[11] (net)
0.38 0.00 4.31 v _159_/A (sky130_fd_sc_hd__inv_2)
0.09 0.14 4.45 ^ _159_/Y (sky130_fd_sc_hd__inv_2)
2 0.00 _016_ (net)
0.09 0.00 4.45 ^ _160_/A (sky130_fd_sc_hd__buf_1)
0.93 0.73 5.18 ^ _160_/X (sky130_fd_sc_hd__buf_1)
5 0.08 _017_ (net)
0.93 0.02 5.20 ^ _161_/A (sky130_fd_sc_hd__buf_1)
0.91 0.79 5.98 ^ _161_/X (sky130_fd_sc_hd__buf_1)
5 0.08 _018_ (net)
0.91 0.01 5.99 ^ _306_/A1 (sky130_fd_sc_hd__a22o_2)
0.14 0.39 6.38 ^ _306_/X (sky130_fd_sc_hd__a22o_2)
1 0.02 _126_ (net)
0.14 0.00 6.38 ^ _307_/B2 (sky130_fd_sc_hd__o22a_2)
0.64 0.57 6.95 ^ _307_/X (sky130_fd_sc_hd__o22a_2)
2 0.04 la_data_out[30] (net)
0.65 0.08 7.03 ^ _539_/A (sky130_fd_sc_hd__buf_4)
0.18 0.35 7.38 ^ _539_/X (sky130_fd_sc_hd__buf_4)
1 0.03 wbs_dat_o[30] (net)
0.19 0.02 7.40 ^ wbs_dat_o[30] (out)
7.40 data arrival time
0.15 20.00 20.00 clock wb_clk_i (rise edge)
0.00 20.00 clock network delay (ideal)
-0.25 19.75 clock uncertainty
0.00 19.75 clock reconvergence pessimism
-4.00 15.75 output external delay
15.75 data required time
-----------------------------------------------------------------------------
15.75 data required time
-7.40 data arrival time
-----------------------------------------------------------------------------
8.35 slack (MET)
Startpoint: wbs_adr_i[11] (input port clocked by wb_clk_i)
Endpoint: wbs_dat_o[11] (output port clocked by wb_clk_i)
Path Group: wb_clk_i
Path Type: max
Fanout Cap Slew Delay Time Description
-----------------------------------------------------------------------------
0.15 0.00 0.00 clock wb_clk_i (rise edge)
0.00 0.00 clock network delay (ideal)
4.00 4.00 ^ input external delay
0.67 0.56 4.56 ^ wbs_adr_i[11] (in)
5 0.15 wbs_adr_i[11] (net)
0.71 0.00 4.56 ^ _173_/A (sky130_fd_sc_hd__and2_2)
0.08 0.31 4.87 ^ _173_/X (sky130_fd_sc_hd__and2_2)
2 0.01 _026_ (net)
0.08 0.00 4.87 ^ _209_/A (sky130_fd_sc_hd__buf_1)
0.83 0.66 5.53 ^ _209_/X (sky130_fd_sc_hd__buf_1)
5 0.07 _054_ (net)
0.83 0.03 5.56 ^ _230_/A (sky130_fd_sc_hd__buf_1)
0.21 0.28 5.84 ^ _230_/X (sky130_fd_sc_hd__buf_1)
5 0.02 _070_ (net)
0.21 0.00 5.84 ^ _234_/B1 (sky130_fd_sc_hd__a22o_2)
0.32 0.39 6.24 ^ _234_/X (sky130_fd_sc_hd__a22o_2)
1 0.06 _073_ (net)
0.32 0.02 6.26 ^ _235_/B2 (sky130_fd_sc_hd__o22a_2)
0.72 0.66 6.92 ^ _235_/X (sky130_fd_sc_hd__o22a_2)
2 0.04 la_data_out[11] (net)
0.73 0.09 7.01 ^ _520_/A (sky130_fd_sc_hd__buf_4)
0.16 0.34 7.35 ^ _520_/X (sky130_fd_sc_hd__buf_4)
1 0.03 wbs_dat_o[11] (net)
0.16 0.01 7.36 ^ wbs_dat_o[11] (out)
7.36 data arrival time
0.15 20.00 20.00 clock wb_clk_i (rise edge)
0.00 20.00 clock network delay (ideal)
-0.25 19.75 clock uncertainty
0.00 19.75 clock reconvergence pessimism
-4.00 15.75 output external delay
15.75 data required time
-----------------------------------------------------------------------------
15.75 data required time
-7.36 data arrival time
-----------------------------------------------------------------------------
8.39 slack (MET)