blob: 2e25692579d25599a9e05a8d081361079907dce1 [file] [log] [blame]
OpenROAD 79a46b62da64bbebc18f06b20c42211046de719a
This program is licensed under the BSD-3 license. See the LICENSE file for details.
Components of this program may be licensed under more restrictive licenses which must be honored.
[INFO ODB-0222] Reading LEF file: /home/serdar/Desktop/openram_demo/openram_openmpw/openlane/user_project_wrapper/runs/user_project_wrapper/tmp/merged.unpadded.nom.lef
[INFO ODB-0223] Created 13 technology layers
[INFO ODB-0224] Created 25 technology vias
[INFO ODB-0225] Created 442 library cells
[INFO ODB-0226] Finished LEF file: /home/serdar/Desktop/openram_demo/openram_openmpw/openlane/user_project_wrapper/runs/user_project_wrapper/tmp/merged.unpadded.nom.lef
[INFO ODB-0127] Reading DEF file: /home/serdar/Desktop/openram_demo/openram_openmpw/openlane/user_project_wrapper/runs/user_project_wrapper/tmp/routing/16-resizer_timing.def
[INFO ODB-0128] Design: user_project_wrapper
[INFO ODB-0094] Created 100000 Insts
[INFO ODB-0130] Created 645 pins.
[INFO ODB-0131] Created 133566 components and 284730 component-terminals.
[INFO ODB-0132] Created 8 special nets and 279406 connections.
[INFO ODB-0133] Created 2926 nets and 5161 connections.
[INFO ODB-0134] Finished DEF file: /home/serdar/Desktop/openram_demo/openram_openmpw/openlane/user_project_wrapper/runs/user_project_wrapper/tmp/routing/16-resizer_timing.def
###############################################################################
# Created by write_sdc
# Sat May 28 12:19:58 2022
###############################################################################
current_design user_project_wrapper
###############################################################################
# Timing Constraints
###############################################################################
create_clock -name wb_clk_i -period 20.0000 [get_ports {wb_clk_i}]
set_clock_transition 0.1500 [get_clocks {wb_clk_i}]
set_clock_uncertainty 0.2500 wb_clk_i
set_propagated_clock [get_clocks {wb_clk_i}]
set_input_delay 4.0000 -clock [get_clocks {wb_clk_i}] -add_delay [get_ports {analog_io[0]}]
set_input_delay 4.0000 -clock [get_clocks {wb_clk_i}] -add_delay [get_ports {analog_io[10]}]
set_input_delay 4.0000 -clock [get_clocks {wb_clk_i}] -add_delay [get_ports {analog_io[11]}]
set_input_delay 4.0000 -clock [get_clocks {wb_clk_i}] -add_delay [get_ports {analog_io[12]}]
set_input_delay 4.0000 -clock [get_clocks {wb_clk_i}] -add_delay [get_ports {analog_io[13]}]
set_input_delay 4.0000 -clock [get_clocks {wb_clk_i}] -add_delay [get_ports {analog_io[14]}]
set_input_delay 4.0000 -clock [get_clocks {wb_clk_i}] -add_delay [get_ports {analog_io[15]}]
set_input_delay 4.0000 -clock [get_clocks {wb_clk_i}] -add_delay [get_ports {analog_io[16]}]
set_input_delay 4.0000 -clock [get_clocks {wb_clk_i}] -add_delay [get_ports {analog_io[17]}]
set_input_delay 4.0000 -clock [get_clocks {wb_clk_i}] -add_delay [get_ports {analog_io[18]}]
set_input_delay 4.0000 -clock [get_clocks {wb_clk_i}] -add_delay [get_ports {analog_io[19]}]
set_input_delay 4.0000 -clock [get_clocks {wb_clk_i}] -add_delay [get_ports {analog_io[1]}]
set_input_delay 4.0000 -clock [get_clocks {wb_clk_i}] -add_delay [get_ports {analog_io[20]}]
set_input_delay 4.0000 -clock [get_clocks {wb_clk_i}] -add_delay [get_ports {analog_io[21]}]
set_input_delay 4.0000 -clock [get_clocks {wb_clk_i}] -add_delay [get_ports {analog_io[22]}]
set_input_delay 4.0000 -clock [get_clocks {wb_clk_i}] -add_delay [get_ports {analog_io[23]}]
set_input_delay 4.0000 -clock [get_clocks {wb_clk_i}] -add_delay [get_ports {analog_io[24]}]
set_input_delay 4.0000 -clock [get_clocks {wb_clk_i}] -add_delay [get_ports {analog_io[25]}]
set_input_delay 4.0000 -clock [get_clocks {wb_clk_i}] -add_delay [get_ports {analog_io[26]}]
set_input_delay 4.0000 -clock [get_clocks {wb_clk_i}] -add_delay [get_ports {analog_io[27]}]
set_input_delay 4.0000 -clock [get_clocks {wb_clk_i}] -add_delay [get_ports {analog_io[28]}]
set_input_delay 4.0000 -clock [get_clocks {wb_clk_i}] -add_delay [get_ports {analog_io[2]}]
set_input_delay 4.0000 -clock [get_clocks {wb_clk_i}] -add_delay [get_ports {analog_io[3]}]
set_input_delay 4.0000 -clock [get_clocks {wb_clk_i}] -add_delay [get_ports {analog_io[4]}]
set_input_delay 4.0000 -clock [get_clocks {wb_clk_i}] -add_delay [get_ports {analog_io[5]}]
set_input_delay 4.0000 -clock [get_clocks {wb_clk_i}] -add_delay [get_ports {analog_io[6]}]
set_input_delay 4.0000 -clock [get_clocks {wb_clk_i}] -add_delay [get_ports {analog_io[7]}]
set_input_delay 4.0000 -clock [get_clocks {wb_clk_i}] -add_delay [get_ports {analog_io[8]}]
set_input_delay 4.0000 -clock [get_clocks {wb_clk_i}] -add_delay [get_ports {analog_io[9]}]
set_input_delay 4.0000 -clock [get_clocks {wb_clk_i}] -add_delay [get_ports {io_in[0]}]
set_input_delay 4.0000 -clock [get_clocks {wb_clk_i}] -add_delay [get_ports {io_in[10]}]
set_input_delay 4.0000 -clock [get_clocks {wb_clk_i}] -add_delay [get_ports {io_in[11]}]
set_input_delay 4.0000 -clock [get_clocks {wb_clk_i}] -add_delay [get_ports {io_in[12]}]
set_input_delay 4.0000 -clock [get_clocks {wb_clk_i}] -add_delay [get_ports {io_in[13]}]
set_input_delay 4.0000 -clock [get_clocks {wb_clk_i}] -add_delay [get_ports {io_in[14]}]
set_input_delay 4.0000 -clock [get_clocks {wb_clk_i}] -add_delay [get_ports {io_in[15]}]
set_input_delay 4.0000 -clock [get_clocks {wb_clk_i}] -add_delay [get_ports {io_in[16]}]
set_input_delay 4.0000 -clock [get_clocks {wb_clk_i}] -add_delay [get_ports {io_in[17]}]
set_input_delay 4.0000 -clock [get_clocks {wb_clk_i}] -add_delay [get_ports {io_in[18]}]
set_input_delay 4.0000 -clock [get_clocks {wb_clk_i}] -add_delay [get_ports {io_in[19]}]
set_input_delay 4.0000 -clock [get_clocks {wb_clk_i}] -add_delay [get_ports {io_in[1]}]
set_input_delay 4.0000 -clock [get_clocks {wb_clk_i}] -add_delay [get_ports {io_in[20]}]
set_input_delay 4.0000 -clock [get_clocks {wb_clk_i}] -add_delay [get_ports {io_in[21]}]
set_input_delay 4.0000 -clock [get_clocks {wb_clk_i}] -add_delay [get_ports {io_in[22]}]
set_input_delay 4.0000 -clock [get_clocks {wb_clk_i}] -add_delay [get_ports {io_in[23]}]
set_input_delay 4.0000 -clock [get_clocks {wb_clk_i}] -add_delay [get_ports {io_in[24]}]
set_input_delay 4.0000 -clock [get_clocks {wb_clk_i}] -add_delay [get_ports {io_in[25]}]
set_input_delay 4.0000 -clock [get_clocks {wb_clk_i}] -add_delay [get_ports {io_in[26]}]
set_input_delay 4.0000 -clock [get_clocks {wb_clk_i}] -add_delay [get_ports {io_in[27]}]
set_input_delay 4.0000 -clock [get_clocks {wb_clk_i}] -add_delay [get_ports {io_in[28]}]
set_input_delay 4.0000 -clock [get_clocks {wb_clk_i}] -add_delay [get_ports {io_in[29]}]
set_input_delay 4.0000 -clock [get_clocks {wb_clk_i}] -add_delay [get_ports {io_in[2]}]
set_input_delay 4.0000 -clock [get_clocks {wb_clk_i}] -add_delay [get_ports {io_in[30]}]
set_input_delay 4.0000 -clock [get_clocks {wb_clk_i}] -add_delay [get_ports {io_in[31]}]
set_input_delay 4.0000 -clock [get_clocks {wb_clk_i}] -add_delay [get_ports {io_in[32]}]
set_input_delay 4.0000 -clock [get_clocks {wb_clk_i}] -add_delay [get_ports {io_in[33]}]
set_input_delay 4.0000 -clock [get_clocks {wb_clk_i}] -add_delay [get_ports {io_in[34]}]
set_input_delay 4.0000 -clock [get_clocks {wb_clk_i}] -add_delay [get_ports {io_in[35]}]
set_input_delay 4.0000 -clock [get_clocks {wb_clk_i}] -add_delay [get_ports {io_in[36]}]
set_input_delay 4.0000 -clock [get_clocks {wb_clk_i}] -add_delay [get_ports {io_in[37]}]
set_input_delay 4.0000 -clock [get_clocks {wb_clk_i}] -add_delay [get_ports {io_in[3]}]
set_input_delay 4.0000 -clock [get_clocks {wb_clk_i}] -add_delay [get_ports {io_in[4]}]
set_input_delay 4.0000 -clock [get_clocks {wb_clk_i}] -add_delay [get_ports {io_in[5]}]
set_input_delay 4.0000 -clock [get_clocks {wb_clk_i}] -add_delay [get_ports {io_in[6]}]
set_input_delay 4.0000 -clock [get_clocks {wb_clk_i}] -add_delay [get_ports {io_in[7]}]
set_input_delay 4.0000 -clock [get_clocks {wb_clk_i}] -add_delay [get_ports {io_in[8]}]
set_input_delay 4.0000 -clock [get_clocks {wb_clk_i}] -add_delay [get_ports {io_in[9]}]
set_input_delay 4.0000 -clock [get_clocks {wb_clk_i}] -add_delay [get_ports {la_data_in[0]}]
set_input_delay 4.0000 -clock [get_clocks {wb_clk_i}] -add_delay [get_ports {la_data_in[100]}]
set_input_delay 4.0000 -clock [get_clocks {wb_clk_i}] -add_delay [get_ports {la_data_in[101]}]
set_input_delay 4.0000 -clock [get_clocks {wb_clk_i}] -add_delay [get_ports {la_data_in[102]}]
set_input_delay 4.0000 -clock [get_clocks {wb_clk_i}] -add_delay [get_ports {la_data_in[103]}]
set_input_delay 4.0000 -clock [get_clocks {wb_clk_i}] -add_delay [get_ports {la_data_in[104]}]
set_input_delay 4.0000 -clock [get_clocks {wb_clk_i}] -add_delay [get_ports {la_data_in[105]}]
set_input_delay 4.0000 -clock [get_clocks {wb_clk_i}] -add_delay [get_ports {la_data_in[106]}]
set_input_delay 4.0000 -clock [get_clocks {wb_clk_i}] -add_delay [get_ports {la_data_in[107]}]
set_input_delay 4.0000 -clock [get_clocks {wb_clk_i}] -add_delay [get_ports {la_data_in[108]}]
set_input_delay 4.0000 -clock [get_clocks {wb_clk_i}] -add_delay [get_ports {la_data_in[109]}]
set_input_delay 4.0000 -clock [get_clocks {wb_clk_i}] -add_delay [get_ports {la_data_in[10]}]
set_input_delay 4.0000 -clock [get_clocks {wb_clk_i}] -add_delay [get_ports {la_data_in[110]}]
set_input_delay 4.0000 -clock [get_clocks {wb_clk_i}] -add_delay [get_ports {la_data_in[111]}]
set_input_delay 4.0000 -clock [get_clocks {wb_clk_i}] -add_delay [get_ports {la_data_in[112]}]
set_input_delay 4.0000 -clock [get_clocks {wb_clk_i}] -add_delay [get_ports {la_data_in[113]}]
set_input_delay 4.0000 -clock [get_clocks {wb_clk_i}] -add_delay [get_ports {la_data_in[114]}]
set_input_delay 4.0000 -clock [get_clocks {wb_clk_i}] -add_delay [get_ports {la_data_in[115]}]
set_input_delay 4.0000 -clock [get_clocks {wb_clk_i}] -add_delay [get_ports {la_data_in[116]}]
set_input_delay 4.0000 -clock [get_clocks {wb_clk_i}] -add_delay [get_ports {la_data_in[117]}]
set_input_delay 4.0000 -clock [get_clocks {wb_clk_i}] -add_delay [get_ports {la_data_in[118]}]
set_input_delay 4.0000 -clock [get_clocks {wb_clk_i}] -add_delay [get_ports {la_data_in[119]}]
set_input_delay 4.0000 -clock [get_clocks {wb_clk_i}] -add_delay [get_ports {la_data_in[11]}]
set_input_delay 4.0000 -clock [get_clocks {wb_clk_i}] -add_delay [get_ports {la_data_in[120]}]
set_input_delay 4.0000 -clock [get_clocks {wb_clk_i}] -add_delay [get_ports {la_data_in[121]}]
set_input_delay 4.0000 -clock [get_clocks {wb_clk_i}] -add_delay [get_ports {la_data_in[122]}]
set_input_delay 4.0000 -clock [get_clocks {wb_clk_i}] -add_delay [get_ports {la_data_in[123]}]
set_input_delay 4.0000 -clock [get_clocks {wb_clk_i}] -add_delay [get_ports {la_data_in[124]}]
set_input_delay 4.0000 -clock [get_clocks {wb_clk_i}] -add_delay [get_ports {la_data_in[125]}]
set_input_delay 4.0000 -clock [get_clocks {wb_clk_i}] -add_delay [get_ports {la_data_in[126]}]
set_input_delay 4.0000 -clock [get_clocks {wb_clk_i}] -add_delay [get_ports {la_data_in[127]}]
set_input_delay 4.0000 -clock [get_clocks {wb_clk_i}] -add_delay [get_ports {la_data_in[12]}]
set_input_delay 4.0000 -clock [get_clocks {wb_clk_i}] -add_delay [get_ports {la_data_in[13]}]
set_input_delay 4.0000 -clock [get_clocks {wb_clk_i}] -add_delay [get_ports {la_data_in[14]}]
set_input_delay 4.0000 -clock [get_clocks {wb_clk_i}] -add_delay [get_ports {la_data_in[15]}]
set_input_delay 4.0000 -clock [get_clocks {wb_clk_i}] -add_delay [get_ports {la_data_in[16]}]
set_input_delay 4.0000 -clock [get_clocks {wb_clk_i}] -add_delay [get_ports {la_data_in[17]}]
set_input_delay 4.0000 -clock [get_clocks {wb_clk_i}] -add_delay [get_ports {la_data_in[18]}]
set_input_delay 4.0000 -clock [get_clocks {wb_clk_i}] -add_delay [get_ports {la_data_in[19]}]
set_input_delay 4.0000 -clock [get_clocks {wb_clk_i}] -add_delay [get_ports {la_data_in[1]}]
set_input_delay 4.0000 -clock [get_clocks {wb_clk_i}] -add_delay [get_ports {la_data_in[20]}]
set_input_delay 4.0000 -clock [get_clocks {wb_clk_i}] -add_delay [get_ports {la_data_in[21]}]
set_input_delay 4.0000 -clock [get_clocks {wb_clk_i}] -add_delay [get_ports {la_data_in[22]}]
set_input_delay 4.0000 -clock [get_clocks {wb_clk_i}] -add_delay [get_ports {la_data_in[23]}]
set_input_delay 4.0000 -clock [get_clocks {wb_clk_i}] -add_delay [get_ports {la_data_in[24]}]
set_input_delay 4.0000 -clock [get_clocks {wb_clk_i}] -add_delay [get_ports {la_data_in[25]}]
set_input_delay 4.0000 -clock [get_clocks {wb_clk_i}] -add_delay [get_ports {la_data_in[26]}]
set_input_delay 4.0000 -clock [get_clocks {wb_clk_i}] -add_delay [get_ports {la_data_in[27]}]
set_input_delay 4.0000 -clock [get_clocks {wb_clk_i}] -add_delay [get_ports {la_data_in[28]}]
set_input_delay 4.0000 -clock [get_clocks {wb_clk_i}] -add_delay [get_ports {la_data_in[29]}]
set_input_delay 4.0000 -clock [get_clocks {wb_clk_i}] -add_delay [get_ports {la_data_in[2]}]
set_input_delay 4.0000 -clock [get_clocks {wb_clk_i}] -add_delay [get_ports {la_data_in[30]}]
set_input_delay 4.0000 -clock [get_clocks {wb_clk_i}] -add_delay [get_ports {la_data_in[31]}]
set_input_delay 4.0000 -clock [get_clocks {wb_clk_i}] -add_delay [get_ports {la_data_in[32]}]
set_input_delay 4.0000 -clock [get_clocks {wb_clk_i}] -add_delay [get_ports {la_data_in[33]}]
set_input_delay 4.0000 -clock [get_clocks {wb_clk_i}] -add_delay [get_ports {la_data_in[34]}]
set_input_delay 4.0000 -clock [get_clocks {wb_clk_i}] -add_delay [get_ports {la_data_in[35]}]
set_input_delay 4.0000 -clock [get_clocks {wb_clk_i}] -add_delay [get_ports {la_data_in[36]}]
set_input_delay 4.0000 -clock [get_clocks {wb_clk_i}] -add_delay [get_ports {la_data_in[37]}]
set_input_delay 4.0000 -clock [get_clocks {wb_clk_i}] -add_delay [get_ports {la_data_in[38]}]
set_input_delay 4.0000 -clock [get_clocks {wb_clk_i}] -add_delay [get_ports {la_data_in[39]}]
set_input_delay 4.0000 -clock [get_clocks {wb_clk_i}] -add_delay [get_ports {la_data_in[3]}]
set_input_delay 4.0000 -clock [get_clocks {wb_clk_i}] -add_delay [get_ports {la_data_in[40]}]
set_input_delay 4.0000 -clock [get_clocks {wb_clk_i}] -add_delay [get_ports {la_data_in[41]}]
set_input_delay 4.0000 -clock [get_clocks {wb_clk_i}] -add_delay [get_ports {la_data_in[42]}]
set_input_delay 4.0000 -clock [get_clocks {wb_clk_i}] -add_delay [get_ports {la_data_in[43]}]
set_input_delay 4.0000 -clock [get_clocks {wb_clk_i}] -add_delay [get_ports {la_data_in[44]}]
set_input_delay 4.0000 -clock [get_clocks {wb_clk_i}] -add_delay [get_ports {la_data_in[45]}]
set_input_delay 4.0000 -clock [get_clocks {wb_clk_i}] -add_delay [get_ports {la_data_in[46]}]
set_input_delay 4.0000 -clock [get_clocks {wb_clk_i}] -add_delay [get_ports {la_data_in[47]}]
set_input_delay 4.0000 -clock [get_clocks {wb_clk_i}] -add_delay [get_ports {la_data_in[48]}]
set_input_delay 4.0000 -clock [get_clocks {wb_clk_i}] -add_delay [get_ports {la_data_in[49]}]
set_input_delay 4.0000 -clock [get_clocks {wb_clk_i}] -add_delay [get_ports {la_data_in[4]}]
set_input_delay 4.0000 -clock [get_clocks {wb_clk_i}] -add_delay [get_ports {la_data_in[50]}]
set_input_delay 4.0000 -clock [get_clocks {wb_clk_i}] -add_delay [get_ports {la_data_in[51]}]
set_input_delay 4.0000 -clock [get_clocks {wb_clk_i}] -add_delay [get_ports {la_data_in[52]}]
set_input_delay 4.0000 -clock [get_clocks {wb_clk_i}] -add_delay [get_ports {la_data_in[53]}]
set_input_delay 4.0000 -clock [get_clocks {wb_clk_i}] -add_delay [get_ports {la_data_in[54]}]
set_input_delay 4.0000 -clock [get_clocks {wb_clk_i}] -add_delay [get_ports {la_data_in[55]}]
set_input_delay 4.0000 -clock [get_clocks {wb_clk_i}] -add_delay [get_ports {la_data_in[56]}]
set_input_delay 4.0000 -clock [get_clocks {wb_clk_i}] -add_delay [get_ports {la_data_in[57]}]
set_input_delay 4.0000 -clock [get_clocks {wb_clk_i}] -add_delay [get_ports {la_data_in[58]}]
set_input_delay 4.0000 -clock [get_clocks {wb_clk_i}] -add_delay [get_ports {la_data_in[59]}]
set_input_delay 4.0000 -clock [get_clocks {wb_clk_i}] -add_delay [get_ports {la_data_in[5]}]
set_input_delay 4.0000 -clock [get_clocks {wb_clk_i}] -add_delay [get_ports {la_data_in[60]}]
set_input_delay 4.0000 -clock [get_clocks {wb_clk_i}] -add_delay [get_ports {la_data_in[61]}]
set_input_delay 4.0000 -clock [get_clocks {wb_clk_i}] -add_delay [get_ports {la_data_in[62]}]
set_input_delay 4.0000 -clock [get_clocks {wb_clk_i}] -add_delay [get_ports {la_data_in[63]}]
set_input_delay 4.0000 -clock [get_clocks {wb_clk_i}] -add_delay [get_ports {la_data_in[64]}]
set_input_delay 4.0000 -clock [get_clocks {wb_clk_i}] -add_delay [get_ports {la_data_in[65]}]
set_input_delay 4.0000 -clock [get_clocks {wb_clk_i}] -add_delay [get_ports {la_data_in[66]}]
set_input_delay 4.0000 -clock [get_clocks {wb_clk_i}] -add_delay [get_ports {la_data_in[67]}]
set_input_delay 4.0000 -clock [get_clocks {wb_clk_i}] -add_delay [get_ports {la_data_in[68]}]
set_input_delay 4.0000 -clock [get_clocks {wb_clk_i}] -add_delay [get_ports {la_data_in[69]}]
set_input_delay 4.0000 -clock [get_clocks {wb_clk_i}] -add_delay [get_ports {la_data_in[6]}]
set_input_delay 4.0000 -clock [get_clocks {wb_clk_i}] -add_delay [get_ports {la_data_in[70]}]
set_input_delay 4.0000 -clock [get_clocks {wb_clk_i}] -add_delay [get_ports {la_data_in[71]}]
set_input_delay 4.0000 -clock [get_clocks {wb_clk_i}] -add_delay [get_ports {la_data_in[72]}]
set_input_delay 4.0000 -clock [get_clocks {wb_clk_i}] -add_delay [get_ports {la_data_in[73]}]
set_input_delay 4.0000 -clock [get_clocks {wb_clk_i}] -add_delay [get_ports {la_data_in[74]}]
set_input_delay 4.0000 -clock [get_clocks {wb_clk_i}] -add_delay [get_ports {la_data_in[75]}]
set_input_delay 4.0000 -clock [get_clocks {wb_clk_i}] -add_delay [get_ports {la_data_in[76]}]
set_input_delay 4.0000 -clock [get_clocks {wb_clk_i}] -add_delay [get_ports {la_data_in[77]}]
set_input_delay 4.0000 -clock [get_clocks {wb_clk_i}] -add_delay [get_ports {la_data_in[78]}]
set_input_delay 4.0000 -clock [get_clocks {wb_clk_i}] -add_delay [get_ports {la_data_in[79]}]
set_input_delay 4.0000 -clock [get_clocks {wb_clk_i}] -add_delay [get_ports {la_data_in[7]}]
set_input_delay 4.0000 -clock [get_clocks {wb_clk_i}] -add_delay [get_ports {la_data_in[80]}]
set_input_delay 4.0000 -clock [get_clocks {wb_clk_i}] -add_delay [get_ports {la_data_in[81]}]
set_input_delay 4.0000 -clock [get_clocks {wb_clk_i}] -add_delay [get_ports {la_data_in[82]}]
set_input_delay 4.0000 -clock [get_clocks {wb_clk_i}] -add_delay [get_ports {la_data_in[83]}]
set_input_delay 4.0000 -clock [get_clocks {wb_clk_i}] -add_delay [get_ports {la_data_in[84]}]
set_input_delay 4.0000 -clock [get_clocks {wb_clk_i}] -add_delay [get_ports {la_data_in[85]}]
set_input_delay 4.0000 -clock [get_clocks {wb_clk_i}] -add_delay [get_ports {la_data_in[86]}]
set_input_delay 4.0000 -clock [get_clocks {wb_clk_i}] -add_delay [get_ports {la_data_in[87]}]
set_input_delay 4.0000 -clock [get_clocks {wb_clk_i}] -add_delay [get_ports {la_data_in[88]}]
set_input_delay 4.0000 -clock [get_clocks {wb_clk_i}] -add_delay [get_ports {la_data_in[89]}]
set_input_delay 4.0000 -clock [get_clocks {wb_clk_i}] -add_delay [get_ports {la_data_in[8]}]
set_input_delay 4.0000 -clock [get_clocks {wb_clk_i}] -add_delay [get_ports {la_data_in[90]}]
set_input_delay 4.0000 -clock [get_clocks {wb_clk_i}] -add_delay [get_ports {la_data_in[91]}]
set_input_delay 4.0000 -clock [get_clocks {wb_clk_i}] -add_delay [get_ports {la_data_in[92]}]
set_input_delay 4.0000 -clock [get_clocks {wb_clk_i}] -add_delay [get_ports {la_data_in[93]}]
set_input_delay 4.0000 -clock [get_clocks {wb_clk_i}] -add_delay [get_ports {la_data_in[94]}]
set_input_delay 4.0000 -clock [get_clocks {wb_clk_i}] -add_delay [get_ports {la_data_in[95]}]
set_input_delay 4.0000 -clock [get_clocks {wb_clk_i}] -add_delay [get_ports {la_data_in[96]}]
set_input_delay 4.0000 -clock [get_clocks {wb_clk_i}] -add_delay [get_ports {la_data_in[97]}]
set_input_delay 4.0000 -clock [get_clocks {wb_clk_i}] -add_delay [get_ports {la_data_in[98]}]
set_input_delay 4.0000 -clock [get_clocks {wb_clk_i}] -add_delay [get_ports {la_data_in[99]}]
set_input_delay 4.0000 -clock [get_clocks {wb_clk_i}] -add_delay [get_ports {la_data_in[9]}]
set_input_delay 4.0000 -clock [get_clocks {wb_clk_i}] -add_delay [get_ports {la_oenb[0]}]
set_input_delay 4.0000 -clock [get_clocks {wb_clk_i}] -add_delay [get_ports {la_oenb[100]}]
set_input_delay 4.0000 -clock [get_clocks {wb_clk_i}] -add_delay [get_ports {la_oenb[101]}]
set_input_delay 4.0000 -clock [get_clocks {wb_clk_i}] -add_delay [get_ports {la_oenb[102]}]
set_input_delay 4.0000 -clock [get_clocks {wb_clk_i}] -add_delay [get_ports {la_oenb[103]}]
set_input_delay 4.0000 -clock [get_clocks {wb_clk_i}] -add_delay [get_ports {la_oenb[104]}]
set_input_delay 4.0000 -clock [get_clocks {wb_clk_i}] -add_delay [get_ports {la_oenb[105]}]
set_input_delay 4.0000 -clock [get_clocks {wb_clk_i}] -add_delay [get_ports {la_oenb[106]}]
set_input_delay 4.0000 -clock [get_clocks {wb_clk_i}] -add_delay [get_ports {la_oenb[107]}]
set_input_delay 4.0000 -clock [get_clocks {wb_clk_i}] -add_delay [get_ports {la_oenb[108]}]
set_input_delay 4.0000 -clock [get_clocks {wb_clk_i}] -add_delay [get_ports {la_oenb[109]}]
set_input_delay 4.0000 -clock [get_clocks {wb_clk_i}] -add_delay [get_ports {la_oenb[10]}]
set_input_delay 4.0000 -clock [get_clocks {wb_clk_i}] -add_delay [get_ports {la_oenb[110]}]
set_input_delay 4.0000 -clock [get_clocks {wb_clk_i}] -add_delay [get_ports {la_oenb[111]}]
set_input_delay 4.0000 -clock [get_clocks {wb_clk_i}] -add_delay [get_ports {la_oenb[112]}]
set_input_delay 4.0000 -clock [get_clocks {wb_clk_i}] -add_delay [get_ports {la_oenb[113]}]
set_input_delay 4.0000 -clock [get_clocks {wb_clk_i}] -add_delay [get_ports {la_oenb[114]}]
set_input_delay 4.0000 -clock [get_clocks {wb_clk_i}] -add_delay [get_ports {la_oenb[115]}]
set_input_delay 4.0000 -clock [get_clocks {wb_clk_i}] -add_delay [get_ports {la_oenb[116]}]
set_input_delay 4.0000 -clock [get_clocks {wb_clk_i}] -add_delay [get_ports {la_oenb[117]}]
set_input_delay 4.0000 -clock [get_clocks {wb_clk_i}] -add_delay [get_ports {la_oenb[118]}]
set_input_delay 4.0000 -clock [get_clocks {wb_clk_i}] -add_delay [get_ports {la_oenb[119]}]
set_input_delay 4.0000 -clock [get_clocks {wb_clk_i}] -add_delay [get_ports {la_oenb[11]}]
set_input_delay 4.0000 -clock [get_clocks {wb_clk_i}] -add_delay [get_ports {la_oenb[120]}]
set_input_delay 4.0000 -clock [get_clocks {wb_clk_i}] -add_delay [get_ports {la_oenb[121]}]
set_input_delay 4.0000 -clock [get_clocks {wb_clk_i}] -add_delay [get_ports {la_oenb[122]}]
set_input_delay 4.0000 -clock [get_clocks {wb_clk_i}] -add_delay [get_ports {la_oenb[123]}]
set_input_delay 4.0000 -clock [get_clocks {wb_clk_i}] -add_delay [get_ports {la_oenb[124]}]
set_input_delay 4.0000 -clock [get_clocks {wb_clk_i}] -add_delay [get_ports {la_oenb[125]}]
set_input_delay 4.0000 -clock [get_clocks {wb_clk_i}] -add_delay [get_ports {la_oenb[126]}]
set_input_delay 4.0000 -clock [get_clocks {wb_clk_i}] -add_delay [get_ports {la_oenb[127]}]
set_input_delay 4.0000 -clock [get_clocks {wb_clk_i}] -add_delay [get_ports {la_oenb[12]}]
set_input_delay 4.0000 -clock [get_clocks {wb_clk_i}] -add_delay [get_ports {la_oenb[13]}]
set_input_delay 4.0000 -clock [get_clocks {wb_clk_i}] -add_delay [get_ports {la_oenb[14]}]
set_input_delay 4.0000 -clock [get_clocks {wb_clk_i}] -add_delay [get_ports {la_oenb[15]}]
set_input_delay 4.0000 -clock [get_clocks {wb_clk_i}] -add_delay [get_ports {la_oenb[16]}]
set_input_delay 4.0000 -clock [get_clocks {wb_clk_i}] -add_delay [get_ports {la_oenb[17]}]
set_input_delay 4.0000 -clock [get_clocks {wb_clk_i}] -add_delay [get_ports {la_oenb[18]}]
set_input_delay 4.0000 -clock [get_clocks {wb_clk_i}] -add_delay [get_ports {la_oenb[19]}]
set_input_delay 4.0000 -clock [get_clocks {wb_clk_i}] -add_delay [get_ports {la_oenb[1]}]
set_input_delay 4.0000 -clock [get_clocks {wb_clk_i}] -add_delay [get_ports {la_oenb[20]}]
set_input_delay 4.0000 -clock [get_clocks {wb_clk_i}] -add_delay [get_ports {la_oenb[21]}]
set_input_delay 4.0000 -clock [get_clocks {wb_clk_i}] -add_delay [get_ports {la_oenb[22]}]
set_input_delay 4.0000 -clock [get_clocks {wb_clk_i}] -add_delay [get_ports {la_oenb[23]}]
set_input_delay 4.0000 -clock [get_clocks {wb_clk_i}] -add_delay [get_ports {la_oenb[24]}]
set_input_delay 4.0000 -clock [get_clocks {wb_clk_i}] -add_delay [get_ports {la_oenb[25]}]
set_input_delay 4.0000 -clock [get_clocks {wb_clk_i}] -add_delay [get_ports {la_oenb[26]}]
set_input_delay 4.0000 -clock [get_clocks {wb_clk_i}] -add_delay [get_ports {la_oenb[27]}]
set_input_delay 4.0000 -clock [get_clocks {wb_clk_i}] -add_delay [get_ports {la_oenb[28]}]
set_input_delay 4.0000 -clock [get_clocks {wb_clk_i}] -add_delay [get_ports {la_oenb[29]}]
set_input_delay 4.0000 -clock [get_clocks {wb_clk_i}] -add_delay [get_ports {la_oenb[2]}]
set_input_delay 4.0000 -clock [get_clocks {wb_clk_i}] -add_delay [get_ports {la_oenb[30]}]
set_input_delay 4.0000 -clock [get_clocks {wb_clk_i}] -add_delay [get_ports {la_oenb[31]}]
set_input_delay 4.0000 -clock [get_clocks {wb_clk_i}] -add_delay [get_ports {la_oenb[32]}]
set_input_delay 4.0000 -clock [get_clocks {wb_clk_i}] -add_delay [get_ports {la_oenb[33]}]
set_input_delay 4.0000 -clock [get_clocks {wb_clk_i}] -add_delay [get_ports {la_oenb[34]}]
set_input_delay 4.0000 -clock [get_clocks {wb_clk_i}] -add_delay [get_ports {la_oenb[35]}]
set_input_delay 4.0000 -clock [get_clocks {wb_clk_i}] -add_delay [get_ports {la_oenb[36]}]
set_input_delay 4.0000 -clock [get_clocks {wb_clk_i}] -add_delay [get_ports {la_oenb[37]}]
set_input_delay 4.0000 -clock [get_clocks {wb_clk_i}] -add_delay [get_ports {la_oenb[38]}]
set_input_delay 4.0000 -clock [get_clocks {wb_clk_i}] -add_delay [get_ports {la_oenb[39]}]
set_input_delay 4.0000 -clock [get_clocks {wb_clk_i}] -add_delay [get_ports {la_oenb[3]}]
set_input_delay 4.0000 -clock [get_clocks {wb_clk_i}] -add_delay [get_ports {la_oenb[40]}]
set_input_delay 4.0000 -clock [get_clocks {wb_clk_i}] -add_delay [get_ports {la_oenb[41]}]
set_input_delay 4.0000 -clock [get_clocks {wb_clk_i}] -add_delay [get_ports {la_oenb[42]}]
set_input_delay 4.0000 -clock [get_clocks {wb_clk_i}] -add_delay [get_ports {la_oenb[43]}]
set_input_delay 4.0000 -clock [get_clocks {wb_clk_i}] -add_delay [get_ports {la_oenb[44]}]
set_input_delay 4.0000 -clock [get_clocks {wb_clk_i}] -add_delay [get_ports {la_oenb[45]}]
set_input_delay 4.0000 -clock [get_clocks {wb_clk_i}] -add_delay [get_ports {la_oenb[46]}]
set_input_delay 4.0000 -clock [get_clocks {wb_clk_i}] -add_delay [get_ports {la_oenb[47]}]
set_input_delay 4.0000 -clock [get_clocks {wb_clk_i}] -add_delay [get_ports {la_oenb[48]}]
set_input_delay 4.0000 -clock [get_clocks {wb_clk_i}] -add_delay [get_ports {la_oenb[49]}]
set_input_delay 4.0000 -clock [get_clocks {wb_clk_i}] -add_delay [get_ports {la_oenb[4]}]
set_input_delay 4.0000 -clock [get_clocks {wb_clk_i}] -add_delay [get_ports {la_oenb[50]}]
set_input_delay 4.0000 -clock [get_clocks {wb_clk_i}] -add_delay [get_ports {la_oenb[51]}]
set_input_delay 4.0000 -clock [get_clocks {wb_clk_i}] -add_delay [get_ports {la_oenb[52]}]
set_input_delay 4.0000 -clock [get_clocks {wb_clk_i}] -add_delay [get_ports {la_oenb[53]}]
set_input_delay 4.0000 -clock [get_clocks {wb_clk_i}] -add_delay [get_ports {la_oenb[54]}]
set_input_delay 4.0000 -clock [get_clocks {wb_clk_i}] -add_delay [get_ports {la_oenb[55]}]
set_input_delay 4.0000 -clock [get_clocks {wb_clk_i}] -add_delay [get_ports {la_oenb[56]}]
set_input_delay 4.0000 -clock [get_clocks {wb_clk_i}] -add_delay [get_ports {la_oenb[57]}]
set_input_delay 4.0000 -clock [get_clocks {wb_clk_i}] -add_delay [get_ports {la_oenb[58]}]
set_input_delay 4.0000 -clock [get_clocks {wb_clk_i}] -add_delay [get_ports {la_oenb[59]}]
set_input_delay 4.0000 -clock [get_clocks {wb_clk_i}] -add_delay [get_ports {la_oenb[5]}]
set_input_delay 4.0000 -clock [get_clocks {wb_clk_i}] -add_delay [get_ports {la_oenb[60]}]
set_input_delay 4.0000 -clock [get_clocks {wb_clk_i}] -add_delay [get_ports {la_oenb[61]}]
set_input_delay 4.0000 -clock [get_clocks {wb_clk_i}] -add_delay [get_ports {la_oenb[62]}]
set_input_delay 4.0000 -clock [get_clocks {wb_clk_i}] -add_delay [get_ports {la_oenb[63]}]
set_input_delay 4.0000 -clock [get_clocks {wb_clk_i}] -add_delay [get_ports {la_oenb[64]}]
set_input_delay 4.0000 -clock [get_clocks {wb_clk_i}] -add_delay [get_ports {la_oenb[65]}]
set_input_delay 4.0000 -clock [get_clocks {wb_clk_i}] -add_delay [get_ports {la_oenb[66]}]
set_input_delay 4.0000 -clock [get_clocks {wb_clk_i}] -add_delay [get_ports {la_oenb[67]}]
set_input_delay 4.0000 -clock [get_clocks {wb_clk_i}] -add_delay [get_ports {la_oenb[68]}]
set_input_delay 4.0000 -clock [get_clocks {wb_clk_i}] -add_delay [get_ports {la_oenb[69]}]
set_input_delay 4.0000 -clock [get_clocks {wb_clk_i}] -add_delay [get_ports {la_oenb[6]}]
set_input_delay 4.0000 -clock [get_clocks {wb_clk_i}] -add_delay [get_ports {la_oenb[70]}]
set_input_delay 4.0000 -clock [get_clocks {wb_clk_i}] -add_delay [get_ports {la_oenb[71]}]
set_input_delay 4.0000 -clock [get_clocks {wb_clk_i}] -add_delay [get_ports {la_oenb[72]}]
set_input_delay 4.0000 -clock [get_clocks {wb_clk_i}] -add_delay [get_ports {la_oenb[73]}]
set_input_delay 4.0000 -clock [get_clocks {wb_clk_i}] -add_delay [get_ports {la_oenb[74]}]
set_input_delay 4.0000 -clock [get_clocks {wb_clk_i}] -add_delay [get_ports {la_oenb[75]}]
set_input_delay 4.0000 -clock [get_clocks {wb_clk_i}] -add_delay [get_ports {la_oenb[76]}]
set_input_delay 4.0000 -clock [get_clocks {wb_clk_i}] -add_delay [get_ports {la_oenb[77]}]
set_input_delay 4.0000 -clock [get_clocks {wb_clk_i}] -add_delay [get_ports {la_oenb[78]}]
set_input_delay 4.0000 -clock [get_clocks {wb_clk_i}] -add_delay [get_ports {la_oenb[79]}]
set_input_delay 4.0000 -clock [get_clocks {wb_clk_i}] -add_delay [get_ports {la_oenb[7]}]
set_input_delay 4.0000 -clock [get_clocks {wb_clk_i}] -add_delay [get_ports {la_oenb[80]}]
set_input_delay 4.0000 -clock [get_clocks {wb_clk_i}] -add_delay [get_ports {la_oenb[81]}]
set_input_delay 4.0000 -clock [get_clocks {wb_clk_i}] -add_delay [get_ports {la_oenb[82]}]
set_input_delay 4.0000 -clock [get_clocks {wb_clk_i}] -add_delay [get_ports {la_oenb[83]}]
set_input_delay 4.0000 -clock [get_clocks {wb_clk_i}] -add_delay [get_ports {la_oenb[84]}]
set_input_delay 4.0000 -clock [get_clocks {wb_clk_i}] -add_delay [get_ports {la_oenb[85]}]
set_input_delay 4.0000 -clock [get_clocks {wb_clk_i}] -add_delay [get_ports {la_oenb[86]}]
set_input_delay 4.0000 -clock [get_clocks {wb_clk_i}] -add_delay [get_ports {la_oenb[87]}]
set_input_delay 4.0000 -clock [get_clocks {wb_clk_i}] -add_delay [get_ports {la_oenb[88]}]
set_input_delay 4.0000 -clock [get_clocks {wb_clk_i}] -add_delay [get_ports {la_oenb[89]}]
set_input_delay 4.0000 -clock [get_clocks {wb_clk_i}] -add_delay [get_ports {la_oenb[8]}]
set_input_delay 4.0000 -clock [get_clocks {wb_clk_i}] -add_delay [get_ports {la_oenb[90]}]
set_input_delay 4.0000 -clock [get_clocks {wb_clk_i}] -add_delay [get_ports {la_oenb[91]}]
set_input_delay 4.0000 -clock [get_clocks {wb_clk_i}] -add_delay [get_ports {la_oenb[92]}]
set_input_delay 4.0000 -clock [get_clocks {wb_clk_i}] -add_delay [get_ports {la_oenb[93]}]
set_input_delay 4.0000 -clock [get_clocks {wb_clk_i}] -add_delay [get_ports {la_oenb[94]}]
set_input_delay 4.0000 -clock [get_clocks {wb_clk_i}] -add_delay [get_ports {la_oenb[95]}]
set_input_delay 4.0000 -clock [get_clocks {wb_clk_i}] -add_delay [get_ports {la_oenb[96]}]
set_input_delay 4.0000 -clock [get_clocks {wb_clk_i}] -add_delay [get_ports {la_oenb[97]}]
set_input_delay 4.0000 -clock [get_clocks {wb_clk_i}] -add_delay [get_ports {la_oenb[98]}]
set_input_delay 4.0000 -clock [get_clocks {wb_clk_i}] -add_delay [get_ports {la_oenb[99]}]
set_input_delay 4.0000 -clock [get_clocks {wb_clk_i}] -add_delay [get_ports {la_oenb[9]}]
set_input_delay 4.0000 -clock [get_clocks {wb_clk_i}] -add_delay [get_ports {user_clock2}]
set_input_delay 4.0000 -clock [get_clocks {wb_clk_i}] -add_delay [get_ports {wb_rst_i}]
set_input_delay 4.0000 -clock [get_clocks {wb_clk_i}] -add_delay [get_ports {wbs_adr_i[0]}]
set_input_delay 4.0000 -clock [get_clocks {wb_clk_i}] -add_delay [get_ports {wbs_adr_i[10]}]
set_input_delay 4.0000 -clock [get_clocks {wb_clk_i}] -add_delay [get_ports {wbs_adr_i[11]}]
set_input_delay 4.0000 -clock [get_clocks {wb_clk_i}] -add_delay [get_ports {wbs_adr_i[12]}]
set_input_delay 4.0000 -clock [get_clocks {wb_clk_i}] -add_delay [get_ports {wbs_adr_i[13]}]
set_input_delay 4.0000 -clock [get_clocks {wb_clk_i}] -add_delay [get_ports {wbs_adr_i[14]}]
set_input_delay 4.0000 -clock [get_clocks {wb_clk_i}] -add_delay [get_ports {wbs_adr_i[15]}]
set_input_delay 4.0000 -clock [get_clocks {wb_clk_i}] -add_delay [get_ports {wbs_adr_i[16]}]
set_input_delay 4.0000 -clock [get_clocks {wb_clk_i}] -add_delay [get_ports {wbs_adr_i[17]}]
set_input_delay 4.0000 -clock [get_clocks {wb_clk_i}] -add_delay [get_ports {wbs_adr_i[18]}]
set_input_delay 4.0000 -clock [get_clocks {wb_clk_i}] -add_delay [get_ports {wbs_adr_i[19]}]
set_input_delay 4.0000 -clock [get_clocks {wb_clk_i}] -add_delay [get_ports {wbs_adr_i[1]}]
set_input_delay 4.0000 -clock [get_clocks {wb_clk_i}] -add_delay [get_ports {wbs_adr_i[20]}]
set_input_delay 4.0000 -clock [get_clocks {wb_clk_i}] -add_delay [get_ports {wbs_adr_i[21]}]
set_input_delay 4.0000 -clock [get_clocks {wb_clk_i}] -add_delay [get_ports {wbs_adr_i[22]}]
set_input_delay 4.0000 -clock [get_clocks {wb_clk_i}] -add_delay [get_ports {wbs_adr_i[23]}]
set_input_delay 4.0000 -clock [get_clocks {wb_clk_i}] -add_delay [get_ports {wbs_adr_i[24]}]
set_input_delay 4.0000 -clock [get_clocks {wb_clk_i}] -add_delay [get_ports {wbs_adr_i[25]}]
set_input_delay 4.0000 -clock [get_clocks {wb_clk_i}] -add_delay [get_ports {wbs_adr_i[26]}]
set_input_delay 4.0000 -clock [get_clocks {wb_clk_i}] -add_delay [get_ports {wbs_adr_i[27]}]
set_input_delay 4.0000 -clock [get_clocks {wb_clk_i}] -add_delay [get_ports {wbs_adr_i[28]}]
set_input_delay 4.0000 -clock [get_clocks {wb_clk_i}] -add_delay [get_ports {wbs_adr_i[29]}]
set_input_delay 4.0000 -clock [get_clocks {wb_clk_i}] -add_delay [get_ports {wbs_adr_i[2]}]
set_input_delay 4.0000 -clock [get_clocks {wb_clk_i}] -add_delay [get_ports {wbs_adr_i[30]}]
set_input_delay 4.0000 -clock [get_clocks {wb_clk_i}] -add_delay [get_ports {wbs_adr_i[31]}]
set_input_delay 4.0000 -clock [get_clocks {wb_clk_i}] -add_delay [get_ports {wbs_adr_i[3]}]
set_input_delay 4.0000 -clock [get_clocks {wb_clk_i}] -add_delay [get_ports {wbs_adr_i[4]}]
set_input_delay 4.0000 -clock [get_clocks {wb_clk_i}] -add_delay [get_ports {wbs_adr_i[5]}]
set_input_delay 4.0000 -clock [get_clocks {wb_clk_i}] -add_delay [get_ports {wbs_adr_i[6]}]
set_input_delay 4.0000 -clock [get_clocks {wb_clk_i}] -add_delay [get_ports {wbs_adr_i[7]}]
set_input_delay 4.0000 -clock [get_clocks {wb_clk_i}] -add_delay [get_ports {wbs_adr_i[8]}]
set_input_delay 4.0000 -clock [get_clocks {wb_clk_i}] -add_delay [get_ports {wbs_adr_i[9]}]
set_input_delay 4.0000 -clock [get_clocks {wb_clk_i}] -add_delay [get_ports {wbs_cyc_i}]
set_input_delay 4.0000 -clock [get_clocks {wb_clk_i}] -add_delay [get_ports {wbs_dat_i[0]}]
set_input_delay 4.0000 -clock [get_clocks {wb_clk_i}] -add_delay [get_ports {wbs_dat_i[10]}]
set_input_delay 4.0000 -clock [get_clocks {wb_clk_i}] -add_delay [get_ports {wbs_dat_i[11]}]
set_input_delay 4.0000 -clock [get_clocks {wb_clk_i}] -add_delay [get_ports {wbs_dat_i[12]}]
set_input_delay 4.0000 -clock [get_clocks {wb_clk_i}] -add_delay [get_ports {wbs_dat_i[13]}]
set_input_delay 4.0000 -clock [get_clocks {wb_clk_i}] -add_delay [get_ports {wbs_dat_i[14]}]
set_input_delay 4.0000 -clock [get_clocks {wb_clk_i}] -add_delay [get_ports {wbs_dat_i[15]}]
set_input_delay 4.0000 -clock [get_clocks {wb_clk_i}] -add_delay [get_ports {wbs_dat_i[16]}]
set_input_delay 4.0000 -clock [get_clocks {wb_clk_i}] -add_delay [get_ports {wbs_dat_i[17]}]
set_input_delay 4.0000 -clock [get_clocks {wb_clk_i}] -add_delay [get_ports {wbs_dat_i[18]}]
set_input_delay 4.0000 -clock [get_clocks {wb_clk_i}] -add_delay [get_ports {wbs_dat_i[19]}]
set_input_delay 4.0000 -clock [get_clocks {wb_clk_i}] -add_delay [get_ports {wbs_dat_i[1]}]
set_input_delay 4.0000 -clock [get_clocks {wb_clk_i}] -add_delay [get_ports {wbs_dat_i[20]}]
set_input_delay 4.0000 -clock [get_clocks {wb_clk_i}] -add_delay [get_ports {wbs_dat_i[21]}]
set_input_delay 4.0000 -clock [get_clocks {wb_clk_i}] -add_delay [get_ports {wbs_dat_i[22]}]
set_input_delay 4.0000 -clock [get_clocks {wb_clk_i}] -add_delay [get_ports {wbs_dat_i[23]}]
set_input_delay 4.0000 -clock [get_clocks {wb_clk_i}] -add_delay [get_ports {wbs_dat_i[24]}]
set_input_delay 4.0000 -clock [get_clocks {wb_clk_i}] -add_delay [get_ports {wbs_dat_i[25]}]
set_input_delay 4.0000 -clock [get_clocks {wb_clk_i}] -add_delay [get_ports {wbs_dat_i[26]}]
set_input_delay 4.0000 -clock [get_clocks {wb_clk_i}] -add_delay [get_ports {wbs_dat_i[27]}]
set_input_delay 4.0000 -clock [get_clocks {wb_clk_i}] -add_delay [get_ports {wbs_dat_i[28]}]
set_input_delay 4.0000 -clock [get_clocks {wb_clk_i}] -add_delay [get_ports {wbs_dat_i[29]}]
set_input_delay 4.0000 -clock [get_clocks {wb_clk_i}] -add_delay [get_ports {wbs_dat_i[2]}]
set_input_delay 4.0000 -clock [get_clocks {wb_clk_i}] -add_delay [get_ports {wbs_dat_i[30]}]
set_input_delay 4.0000 -clock [get_clocks {wb_clk_i}] -add_delay [get_ports {wbs_dat_i[31]}]
set_input_delay 4.0000 -clock [get_clocks {wb_clk_i}] -add_delay [get_ports {wbs_dat_i[3]}]
set_input_delay 4.0000 -clock [get_clocks {wb_clk_i}] -add_delay [get_ports {wbs_dat_i[4]}]
set_input_delay 4.0000 -clock [get_clocks {wb_clk_i}] -add_delay [get_ports {wbs_dat_i[5]}]
set_input_delay 4.0000 -clock [get_clocks {wb_clk_i}] -add_delay [get_ports {wbs_dat_i[6]}]
set_input_delay 4.0000 -clock [get_clocks {wb_clk_i}] -add_delay [get_ports {wbs_dat_i[7]}]
set_input_delay 4.0000 -clock [get_clocks {wb_clk_i}] -add_delay [get_ports {wbs_dat_i[8]}]
set_input_delay 4.0000 -clock [get_clocks {wb_clk_i}] -add_delay [get_ports {wbs_dat_i[9]}]
set_input_delay 4.0000 -clock [get_clocks {wb_clk_i}] -add_delay [get_ports {wbs_sel_i[0]}]
set_input_delay 4.0000 -clock [get_clocks {wb_clk_i}] -add_delay [get_ports {wbs_sel_i[1]}]
set_input_delay 4.0000 -clock [get_clocks {wb_clk_i}] -add_delay [get_ports {wbs_sel_i[2]}]
set_input_delay 4.0000 -clock [get_clocks {wb_clk_i}] -add_delay [get_ports {wbs_sel_i[3]}]
set_input_delay 4.0000 -clock [get_clocks {wb_clk_i}] -add_delay [get_ports {wbs_stb_i}]
set_input_delay 4.0000 -clock [get_clocks {wb_clk_i}] -add_delay [get_ports {wbs_we_i}]
set_output_delay 4.0000 -clock [get_clocks {wb_clk_i}] -add_delay [get_ports {analog_io[0]}]
set_output_delay 4.0000 -clock [get_clocks {wb_clk_i}] -add_delay [get_ports {analog_io[10]}]
set_output_delay 4.0000 -clock [get_clocks {wb_clk_i}] -add_delay [get_ports {analog_io[11]}]
set_output_delay 4.0000 -clock [get_clocks {wb_clk_i}] -add_delay [get_ports {analog_io[12]}]
set_output_delay 4.0000 -clock [get_clocks {wb_clk_i}] -add_delay [get_ports {analog_io[13]}]
set_output_delay 4.0000 -clock [get_clocks {wb_clk_i}] -add_delay [get_ports {analog_io[14]}]
set_output_delay 4.0000 -clock [get_clocks {wb_clk_i}] -add_delay [get_ports {analog_io[15]}]
set_output_delay 4.0000 -clock [get_clocks {wb_clk_i}] -add_delay [get_ports {analog_io[16]}]
set_output_delay 4.0000 -clock [get_clocks {wb_clk_i}] -add_delay [get_ports {analog_io[17]}]
set_output_delay 4.0000 -clock [get_clocks {wb_clk_i}] -add_delay [get_ports {analog_io[18]}]
set_output_delay 4.0000 -clock [get_clocks {wb_clk_i}] -add_delay [get_ports {analog_io[19]}]
set_output_delay 4.0000 -clock [get_clocks {wb_clk_i}] -add_delay [get_ports {analog_io[1]}]
set_output_delay 4.0000 -clock [get_clocks {wb_clk_i}] -add_delay [get_ports {analog_io[20]}]
set_output_delay 4.0000 -clock [get_clocks {wb_clk_i}] -add_delay [get_ports {analog_io[21]}]
set_output_delay 4.0000 -clock [get_clocks {wb_clk_i}] -add_delay [get_ports {analog_io[22]}]
set_output_delay 4.0000 -clock [get_clocks {wb_clk_i}] -add_delay [get_ports {analog_io[23]}]
set_output_delay 4.0000 -clock [get_clocks {wb_clk_i}] -add_delay [get_ports {analog_io[24]}]
set_output_delay 4.0000 -clock [get_clocks {wb_clk_i}] -add_delay [get_ports {analog_io[25]}]
set_output_delay 4.0000 -clock [get_clocks {wb_clk_i}] -add_delay [get_ports {analog_io[26]}]
set_output_delay 4.0000 -clock [get_clocks {wb_clk_i}] -add_delay [get_ports {analog_io[27]}]
set_output_delay 4.0000 -clock [get_clocks {wb_clk_i}] -add_delay [get_ports {analog_io[28]}]
set_output_delay 4.0000 -clock [get_clocks {wb_clk_i}] -add_delay [get_ports {analog_io[2]}]
set_output_delay 4.0000 -clock [get_clocks {wb_clk_i}] -add_delay [get_ports {analog_io[3]}]
set_output_delay 4.0000 -clock [get_clocks {wb_clk_i}] -add_delay [get_ports {analog_io[4]}]
set_output_delay 4.0000 -clock [get_clocks {wb_clk_i}] -add_delay [get_ports {analog_io[5]}]
set_output_delay 4.0000 -clock [get_clocks {wb_clk_i}] -add_delay [get_ports {analog_io[6]}]
set_output_delay 4.0000 -clock [get_clocks {wb_clk_i}] -add_delay [get_ports {analog_io[7]}]
set_output_delay 4.0000 -clock [get_clocks {wb_clk_i}] -add_delay [get_ports {analog_io[8]}]
set_output_delay 4.0000 -clock [get_clocks {wb_clk_i}] -add_delay [get_ports {analog_io[9]}]
set_output_delay 4.0000 -clock [get_clocks {wb_clk_i}] -add_delay [get_ports {io_oeb[0]}]
set_output_delay 4.0000 -clock [get_clocks {wb_clk_i}] -add_delay [get_ports {io_oeb[10]}]
set_output_delay 4.0000 -clock [get_clocks {wb_clk_i}] -add_delay [get_ports {io_oeb[11]}]
set_output_delay 4.0000 -clock [get_clocks {wb_clk_i}] -add_delay [get_ports {io_oeb[12]}]
set_output_delay 4.0000 -clock [get_clocks {wb_clk_i}] -add_delay [get_ports {io_oeb[13]}]
set_output_delay 4.0000 -clock [get_clocks {wb_clk_i}] -add_delay [get_ports {io_oeb[14]}]
set_output_delay 4.0000 -clock [get_clocks {wb_clk_i}] -add_delay [get_ports {io_oeb[15]}]
set_output_delay 4.0000 -clock [get_clocks {wb_clk_i}] -add_delay [get_ports {io_oeb[16]}]
set_output_delay 4.0000 -clock [get_clocks {wb_clk_i}] -add_delay [get_ports {io_oeb[17]}]
set_output_delay 4.0000 -clock [get_clocks {wb_clk_i}] -add_delay [get_ports {io_oeb[18]}]
set_output_delay 4.0000 -clock [get_clocks {wb_clk_i}] -add_delay [get_ports {io_oeb[19]}]
set_output_delay 4.0000 -clock [get_clocks {wb_clk_i}] -add_delay [get_ports {io_oeb[1]}]
set_output_delay 4.0000 -clock [get_clocks {wb_clk_i}] -add_delay [get_ports {io_oeb[20]}]
set_output_delay 4.0000 -clock [get_clocks {wb_clk_i}] -add_delay [get_ports {io_oeb[21]}]
set_output_delay 4.0000 -clock [get_clocks {wb_clk_i}] -add_delay [get_ports {io_oeb[22]}]
set_output_delay 4.0000 -clock [get_clocks {wb_clk_i}] -add_delay [get_ports {io_oeb[23]}]
set_output_delay 4.0000 -clock [get_clocks {wb_clk_i}] -add_delay [get_ports {io_oeb[24]}]
set_output_delay 4.0000 -clock [get_clocks {wb_clk_i}] -add_delay [get_ports {io_oeb[25]}]
set_output_delay 4.0000 -clock [get_clocks {wb_clk_i}] -add_delay [get_ports {io_oeb[26]}]
set_output_delay 4.0000 -clock [get_clocks {wb_clk_i}] -add_delay [get_ports {io_oeb[27]}]
set_output_delay 4.0000 -clock [get_clocks {wb_clk_i}] -add_delay [get_ports {io_oeb[28]}]
set_output_delay 4.0000 -clock [get_clocks {wb_clk_i}] -add_delay [get_ports {io_oeb[29]}]
set_output_delay 4.0000 -clock [get_clocks {wb_clk_i}] -add_delay [get_ports {io_oeb[2]}]
set_output_delay 4.0000 -clock [get_clocks {wb_clk_i}] -add_delay [get_ports {io_oeb[30]}]
set_output_delay 4.0000 -clock [get_clocks {wb_clk_i}] -add_delay [get_ports {io_oeb[31]}]
set_output_delay 4.0000 -clock [get_clocks {wb_clk_i}] -add_delay [get_ports {io_oeb[32]}]
set_output_delay 4.0000 -clock [get_clocks {wb_clk_i}] -add_delay [get_ports {io_oeb[33]}]
set_output_delay 4.0000 -clock [get_clocks {wb_clk_i}] -add_delay [get_ports {io_oeb[34]}]
set_output_delay 4.0000 -clock [get_clocks {wb_clk_i}] -add_delay [get_ports {io_oeb[35]}]
set_output_delay 4.0000 -clock [get_clocks {wb_clk_i}] -add_delay [get_ports {io_oeb[36]}]
set_output_delay 4.0000 -clock [get_clocks {wb_clk_i}] -add_delay [get_ports {io_oeb[37]}]
set_output_delay 4.0000 -clock [get_clocks {wb_clk_i}] -add_delay [get_ports {io_oeb[3]}]
set_output_delay 4.0000 -clock [get_clocks {wb_clk_i}] -add_delay [get_ports {io_oeb[4]}]
set_output_delay 4.0000 -clock [get_clocks {wb_clk_i}] -add_delay [get_ports {io_oeb[5]}]
set_output_delay 4.0000 -clock [get_clocks {wb_clk_i}] -add_delay [get_ports {io_oeb[6]}]
set_output_delay 4.0000 -clock [get_clocks {wb_clk_i}] -add_delay [get_ports {io_oeb[7]}]
set_output_delay 4.0000 -clock [get_clocks {wb_clk_i}] -add_delay [get_ports {io_oeb[8]}]
set_output_delay 4.0000 -clock [get_clocks {wb_clk_i}] -add_delay [get_ports {io_oeb[9]}]
set_output_delay 4.0000 -clock [get_clocks {wb_clk_i}] -add_delay [get_ports {io_out[0]}]
set_output_delay 4.0000 -clock [get_clocks {wb_clk_i}] -add_delay [get_ports {io_out[10]}]
set_output_delay 4.0000 -clock [get_clocks {wb_clk_i}] -add_delay [get_ports {io_out[11]}]
set_output_delay 4.0000 -clock [get_clocks {wb_clk_i}] -add_delay [get_ports {io_out[12]}]
set_output_delay 4.0000 -clock [get_clocks {wb_clk_i}] -add_delay [get_ports {io_out[13]}]
set_output_delay 4.0000 -clock [get_clocks {wb_clk_i}] -add_delay [get_ports {io_out[14]}]
set_output_delay 4.0000 -clock [get_clocks {wb_clk_i}] -add_delay [get_ports {io_out[15]}]
set_output_delay 4.0000 -clock [get_clocks {wb_clk_i}] -add_delay [get_ports {io_out[16]}]
set_output_delay 4.0000 -clock [get_clocks {wb_clk_i}] -add_delay [get_ports {io_out[17]}]
set_output_delay 4.0000 -clock [get_clocks {wb_clk_i}] -add_delay [get_ports {io_out[18]}]
set_output_delay 4.0000 -clock [get_clocks {wb_clk_i}] -add_delay [get_ports {io_out[19]}]
set_output_delay 4.0000 -clock [get_clocks {wb_clk_i}] -add_delay [get_ports {io_out[1]}]
set_output_delay 4.0000 -clock [get_clocks {wb_clk_i}] -add_delay [get_ports {io_out[20]}]
set_output_delay 4.0000 -clock [get_clocks {wb_clk_i}] -add_delay [get_ports {io_out[21]}]
set_output_delay 4.0000 -clock [get_clocks {wb_clk_i}] -add_delay [get_ports {io_out[22]}]
set_output_delay 4.0000 -clock [get_clocks {wb_clk_i}] -add_delay [get_ports {io_out[23]}]
set_output_delay 4.0000 -clock [get_clocks {wb_clk_i}] -add_delay [get_ports {io_out[24]}]
set_output_delay 4.0000 -clock [get_clocks {wb_clk_i}] -add_delay [get_ports {io_out[25]}]
set_output_delay 4.0000 -clock [get_clocks {wb_clk_i}] -add_delay [get_ports {io_out[26]}]
set_output_delay 4.0000 -clock [get_clocks {wb_clk_i}] -add_delay [get_ports {io_out[27]}]
set_output_delay 4.0000 -clock [get_clocks {wb_clk_i}] -add_delay [get_ports {io_out[28]}]
set_output_delay 4.0000 -clock [get_clocks {wb_clk_i}] -add_delay [get_ports {io_out[29]}]
set_output_delay 4.0000 -clock [get_clocks {wb_clk_i}] -add_delay [get_ports {io_out[2]}]
set_output_delay 4.0000 -clock [get_clocks {wb_clk_i}] -add_delay [get_ports {io_out[30]}]
set_output_delay 4.0000 -clock [get_clocks {wb_clk_i}] -add_delay [get_ports {io_out[31]}]
set_output_delay 4.0000 -clock [get_clocks {wb_clk_i}] -add_delay [get_ports {io_out[32]}]
set_output_delay 4.0000 -clock [get_clocks {wb_clk_i}] -add_delay [get_ports {io_out[33]}]
set_output_delay 4.0000 -clock [get_clocks {wb_clk_i}] -add_delay [get_ports {io_out[34]}]
set_output_delay 4.0000 -clock [get_clocks {wb_clk_i}] -add_delay [get_ports {io_out[35]}]
set_output_delay 4.0000 -clock [get_clocks {wb_clk_i}] -add_delay [get_ports {io_out[36]}]
set_output_delay 4.0000 -clock [get_clocks {wb_clk_i}] -add_delay [get_ports {io_out[37]}]
set_output_delay 4.0000 -clock [get_clocks {wb_clk_i}] -add_delay [get_ports {io_out[3]}]
set_output_delay 4.0000 -clock [get_clocks {wb_clk_i}] -add_delay [get_ports {io_out[4]}]
set_output_delay 4.0000 -clock [get_clocks {wb_clk_i}] -add_delay [get_ports {io_out[5]}]
set_output_delay 4.0000 -clock [get_clocks {wb_clk_i}] -add_delay [get_ports {io_out[6]}]
set_output_delay 4.0000 -clock [get_clocks {wb_clk_i}] -add_delay [get_ports {io_out[7]}]
set_output_delay 4.0000 -clock [get_clocks {wb_clk_i}] -add_delay [get_ports {io_out[8]}]
set_output_delay 4.0000 -clock [get_clocks {wb_clk_i}] -add_delay [get_ports {io_out[9]}]
set_output_delay 4.0000 -clock [get_clocks {wb_clk_i}] -add_delay [get_ports {la_data_out[0]}]
set_output_delay 4.0000 -clock [get_clocks {wb_clk_i}] -add_delay [get_ports {la_data_out[100]}]
set_output_delay 4.0000 -clock [get_clocks {wb_clk_i}] -add_delay [get_ports {la_data_out[101]}]
set_output_delay 4.0000 -clock [get_clocks {wb_clk_i}] -add_delay [get_ports {la_data_out[102]}]
set_output_delay 4.0000 -clock [get_clocks {wb_clk_i}] -add_delay [get_ports {la_data_out[103]}]
set_output_delay 4.0000 -clock [get_clocks {wb_clk_i}] -add_delay [get_ports {la_data_out[104]}]
set_output_delay 4.0000 -clock [get_clocks {wb_clk_i}] -add_delay [get_ports {la_data_out[105]}]
set_output_delay 4.0000 -clock [get_clocks {wb_clk_i}] -add_delay [get_ports {la_data_out[106]}]
set_output_delay 4.0000 -clock [get_clocks {wb_clk_i}] -add_delay [get_ports {la_data_out[107]}]
set_output_delay 4.0000 -clock [get_clocks {wb_clk_i}] -add_delay [get_ports {la_data_out[108]}]
set_output_delay 4.0000 -clock [get_clocks {wb_clk_i}] -add_delay [get_ports {la_data_out[109]}]
set_output_delay 4.0000 -clock [get_clocks {wb_clk_i}] -add_delay [get_ports {la_data_out[10]}]
set_output_delay 4.0000 -clock [get_clocks {wb_clk_i}] -add_delay [get_ports {la_data_out[110]}]
set_output_delay 4.0000 -clock [get_clocks {wb_clk_i}] -add_delay [get_ports {la_data_out[111]}]
set_output_delay 4.0000 -clock [get_clocks {wb_clk_i}] -add_delay [get_ports {la_data_out[112]}]
set_output_delay 4.0000 -clock [get_clocks {wb_clk_i}] -add_delay [get_ports {la_data_out[113]}]
set_output_delay 4.0000 -clock [get_clocks {wb_clk_i}] -add_delay [get_ports {la_data_out[114]}]
set_output_delay 4.0000 -clock [get_clocks {wb_clk_i}] -add_delay [get_ports {la_data_out[115]}]
set_output_delay 4.0000 -clock [get_clocks {wb_clk_i}] -add_delay [get_ports {la_data_out[116]}]
set_output_delay 4.0000 -clock [get_clocks {wb_clk_i}] -add_delay [get_ports {la_data_out[117]}]
set_output_delay 4.0000 -clock [get_clocks {wb_clk_i}] -add_delay [get_ports {la_data_out[118]}]
set_output_delay 4.0000 -clock [get_clocks {wb_clk_i}] -add_delay [get_ports {la_data_out[119]}]
set_output_delay 4.0000 -clock [get_clocks {wb_clk_i}] -add_delay [get_ports {la_data_out[11]}]
set_output_delay 4.0000 -clock [get_clocks {wb_clk_i}] -add_delay [get_ports {la_data_out[120]}]
set_output_delay 4.0000 -clock [get_clocks {wb_clk_i}] -add_delay [get_ports {la_data_out[121]}]
set_output_delay 4.0000 -clock [get_clocks {wb_clk_i}] -add_delay [get_ports {la_data_out[122]}]
set_output_delay 4.0000 -clock [get_clocks {wb_clk_i}] -add_delay [get_ports {la_data_out[123]}]
set_output_delay 4.0000 -clock [get_clocks {wb_clk_i}] -add_delay [get_ports {la_data_out[124]}]
set_output_delay 4.0000 -clock [get_clocks {wb_clk_i}] -add_delay [get_ports {la_data_out[125]}]
set_output_delay 4.0000 -clock [get_clocks {wb_clk_i}] -add_delay [get_ports {la_data_out[126]}]
set_output_delay 4.0000 -clock [get_clocks {wb_clk_i}] -add_delay [get_ports {la_data_out[127]}]
set_output_delay 4.0000 -clock [get_clocks {wb_clk_i}] -add_delay [get_ports {la_data_out[12]}]
set_output_delay 4.0000 -clock [get_clocks {wb_clk_i}] -add_delay [get_ports {la_data_out[13]}]
set_output_delay 4.0000 -clock [get_clocks {wb_clk_i}] -add_delay [get_ports {la_data_out[14]}]
set_output_delay 4.0000 -clock [get_clocks {wb_clk_i}] -add_delay [get_ports {la_data_out[15]}]
set_output_delay 4.0000 -clock [get_clocks {wb_clk_i}] -add_delay [get_ports {la_data_out[16]}]
set_output_delay 4.0000 -clock [get_clocks {wb_clk_i}] -add_delay [get_ports {la_data_out[17]}]
set_output_delay 4.0000 -clock [get_clocks {wb_clk_i}] -add_delay [get_ports {la_data_out[18]}]
set_output_delay 4.0000 -clock [get_clocks {wb_clk_i}] -add_delay [get_ports {la_data_out[19]}]
set_output_delay 4.0000 -clock [get_clocks {wb_clk_i}] -add_delay [get_ports {la_data_out[1]}]
set_output_delay 4.0000 -clock [get_clocks {wb_clk_i}] -add_delay [get_ports {la_data_out[20]}]
set_output_delay 4.0000 -clock [get_clocks {wb_clk_i}] -add_delay [get_ports {la_data_out[21]}]
set_output_delay 4.0000 -clock [get_clocks {wb_clk_i}] -add_delay [get_ports {la_data_out[22]}]
set_output_delay 4.0000 -clock [get_clocks {wb_clk_i}] -add_delay [get_ports {la_data_out[23]}]
set_output_delay 4.0000 -clock [get_clocks {wb_clk_i}] -add_delay [get_ports {la_data_out[24]}]
set_output_delay 4.0000 -clock [get_clocks {wb_clk_i}] -add_delay [get_ports {la_data_out[25]}]
set_output_delay 4.0000 -clock [get_clocks {wb_clk_i}] -add_delay [get_ports {la_data_out[26]}]
set_output_delay 4.0000 -clock [get_clocks {wb_clk_i}] -add_delay [get_ports {la_data_out[27]}]
set_output_delay 4.0000 -clock [get_clocks {wb_clk_i}] -add_delay [get_ports {la_data_out[28]}]
set_output_delay 4.0000 -clock [get_clocks {wb_clk_i}] -add_delay [get_ports {la_data_out[29]}]
set_output_delay 4.0000 -clock [get_clocks {wb_clk_i}] -add_delay [get_ports {la_data_out[2]}]
set_output_delay 4.0000 -clock [get_clocks {wb_clk_i}] -add_delay [get_ports {la_data_out[30]}]
set_output_delay 4.0000 -clock [get_clocks {wb_clk_i}] -add_delay [get_ports {la_data_out[31]}]
set_output_delay 4.0000 -clock [get_clocks {wb_clk_i}] -add_delay [get_ports {la_data_out[32]}]
set_output_delay 4.0000 -clock [get_clocks {wb_clk_i}] -add_delay [get_ports {la_data_out[33]}]
set_output_delay 4.0000 -clock [get_clocks {wb_clk_i}] -add_delay [get_ports {la_data_out[34]}]
set_output_delay 4.0000 -clock [get_clocks {wb_clk_i}] -add_delay [get_ports {la_data_out[35]}]
set_output_delay 4.0000 -clock [get_clocks {wb_clk_i}] -add_delay [get_ports {la_data_out[36]}]
set_output_delay 4.0000 -clock [get_clocks {wb_clk_i}] -add_delay [get_ports {la_data_out[37]}]
set_output_delay 4.0000 -clock [get_clocks {wb_clk_i}] -add_delay [get_ports {la_data_out[38]}]
set_output_delay 4.0000 -clock [get_clocks {wb_clk_i}] -add_delay [get_ports {la_data_out[39]}]
set_output_delay 4.0000 -clock [get_clocks {wb_clk_i}] -add_delay [get_ports {la_data_out[3]}]
set_output_delay 4.0000 -clock [get_clocks {wb_clk_i}] -add_delay [get_ports {la_data_out[40]}]
set_output_delay 4.0000 -clock [get_clocks {wb_clk_i}] -add_delay [get_ports {la_data_out[41]}]
set_output_delay 4.0000 -clock [get_clocks {wb_clk_i}] -add_delay [get_ports {la_data_out[42]}]
set_output_delay 4.0000 -clock [get_clocks {wb_clk_i}] -add_delay [get_ports {la_data_out[43]}]
set_output_delay 4.0000 -clock [get_clocks {wb_clk_i}] -add_delay [get_ports {la_data_out[44]}]
set_output_delay 4.0000 -clock [get_clocks {wb_clk_i}] -add_delay [get_ports {la_data_out[45]}]
set_output_delay 4.0000 -clock [get_clocks {wb_clk_i}] -add_delay [get_ports {la_data_out[46]}]
set_output_delay 4.0000 -clock [get_clocks {wb_clk_i}] -add_delay [get_ports {la_data_out[47]}]
set_output_delay 4.0000 -clock [get_clocks {wb_clk_i}] -add_delay [get_ports {la_data_out[48]}]
set_output_delay 4.0000 -clock [get_clocks {wb_clk_i}] -add_delay [get_ports {la_data_out[49]}]
set_output_delay 4.0000 -clock [get_clocks {wb_clk_i}] -add_delay [get_ports {la_data_out[4]}]
set_output_delay 4.0000 -clock [get_clocks {wb_clk_i}] -add_delay [get_ports {la_data_out[50]}]
set_output_delay 4.0000 -clock [get_clocks {wb_clk_i}] -add_delay [get_ports {la_data_out[51]}]
set_output_delay 4.0000 -clock [get_clocks {wb_clk_i}] -add_delay [get_ports {la_data_out[52]}]
set_output_delay 4.0000 -clock [get_clocks {wb_clk_i}] -add_delay [get_ports {la_data_out[53]}]
set_output_delay 4.0000 -clock [get_clocks {wb_clk_i}] -add_delay [get_ports {la_data_out[54]}]
set_output_delay 4.0000 -clock [get_clocks {wb_clk_i}] -add_delay [get_ports {la_data_out[55]}]
set_output_delay 4.0000 -clock [get_clocks {wb_clk_i}] -add_delay [get_ports {la_data_out[56]}]
set_output_delay 4.0000 -clock [get_clocks {wb_clk_i}] -add_delay [get_ports {la_data_out[57]}]
set_output_delay 4.0000 -clock [get_clocks {wb_clk_i}] -add_delay [get_ports {la_data_out[58]}]
set_output_delay 4.0000 -clock [get_clocks {wb_clk_i}] -add_delay [get_ports {la_data_out[59]}]
set_output_delay 4.0000 -clock [get_clocks {wb_clk_i}] -add_delay [get_ports {la_data_out[5]}]
set_output_delay 4.0000 -clock [get_clocks {wb_clk_i}] -add_delay [get_ports {la_data_out[60]}]
set_output_delay 4.0000 -clock [get_clocks {wb_clk_i}] -add_delay [get_ports {la_data_out[61]}]
set_output_delay 4.0000 -clock [get_clocks {wb_clk_i}] -add_delay [get_ports {la_data_out[62]}]
set_output_delay 4.0000 -clock [get_clocks {wb_clk_i}] -add_delay [get_ports {la_data_out[63]}]
set_output_delay 4.0000 -clock [get_clocks {wb_clk_i}] -add_delay [get_ports {la_data_out[64]}]
set_output_delay 4.0000 -clock [get_clocks {wb_clk_i}] -add_delay [get_ports {la_data_out[65]}]
set_output_delay 4.0000 -clock [get_clocks {wb_clk_i}] -add_delay [get_ports {la_data_out[66]}]
set_output_delay 4.0000 -clock [get_clocks {wb_clk_i}] -add_delay [get_ports {la_data_out[67]}]
set_output_delay 4.0000 -clock [get_clocks {wb_clk_i}] -add_delay [get_ports {la_data_out[68]}]
set_output_delay 4.0000 -clock [get_clocks {wb_clk_i}] -add_delay [get_ports {la_data_out[69]}]
set_output_delay 4.0000 -clock [get_clocks {wb_clk_i}] -add_delay [get_ports {la_data_out[6]}]
set_output_delay 4.0000 -clock [get_clocks {wb_clk_i}] -add_delay [get_ports {la_data_out[70]}]
set_output_delay 4.0000 -clock [get_clocks {wb_clk_i}] -add_delay [get_ports {la_data_out[71]}]
set_output_delay 4.0000 -clock [get_clocks {wb_clk_i}] -add_delay [get_ports {la_data_out[72]}]
set_output_delay 4.0000 -clock [get_clocks {wb_clk_i}] -add_delay [get_ports {la_data_out[73]}]
set_output_delay 4.0000 -clock [get_clocks {wb_clk_i}] -add_delay [get_ports {la_data_out[74]}]
set_output_delay 4.0000 -clock [get_clocks {wb_clk_i}] -add_delay [get_ports {la_data_out[75]}]
set_output_delay 4.0000 -clock [get_clocks {wb_clk_i}] -add_delay [get_ports {la_data_out[76]}]
set_output_delay 4.0000 -clock [get_clocks {wb_clk_i}] -add_delay [get_ports {la_data_out[77]}]
set_output_delay 4.0000 -clock [get_clocks {wb_clk_i}] -add_delay [get_ports {la_data_out[78]}]
set_output_delay 4.0000 -clock [get_clocks {wb_clk_i}] -add_delay [get_ports {la_data_out[79]}]
set_output_delay 4.0000 -clock [get_clocks {wb_clk_i}] -add_delay [get_ports {la_data_out[7]}]
set_output_delay 4.0000 -clock [get_clocks {wb_clk_i}] -add_delay [get_ports {la_data_out[80]}]
set_output_delay 4.0000 -clock [get_clocks {wb_clk_i}] -add_delay [get_ports {la_data_out[81]}]
set_output_delay 4.0000 -clock [get_clocks {wb_clk_i}] -add_delay [get_ports {la_data_out[82]}]
set_output_delay 4.0000 -clock [get_clocks {wb_clk_i}] -add_delay [get_ports {la_data_out[83]}]
set_output_delay 4.0000 -clock [get_clocks {wb_clk_i}] -add_delay [get_ports {la_data_out[84]}]
set_output_delay 4.0000 -clock [get_clocks {wb_clk_i}] -add_delay [get_ports {la_data_out[85]}]
set_output_delay 4.0000 -clock [get_clocks {wb_clk_i}] -add_delay [get_ports {la_data_out[86]}]
set_output_delay 4.0000 -clock [get_clocks {wb_clk_i}] -add_delay [get_ports {la_data_out[87]}]
set_output_delay 4.0000 -clock [get_clocks {wb_clk_i}] -add_delay [get_ports {la_data_out[88]}]
set_output_delay 4.0000 -clock [get_clocks {wb_clk_i}] -add_delay [get_ports {la_data_out[89]}]
set_output_delay 4.0000 -clock [get_clocks {wb_clk_i}] -add_delay [get_ports {la_data_out[8]}]
set_output_delay 4.0000 -clock [get_clocks {wb_clk_i}] -add_delay [get_ports {la_data_out[90]}]
set_output_delay 4.0000 -clock [get_clocks {wb_clk_i}] -add_delay [get_ports {la_data_out[91]}]
set_output_delay 4.0000 -clock [get_clocks {wb_clk_i}] -add_delay [get_ports {la_data_out[92]}]
set_output_delay 4.0000 -clock [get_clocks {wb_clk_i}] -add_delay [get_ports {la_data_out[93]}]
set_output_delay 4.0000 -clock [get_clocks {wb_clk_i}] -add_delay [get_ports {la_data_out[94]}]
set_output_delay 4.0000 -clock [get_clocks {wb_clk_i}] -add_delay [get_ports {la_data_out[95]}]
set_output_delay 4.0000 -clock [get_clocks {wb_clk_i}] -add_delay [get_ports {la_data_out[96]}]
set_output_delay 4.0000 -clock [get_clocks {wb_clk_i}] -add_delay [get_ports {la_data_out[97]}]
set_output_delay 4.0000 -clock [get_clocks {wb_clk_i}] -add_delay [get_ports {la_data_out[98]}]
set_output_delay 4.0000 -clock [get_clocks {wb_clk_i}] -add_delay [get_ports {la_data_out[99]}]
set_output_delay 4.0000 -clock [get_clocks {wb_clk_i}] -add_delay [get_ports {la_data_out[9]}]
set_output_delay 4.0000 -clock [get_clocks {wb_clk_i}] -add_delay [get_ports {user_irq[0]}]
set_output_delay 4.0000 -clock [get_clocks {wb_clk_i}] -add_delay [get_ports {user_irq[1]}]
set_output_delay 4.0000 -clock [get_clocks {wb_clk_i}] -add_delay [get_ports {user_irq[2]}]
set_output_delay 4.0000 -clock [get_clocks {wb_clk_i}] -add_delay [get_ports {wbs_ack_o}]
set_output_delay 4.0000 -clock [get_clocks {wb_clk_i}] -add_delay [get_ports {wbs_dat_o[0]}]
set_output_delay 4.0000 -clock [get_clocks {wb_clk_i}] -add_delay [get_ports {wbs_dat_o[10]}]
set_output_delay 4.0000 -clock [get_clocks {wb_clk_i}] -add_delay [get_ports {wbs_dat_o[11]}]
set_output_delay 4.0000 -clock [get_clocks {wb_clk_i}] -add_delay [get_ports {wbs_dat_o[12]}]
set_output_delay 4.0000 -clock [get_clocks {wb_clk_i}] -add_delay [get_ports {wbs_dat_o[13]}]
set_output_delay 4.0000 -clock [get_clocks {wb_clk_i}] -add_delay [get_ports {wbs_dat_o[14]}]
set_output_delay 4.0000 -clock [get_clocks {wb_clk_i}] -add_delay [get_ports {wbs_dat_o[15]}]
set_output_delay 4.0000 -clock [get_clocks {wb_clk_i}] -add_delay [get_ports {wbs_dat_o[16]}]
set_output_delay 4.0000 -clock [get_clocks {wb_clk_i}] -add_delay [get_ports {wbs_dat_o[17]}]
set_output_delay 4.0000 -clock [get_clocks {wb_clk_i}] -add_delay [get_ports {wbs_dat_o[18]}]
set_output_delay 4.0000 -clock [get_clocks {wb_clk_i}] -add_delay [get_ports {wbs_dat_o[19]}]
set_output_delay 4.0000 -clock [get_clocks {wb_clk_i}] -add_delay [get_ports {wbs_dat_o[1]}]
set_output_delay 4.0000 -clock [get_clocks {wb_clk_i}] -add_delay [get_ports {wbs_dat_o[20]}]
set_output_delay 4.0000 -clock [get_clocks {wb_clk_i}] -add_delay [get_ports {wbs_dat_o[21]}]
set_output_delay 4.0000 -clock [get_clocks {wb_clk_i}] -add_delay [get_ports {wbs_dat_o[22]}]
set_output_delay 4.0000 -clock [get_clocks {wb_clk_i}] -add_delay [get_ports {wbs_dat_o[23]}]
set_output_delay 4.0000 -clock [get_clocks {wb_clk_i}] -add_delay [get_ports {wbs_dat_o[24]}]
set_output_delay 4.0000 -clock [get_clocks {wb_clk_i}] -add_delay [get_ports {wbs_dat_o[25]}]
set_output_delay 4.0000 -clock [get_clocks {wb_clk_i}] -add_delay [get_ports {wbs_dat_o[26]}]
set_output_delay 4.0000 -clock [get_clocks {wb_clk_i}] -add_delay [get_ports {wbs_dat_o[27]}]
set_output_delay 4.0000 -clock [get_clocks {wb_clk_i}] -add_delay [get_ports {wbs_dat_o[28]}]
set_output_delay 4.0000 -clock [get_clocks {wb_clk_i}] -add_delay [get_ports {wbs_dat_o[29]}]
set_output_delay 4.0000 -clock [get_clocks {wb_clk_i}] -add_delay [get_ports {wbs_dat_o[2]}]
set_output_delay 4.0000 -clock [get_clocks {wb_clk_i}] -add_delay [get_ports {wbs_dat_o[30]}]
set_output_delay 4.0000 -clock [get_clocks {wb_clk_i}] -add_delay [get_ports {wbs_dat_o[31]}]
set_output_delay 4.0000 -clock [get_clocks {wb_clk_i}] -add_delay [get_ports {wbs_dat_o[3]}]
set_output_delay 4.0000 -clock [get_clocks {wb_clk_i}] -add_delay [get_ports {wbs_dat_o[4]}]
set_output_delay 4.0000 -clock [get_clocks {wb_clk_i}] -add_delay [get_ports {wbs_dat_o[5]}]
set_output_delay 4.0000 -clock [get_clocks {wb_clk_i}] -add_delay [get_ports {wbs_dat_o[6]}]
set_output_delay 4.0000 -clock [get_clocks {wb_clk_i}] -add_delay [get_ports {wbs_dat_o[7]}]
set_output_delay 4.0000 -clock [get_clocks {wb_clk_i}] -add_delay [get_ports {wbs_dat_o[8]}]
set_output_delay 4.0000 -clock [get_clocks {wb_clk_i}] -add_delay [get_ports {wbs_dat_o[9]}]
###############################################################################
# Environment
###############################################################################
set_load -pin_load 0.0334 [get_ports {wbs_ack_o}]
set_load -pin_load 0.0334 [get_ports {analog_io[28]}]
set_load -pin_load 0.0334 [get_ports {analog_io[27]}]
set_load -pin_load 0.0334 [get_ports {analog_io[26]}]
set_load -pin_load 0.0334 [get_ports {analog_io[25]}]
set_load -pin_load 0.0334 [get_ports {analog_io[24]}]
set_load -pin_load 0.0334 [get_ports {analog_io[23]}]
set_load -pin_load 0.0334 [get_ports {analog_io[22]}]
set_load -pin_load 0.0334 [get_ports {analog_io[21]}]
set_load -pin_load 0.0334 [get_ports {analog_io[20]}]
set_load -pin_load 0.0334 [get_ports {analog_io[19]}]
set_load -pin_load 0.0334 [get_ports {analog_io[18]}]
set_load -pin_load 0.0334 [get_ports {analog_io[17]}]
set_load -pin_load 0.0334 [get_ports {analog_io[16]}]
set_load -pin_load 0.0334 [get_ports {analog_io[15]}]
set_load -pin_load 0.0334 [get_ports {analog_io[14]}]
set_load -pin_load 0.0334 [get_ports {analog_io[13]}]
set_load -pin_load 0.0334 [get_ports {analog_io[12]}]
set_load -pin_load 0.0334 [get_ports {analog_io[11]}]
set_load -pin_load 0.0334 [get_ports {analog_io[10]}]
set_load -pin_load 0.0334 [get_ports {analog_io[9]}]
set_load -pin_load 0.0334 [get_ports {analog_io[8]}]
set_load -pin_load 0.0334 [get_ports {analog_io[7]}]
set_load -pin_load 0.0334 [get_ports {analog_io[6]}]
set_load -pin_load 0.0334 [get_ports {analog_io[5]}]
set_load -pin_load 0.0334 [get_ports {analog_io[4]}]
set_load -pin_load 0.0334 [get_ports {analog_io[3]}]
set_load -pin_load 0.0334 [get_ports {analog_io[2]}]
set_load -pin_load 0.0334 [get_ports {analog_io[1]}]
set_load -pin_load 0.0334 [get_ports {analog_io[0]}]
set_load -pin_load 0.0334 [get_ports {io_oeb[37]}]
set_load -pin_load 0.0334 [get_ports {io_oeb[36]}]
set_load -pin_load 0.0334 [get_ports {io_oeb[35]}]
set_load -pin_load 0.0334 [get_ports {io_oeb[34]}]
set_load -pin_load 0.0334 [get_ports {io_oeb[33]}]
set_load -pin_load 0.0334 [get_ports {io_oeb[32]}]
set_load -pin_load 0.0334 [get_ports {io_oeb[31]}]
set_load -pin_load 0.0334 [get_ports {io_oeb[30]}]
set_load -pin_load 0.0334 [get_ports {io_oeb[29]}]
set_load -pin_load 0.0334 [get_ports {io_oeb[28]}]
set_load -pin_load 0.0334 [get_ports {io_oeb[27]}]
set_load -pin_load 0.0334 [get_ports {io_oeb[26]}]
set_load -pin_load 0.0334 [get_ports {io_oeb[25]}]
set_load -pin_load 0.0334 [get_ports {io_oeb[24]}]
set_load -pin_load 0.0334 [get_ports {io_oeb[23]}]
set_load -pin_load 0.0334 [get_ports {io_oeb[22]}]
set_load -pin_load 0.0334 [get_ports {io_oeb[21]}]
set_load -pin_load 0.0334 [get_ports {io_oeb[20]}]
set_load -pin_load 0.0334 [get_ports {io_oeb[19]}]
set_load -pin_load 0.0334 [get_ports {io_oeb[18]}]
set_load -pin_load 0.0334 [get_ports {io_oeb[17]}]
set_load -pin_load 0.0334 [get_ports {io_oeb[16]}]
set_load -pin_load 0.0334 [get_ports {io_oeb[15]}]
set_load -pin_load 0.0334 [get_ports {io_oeb[14]}]
set_load -pin_load 0.0334 [get_ports {io_oeb[13]}]
set_load -pin_load 0.0334 [get_ports {io_oeb[12]}]
set_load -pin_load 0.0334 [get_ports {io_oeb[11]}]
set_load -pin_load 0.0334 [get_ports {io_oeb[10]}]
set_load -pin_load 0.0334 [get_ports {io_oeb[9]}]
set_load -pin_load 0.0334 [get_ports {io_oeb[8]}]
set_load -pin_load 0.0334 [get_ports {io_oeb[7]}]
set_load -pin_load 0.0334 [get_ports {io_oeb[6]}]
set_load -pin_load 0.0334 [get_ports {io_oeb[5]}]
set_load -pin_load 0.0334 [get_ports {io_oeb[4]}]
set_load -pin_load 0.0334 [get_ports {io_oeb[3]}]
set_load -pin_load 0.0334 [get_ports {io_oeb[2]}]
set_load -pin_load 0.0334 [get_ports {io_oeb[1]}]
set_load -pin_load 0.0334 [get_ports {io_oeb[0]}]
set_load -pin_load 0.0334 [get_ports {io_out[37]}]
set_load -pin_load 0.0334 [get_ports {io_out[36]}]
set_load -pin_load 0.0334 [get_ports {io_out[35]}]
set_load -pin_load 0.0334 [get_ports {io_out[34]}]
set_load -pin_load 0.0334 [get_ports {io_out[33]}]
set_load -pin_load 0.0334 [get_ports {io_out[32]}]
set_load -pin_load 0.0334 [get_ports {io_out[31]}]
set_load -pin_load 0.0334 [get_ports {io_out[30]}]
set_load -pin_load 0.0334 [get_ports {io_out[29]}]
set_load -pin_load 0.0334 [get_ports {io_out[28]}]
set_load -pin_load 0.0334 [get_ports {io_out[27]}]
set_load -pin_load 0.0334 [get_ports {io_out[26]}]
set_load -pin_load 0.0334 [get_ports {io_out[25]}]
set_load -pin_load 0.0334 [get_ports {io_out[24]}]
set_load -pin_load 0.0334 [get_ports {io_out[23]}]
set_load -pin_load 0.0334 [get_ports {io_out[22]}]
set_load -pin_load 0.0334 [get_ports {io_out[21]}]
set_load -pin_load 0.0334 [get_ports {io_out[20]}]
set_load -pin_load 0.0334 [get_ports {io_out[19]}]
set_load -pin_load 0.0334 [get_ports {io_out[18]}]
set_load -pin_load 0.0334 [get_ports {io_out[17]}]
set_load -pin_load 0.0334 [get_ports {io_out[16]}]
set_load -pin_load 0.0334 [get_ports {io_out[15]}]
set_load -pin_load 0.0334 [get_ports {io_out[14]}]
set_load -pin_load 0.0334 [get_ports {io_out[13]}]
set_load -pin_load 0.0334 [get_ports {io_out[12]}]
set_load -pin_load 0.0334 [get_ports {io_out[11]}]
set_load -pin_load 0.0334 [get_ports {io_out[10]}]
set_load -pin_load 0.0334 [get_ports {io_out[9]}]
set_load -pin_load 0.0334 [get_ports {io_out[8]}]
set_load -pin_load 0.0334 [get_ports {io_out[7]}]
set_load -pin_load 0.0334 [get_ports {io_out[6]}]
set_load -pin_load 0.0334 [get_ports {io_out[5]}]
set_load -pin_load 0.0334 [get_ports {io_out[4]}]
set_load -pin_load 0.0334 [get_ports {io_out[3]}]
set_load -pin_load 0.0334 [get_ports {io_out[2]}]
set_load -pin_load 0.0334 [get_ports {io_out[1]}]
set_load -pin_load 0.0334 [get_ports {io_out[0]}]
set_load -pin_load 0.0334 [get_ports {la_data_out[127]}]
set_load -pin_load 0.0334 [get_ports {la_data_out[126]}]
set_load -pin_load 0.0334 [get_ports {la_data_out[125]}]
set_load -pin_load 0.0334 [get_ports {la_data_out[124]}]
set_load -pin_load 0.0334 [get_ports {la_data_out[123]}]
set_load -pin_load 0.0334 [get_ports {la_data_out[122]}]
set_load -pin_load 0.0334 [get_ports {la_data_out[121]}]
set_load -pin_load 0.0334 [get_ports {la_data_out[120]}]
set_load -pin_load 0.0334 [get_ports {la_data_out[119]}]
set_load -pin_load 0.0334 [get_ports {la_data_out[118]}]
set_load -pin_load 0.0334 [get_ports {la_data_out[117]}]
set_load -pin_load 0.0334 [get_ports {la_data_out[116]}]
set_load -pin_load 0.0334 [get_ports {la_data_out[115]}]
set_load -pin_load 0.0334 [get_ports {la_data_out[114]}]
set_load -pin_load 0.0334 [get_ports {la_data_out[113]}]
set_load -pin_load 0.0334 [get_ports {la_data_out[112]}]
set_load -pin_load 0.0334 [get_ports {la_data_out[111]}]
set_load -pin_load 0.0334 [get_ports {la_data_out[110]}]
set_load -pin_load 0.0334 [get_ports {la_data_out[109]}]
set_load -pin_load 0.0334 [get_ports {la_data_out[108]}]
set_load -pin_load 0.0334 [get_ports {la_data_out[107]}]
set_load -pin_load 0.0334 [get_ports {la_data_out[106]}]
set_load -pin_load 0.0334 [get_ports {la_data_out[105]}]
set_load -pin_load 0.0334 [get_ports {la_data_out[104]}]
set_load -pin_load 0.0334 [get_ports {la_data_out[103]}]
set_load -pin_load 0.0334 [get_ports {la_data_out[102]}]
set_load -pin_load 0.0334 [get_ports {la_data_out[101]}]
set_load -pin_load 0.0334 [get_ports {la_data_out[100]}]
set_load -pin_load 0.0334 [get_ports {la_data_out[99]}]
set_load -pin_load 0.0334 [get_ports {la_data_out[98]}]
set_load -pin_load 0.0334 [get_ports {la_data_out[97]}]
set_load -pin_load 0.0334 [get_ports {la_data_out[96]}]
set_load -pin_load 0.0334 [get_ports {la_data_out[95]}]
set_load -pin_load 0.0334 [get_ports {la_data_out[94]}]
set_load -pin_load 0.0334 [get_ports {la_data_out[93]}]
set_load -pin_load 0.0334 [get_ports {la_data_out[92]}]
set_load -pin_load 0.0334 [get_ports {la_data_out[91]}]
set_load -pin_load 0.0334 [get_ports {la_data_out[90]}]
set_load -pin_load 0.0334 [get_ports {la_data_out[89]}]
set_load -pin_load 0.0334 [get_ports {la_data_out[88]}]
set_load -pin_load 0.0334 [get_ports {la_data_out[87]}]
set_load -pin_load 0.0334 [get_ports {la_data_out[86]}]
set_load -pin_load 0.0334 [get_ports {la_data_out[85]}]
set_load -pin_load 0.0334 [get_ports {la_data_out[84]}]
set_load -pin_load 0.0334 [get_ports {la_data_out[83]}]
set_load -pin_load 0.0334 [get_ports {la_data_out[82]}]
set_load -pin_load 0.0334 [get_ports {la_data_out[81]}]
set_load -pin_load 0.0334 [get_ports {la_data_out[80]}]
set_load -pin_load 0.0334 [get_ports {la_data_out[79]}]
set_load -pin_load 0.0334 [get_ports {la_data_out[78]}]
set_load -pin_load 0.0334 [get_ports {la_data_out[77]}]
set_load -pin_load 0.0334 [get_ports {la_data_out[76]}]
set_load -pin_load 0.0334 [get_ports {la_data_out[75]}]
set_load -pin_load 0.0334 [get_ports {la_data_out[74]}]
set_load -pin_load 0.0334 [get_ports {la_data_out[73]}]
set_load -pin_load 0.0334 [get_ports {la_data_out[72]}]
set_load -pin_load 0.0334 [get_ports {la_data_out[71]}]
set_load -pin_load 0.0334 [get_ports {la_data_out[70]}]
set_load -pin_load 0.0334 [get_ports {la_data_out[69]}]
set_load -pin_load 0.0334 [get_ports {la_data_out[68]}]
set_load -pin_load 0.0334 [get_ports {la_data_out[67]}]
set_load -pin_load 0.0334 [get_ports {la_data_out[66]}]
set_load -pin_load 0.0334 [get_ports {la_data_out[65]}]
set_load -pin_load 0.0334 [get_ports {la_data_out[64]}]
set_load -pin_load 0.0334 [get_ports {la_data_out[63]}]
set_load -pin_load 0.0334 [get_ports {la_data_out[62]}]
set_load -pin_load 0.0334 [get_ports {la_data_out[61]}]
set_load -pin_load 0.0334 [get_ports {la_data_out[60]}]
set_load -pin_load 0.0334 [get_ports {la_data_out[59]}]
set_load -pin_load 0.0334 [get_ports {la_data_out[58]}]
set_load -pin_load 0.0334 [get_ports {la_data_out[57]}]
set_load -pin_load 0.0334 [get_ports {la_data_out[56]}]
set_load -pin_load 0.0334 [get_ports {la_data_out[55]}]
set_load -pin_load 0.0334 [get_ports {la_data_out[54]}]
set_load -pin_load 0.0334 [get_ports {la_data_out[53]}]
set_load -pin_load 0.0334 [get_ports {la_data_out[52]}]
set_load -pin_load 0.0334 [get_ports {la_data_out[51]}]
set_load -pin_load 0.0334 [get_ports {la_data_out[50]}]
set_load -pin_load 0.0334 [get_ports {la_data_out[49]}]
set_load -pin_load 0.0334 [get_ports {la_data_out[48]}]
set_load -pin_load 0.0334 [get_ports {la_data_out[47]}]
set_load -pin_load 0.0334 [get_ports {la_data_out[46]}]
set_load -pin_load 0.0334 [get_ports {la_data_out[45]}]
set_load -pin_load 0.0334 [get_ports {la_data_out[44]}]
set_load -pin_load 0.0334 [get_ports {la_data_out[43]}]
set_load -pin_load 0.0334 [get_ports {la_data_out[42]}]
set_load -pin_load 0.0334 [get_ports {la_data_out[41]}]
set_load -pin_load 0.0334 [get_ports {la_data_out[40]}]
set_load -pin_load 0.0334 [get_ports {la_data_out[39]}]
set_load -pin_load 0.0334 [get_ports {la_data_out[38]}]
set_load -pin_load 0.0334 [get_ports {la_data_out[37]}]
set_load -pin_load 0.0334 [get_ports {la_data_out[36]}]
set_load -pin_load 0.0334 [get_ports {la_data_out[35]}]
set_load -pin_load 0.0334 [get_ports {la_data_out[34]}]
set_load -pin_load 0.0334 [get_ports {la_data_out[33]}]
set_load -pin_load 0.0334 [get_ports {la_data_out[32]}]
set_load -pin_load 0.0334 [get_ports {la_data_out[31]}]
set_load -pin_load 0.0334 [get_ports {la_data_out[30]}]
set_load -pin_load 0.0334 [get_ports {la_data_out[29]}]
set_load -pin_load 0.0334 [get_ports {la_data_out[28]}]
set_load -pin_load 0.0334 [get_ports {la_data_out[27]}]
set_load -pin_load 0.0334 [get_ports {la_data_out[26]}]
set_load -pin_load 0.0334 [get_ports {la_data_out[25]}]
set_load -pin_load 0.0334 [get_ports {la_data_out[24]}]
set_load -pin_load 0.0334 [get_ports {la_data_out[23]}]
set_load -pin_load 0.0334 [get_ports {la_data_out[22]}]
set_load -pin_load 0.0334 [get_ports {la_data_out[21]}]
set_load -pin_load 0.0334 [get_ports {la_data_out[20]}]
set_load -pin_load 0.0334 [get_ports {la_data_out[19]}]
set_load -pin_load 0.0334 [get_ports {la_data_out[18]}]
set_load -pin_load 0.0334 [get_ports {la_data_out[17]}]
set_load -pin_load 0.0334 [get_ports {la_data_out[16]}]
set_load -pin_load 0.0334 [get_ports {la_data_out[15]}]
set_load -pin_load 0.0334 [get_ports {la_data_out[14]}]
set_load -pin_load 0.0334 [get_ports {la_data_out[13]}]
set_load -pin_load 0.0334 [get_ports {la_data_out[12]}]
set_load -pin_load 0.0334 [get_ports {la_data_out[11]}]
set_load -pin_load 0.0334 [get_ports {la_data_out[10]}]
set_load -pin_load 0.0334 [get_ports {la_data_out[9]}]
set_load -pin_load 0.0334 [get_ports {la_data_out[8]}]
set_load -pin_load 0.0334 [get_ports {la_data_out[7]}]
set_load -pin_load 0.0334 [get_ports {la_data_out[6]}]
set_load -pin_load 0.0334 [get_ports {la_data_out[5]}]
set_load -pin_load 0.0334 [get_ports {la_data_out[4]}]
set_load -pin_load 0.0334 [get_ports {la_data_out[3]}]
set_load -pin_load 0.0334 [get_ports {la_data_out[2]}]
set_load -pin_load 0.0334 [get_ports {la_data_out[1]}]
set_load -pin_load 0.0334 [get_ports {la_data_out[0]}]
set_load -pin_load 0.0334 [get_ports {user_irq[2]}]
set_load -pin_load 0.0334 [get_ports {user_irq[1]}]
set_load -pin_load 0.0334 [get_ports {user_irq[0]}]
set_load -pin_load 0.0334 [get_ports {wbs_dat_o[31]}]
set_load -pin_load 0.0334 [get_ports {wbs_dat_o[30]}]
set_load -pin_load 0.0334 [get_ports {wbs_dat_o[29]}]
set_load -pin_load 0.0334 [get_ports {wbs_dat_o[28]}]
set_load -pin_load 0.0334 [get_ports {wbs_dat_o[27]}]
set_load -pin_load 0.0334 [get_ports {wbs_dat_o[26]}]
set_load -pin_load 0.0334 [get_ports {wbs_dat_o[25]}]
set_load -pin_load 0.0334 [get_ports {wbs_dat_o[24]}]
set_load -pin_load 0.0334 [get_ports {wbs_dat_o[23]}]
set_load -pin_load 0.0334 [get_ports {wbs_dat_o[22]}]
set_load -pin_load 0.0334 [get_ports {wbs_dat_o[21]}]
set_load -pin_load 0.0334 [get_ports {wbs_dat_o[20]}]
set_load -pin_load 0.0334 [get_ports {wbs_dat_o[19]}]
set_load -pin_load 0.0334 [get_ports {wbs_dat_o[18]}]
set_load -pin_load 0.0334 [get_ports {wbs_dat_o[17]}]
set_load -pin_load 0.0334 [get_ports {wbs_dat_o[16]}]
set_load -pin_load 0.0334 [get_ports {wbs_dat_o[15]}]
set_load -pin_load 0.0334 [get_ports {wbs_dat_o[14]}]
set_load -pin_load 0.0334 [get_ports {wbs_dat_o[13]}]
set_load -pin_load 0.0334 [get_ports {wbs_dat_o[12]}]
set_load -pin_load 0.0334 [get_ports {wbs_dat_o[11]}]
set_load -pin_load 0.0334 [get_ports {wbs_dat_o[10]}]
set_load -pin_load 0.0334 [get_ports {wbs_dat_o[9]}]
set_load -pin_load 0.0334 [get_ports {wbs_dat_o[8]}]
set_load -pin_load 0.0334 [get_ports {wbs_dat_o[7]}]
set_load -pin_load 0.0334 [get_ports {wbs_dat_o[6]}]
set_load -pin_load 0.0334 [get_ports {wbs_dat_o[5]}]
set_load -pin_load 0.0334 [get_ports {wbs_dat_o[4]}]
set_load -pin_load 0.0334 [get_ports {wbs_dat_o[3]}]
set_load -pin_load 0.0334 [get_ports {wbs_dat_o[2]}]
set_load -pin_load 0.0334 [get_ports {wbs_dat_o[1]}]
set_load -pin_load 0.0334 [get_ports {wbs_dat_o[0]}]
set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {user_clock2}]
set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {wb_clk_i}]
set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {wb_rst_i}]
set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {wbs_cyc_i}]
set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {wbs_stb_i}]
set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {wbs_we_i}]
set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {analog_io[28]}]
set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {analog_io[27]}]
set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {analog_io[26]}]
set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {analog_io[25]}]
set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {analog_io[24]}]
set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {analog_io[23]}]
set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {analog_io[22]}]
set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {analog_io[21]}]
set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {analog_io[20]}]
set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {analog_io[19]}]
set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {analog_io[18]}]
set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {analog_io[17]}]
set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {analog_io[16]}]
set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {analog_io[15]}]
set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {analog_io[14]}]
set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {analog_io[13]}]
set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {analog_io[12]}]
set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {analog_io[11]}]
set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {analog_io[10]}]
set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {analog_io[9]}]
set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {analog_io[8]}]
set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {analog_io[7]}]
set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {analog_io[6]}]
set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {analog_io[5]}]
set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {analog_io[4]}]
set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {analog_io[3]}]
set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {analog_io[2]}]
set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {analog_io[1]}]
set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {analog_io[0]}]
set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {io_in[37]}]
set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {io_in[36]}]
set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {io_in[35]}]
set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {io_in[34]}]
set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {io_in[33]}]
set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {io_in[32]}]
set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {io_in[31]}]
set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {io_in[30]}]
set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {io_in[29]}]
set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {io_in[28]}]
set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {io_in[27]}]
set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {io_in[26]}]
set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {io_in[25]}]
set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {io_in[24]}]
set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {io_in[23]}]
set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {io_in[22]}]
set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {io_in[21]}]
set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {io_in[20]}]
set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {io_in[19]}]
set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {io_in[18]}]
set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {io_in[17]}]
set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {io_in[16]}]
set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {io_in[15]}]
set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {io_in[14]}]
set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {io_in[13]}]
set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {io_in[12]}]
set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {io_in[11]}]
set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {io_in[10]}]
set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {io_in[9]}]
set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {io_in[8]}]
set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {io_in[7]}]
set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {io_in[6]}]
set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {io_in[5]}]
set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {io_in[4]}]
set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {io_in[3]}]
set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {io_in[2]}]
set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {io_in[1]}]
set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {io_in[0]}]
set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {la_data_in[127]}]
set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {la_data_in[126]}]
set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {la_data_in[125]}]
set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {la_data_in[124]}]
set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {la_data_in[123]}]
set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {la_data_in[122]}]
set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {la_data_in[121]}]
set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {la_data_in[120]}]
set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {la_data_in[119]}]
set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {la_data_in[118]}]
set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {la_data_in[117]}]
set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {la_data_in[116]}]
set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {la_data_in[115]}]
set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {la_data_in[114]}]
set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {la_data_in[113]}]
set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {la_data_in[112]}]
set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {la_data_in[111]}]
set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {la_data_in[110]}]
set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {la_data_in[109]}]
set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {la_data_in[108]}]
set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {la_data_in[107]}]
set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {la_data_in[106]}]
set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {la_data_in[105]}]
set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {la_data_in[104]}]
set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {la_data_in[103]}]
set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {la_data_in[102]}]
set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {la_data_in[101]}]
set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {la_data_in[100]}]
set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {la_data_in[99]}]
set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {la_data_in[98]}]
set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {la_data_in[97]}]
set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {la_data_in[96]}]
set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {la_data_in[95]}]
set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {la_data_in[94]}]
set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {la_data_in[93]}]
set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {la_data_in[92]}]
set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {la_data_in[91]}]
set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {la_data_in[90]}]
set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {la_data_in[89]}]
set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {la_data_in[88]}]
set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {la_data_in[87]}]
set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {la_data_in[86]}]
set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {la_data_in[85]}]
set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {la_data_in[84]}]
set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {la_data_in[83]}]
set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {la_data_in[82]}]
set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {la_data_in[81]}]
set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {la_data_in[80]}]
set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {la_data_in[79]}]
set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {la_data_in[78]}]
set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {la_data_in[77]}]
set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {la_data_in[76]}]
set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {la_data_in[75]}]
set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {la_data_in[74]}]
set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {la_data_in[73]}]
set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {la_data_in[72]}]
set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {la_data_in[71]}]
set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {la_data_in[70]}]
set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {la_data_in[69]}]
set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {la_data_in[68]}]
set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {la_data_in[67]}]
set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {la_data_in[66]}]
set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {la_data_in[65]}]
set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {la_data_in[64]}]
set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {la_data_in[63]}]
set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {la_data_in[62]}]
set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {la_data_in[61]}]
set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {la_data_in[60]}]
set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {la_data_in[59]}]
set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {la_data_in[58]}]
set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {la_data_in[57]}]
set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {la_data_in[56]}]
set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {la_data_in[55]}]
set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {la_data_in[54]}]
set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {la_data_in[53]}]
set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {la_data_in[52]}]
set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {la_data_in[51]}]
set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {la_data_in[50]}]
set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {la_data_in[49]}]
set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {la_data_in[48]}]
set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {la_data_in[47]}]
set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {la_data_in[46]}]
set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {la_data_in[45]}]
set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {la_data_in[44]}]
set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {la_data_in[43]}]
set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {la_data_in[42]}]
set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {la_data_in[41]}]
set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {la_data_in[40]}]
set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {la_data_in[39]}]
set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {la_data_in[38]}]
set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {la_data_in[37]}]
set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {la_data_in[36]}]
set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {la_data_in[35]}]
set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {la_data_in[34]}]
set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {la_data_in[33]}]
set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {la_data_in[32]}]
set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {la_data_in[31]}]
set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {la_data_in[30]}]
set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {la_data_in[29]}]
set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {la_data_in[28]}]
set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {la_data_in[27]}]
set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {la_data_in[26]}]
set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {la_data_in[25]}]
set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {la_data_in[24]}]
set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {la_data_in[23]}]
set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {la_data_in[22]}]
set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {la_data_in[21]}]
set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {la_data_in[20]}]
set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {la_data_in[19]}]
set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {la_data_in[18]}]
set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {la_data_in[17]}]
set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {la_data_in[16]}]
set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {la_data_in[15]}]
set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {la_data_in[14]}]
set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {la_data_in[13]}]
set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {la_data_in[12]}]
set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {la_data_in[11]}]
set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {la_data_in[10]}]
set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {la_data_in[9]}]
set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {la_data_in[8]}]
set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {la_data_in[7]}]
set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {la_data_in[6]}]
set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {la_data_in[5]}]
set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {la_data_in[4]}]
set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {la_data_in[3]}]
set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {la_data_in[2]}]
set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {la_data_in[1]}]
set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {la_data_in[0]}]
set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {la_oenb[127]}]
set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {la_oenb[126]}]
set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {la_oenb[125]}]
set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {la_oenb[124]}]
set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {la_oenb[123]}]
set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {la_oenb[122]}]
set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {la_oenb[121]}]
set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {la_oenb[120]}]
set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {la_oenb[119]}]
set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {la_oenb[118]}]
set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {la_oenb[117]}]
set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {la_oenb[116]}]
set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {la_oenb[115]}]
set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {la_oenb[114]}]
set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {la_oenb[113]}]
set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {la_oenb[112]}]
set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {la_oenb[111]}]
set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {la_oenb[110]}]
set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {la_oenb[109]}]
set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {la_oenb[108]}]
set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {la_oenb[107]}]
set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {la_oenb[106]}]
set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {la_oenb[105]}]
set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {la_oenb[104]}]
set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {la_oenb[103]}]
set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {la_oenb[102]}]
set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {la_oenb[101]}]
set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {la_oenb[100]}]
set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {la_oenb[99]}]
set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {la_oenb[98]}]
set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {la_oenb[97]}]
set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {la_oenb[96]}]
set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {la_oenb[95]}]
set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {la_oenb[94]}]
set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {la_oenb[93]}]
set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {la_oenb[92]}]
set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {la_oenb[91]}]
set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {la_oenb[90]}]
set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {la_oenb[89]}]
set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {la_oenb[88]}]
set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {la_oenb[87]}]
set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {la_oenb[86]}]
set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {la_oenb[85]}]
set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {la_oenb[84]}]
set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {la_oenb[83]}]
set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {la_oenb[82]}]
set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {la_oenb[81]}]
set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {la_oenb[80]}]
set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {la_oenb[79]}]
set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {la_oenb[78]}]
set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {la_oenb[77]}]
set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {la_oenb[76]}]
set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {la_oenb[75]}]
set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {la_oenb[74]}]
set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {la_oenb[73]}]
set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {la_oenb[72]}]
set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {la_oenb[71]}]
set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {la_oenb[70]}]
set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {la_oenb[69]}]
set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {la_oenb[68]}]
set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {la_oenb[67]}]
set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {la_oenb[66]}]
set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {la_oenb[65]}]
set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {la_oenb[64]}]
set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {la_oenb[63]}]
set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {la_oenb[62]}]
set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {la_oenb[61]}]
set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {la_oenb[60]}]
set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {la_oenb[59]}]
set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {la_oenb[58]}]
set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {la_oenb[57]}]
set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {la_oenb[56]}]
set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {la_oenb[55]}]
set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {la_oenb[54]}]
set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {la_oenb[53]}]
set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {la_oenb[52]}]
set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {la_oenb[51]}]
set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {la_oenb[50]}]
set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {la_oenb[49]}]
set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {la_oenb[48]}]
set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {la_oenb[47]}]
set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {la_oenb[46]}]
set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {la_oenb[45]}]
set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {la_oenb[44]}]
set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {la_oenb[43]}]
set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {la_oenb[42]}]
set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {la_oenb[41]}]
set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {la_oenb[40]}]
set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {la_oenb[39]}]
set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {la_oenb[38]}]
set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {la_oenb[37]}]
set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {la_oenb[36]}]
set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {la_oenb[35]}]
set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {la_oenb[34]}]
set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {la_oenb[33]}]
set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {la_oenb[32]}]
set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {la_oenb[31]}]
set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {la_oenb[30]}]
set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {la_oenb[29]}]
set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {la_oenb[28]}]
set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {la_oenb[27]}]
set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {la_oenb[26]}]
set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {la_oenb[25]}]
set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {la_oenb[24]}]
set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {la_oenb[23]}]
set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {la_oenb[22]}]
set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {la_oenb[21]}]
set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {la_oenb[20]}]
set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {la_oenb[19]}]
set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {la_oenb[18]}]
set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {la_oenb[17]}]
set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {la_oenb[16]}]
set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {la_oenb[15]}]
set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {la_oenb[14]}]
set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {la_oenb[13]}]
set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {la_oenb[12]}]
set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {la_oenb[11]}]
set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {la_oenb[10]}]
set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {la_oenb[9]}]
set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {la_oenb[8]}]
set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {la_oenb[7]}]
set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {la_oenb[6]}]
set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {la_oenb[5]}]
set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {la_oenb[4]}]
set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {la_oenb[3]}]
set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {la_oenb[2]}]
set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {la_oenb[1]}]
set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {la_oenb[0]}]
set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {wbs_adr_i[31]}]
set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {wbs_adr_i[30]}]
set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {wbs_adr_i[29]}]
set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {wbs_adr_i[28]}]
set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {wbs_adr_i[27]}]
set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {wbs_adr_i[26]}]
set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {wbs_adr_i[25]}]
set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {wbs_adr_i[24]}]
set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {wbs_adr_i[23]}]
set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {wbs_adr_i[22]}]
set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {wbs_adr_i[21]}]
set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {wbs_adr_i[20]}]
set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {wbs_adr_i[19]}]
set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {wbs_adr_i[18]}]
set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {wbs_adr_i[17]}]
set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {wbs_adr_i[16]}]
set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {wbs_adr_i[15]}]
set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {wbs_adr_i[14]}]
set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {wbs_adr_i[13]}]
set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {wbs_adr_i[12]}]
set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {wbs_adr_i[11]}]
set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {wbs_adr_i[10]}]
set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {wbs_adr_i[9]}]
set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {wbs_adr_i[8]}]
set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {wbs_adr_i[7]}]
set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {wbs_adr_i[6]}]
set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {wbs_adr_i[5]}]
set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {wbs_adr_i[4]}]
set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {wbs_adr_i[3]}]
set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {wbs_adr_i[2]}]
set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {wbs_adr_i[1]}]
set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {wbs_adr_i[0]}]
set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {wbs_dat_i[31]}]
set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {wbs_dat_i[30]}]
set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {wbs_dat_i[29]}]
set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {wbs_dat_i[28]}]
set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {wbs_dat_i[27]}]
set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {wbs_dat_i[26]}]
set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {wbs_dat_i[25]}]
set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {wbs_dat_i[24]}]
set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {wbs_dat_i[23]}]
set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {wbs_dat_i[22]}]
set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {wbs_dat_i[21]}]
set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {wbs_dat_i[20]}]
set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {wbs_dat_i[19]}]
set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {wbs_dat_i[18]}]
set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {wbs_dat_i[17]}]
set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {wbs_dat_i[16]}]
set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {wbs_dat_i[15]}]
set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {wbs_dat_i[14]}]
set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {wbs_dat_i[13]}]
set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {wbs_dat_i[12]}]
set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {wbs_dat_i[11]}]
set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {wbs_dat_i[10]}]
set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {wbs_dat_i[9]}]
set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {wbs_dat_i[8]}]
set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {wbs_dat_i[7]}]
set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {wbs_dat_i[6]}]
set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {wbs_dat_i[5]}]
set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {wbs_dat_i[4]}]
set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {wbs_dat_i[3]}]
set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {wbs_dat_i[2]}]
set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {wbs_dat_i[1]}]
set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {wbs_dat_i[0]}]
set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {wbs_sel_i[3]}]
set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {wbs_sel_i[2]}]
set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {wbs_sel_i[1]}]
set_driving_cell -lib_cell sky130_fd_sc_hd__inv_2 -pin {Y} -input_transition_rise 0.0000 -input_transition_fall 0.0000 [get_ports {wbs_sel_i[0]}]
set_timing_derate -early 0.9500
set_timing_derate -late 1.0500
###############################################################################
# Design Rules
###############################################################################
set_max_fanout 5.0000 [current_design]
[INFO]: Setting signal min routing layer to: met1 and clock min routing layer to met1.
[INFO]: Setting signal max routing layer to: met4 and clock max routing layer to met4.
-congestion_iterations 50 -verbose
[INFO GRT-0020] Min routing layer: met1
[INFO GRT-0021] Max routing layer: met4
[INFO GRT-0022] Global adjustment: 30%
[INFO GRT-0023] Grid origin: (0, 0)
[WARNING GRT-0043] No OR_DEFAULT vias defined.
[INFO GRT-0088] Layer li1 Track-Pitch = 0.4600 line-2-Via Pitch: 0.3400
[INFO GRT-0088] Layer met1 Track-Pitch = 0.3400 line-2-Via Pitch: 0.3400
[INFO GRT-0088] Layer met2 Track-Pitch = 0.4600 line-2-Via Pitch: 0.3500
[INFO GRT-0088] Layer met3 Track-Pitch = 0.6800 line-2-Via Pitch: 0.6150
[INFO GRT-0088] Layer met4 Track-Pitch = 0.9200 line-2-Via Pitch: 0.6150
[INFO GRT-0019] Found 36 clock nets.
[WARNING GRT-0036] Pin analog_io[0] is outside die area.
[WARNING GRT-0036] Pin analog_io[10] is outside die area.
[WARNING GRT-0036] Pin analog_io[11] is outside die area.
[WARNING GRT-0036] Pin analog_io[12] is outside die area.
[WARNING GRT-0036] Pin analog_io[13] is outside die area.
[WARNING GRT-0036] Pin analog_io[14] is outside die area.
[WARNING GRT-0036] Pin analog_io[15] is outside die area.
[WARNING GRT-0036] Pin analog_io[16] is outside die area.
[WARNING GRT-0036] Pin analog_io[17] is outside die area.
[WARNING GRT-0036] Pin analog_io[18] is outside die area.
[WARNING GRT-0036] Pin analog_io[19] is outside die area.
[WARNING GRT-0036] Pin analog_io[1] is outside die area.
[WARNING GRT-0036] Pin analog_io[20] is outside die area.
[WARNING GRT-0036] Pin analog_io[21] is outside die area.
[WARNING GRT-0036] Pin analog_io[22] is outside die area.
[WARNING GRT-0036] Pin analog_io[23] is outside die area.
[WARNING GRT-0036] Pin analog_io[24] is outside die area.
[WARNING GRT-0036] Pin analog_io[25] is outside die area.
[WARNING GRT-0036] Pin analog_io[26] is outside die area.
[WARNING GRT-0036] Pin analog_io[27] is outside die area.
[WARNING GRT-0036] Pin analog_io[28] is outside die area.
[WARNING GRT-0036] Pin analog_io[2] is outside die area.
[WARNING GRT-0036] Pin analog_io[3] is outside die area.
[WARNING GRT-0036] Pin analog_io[4] is outside die area.
[WARNING GRT-0036] Pin analog_io[5] is outside die area.
[WARNING GRT-0036] Pin analog_io[6] is outside die area.
[WARNING GRT-0036] Pin analog_io[7] is outside die area.
[WARNING GRT-0036] Pin analog_io[8] is outside die area.
[WARNING GRT-0036] Pin analog_io[9] is outside die area.
[WARNING GRT-0036] Pin io_in[0] is outside die area.
[WARNING GRT-0036] Pin io_in[10] is outside die area.
[WARNING GRT-0036] Pin io_in[11] is outside die area.
[WARNING GRT-0036] Pin io_in[12] is outside die area.
[WARNING GRT-0036] Pin io_in[13] is outside die area.
[WARNING GRT-0036] Pin io_in[14] is outside die area.
[WARNING GRT-0036] Pin io_in[15] is outside die area.
[WARNING GRT-0036] Pin io_in[16] is outside die area.
[WARNING GRT-0036] Pin io_in[17] is outside die area.
[WARNING GRT-0036] Pin io_in[18] is outside die area.
[WARNING GRT-0036] Pin io_in[19] is outside die area.
[WARNING GRT-0036] Pin io_in[1] is outside die area.
[WARNING GRT-0036] Pin io_in[20] is outside die area.
[WARNING GRT-0036] Pin io_in[21] is outside die area.
[WARNING GRT-0036] Pin io_in[22] is outside die area.
[WARNING GRT-0036] Pin io_in[23] is outside die area.
[WARNING GRT-0036] Pin io_in[24] is outside die area.
[WARNING GRT-0036] Pin io_in[25] is outside die area.
[WARNING GRT-0036] Pin io_in[26] is outside die area.
[WARNING GRT-0036] Pin io_in[27] is outside die area.
[WARNING GRT-0036] Pin io_in[28] is outside die area.
[WARNING GRT-0036] Pin io_in[29] is outside die area.
[WARNING GRT-0036] Pin io_in[2] is outside die area.
[WARNING GRT-0036] Pin io_in[30] is outside die area.
[WARNING GRT-0036] Pin io_in[31] is outside die area.
[WARNING GRT-0036] Pin io_in[32] is outside die area.
[WARNING GRT-0036] Pin io_in[33] is outside die area.
[WARNING GRT-0036] Pin io_in[34] is outside die area.
[WARNING GRT-0036] Pin io_in[35] is outside die area.
[WARNING GRT-0036] Pin io_in[36] is outside die area.
[WARNING GRT-0036] Pin io_in[37] is outside die area.
[WARNING GRT-0036] Pin io_in[3] is outside die area.
[WARNING GRT-0036] Pin io_in[4] is outside die area.
[WARNING GRT-0036] Pin io_in[5] is outside die area.
[WARNING GRT-0036] Pin io_in[6] is outside die area.
[WARNING GRT-0036] Pin io_in[7] is outside die area.
[WARNING GRT-0036] Pin io_in[8] is outside die area.
[WARNING GRT-0036] Pin io_in[9] is outside die area.
[WARNING GRT-0036] Pin io_oeb[0] is outside die area.
[WARNING GRT-0036] Pin io_oeb[10] is outside die area.
[WARNING GRT-0036] Pin io_oeb[11] is outside die area.
[WARNING GRT-0036] Pin io_oeb[12] is outside die area.
[WARNING GRT-0036] Pin io_oeb[13] is outside die area.
[WARNING GRT-0036] Pin io_oeb[14] is outside die area.
[WARNING GRT-0036] Pin io_oeb[15] is outside die area.
[WARNING GRT-0036] Pin io_oeb[16] is outside die area.
[WARNING GRT-0036] Pin io_oeb[17] is outside die area.
[WARNING GRT-0036] Pin io_oeb[18] is outside die area.
[WARNING GRT-0036] Pin io_oeb[19] is outside die area.
[WARNING GRT-0036] Pin io_oeb[1] is outside die area.
[WARNING GRT-0036] Pin io_oeb[20] is outside die area.
[WARNING GRT-0036] Pin io_oeb[21] is outside die area.
[WARNING GRT-0036] Pin io_oeb[22] is outside die area.
[WARNING GRT-0036] Pin io_oeb[23] is outside die area.
[WARNING GRT-0036] Pin io_oeb[24] is outside die area.
[WARNING GRT-0036] Pin io_oeb[25] is outside die area.
[WARNING GRT-0036] Pin io_oeb[26] is outside die area.
[WARNING GRT-0036] Pin io_oeb[27] is outside die area.
[WARNING GRT-0036] Pin io_oeb[28] is outside die area.
[WARNING GRT-0036] Pin io_oeb[29] is outside die area.
[WARNING GRT-0036] Pin io_oeb[2] is outside die area.
[WARNING GRT-0036] Pin io_oeb[30] is outside die area.
[WARNING GRT-0036] Pin io_oeb[31] is outside die area.
[WARNING GRT-0036] Pin io_oeb[32] is outside die area.
[WARNING GRT-0036] Pin io_oeb[33] is outside die area.
[WARNING GRT-0036] Pin io_oeb[34] is outside die area.
[WARNING GRT-0036] Pin io_oeb[35] is outside die area.
[WARNING GRT-0036] Pin io_oeb[36] is outside die area.
[WARNING GRT-0036] Pin io_oeb[37] is outside die area.
[WARNING GRT-0036] Pin io_oeb[3] is outside die area.
[WARNING GRT-0036] Pin io_oeb[4] is outside die area.
[WARNING GRT-0036] Pin io_oeb[5] is outside die area.
[WARNING GRT-0036] Pin io_oeb[6] is outside die area.
[WARNING GRT-0036] Pin io_oeb[7] is outside die area.
[WARNING GRT-0036] Pin io_oeb[8] is outside die area.
[WARNING GRT-0036] Pin io_oeb[9] is outside die area.
[WARNING GRT-0036] Pin io_out[0] is outside die area.
[WARNING GRT-0036] Pin io_out[10] is outside die area.
[WARNING GRT-0036] Pin io_out[11] is outside die area.
[WARNING GRT-0036] Pin io_out[12] is outside die area.
[WARNING GRT-0036] Pin io_out[13] is outside die area.
[WARNING GRT-0036] Pin io_out[14] is outside die area.
[WARNING GRT-0036] Pin io_out[15] is outside die area.
[WARNING GRT-0036] Pin io_out[16] is outside die area.
[WARNING GRT-0036] Pin io_out[17] is outside die area.
[WARNING GRT-0036] Pin io_out[18] is outside die area.
[WARNING GRT-0036] Pin io_out[19] is outside die area.
[WARNING GRT-0036] Pin io_out[1] is outside die area.
[WARNING GRT-0036] Pin io_out[20] is outside die area.
[WARNING GRT-0036] Pin io_out[21] is outside die area.
[WARNING GRT-0036] Pin io_out[22] is outside die area.
[WARNING GRT-0036] Pin io_out[23] is outside die area.
[WARNING GRT-0036] Pin io_out[24] is outside die area.
[WARNING GRT-0036] Pin io_out[25] is outside die area.
[WARNING GRT-0036] Pin io_out[26] is outside die area.
[WARNING GRT-0036] Pin io_out[27] is outside die area.
[WARNING GRT-0036] Pin io_out[28] is outside die area.
[WARNING GRT-0036] Pin io_out[29] is outside die area.
[WARNING GRT-0036] Pin io_out[2] is outside die area.
[WARNING GRT-0036] Pin io_out[30] is outside die area.
[WARNING GRT-0036] Pin io_out[31] is outside die area.
[WARNING GRT-0036] Pin io_out[32] is outside die area.
[WARNING GRT-0036] Pin io_out[33] is outside die area.
[WARNING GRT-0036] Pin io_out[34] is outside die area.
[WARNING GRT-0036] Pin io_out[35] is outside die area.
[WARNING GRT-0036] Pin io_out[36] is outside die area.
[WARNING GRT-0036] Pin io_out[37] is outside die area.
[WARNING GRT-0036] Pin io_out[3] is outside die area.
[WARNING GRT-0036] Pin io_out[4] is outside die area.
[WARNING GRT-0036] Pin io_out[5] is outside die area.
[WARNING GRT-0036] Pin io_out[6] is outside die area.
[WARNING GRT-0036] Pin io_out[7] is outside die area.
[WARNING GRT-0036] Pin io_out[8] is outside die area.
[WARNING GRT-0036] Pin io_out[9] is outside die area.
[WARNING GRT-0036] Pin la_data_in[0] is outside die area.
[WARNING GRT-0036] Pin la_data_in[100] is outside die area.
[WARNING GRT-0036] Pin la_data_in[101] is outside die area.
[WARNING GRT-0036] Pin la_data_in[102] is outside die area.
[WARNING GRT-0036] Pin la_data_in[103] is outside die area.
[WARNING GRT-0036] Pin la_data_in[104] is outside die area.
[WARNING GRT-0036] Pin la_data_in[105] is outside die area.
[WARNING GRT-0036] Pin la_data_in[106] is outside die area.
[WARNING GRT-0036] Pin la_data_in[107] is outside die area.
[WARNING GRT-0036] Pin la_data_in[108] is outside die area.
[WARNING GRT-0036] Pin la_data_in[109] is outside die area.
[WARNING GRT-0036] Pin la_data_in[10] is outside die area.
[WARNING GRT-0036] Pin la_data_in[110] is outside die area.
[WARNING GRT-0036] Pin la_data_in[111] is outside die area.
[WARNING GRT-0036] Pin la_data_in[112] is outside die area.
[WARNING GRT-0036] Pin la_data_in[113] is outside die area.
[WARNING GRT-0036] Pin la_data_in[114] is outside die area.
[WARNING GRT-0036] Pin la_data_in[115] is outside die area.
[WARNING GRT-0036] Pin la_data_in[116] is outside die area.
[WARNING GRT-0036] Pin la_data_in[117] is outside die area.
[WARNING GRT-0036] Pin la_data_in[118] is outside die area.
[WARNING GRT-0036] Pin la_data_in[119] is outside die area.
[WARNING GRT-0036] Pin la_data_in[11] is outside die area.
[WARNING GRT-0036] Pin la_data_in[120] is outside die area.
[WARNING GRT-0036] Pin la_data_in[121] is outside die area.
[WARNING GRT-0036] Pin la_data_in[122] is outside die area.
[WARNING GRT-0036] Pin la_data_in[123] is outside die area.
[WARNING GRT-0036] Pin la_data_in[124] is outside die area.
[WARNING GRT-0036] Pin la_data_in[125] is outside die area.
[WARNING GRT-0036] Pin la_data_in[126] is outside die area.
[WARNING GRT-0036] Pin la_data_in[127] is outside die area.
[WARNING GRT-0036] Pin la_data_in[12] is outside die area.
[WARNING GRT-0036] Pin la_data_in[13] is outside die area.
[WARNING GRT-0036] Pin la_data_in[14] is outside die area.
[WARNING GRT-0036] Pin la_data_in[15] is outside die area.
[WARNING GRT-0036] Pin la_data_in[16] is outside die area.
[WARNING GRT-0036] Pin la_data_in[17] is outside die area.
[WARNING GRT-0036] Pin la_data_in[18] is outside die area.
[WARNING GRT-0036] Pin la_data_in[19] is outside die area.
[WARNING GRT-0036] Pin la_data_in[1] is outside die area.
[WARNING GRT-0036] Pin la_data_in[20] is outside die area.
[WARNING GRT-0036] Pin la_data_in[21] is outside die area.
[WARNING GRT-0036] Pin la_data_in[22] is outside die area.
[WARNING GRT-0036] Pin la_data_in[23] is outside die area.
[WARNING GRT-0036] Pin la_data_in[24] is outside die area.
[WARNING GRT-0036] Pin la_data_in[25] is outside die area.
[WARNING GRT-0036] Pin la_data_in[26] is outside die area.
[WARNING GRT-0036] Pin la_data_in[27] is outside die area.
[WARNING GRT-0036] Pin la_data_in[28] is outside die area.
[WARNING GRT-0036] Pin la_data_in[29] is outside die area.
[WARNING GRT-0036] Pin la_data_in[2] is outside die area.
[WARNING GRT-0036] Pin la_data_in[30] is outside die area.
[WARNING GRT-0036] Pin la_data_in[31] is outside die area.
[WARNING GRT-0036] Pin la_data_in[32] is outside die area.
[WARNING GRT-0036] Pin la_data_in[33] is outside die area.
[WARNING GRT-0036] Pin la_data_in[34] is outside die area.
[WARNING GRT-0036] Pin la_data_in[35] is outside die area.
[WARNING GRT-0036] Pin la_data_in[36] is outside die area.
[WARNING GRT-0036] Pin la_data_in[37] is outside die area.
[WARNING GRT-0036] Pin la_data_in[38] is outside die area.
[WARNING GRT-0036] Pin la_data_in[39] is outside die area.
[WARNING GRT-0036] Pin la_data_in[3] is outside die area.
[WARNING GRT-0036] Pin la_data_in[40] is outside die area.
[WARNING GRT-0036] Pin la_data_in[41] is outside die area.
[WARNING GRT-0036] Pin la_data_in[42] is outside die area.
[WARNING GRT-0036] Pin la_data_in[43] is outside die area.
[WARNING GRT-0036] Pin la_data_in[44] is outside die area.
[WARNING GRT-0036] Pin la_data_in[45] is outside die area.
[WARNING GRT-0036] Pin la_data_in[46] is outside die area.
[WARNING GRT-0036] Pin la_data_in[47] is outside die area.
[WARNING GRT-0036] Pin la_data_in[48] is outside die area.
[WARNING GRT-0036] Pin la_data_in[49] is outside die area.
[WARNING GRT-0036] Pin la_data_in[4] is outside die area.
[WARNING GRT-0036] Pin la_data_in[50] is outside die area.
[WARNING GRT-0036] Pin la_data_in[51] is outside die area.
[WARNING GRT-0036] Pin la_data_in[52] is outside die area.
[WARNING GRT-0036] Pin la_data_in[53] is outside die area.
[WARNING GRT-0036] Pin la_data_in[54] is outside die area.
[WARNING GRT-0036] Pin la_data_in[55] is outside die area.
[WARNING GRT-0036] Pin la_data_in[56] is outside die area.
[WARNING GRT-0036] Pin la_data_in[57] is outside die area.
[WARNING GRT-0036] Pin la_data_in[58] is outside die area.
[WARNING GRT-0036] Pin la_data_in[59] is outside die area.
[WARNING GRT-0036] Pin la_data_in[5] is outside die area.
[WARNING GRT-0036] Pin la_data_in[60] is outside die area.
[WARNING GRT-0036] Pin la_data_in[61] is outside die area.
[WARNING GRT-0036] Pin la_data_in[62] is outside die area.
[WARNING GRT-0036] Pin la_data_in[63] is outside die area.
[WARNING GRT-0036] Pin la_data_in[64] is outside die area.
[WARNING GRT-0036] Pin la_data_in[65] is outside die area.
[WARNING GRT-0036] Pin la_data_in[66] is outside die area.
[WARNING GRT-0036] Pin la_data_in[67] is outside die area.
[WARNING GRT-0036] Pin la_data_in[68] is outside die area.
[WARNING GRT-0036] Pin la_data_in[69] is outside die area.
[WARNING GRT-0036] Pin la_data_in[6] is outside die area.
[WARNING GRT-0036] Pin la_data_in[70] is outside die area.
[WARNING GRT-0036] Pin la_data_in[71] is outside die area.
[WARNING GRT-0036] Pin la_data_in[72] is outside die area.
[WARNING GRT-0036] Pin la_data_in[73] is outside die area.
[WARNING GRT-0036] Pin la_data_in[74] is outside die area.
[WARNING GRT-0036] Pin la_data_in[75] is outside die area.
[WARNING GRT-0036] Pin la_data_in[76] is outside die area.
[WARNING GRT-0036] Pin la_data_in[77] is outside die area.
[WARNING GRT-0036] Pin la_data_in[78] is outside die area.
[WARNING GRT-0036] Pin la_data_in[79] is outside die area.
[WARNING GRT-0036] Pin la_data_in[7] is outside die area.
[WARNING GRT-0036] Pin la_data_in[80] is outside die area.
[WARNING GRT-0036] Pin la_data_in[81] is outside die area.
[WARNING GRT-0036] Pin la_data_in[82] is outside die area.
[WARNING GRT-0036] Pin la_data_in[83] is outside die area.
[WARNING GRT-0036] Pin la_data_in[84] is outside die area.
[WARNING GRT-0036] Pin la_data_in[85] is outside die area.
[WARNING GRT-0036] Pin la_data_in[86] is outside die area.
[WARNING GRT-0036] Pin la_data_in[87] is outside die area.
[WARNING GRT-0036] Pin la_data_in[88] is outside die area.
[WARNING GRT-0036] Pin la_data_in[89] is outside die area.
[WARNING GRT-0036] Pin la_data_in[8] is outside die area.
[WARNING GRT-0036] Pin la_data_in[90] is outside die area.
[WARNING GRT-0036] Pin la_data_in[91] is outside die area.
[WARNING GRT-0036] Pin la_data_in[92] is outside die area.
[WARNING GRT-0036] Pin la_data_in[93] is outside die area.
[WARNING GRT-0036] Pin la_data_in[94] is outside die area.
[WARNING GRT-0036] Pin la_data_in[95] is outside die area.
[WARNING GRT-0036] Pin la_data_in[96] is outside die area.
[WARNING GRT-0036] Pin la_data_in[97] is outside die area.
[WARNING GRT-0036] Pin la_data_in[98] is outside die area.
[WARNING GRT-0036] Pin la_data_in[99] is outside die area.
[WARNING GRT-0036] Pin la_data_in[9] is outside die area.
[WARNING GRT-0036] Pin la_data_out[0] is outside die area.
[WARNING GRT-0036] Pin la_data_out[100] is outside die area.
[WARNING GRT-0036] Pin la_data_out[101] is outside die area.
[WARNING GRT-0036] Pin la_data_out[102] is outside die area.
[WARNING GRT-0036] Pin la_data_out[103] is outside die area.
[WARNING GRT-0036] Pin la_data_out[104] is outside die area.
[WARNING GRT-0036] Pin la_data_out[105] is outside die area.
[WARNING GRT-0036] Pin la_data_out[106] is outside die area.
[WARNING GRT-0036] Pin la_data_out[107] is outside die area.
[WARNING GRT-0036] Pin la_data_out[108] is outside die area.
[WARNING GRT-0036] Pin la_data_out[109] is outside die area.
[WARNING GRT-0036] Pin la_data_out[10] is outside die area.
[WARNING GRT-0036] Pin la_data_out[110] is outside die area.
[WARNING GRT-0036] Pin la_data_out[111] is outside die area.
[WARNING GRT-0036] Pin la_data_out[112] is outside die area.
[WARNING GRT-0036] Pin la_data_out[113] is outside die area.
[WARNING GRT-0036] Pin la_data_out[114] is outside die area.
[WARNING GRT-0036] Pin la_data_out[115] is outside die area.
[WARNING GRT-0036] Pin la_data_out[116] is outside die area.
[WARNING GRT-0036] Pin la_data_out[117] is outside die area.
[WARNING GRT-0036] Pin la_data_out[118] is outside die area.
[WARNING GRT-0036] Pin la_data_out[119] is outside die area.
[WARNING GRT-0036] Pin la_data_out[11] is outside die area.
[WARNING GRT-0036] Pin la_data_out[120] is outside die area.
[WARNING GRT-0036] Pin la_data_out[121] is outside die area.
[WARNING GRT-0036] Pin la_data_out[122] is outside die area.
[WARNING GRT-0036] Pin la_data_out[123] is outside die area.
[WARNING GRT-0036] Pin la_data_out[124] is outside die area.
[WARNING GRT-0036] Pin la_data_out[125] is outside die area.
[WARNING GRT-0036] Pin la_data_out[126] is outside die area.
[WARNING GRT-0036] Pin la_data_out[127] is outside die area.
[WARNING GRT-0036] Pin la_data_out[12] is outside die area.
[WARNING GRT-0036] Pin la_data_out[13] is outside die area.
[WARNING GRT-0036] Pin la_data_out[14] is outside die area.
[WARNING GRT-0036] Pin la_data_out[15] is outside die area.
[WARNING GRT-0036] Pin la_data_out[16] is outside die area.
[WARNING GRT-0036] Pin la_data_out[17] is outside die area.
[WARNING GRT-0036] Pin la_data_out[18] is outside die area.
[WARNING GRT-0036] Pin la_data_out[19] is outside die area.
[WARNING GRT-0036] Pin la_data_out[1] is outside die area.
[WARNING GRT-0036] Pin la_data_out[20] is outside die area.
[WARNING GRT-0036] Pin la_data_out[21] is outside die area.
[WARNING GRT-0036] Pin la_data_out[22] is outside die area.
[WARNING GRT-0036] Pin la_data_out[23] is outside die area.
[WARNING GRT-0036] Pin la_data_out[24] is outside die area.
[WARNING GRT-0036] Pin la_data_out[25] is outside die area.
[WARNING GRT-0036] Pin la_data_out[26] is outside die area.
[WARNING GRT-0036] Pin la_data_out[27] is outside die area.
[WARNING GRT-0036] Pin la_data_out[28] is outside die area.
[WARNING GRT-0036] Pin la_data_out[29] is outside die area.
[WARNING GRT-0036] Pin la_data_out[2] is outside die area.
[WARNING GRT-0036] Pin la_data_out[30] is outside die area.
[WARNING GRT-0036] Pin la_data_out[31] is outside die area.
[WARNING GRT-0036] Pin la_data_out[32] is outside die area.
[WARNING GRT-0036] Pin la_data_out[33] is outside die area.
[WARNING GRT-0036] Pin la_data_out[34] is outside die area.
[WARNING GRT-0036] Pin la_data_out[35] is outside die area.
[WARNING GRT-0036] Pin la_data_out[36] is outside die area.
[WARNING GRT-0036] Pin la_data_out[37] is outside die area.
[WARNING GRT-0036] Pin la_data_out[38] is outside die area.
[WARNING GRT-0036] Pin la_data_out[39] is outside die area.
[WARNING GRT-0036] Pin la_data_out[3] is outside die area.
[WARNING GRT-0036] Pin la_data_out[40] is outside die area.
[WARNING GRT-0036] Pin la_data_out[41] is outside die area.
[WARNING GRT-0036] Pin la_data_out[42] is outside die area.
[WARNING GRT-0036] Pin la_data_out[43] is outside die area.
[WARNING GRT-0036] Pin la_data_out[44] is outside die area.
[WARNING GRT-0036] Pin la_data_out[45] is outside die area.
[WARNING GRT-0036] Pin la_data_out[46] is outside die area.
[WARNING GRT-0036] Pin la_data_out[47] is outside die area.
[WARNING GRT-0036] Pin la_data_out[48] is outside die area.
[WARNING GRT-0036] Pin la_data_out[49] is outside die area.
[WARNING GRT-0036] Pin la_data_out[4] is outside die area.
[WARNING GRT-0036] Pin la_data_out[50] is outside die area.
[WARNING GRT-0036] Pin la_data_out[51] is outside die area.
[WARNING GRT-0036] Pin la_data_out[52] is outside die area.
[WARNING GRT-0036] Pin la_data_out[53] is outside die area.
[WARNING GRT-0036] Pin la_data_out[54] is outside die area.
[WARNING GRT-0036] Pin la_data_out[55] is outside die area.
[WARNING GRT-0036] Pin la_data_out[56] is outside die area.
[WARNING GRT-0036] Pin la_data_out[57] is outside die area.
[WARNING GRT-0036] Pin la_data_out[58] is outside die area.
[WARNING GRT-0036] Pin la_data_out[59] is outside die area.
[WARNING GRT-0036] Pin la_data_out[5] is outside die area.
[WARNING GRT-0036] Pin la_data_out[60] is outside die area.
[WARNING GRT-0036] Pin la_data_out[61] is outside die area.
[WARNING GRT-0036] Pin la_data_out[62] is outside die area.
[WARNING GRT-0036] Pin la_data_out[63] is outside die area.
[WARNING GRT-0036] Pin la_data_out[64] is outside die area.
[WARNING GRT-0036] Pin la_data_out[65] is outside die area.
[WARNING GRT-0036] Pin la_data_out[66] is outside die area.
[WARNING GRT-0036] Pin la_data_out[67] is outside die area.
[WARNING GRT-0036] Pin la_data_out[68] is outside die area.
[WARNING GRT-0036] Pin la_data_out[69] is outside die area.
[WARNING GRT-0036] Pin la_data_out[6] is outside die area.
[WARNING GRT-0036] Pin la_data_out[70] is outside die area.
[WARNING GRT-0036] Pin la_data_out[71] is outside die area.
[WARNING GRT-0036] Pin la_data_out[72] is outside die area.
[WARNING GRT-0036] Pin la_data_out[73] is outside die area.
[WARNING GRT-0036] Pin la_data_out[74] is outside die area.
[WARNING GRT-0036] Pin la_data_out[75] is outside die area.
[WARNING GRT-0036] Pin la_data_out[76] is outside die area.
[WARNING GRT-0036] Pin la_data_out[77] is outside die area.
[WARNING GRT-0036] Pin la_data_out[78] is outside die area.
[WARNING GRT-0036] Pin la_data_out[79] is outside die area.
[WARNING GRT-0036] Pin la_data_out[7] is outside die area.
[WARNING GRT-0036] Pin la_data_out[80] is outside die area.
[WARNING GRT-0036] Pin la_data_out[81] is outside die area.
[WARNING GRT-0036] Pin la_data_out[82] is outside die area.
[WARNING GRT-0036] Pin la_data_out[83] is outside die area.
[WARNING GRT-0036] Pin la_data_out[84] is outside die area.
[WARNING GRT-0036] Pin la_data_out[85] is outside die area.
[WARNING GRT-0036] Pin la_data_out[86] is outside die area.
[WARNING GRT-0036] Pin la_data_out[87] is outside die area.
[WARNING GRT-0036] Pin la_data_out[88] is outside die area.
[WARNING GRT-0036] Pin la_data_out[89] is outside die area.
[WARNING GRT-0036] Pin la_data_out[8] is outside die area.
[WARNING GRT-0036] Pin la_data_out[90] is outside die area.
[WARNING GRT-0036] Pin la_data_out[91] is outside die area.
[WARNING GRT-0036] Pin la_data_out[92] is outside die area.
[WARNING GRT-0036] Pin la_data_out[93] is outside die area.
[WARNING GRT-0036] Pin la_data_out[94] is outside die area.
[WARNING GRT-0036] Pin la_data_out[95] is outside die area.
[WARNING GRT-0036] Pin la_data_out[96] is outside die area.
[WARNING GRT-0036] Pin la_data_out[97] is outside die area.
[WARNING GRT-0036] Pin la_data_out[98] is outside die area.
[WARNING GRT-0036] Pin la_data_out[99] is outside die area.
[WARNING GRT-0036] Pin la_data_out[9] is outside die area.
[WARNING GRT-0036] Pin la_oenb[0] is outside die area.
[WARNING GRT-0036] Pin la_oenb[100] is outside die area.
[WARNING GRT-0036] Pin la_oenb[101] is outside die area.
[WARNING GRT-0036] Pin la_oenb[102] is outside die area.
[WARNING GRT-0036] Pin la_oenb[103] is outside die area.
[WARNING GRT-0036] Pin la_oenb[104] is outside die area.
[WARNING GRT-0036] Pin la_oenb[105] is outside die area.
[WARNING GRT-0036] Pin la_oenb[106] is outside die area.
[WARNING GRT-0036] Pin la_oenb[107] is outside die area.
[WARNING GRT-0036] Pin la_oenb[108] is outside die area.
[WARNING GRT-0036] Pin la_oenb[109] is outside die area.
[WARNING GRT-0036] Pin la_oenb[10] is outside die area.
[WARNING GRT-0036] Pin la_oenb[110] is outside die area.
[WARNING GRT-0036] Pin la_oenb[111] is outside die area.
[WARNING GRT-0036] Pin la_oenb[112] is outside die area.
[WARNING GRT-0036] Pin la_oenb[113] is outside die area.
[WARNING GRT-0036] Pin la_oenb[114] is outside die area.
[WARNING GRT-0036] Pin la_oenb[115] is outside die area.
[WARNING GRT-0036] Pin la_oenb[116] is outside die area.
[WARNING GRT-0036] Pin la_oenb[117] is outside die area.
[WARNING GRT-0036] Pin la_oenb[118] is outside die area.
[WARNING GRT-0036] Pin la_oenb[119] is outside die area.
[WARNING GRT-0036] Pin la_oenb[11] is outside die area.
[WARNING GRT-0036] Pin la_oenb[120] is outside die area.
[WARNING GRT-0036] Pin la_oenb[121] is outside die area.
[WARNING GRT-0036] Pin la_oenb[122] is outside die area.
[WARNING GRT-0036] Pin la_oenb[123] is outside die area.
[WARNING GRT-0036] Pin la_oenb[124] is outside die area.
[WARNING GRT-0036] Pin la_oenb[125] is outside die area.
[WARNING GRT-0036] Pin la_oenb[126] is outside die area.
[WARNING GRT-0036] Pin la_oenb[127] is outside die area.
[WARNING GRT-0036] Pin la_oenb[12] is outside die area.
[WARNING GRT-0036] Pin la_oenb[13] is outside die area.
[WARNING GRT-0036] Pin la_oenb[14] is outside die area.
[WARNING GRT-0036] Pin la_oenb[15] is outside die area.
[WARNING GRT-0036] Pin la_oenb[16] is outside die area.
[WARNING GRT-0036] Pin la_oenb[17] is outside die area.
[WARNING GRT-0036] Pin la_oenb[18] is outside die area.
[WARNING GRT-0036] Pin la_oenb[19] is outside die area.
[WARNING GRT-0036] Pin la_oenb[1] is outside die area.
[WARNING GRT-0036] Pin la_oenb[20] is outside die area.
[WARNING GRT-0036] Pin la_oenb[21] is outside die area.
[WARNING GRT-0036] Pin la_oenb[22] is outside die area.
[WARNING GRT-0036] Pin la_oenb[23] is outside die area.
[WARNING GRT-0036] Pin la_oenb[24] is outside die area.
[WARNING GRT-0036] Pin la_oenb[25] is outside die area.
[WARNING GRT-0036] Pin la_oenb[26] is outside die area.
[WARNING GRT-0036] Pin la_oenb[27] is outside die area.
[WARNING GRT-0036] Pin la_oenb[28] is outside die area.
[WARNING GRT-0036] Pin la_oenb[29] is outside die area.
[WARNING GRT-0036] Pin la_oenb[2] is outside die area.
[WARNING GRT-0036] Pin la_oenb[30] is outside die area.
[WARNING GRT-0036] Pin la_oenb[31] is outside die area.
[WARNING GRT-0036] Pin la_oenb[32] is outside die area.
[WARNING GRT-0036] Pin la_oenb[33] is outside die area.
[WARNING GRT-0036] Pin la_oenb[34] is outside die area.
[WARNING GRT-0036] Pin la_oenb[35] is outside die area.
[WARNING GRT-0036] Pin la_oenb[36] is outside die area.
[WARNING GRT-0036] Pin la_oenb[37] is outside die area.
[WARNING GRT-0036] Pin la_oenb[38] is outside die area.
[WARNING GRT-0036] Pin la_oenb[39] is outside die area.
[WARNING GRT-0036] Pin la_oenb[3] is outside die area.
[WARNING GRT-0036] Pin la_oenb[40] is outside die area.
[WARNING GRT-0036] Pin la_oenb[41] is outside die area.
[WARNING GRT-0036] Pin la_oenb[42] is outside die area.
[WARNING GRT-0036] Pin la_oenb[43] is outside die area.
[WARNING GRT-0036] Pin la_oenb[44] is outside die area.
[WARNING GRT-0036] Pin la_oenb[45] is outside die area.
[WARNING GRT-0036] Pin la_oenb[46] is outside die area.
[WARNING GRT-0036] Pin la_oenb[47] is outside die area.
[WARNING GRT-0036] Pin la_oenb[48] is outside die area.
[WARNING GRT-0036] Pin la_oenb[49] is outside die area.
[WARNING GRT-0036] Pin la_oenb[4] is outside die area.
[WARNING GRT-0036] Pin la_oenb[50] is outside die area.
[WARNING GRT-0036] Pin la_oenb[51] is outside die area.
[WARNING GRT-0036] Pin la_oenb[52] is outside die area.
[WARNING GRT-0036] Pin la_oenb[53] is outside die area.
[WARNING GRT-0036] Pin la_oenb[54] is outside die area.
[WARNING GRT-0036] Pin la_oenb[55] is outside die area.
[WARNING GRT-0036] Pin la_oenb[56] is outside die area.
[WARNING GRT-0036] Pin la_oenb[57] is outside die area.
[WARNING GRT-0036] Pin la_oenb[58] is outside die area.
[WARNING GRT-0036] Pin la_oenb[59] is outside die area.
[WARNING GRT-0036] Pin la_oenb[5] is outside die area.
[WARNING GRT-0036] Pin la_oenb[60] is outside die area.
[WARNING GRT-0036] Pin la_oenb[61] is outside die area.
[WARNING GRT-0036] Pin la_oenb[62] is outside die area.
[WARNING GRT-0036] Pin la_oenb[63] is outside die area.
[WARNING GRT-0036] Pin la_oenb[64] is outside die area.
[WARNING GRT-0036] Pin la_oenb[65] is outside die area.
[WARNING GRT-0036] Pin la_oenb[66] is outside die area.
[WARNING GRT-0036] Pin la_oenb[67] is outside die area.
[WARNING GRT-0036] Pin la_oenb[68] is outside die area.
[WARNING GRT-0036] Pin la_oenb[69] is outside die area.
[WARNING GRT-0036] Pin la_oenb[6] is outside die area.
[WARNING GRT-0036] Pin la_oenb[70] is outside die area.
[WARNING GRT-0036] Pin la_oenb[71] is outside die area.
[WARNING GRT-0036] Pin la_oenb[72] is outside die area.
[WARNING GRT-0036] Pin la_oenb[73] is outside die area.
[WARNING GRT-0036] Pin la_oenb[74] is outside die area.
[WARNING GRT-0036] Pin la_oenb[75] is outside die area.
[WARNING GRT-0036] Pin la_oenb[76] is outside die area.
[WARNING GRT-0036] Pin la_oenb[77] is outside die area.
[WARNING GRT-0036] Pin la_oenb[78] is outside die area.
[WARNING GRT-0036] Pin la_oenb[79] is outside die area.
[WARNING GRT-0036] Pin la_oenb[7] is outside die area.
[WARNING GRT-0036] Pin la_oenb[80] is outside die area.
[WARNING GRT-0036] Pin la_oenb[81] is outside die area.
[WARNING GRT-0036] Pin la_oenb[82] is outside die area.
[WARNING GRT-0036] Pin la_oenb[83] is outside die area.
[WARNING GRT-0036] Pin la_oenb[84] is outside die area.
[WARNING GRT-0036] Pin la_oenb[85] is outside die area.
[WARNING GRT-0036] Pin la_oenb[86] is outside die area.
[WARNING GRT-0036] Pin la_oenb[87] is outside die area.
[WARNING GRT-0036] Pin la_oenb[88] is outside die area.
[WARNING GRT-0036] Pin la_oenb[89] is outside die area.
[WARNING GRT-0036] Pin la_oenb[8] is outside die area.
[WARNING GRT-0036] Pin la_oenb[90] is outside die area.
[WARNING GRT-0036] Pin la_oenb[91] is outside die area.
[WARNING GRT-0036] Pin la_oenb[92] is outside die area.
[WARNING GRT-0036] Pin la_oenb[93] is outside die area.
[WARNING GRT-0036] Pin la_oenb[94] is outside die area.
[WARNING GRT-0036] Pin la_oenb[95] is outside die area.
[WARNING GRT-0036] Pin la_oenb[96] is outside die area.
[WARNING GRT-0036] Pin la_oenb[97] is outside die area.
[WARNING GRT-0036] Pin la_oenb[98] is outside die area.
[WARNING GRT-0036] Pin la_oenb[99] is outside die area.
[WARNING GRT-0036] Pin la_oenb[9] is outside die area.
[WARNING GRT-0036] Pin user_clock2 is outside die area.
[WARNING GRT-0036] Pin user_irq[0] is outside die area.
[WARNING GRT-0036] Pin user_irq[1] is outside die area.
[WARNING GRT-0036] Pin user_irq[2] is outside die area.
[WARNING GRT-0036] Pin wb_clk_i is outside die area.
[WARNING GRT-0036] Pin wb_rst_i is outside die area.
[WARNING GRT-0036] Pin wbs_ack_o is outside die area.
[WARNING GRT-0036] Pin wbs_adr_i[0] is outside die area.
[WARNING GRT-0036] Pin wbs_adr_i[10] is outside die area.
[WARNING GRT-0036] Pin wbs_adr_i[11] is outside die area.
[WARNING GRT-0036] Pin wbs_adr_i[12] is outside die area.
[WARNING GRT-0036] Pin wbs_adr_i[13] is outside die area.
[WARNING GRT-0036] Pin wbs_adr_i[14] is outside die area.
[WARNING GRT-0036] Pin wbs_adr_i[15] is outside die area.
[WARNING GRT-0036] Pin wbs_adr_i[16] is outside die area.
[WARNING GRT-0036] Pin wbs_adr_i[17] is outside die area.
[WARNING GRT-0036] Pin wbs_adr_i[18] is outside die area.
[WARNING GRT-0036] Pin wbs_adr_i[19] is outside die area.
[WARNING GRT-0036] Pin wbs_adr_i[1] is outside die area.
[WARNING GRT-0036] Pin wbs_adr_i[20] is outside die area.
[WARNING GRT-0036] Pin wbs_adr_i[21] is outside die area.
[WARNING GRT-0036] Pin wbs_adr_i[22] is outside die area.
[WARNING GRT-0036] Pin wbs_adr_i[23] is outside die area.
[WARNING GRT-0036] Pin wbs_adr_i[24] is outside die area.
[WARNING GRT-0036] Pin wbs_adr_i[25] is outside die area.
[WARNING GRT-0036] Pin wbs_adr_i[26] is outside die area.
[WARNING GRT-0036] Pin wbs_adr_i[27] is outside die area.
[WARNING GRT-0036] Pin wbs_adr_i[28] is outside die area.
[WARNING GRT-0036] Pin wbs_adr_i[29] is outside die area.
[WARNING GRT-0036] Pin wbs_adr_i[2] is outside die area.
[WARNING GRT-0036] Pin wbs_adr_i[30] is outside die area.
[WARNING GRT-0036] Pin wbs_adr_i[31] is outside die area.
[WARNING GRT-0036] Pin wbs_adr_i[3] is outside die area.
[WARNING GRT-0036] Pin wbs_adr_i[4] is outside die area.
[WARNING GRT-0036] Pin wbs_adr_i[5] is outside die area.
[WARNING GRT-0036] Pin wbs_adr_i[6] is outside die area.
[WARNING GRT-0036] Pin wbs_adr_i[7] is outside die area.
[WARNING GRT-0036] Pin wbs_adr_i[8] is outside die area.
[WARNING GRT-0036] Pin wbs_adr_i[9] is outside die area.
[WARNING GRT-0036] Pin wbs_cyc_i is outside die area.
[WARNING GRT-0036] Pin wbs_dat_i[0] is outside die area.
[WARNING GRT-0036] Pin wbs_dat_i[10] is outside die area.
[WARNING GRT-0036] Pin wbs_dat_i[11] is outside die area.
[WARNING GRT-0036] Pin wbs_dat_i[12] is outside die area.
[WARNING GRT-0036] Pin wbs_dat_i[13] is outside die area.
[WARNING GRT-0036] Pin wbs_dat_i[14] is outside die area.
[WARNING GRT-0036] Pin wbs_dat_i[15] is outside die area.
[WARNING GRT-0036] Pin wbs_dat_i[16] is outside die area.
[WARNING GRT-0036] Pin wbs_dat_i[17] is outside die area.
[WARNING GRT-0036] Pin wbs_dat_i[18] is outside die area.
[WARNING GRT-0036] Pin wbs_dat_i[19] is outside die area.
[WARNING GRT-0036] Pin wbs_dat_i[1] is outside die area.
[WARNING GRT-0036] Pin wbs_dat_i[20] is outside die area.
[WARNING GRT-0036] Pin wbs_dat_i[21] is outside die area.
[WARNING GRT-0036] Pin wbs_dat_i[22] is outside die area.
[WARNING GRT-0036] Pin wbs_dat_i[23] is outside die area.
[WARNING GRT-0036] Pin wbs_dat_i[24] is outside die area.
[WARNING GRT-0036] Pin wbs_dat_i[25] is outside die area.
[WARNING GRT-0036] Pin wbs_dat_i[26] is outside die area.
[WARNING GRT-0036] Pin wbs_dat_i[27] is outside die area.
[WARNING GRT-0036] Pin wbs_dat_i[28] is outside die area.
[WARNING GRT-0036] Pin wbs_dat_i[29] is outside die area.
[WARNING GRT-0036] Pin wbs_dat_i[2] is outside die area.
[WARNING GRT-0036] Pin wbs_dat_i[30] is outside die area.
[WARNING GRT-0036] Pin wbs_dat_i[31] is outside die area.
[WARNING GRT-0036] Pin wbs_dat_i[3] is outside die area.
[WARNING GRT-0036] Pin wbs_dat_i[4] is outside die area.
[WARNING GRT-0036] Pin wbs_dat_i[5] is outside die area.
[WARNING GRT-0036] Pin wbs_dat_i[6] is outside die area.
[WARNING GRT-0036] Pin wbs_dat_i[7] is outside die area.
[WARNING GRT-0036] Pin wbs_dat_i[8] is outside die area.
[WARNING GRT-0036] Pin wbs_dat_i[9] is outside die area.
[WARNING GRT-0036] Pin wbs_dat_o[0] is outside die area.
[WARNING GRT-0036] Pin wbs_dat_o[10] is outside die area.
[WARNING GRT-0036] Pin wbs_dat_o[11] is outside die area.
[WARNING GRT-0036] Pin wbs_dat_o[12] is outside die area.
[WARNING GRT-0036] Pin wbs_dat_o[13] is outside die area.
[WARNING GRT-0036] Pin wbs_dat_o[14] is outside die area.
[WARNING GRT-0036] Pin wbs_dat_o[15] is outside die area.
[WARNING GRT-0036] Pin wbs_dat_o[16] is outside die area.
[WARNING GRT-0036] Pin wbs_dat_o[17] is outside die area.
[WARNING GRT-0036] Pin wbs_dat_o[18] is outside die area.
[WARNING GRT-0036] Pin wbs_dat_o[19] is outside die area.
[WARNING GRT-0036] Pin wbs_dat_o[1] is outside die area.
[WARNING GRT-0036] Pin wbs_dat_o[20] is outside die area.
[WARNING GRT-0036] Pin wbs_dat_o[21] is outside die area.
[WARNING GRT-0036] Pin wbs_dat_o[22] is outside die area.
[WARNING GRT-0036] Pin wbs_dat_o[23] is outside die area.
[WARNING GRT-0036] Pin wbs_dat_o[24] is outside die area.
[WARNING GRT-0036] Pin wbs_dat_o[25] is outside die area.
[WARNING GRT-0036] Pin wbs_dat_o[26] is outside die area.
[WARNING GRT-0036] Pin wbs_dat_o[27] is outside die area.
[WARNING GRT-0036] Pin wbs_dat_o[28] is outside die area.
[WARNING GRT-0036] Pin wbs_dat_o[29] is outside die area.
[WARNING GRT-0036] Pin wbs_dat_o[2] is outside die area.
[WARNING GRT-0036] Pin wbs_dat_o[30] is outside die area.
[WARNING GRT-0036] Pin wbs_dat_o[31] is outside die area.
[WARNING GRT-0036] Pin wbs_dat_o[3] is outside die area.
[WARNING GRT-0036] Pin wbs_dat_o[4] is outside die area.
[WARNING GRT-0036] Pin wbs_dat_o[5] is outside die area.
[WARNING GRT-0036] Pin wbs_dat_o[6] is outside die area.
[WARNING GRT-0036] Pin wbs_dat_o[7] is outside die area.
[WARNING GRT-0036] Pin wbs_dat_o[8] is outside die area.
[WARNING GRT-0036] Pin wbs_dat_o[9] is outside die area.
[WARNING GRT-0036] Pin wbs_sel_i[0] is outside die area.
[WARNING GRT-0036] Pin wbs_sel_i[1] is outside die area.
[WARNING GRT-0036] Pin wbs_sel_i[2] is outside die area.
[WARNING GRT-0036] Pin wbs_sel_i[3] is outside die area.
[WARNING GRT-0036] Pin wbs_stb_i is outside die area.
[WARNING GRT-0036] Pin wbs_we_i is outside die area.
[INFO GRT-0001] Minimum degree: 2
[INFO GRT-0002] Maximum degree: 6
[INFO GRT-0003] Macros: 4
[WARNING GRT-0040] Net vccd1 has wires outside die area.
[WARNING GRT-0040] Net vccd1 has wires outside die area.
[WARNING GRT-0040] Net vccd1 has wires outside die area.
[WARNING GRT-0040] Net vccd1 has wires outside die area.
[WARNING GRT-0040] Net vccd1 has wires outside die area.
[WARNING GRT-0040] Net vccd1 has wires outside die area.
[WARNING GRT-0040] Net vccd1 has wires outside die area.
[WARNING GRT-0040] Net vccd1 has wires outside die area.
[WARNING GRT-0040] Net vccd1 has wires outside die area.
[WARNING GRT-0040] Net vccd1 has wires outside die area.
[WARNING GRT-0040] Net vccd1 has wires outside die area.
[WARNING GRT-0040] Net vccd1 has wires outside die area.
[WARNING GRT-0040] Net vccd1 has wires outside die area.
[WARNING GRT-0040] Net vccd1 has wires outside die area.
[WARNING GRT-0040] Net vccd1 has wires outside die area.
[WARNING GRT-0040] Net vccd1 has wires outside die area.
[WARNING GRT-0040] Net vccd1 has wires outside die area.
[WARNING GRT-0040] Net vccd1 has wires outside die area.
[WARNING GRT-0040] Net vccd1 has wires outside die area.
[WARNING GRT-0040] Net vccd1 has wires outside die area.
[WARNING GRT-0040] Net vccd1 has wires outside die area.
[WARNING GRT-0040] Net vccd1 has wires outside die area.
[WARNING GRT-0040] Net vccd1 has wires outside die area.
[WARNING GRT-0040] Net vccd1 has wires outside die area.
[WARNING GRT-0040] Net vccd1 has wires outside die area.
[WARNING GRT-0040] Net vccd1 has wires outside die area.
[WARNING GRT-0040] Net vccd1 has wires outside die area.
[WARNING GRT-0040] Net vccd2 has wires outside die area.
[WARNING GRT-0040] Net vccd2 has wires outside die area.
[WARNING GRT-0040] Net vccd2 has wires outside die area.
[WARNING GRT-0040] Net vccd2 has wires outside die area.
[WARNING GRT-0040] Net vccd2 has wires outside die area.
[WARNING GRT-0040] Net vccd2 has wires outside die area.
[WARNING GRT-0040] Net vccd2 has wires outside die area.
[WARNING GRT-0040] Net vccd2 has wires outside die area.
[WARNING GRT-0040] Net vccd2 has wires outside die area.
[WARNING GRT-0040] Net vccd2 has wires outside die area.
[WARNING GRT-0040] Net vccd2 has wires outside die area.
[WARNING GRT-0040] Net vccd2 has wires outside die area.
[WARNING GRT-0040] Net vccd2 has wires outside die area.
[WARNING GRT-0040] Net vccd2 has wires outside die area.
[WARNING GRT-0040] Net vccd2 has wires outside die area.
[WARNING GRT-0040] Net vccd2 has wires outside die area.
[WARNING GRT-0040] Net vccd2 has wires outside die area.
[WARNING GRT-0040] Net vccd2 has wires outside die area.
[WARNING GRT-0040] Net vccd2 has wires outside die area.
[WARNING GRT-0040] Net vccd2 has wires outside die area.
[WARNING GRT-0040] Net vccd2 has wires outside die area.
[WARNING GRT-0040] Net vccd2 has wires outside die area.
[WARNING GRT-0040] Net vccd2 has wires outside die area.
[WARNING GRT-0040] Net vccd2 has wires outside die area.
[WARNING GRT-0040] Net vccd2 has wires outside die area.
[WARNING GRT-0040] Net vccd2 has wires outside die area.
[WARNING GRT-0040] Net vdda1 has wires outside die area.
[WARNING GRT-0040] Net vdda1 has wires outside die area.
[WARNING GRT-0040] Net vdda1 has wires outside die area.
[WARNING GRT-0040] Net vdda1 has wires outside die area.
[WARNING GRT-0040] Net vdda1 has wires outside die area.
[WARNING GRT-0040] Net vdda1 has wires outside die area.
[WARNING GRT-0040] Net vdda1 has wires outside die area.
[WARNING GRT-0040] Net vdda1 has wires outside die area.
[WARNING GRT-0040] Net vdda1 has wires outside die area.
[WARNING GRT-0040] Net vdda1 has wires outside die area.
[WARNING GRT-0040] Net vdda1 has wires outside die area.
[WARNING GRT-0040] Net vdda1 has wires outside die area.
[WARNING GRT-0040] Net vdda1 has wires outside die area.
[WARNING GRT-0040] Net vdda1 has wires outside die area.
[WARNING GRT-0040] Net vdda1 has wires outside die area.
[WARNING GRT-0040] Net vdda1 has wires outside die area.
[WARNING GRT-0040] Net vdda1 has wires outside die area.
[WARNING GRT-0040] Net vdda1 has wires outside die area.
[WARNING GRT-0040] Net vdda1 has wires outside die area.
[WARNING GRT-0040] Net vdda1 has wires outside die area.
[WARNING GRT-0040] Net vdda1 has wires outside die area.
[WARNING GRT-0040] Net vdda1 has wires outside die area.
[WARNING GRT-0040] Net vdda1 has wires outside die area.
[WARNING GRT-0040] Net vdda1 has wires outside die area.
[WARNING GRT-0040] Net vdda1 has wires outside die area.
[WARNING GRT-0040] Net vdda1 has wires outside die area.
[WARNING GRT-0040] Net vdda2 has wires outside die area.
[WARNING GRT-0040] Net vdda2 has wires outside die area.
[WARNING GRT-0040] Net vdda2 has wires outside die area.
[WARNING GRT-0040] Net vdda2 has wires outside die area.
[WARNING GRT-0040] Net vdda2 has wires outside die area.
[WARNING GRT-0040] Net vdda2 has wires outside die area.
[WARNING GRT-0040] Net vdda2 has wires outside die area.
[WARNING GRT-0040] Net vdda2 has wires outside die area.
[WARNING GRT-0040] Net vdda2 has wires outside die area.
[WARNING GRT-0040] Net vdda2 has wires outside die area.
[WARNING GRT-0040] Net vdda2 has wires outside die area.
[WARNING GRT-0040] Net vdda2 has wires outside die area.
[WARNING GRT-0040] Net vdda2 has wires outside die area.
[WARNING GRT-0040] Net vdda2 has wires outside die area.
[WARNING GRT-0040] Net vdda2 has wires outside die area.
[WARNING GRT-0040] Net vdda2 has wires outside die area.
[WARNING GRT-0040] Net vdda2 has wires outside die area.
[WARNING GRT-0040] Net vdda2 has wires outside die area.
[WARNING GRT-0040] Net vdda2 has wires outside die area.
[WARNING GRT-0040] Net vdda2 has wires outside die area.
[WARNING GRT-0040] Net vdda2 has wires outside die area.
[WARNING GRT-0040] Net vdda2 has wires outside die area.
[WARNING GRT-0040] Net vdda2 has wires outside die area.
[WARNING GRT-0040] Net vdda2 has wires outside die area.
[WARNING GRT-0040] Net vdda2 has wires outside die area.
[WARNING GRT-0040] Net vdda2 has wires outside die area.
[WARNING GRT-0040] Net vssa1 has wires outside die area.
[WARNING GRT-0040] Net vssa1 has wires outside die area.
[WARNING GRT-0040] Net vssa1 has wires outside die area.
[WARNING GRT-0040] Net vssa1 has wires outside die area.
[WARNING GRT-0040] Net vssa1 has wires outside die area.
[WARNING GRT-0040] Net vssa1 has wires outside die area.
[WARNING GRT-0040] Net vssa1 has wires outside die area.
[WARNING GRT-0040] Net vssa1 has wires outside die area.
[WARNING GRT-0040] Net vssa1 has wires outside die area.
[WARNING GRT-0040] Net vssa1 has wires outside die area.
[WARNING GRT-0040] Net vssa1 has wires outside die area.
[WARNING GRT-0040] Net vssa1 has wires outside die area.
[WARNING GRT-0040] Net vssa1 has wires outside die area.
[WARNING GRT-0040] Net vssa1 has wires outside die area.
[WARNING GRT-0040] Net vssa1 has wires outside die area.
[WARNING GRT-0040] Net vssa1 has wires outside die area.
[WARNING GRT-0040] Net vssa1 has wires outside die area.
[WARNING GRT-0040] Net vssa1 has wires outside die area.
[WARNING GRT-0040] Net vssa1 has wires outside die area.
[WARNING GRT-0040] Net vssa1 has wires outside die area.
[WARNING GRT-0040] Net vssa1 has wires outside die area.
[WARNING GRT-0040] Net vssa1 has wires outside die area.
[WARNING GRT-0040] Net vssa1 has wires outside die area.
[WARNING GRT-0040] Net vssa1 has wires outside die area.
[WARNING GRT-0040] Net vssa1 has wires outside die area.
[WARNING GRT-0040] Net vssa1 has wires outside die area.
[WARNING GRT-0040] Net vssa2 has wires outside die area.
[WARNING GRT-0040] Net vssa2 has wires outside die area.
[WARNING GRT-0040] Net vssa2 has wires outside die area.
[WARNING GRT-0040] Net vssa2 has wires outside die area.
[WARNING GRT-0040] Net vssa2 has wires outside die area.
[WARNING GRT-0040] Net vssa2 has wires outside die area.
[WARNING GRT-0040] Net vssa2 has wires outside die area.
[WARNING GRT-0040] Net vssa2 has wires outside die area.
[WARNING GRT-0040] Net vssa2 has wires outside die area.
[WARNING GRT-0040] Net vssa2 has wires outside die area.
[WARNING GRT-0040] Net vssa2 has wires outside die area.
[WARNING GRT-0040] Net vssa2 has wires outside die area.
[WARNING GRT-0040] Net vssa2 has wires outside die area.
[WARNING GRT-0040] Net vssa2 has wires outside die area.
[WARNING GRT-0040] Net vssa2 has wires outside die area.
[WARNING GRT-0040] Net vssa2 has wires outside die area.
[WARNING GRT-0040] Net vssa2 has wires outside die area.
[WARNING GRT-0040] Net vssa2 has wires outside die area.
[WARNING GRT-0040] Net vssa2 has wires outside die area.
[WARNING GRT-0040] Net vssa2 has wires outside die area.
[WARNING GRT-0040] Net vssa2 has wires outside die area.
[WARNING GRT-0040] Net vssa2 has wires outside die area.
[WARNING GRT-0040] Net vssa2 has wires outside die area.
[WARNING GRT-0040] Net vssa2 has wires outside die area.
[WARNING GRT-0040] Net vssa2 has wires outside die area.
[WARNING GRT-0040] Net vssd1 has wires outside die area.
[WARNING GRT-0040] Net vssd1 has wires outside die area.
[WARNING GRT-0040] Net vssd1 has wires outside die area.
[WARNING GRT-0040] Net vssd1 has wires outside die area.
[WARNING GRT-0040] Net vssd1 has wires outside die area.
[WARNING GRT-0040] Net vssd1 has wires outside die area.
[WARNING GRT-0040] Net vssd1 has wires outside die area.
[WARNING GRT-0040] Net vssd1 has wires outside die area.
[WARNING GRT-0040] Net vssd1 has wires outside die area.
[WARNING GRT-0040] Net vssd1 has wires outside die area.
[WARNING GRT-0040] Net vssd1 has wires outside die area.
[WARNING GRT-0040] Net vssd1 has wires outside die area.
[WARNING GRT-0040] Net vssd1 has wires outside die area.
[WARNING GRT-0040] Net vssd1 has wires outside die area.
[WARNING GRT-0040] Net vssd1 has wires outside die area.
[WARNING GRT-0040] Net vssd1 has wires outside die area.
[WARNING GRT-0040] Net vssd1 has wires outside die area.
[WARNING GRT-0040] Net vssd1 has wires outside die area.
[WARNING GRT-0040] Net vssd1 has wires outside die area.
[WARNING GRT-0040] Net vssd1 has wires outside die area.
[WARNING GRT-0040] Net vssd1 has wires outside die area.
[WARNING GRT-0040] Net vssd1 has wires outside die area.
[WARNING GRT-0040] Net vssd1 has wires outside die area.
[WARNING GRT-0040] Net vssd1 has wires outside die area.
[WARNING GRT-0040] Net vssd1 has wires outside die area.
[WARNING GRT-0040] Net vssd1 has wires outside die area.
[WARNING GRT-0040] Net vssd2 has wires outside die area.
[WARNING GRT-0040] Net vssd2 has wires outside die area.
[WARNING GRT-0040] Net vssd2 has wires outside die area.
[WARNING GRT-0040] Net vssd2 has wires outside die area.
[WARNING GRT-0040] Net vssd2 has wires outside die area.
[WARNING GRT-0040] Net vssd2 has wires outside die area.
[WARNING GRT-0040] Net vssd2 has wires outside die area.
[WARNING GRT-0040] Net vssd2 has wires outside die area.
[WARNING GRT-0040] Net vssd2 has wires outside die area.
[WARNING GRT-0040] Net vssd2 has wires outside die area.
[WARNING GRT-0040] Net vssd2 has wires outside die area.
[WARNING GRT-0040] Net vssd2 has wires outside die area.
[WARNING GRT-0040] Net vssd2 has wires outside die area.
[WARNING GRT-0040] Net vssd2 has wires outside die area.
[WARNING GRT-0040] Net vssd2 has wires outside die area.
[WARNING GRT-0040] Net vssd2 has wires outside die area.
[WARNING GRT-0040] Net vssd2 has wires outside die area.
[WARNING GRT-0040] Net vssd2 has wires outside die area.
[WARNING GRT-0040] Net vssd2 has wires outside die area.
[WARNING GRT-0040] Net vssd2 has wires outside die area.
[WARNING GRT-0040] Net vssd2 has wires outside die area.
[WARNING GRT-0040] Net vssd2 has wires outside die area.
[WARNING GRT-0040] Net vssd2 has wires outside die area.
[WARNING GRT-0040] Net vssd2 has wires outside die area.
[WARNING GRT-0040] Net vssd2 has wires outside die area.
[WARNING GRT-0040] Net vssd2 has wires outside die area.
[INFO GRT-0004] Blockages: 838
[INFO GRT-0053] Routing resources analysis:
Routing Original Derated Resource
Layer Direction Resources Resources Reduction (%)
---------------------------------------------------------------
li1 Vertical 0 0 0.00%
met1 Horizontal 4314600 2203285 48.93%
met2 Vertical 3235950 1914894 40.82%
met3 Horizontal 2157300 1339480 37.91%
met4 Vertical 1510110 676849 55.18%
---------------------------------------------------------------
[INFO GRT-0101] Running extra iterations to remove overflow.
[INFO GRT-0197] Via related to pin nodes: 7932
[INFO GRT-0198] Via related Steiner nodes: 34
[INFO GRT-0199] Via filling finished.
[INFO GRT-0111] Final number of vias: 10691
[INFO GRT-0112] Final usage 3D: 96328
[INFO GRT-0096] Final congestion report:
Layer Resource Demand Usage (%) Max H / Max V / Total Overflow
---------------------------------------------------------------------------------------
li1 0 0 0.00% 0 / 0 / 0
met1 2203285 31974 1.45% 0 / 0 / 0
met2 1914894 24629 1.29% 0 / 0 / 0
met3 1339480 5655 0.42% 0 / 0 / 0
met4 676849 1997 0.30% 0 / 0 / 0
---------------------------------------------------------------------------------------
Total 6134508 64255 1.05% 0 / 0 / 0
[INFO GRT-0018] Total wirelength: 494412 um
[INFO GRT-0006] Repairing antennas, iteration 1.
[WARNING GRT-0043] No OR_DEFAULT vias defined.
Notice 0: Split top of 1 T shapes.
Notice 0: Split top of 1 T shapes.
Notice 0: Split top of 1 T shapes.
Notice 0: Split top of 1 T shapes.
Notice 0: Split top of 1 T shapes.
Notice 0: Split top of 1 T shapes.
Notice 0: Split top of 1 T shapes.
Notice 0: Split top of 1 T shapes.
Notice 0: Split top of 1 T shapes.
Notice 0: Split top of 1 T shapes.
Notice 0: Split top of 1 T shapes.
Notice 0: Split top of 1 T shapes.
Notice 0: Split top of 1 T shapes.
Notice 0: Split top of 1 T shapes.
Notice 0: Split top of 1 T shapes.
Notice 0: Split top of 1 T shapes.
Notice 0: Split top of 1 T shapes.
Notice 0: Split top of 1 T shapes.
Notice 0: Split top of 1 T shapes.
Notice 0: Split top of 1 T shapes.
Notice 0: Split top of 1 T shapes.
Notice 0: Split top of 1 T shapes.
Notice 0: Split top of 1 T shapes.
Notice 0: Split top of 1 T shapes.
Notice 0: Split top of 1 T shapes.
Notice 0: Split top of 1 T shapes.
Notice 0: Split top of 1 T shapes.
Notice 0: Split top of 1 T shapes.
Notice 0: Split top of 1 T shapes.
Notice 0: Split top of 1 T shapes.
Notice 0: Split top of 1 T shapes.
Notice 0: Split top of 1 T shapes.
Notice 0: Split top of 1 T shapes.
Notice 0: Split top of 1 T shapes.
Notice 0: Split top of 1 T shapes.
Notice 0: Split top of 1 T shapes.
Notice 0: Split top of 1 T shapes.
Notice 0: Split top of 1 T shapes.
Notice 0: Split top of 1 T shapes.
Notice 0: Split top of 1 T shapes.
Notice 0: Split top of 1 T shapes.
Notice 0: Split top of 1 T shapes.
Notice 0: Split top of 1 T shapes.
Notice 0: Split top of 1 T shapes.
Notice 0: Split top of 1 T shapes.
Notice 0: Split top of 1 T shapes.
Notice 0: Split top of 1 T shapes.
Notice 0: Split top of 1 T shapes.
Notice 0: Split top of 1 T shapes.
Notice 0: Split top of 1 T shapes.
Notice 0: Split top of 1 T shapes.
Notice 0: Split top of 1 T shapes.
Notice 0: Split top of 1 T shapes.
Notice 0: Split top of 1 T shapes.
Notice 0: Split top of 1 T shapes.
Notice 0: Split top of 1 T shapes.
Notice 0: Split top of 1 T shapes.
Notice 0: Split top of 1 T shapes.
Notice 0: Split top of 1 T shapes.
Notice 0: Split top of 1 T shapes.
Notice 0: Split top of 1 T shapes.
Notice 0: Split top of 1 T shapes.
Notice 0: Split top of 1 T shapes.
Notice 0: Split top of 1 T shapes.
Notice 0: Split top of 1 T shapes.
Notice 0: Split top of 1 T shapes.
Notice 0: Split top of 1 T shapes.
Notice 0: Split top of 1 T shapes.
Notice 0: Split top of 1 T shapes.
Notice 0: Split top of 1 T shapes.
Notice 0: Split top of 1 T shapes.
Notice 0: Split top of 1 T shapes.
Notice 0: Split top of 1 T shapes.
Notice 0: Split top of 1 T shapes.
Notice 0: Split top of 1 T shapes.
Notice 0: Split top of 1 T shapes.
Notice 0: Split top of 1 T shapes.
Notice 0: Split top of 1 T shapes.
Notice 0: Split top of 1 T shapes.
Notice 0: Split top of 1 T shapes.
Notice 0: Split top of 1 T shapes.
Notice 0: Split top of 1 T shapes.
Notice 0: Split top of 1 T shapes.
Notice 0: Split top of 1 T shapes.
Notice 0: Split top of 1 T shapes.
Notice 0: Split top of 1 T shapes.
Notice 0: Split top of 1 T shapes.
Notice 0: Split top of 1 T shapes.
Notice 0: Split top of 1 T shapes.
Notice 0: Split top of 1 T shapes.
Notice 0: Split top of 1 T shapes.
Notice 0: Split top of 1 T shapes.
Notice 0: Split top of 1 T shapes.
Notice 0: Split top of 1 T shapes.
Notice 0: Split top of 1 T shapes.
Notice 0: Split top of 1 T shapes.
Notice 0: Split top of 1 T shapes.
Notice 0: Split top of 1 T shapes.
Notice 0: Split top of 1 T shapes.
Notice 0: Split top of 1 T shapes.
Notice 0: Split top of 1 T shapes.
Notice 0: Split top of 1 T shapes.
Notice 0: Split top of 1 T shapes.
Notice 0: Split top of 1 T shapes.
Notice 0: Split top of 1 T shapes.
Notice 0: Split top of 1 T shapes.
Notice 0: Split top of 1 T shapes.
Notice 0: Split top of 1 T shapes.
Notice 0: Split top of 1 T shapes.
Notice 0: Split top of 1 T shapes.
Notice 0: Split top of 1 T shapes.
Notice 0: Split top of 1 T shapes.
Notice 0: Split top of 1 T shapes.
Notice 0: Split top of 1 T shapes.
Notice 0: Split top of 1 T shapes.
Notice 0: Split top of 1 T shapes.
Notice 0: Split top of 1 T shapes.
Notice 0: Split top of 1 T shapes.
Notice 0: Split top of 1 T shapes.
Notice 0: Split top of 1 T shapes.
Notice 0: Split top of 1 T shapes.
Notice 0: Split top of 1 T shapes.
Notice 0: Split top of 1 T shapes.
Notice 0: Split top of 1 T shapes.
Notice 0: Split top of 1 T shapes.
Notice 0: Split top of 1 T shapes.
Notice 0: Split top of 1 T shapes.
Notice 0: Split top of 1 T shapes.
Notice 0: Split top of 1 T shapes.
Notice 0: Split top of 1 T shapes.
Notice 0: Split top of 1 T shapes.
Notice 0: Split top of 1 T shapes.
Notice 0: Split top of 1 T shapes.
Notice 0: Split top of 1 T shapes.
Notice 0: Split top of 1 T shapes.
Notice 0: Split top of 1 T shapes.
Notice 0:
disconnected net 797 net1008
Notice 0: Split top of 1 T shapes.
Notice 0: Split top of 1 T shapes.
Notice 0:
disconnected net 820 net1029
Notice 0: Split top of 1 T shapes.
Notice 0: Split top of 1 T shapes.
Notice 0:
disconnected net 851 net1057
Notice 0: Split top of 1 T shapes.
Notice 0: Split top of 1 T shapes.
Notice 0:
disconnected net 874 net1078
Notice 0: Split top of 1 T shapes.
Notice 0: Split top of 1 T shapes.
Notice 0:
disconnected net 900 net1100
Notice 0: Split top of 1 T shapes.
Notice 0: Split top of 1 T shapes.
Notice 0: Split top of 1 T shapes.
Notice 0:
disconnected net 937 net1134
Notice 0: Split top of 1 T shapes.
Notice 0: Split top of 1 T shapes.
Notice 0:
disconnected net 963 net1158
Notice 0: Split top of 1 T shapes.
Notice 0: Split top of 1 T shapes.
Notice 0: Split top of 1 T shapes.
Notice 0: Split top of 1 T shapes.
Notice 0:
disconnected net 1005 net1196
Notice 0:
disconnected net 1018 net1207
Notice 0: Split top of 1 T shapes.
Notice 0:
disconnected net 1022 net1210
Notice 0: Split top of 1 T shapes.
Notice 0:
disconnected net 1201 net1372
Notice 0: Split top of 1 T shapes.
Notice 0: Split top of 1 T shapes.
Notice 0:
disconnected net 1214 net1384
Notice 0: Split top of 1 T shapes.
Notice 0: Split top of 1 T shapes.
Notice 0:
disconnected net 1762 net2000
Notice 0: Split top of 1 T shapes.
Notice 0:
disconnected net 1763 net2001
Notice 0: Split top of 1 T shapes.
Notice 0: Split top of 1 T shapes.
Notice 0: Split top of 1 T shapes.
Notice 0: Split top of 1 T shapes.
Notice 0: Split top of 1 T shapes.
Notice 0: Split top of 1 T shapes.
Notice 0: Split top of 1 T shapes.
Notice 0: Split top of 1 T shapes.
Notice 0: Split top of 1 T shapes.
Notice 0: Split top of 1 T shapes.
Notice 0: Split top of 1 T shapes.
Notice 0: Split top of 1 T shapes.
Notice 0: Split top of 1 T shapes.
Notice 0: Split top of 1 T shapes.
Notice 0:
disconnected net 2055 net437
Notice 0: Split top of 1 T shapes.
Notice 0: Split top of 1 T shapes.
Notice 0: Split top of 1 T shapes.
Notice 0:
disconnected net 2106 net483
Notice 0: Split top of 1 T shapes.
Notice 0:
disconnected net 2109 net486
Notice 0: Split top of 1 T shapes.
Notice 0:
disconnected net 2150 net522
Notice 0: Split top of 1 T shapes.
Notice 0: Split top of 1 T shapes.
Notice 0:
disconnected net 2183 net552
Notice 0: Split top of 1 T shapes.
Notice 0: Split top of 1 T shapes.
Notice 0:
disconnected net 2207 net574
Notice 0: Split top of 1 T shapes.
Notice 0: Split top of 1 T shapes.
Notice 0: Split top of 1 T shapes.
Notice 0: Split top of 1 T shapes.
Notice 0: Split top of 1 T shapes.
Notice 0: Split top of 1 T shapes.
Notice 0:
disconnected net 2272 net632
Notice 0: Split top of 1 T shapes.
Notice 0: Split top of 1 T shapes.
Notice 0:
disconnected net 2296 net654
Notice 0: Split top of 1 T shapes.
Notice 0: Split top of 1 T shapes.
Notice 0: Split top of 1 T shapes.
Notice 0:
disconnected net 2341 net695
Notice 0: Split top of 1 T shapes.
Notice 0: Split top of 1 T shapes.
Notice 0:
disconnected net 2363 net714
Notice 0: Split top of 1 T shapes.
Notice 0:
disconnected net 2372 net722
Notice 0: Split top of 1 T shapes.
Notice 0:
disconnected net 2392 net740
Notice 0: Split top of 1 T shapes.
Notice 0:
disconnected net 2399 net747
Notice 0: Split top of 1 T shapes.
Notice 0:
disconnected net 2412 net759
Notice 0: Split top of 1 T shapes.
Notice 0:
disconnected net 2420 net766
Notice 0: Split top of 1 T shapes.
Notice 0:
disconnected net 2433 net778
Notice 0: Split top of 1 T shapes.
Notice 0:
disconnected net 2441 net785
Notice 0: Split top of 1 T shapes.
Notice 0: Split top of 1 T shapes.
Notice 0:
disconnected net 2463 net804
Notice 0: Split top of 1 T shapes.
Notice 0: Split top of 1 T shapes.
Notice 0: Split top of 1 T shapes.
Notice 0:
disconnected net 2498 net836
Notice 0: Split top of 1 T shapes.
Notice 0: Split top of 1 T shapes.
Notice 0:
disconnected net 2520 net856
Notice 0: Split top of 1 T shapes.
Notice 0: Split top of 1 T shapes.
Notice 0:
disconnected net 2542 net876
Notice 0: Split top of 1 T shapes.
Notice 0:
disconnected net 2550 net883
Notice 0: Split top of 1 T shapes.
Notice 0: Split top of 1 T shapes.
Notice 0: Split top of 1 T shapes.
Notice 0:
disconnected net 2573 net903
Notice 0: Split top of 1 T shapes.
Notice 0: Split top of 1 T shapes.
Notice 0:
disconnected net 2595 net923
Notice 0: Split top of 1 T shapes.
Notice 0: Split top of 1 T shapes.
Notice 0:
disconnected net 2628 net953
Notice 0: Split top of 1 T shapes.
Notice 0: Split top of 1 T shapes.
Notice 0: Split top of 1 T shapes.
Notice 0: Split top of 1 T shapes.
Notice 0: Split top of 1 T shapes.
Notice 0: Split top of 1 T shapes.
Notice 0: Split top of 1 T shapes.
Notice 0: Split top of 1 T shapes.
Notice 0: Split top of 1 T shapes.
Notice 0: Split top of 1 T shapes.
Notice 0: Split top of 1 T shapes.
Notice 0: Split top of 1 T shapes.
Notice 0: Split top of 1 T shapes.
Notice 0: Split top of 1 T shapes.
Notice 0: Split top of 1 T shapes.
Notice 0: Split top of 1 T shapes.
Notice 0: Split top of 1 T shapes.
Notice 0: Split top of 1 T shapes.
Notice 0: Split top of 1 T shapes.
Notice 0: Split top of 1 T shapes.
Notice 0: Split top of 1 T shapes.
Notice 0: Split top of 1 T shapes.
Notice 0: Split top of 1 T shapes.
Notice 0: Split top of 1 T shapes.
Notice 0: Split top of 1 T shapes.
Notice 0: Split top of 1 T shapes.
Notice 0: Split top of 1 T shapes.
Notice 0: Split top of 1 T shapes.
Notice 0: Split top of 1 T shapes.
Notice 0: Split top of 1 T shapes.
Notice 0: Split top of 1 T shapes.
Notice 0: Split top of 1 T shapes.
Notice 0: Split top of 1 T shapes.
Notice 0: Split top of 1 T shapes.
Notice 0: Split top of 1 T shapes.
Notice 0: Split top of 1 T shapes.
Notice 0: Split top of 1 T shapes.
Notice 0: Split top of 1 T shapes.
Notice 0: Split top of 1 T shapes.
Notice 0: Split top of 1 T shapes.
Notice 0: Split top of 1 T shapes.
Notice 0: Split top of 1 T shapes.
Notice 0: Split top of 1 T shapes.
Notice 0: Split top of 1 T shapes.
Notice 0: Split top of 1 T shapes.
Notice 0: Split top of 1 T shapes.
Notice 0: Split top of 1 T shapes.
Notice 0: Split top of 1 T shapes.
Notice 0: Split top of 1 T shapes.
Notice 0: Split top of 1 T shapes.
Notice 0: Split top of 1 T shapes.
Notice 0: Split top of 1 T shapes.
Notice 0: Split top of 1 T shapes.
Notice 0: Split top of 1 T shapes.
Notice 0: Split top of 1 T shapes.
Notice 0: Split top of 1 T shapes.
Notice 0: Split top of 1 T shapes.
Notice 0: Split top of 1 T shapes.
Notice 0: Split top of 1 T shapes.
Notice 0: Split top of 1 T shapes.
Notice 0: Split top of 1 T shapes.
Notice 0: Split top of 1 T shapes.
Notice 0: Split top of 1 T shapes.
Notice 0: Split top of 1 T shapes.
[INFO GRT-0012] Antenna violations: 154
[WARNING GRT-0054] Placement of diode ANTENNA_59 will be legalized by detailed placement.
[INFO GRT-0015] 241 diodes inserted.
[WARNING GRT-0036] Pin io_oeb[0] is outside die area.
[WARNING GRT-0036] Pin io_oeb[10] is outside die area.
[WARNING GRT-0036] Pin io_oeb[11] is outside die area.
[WARNING GRT-0036] Pin io_oeb[12] is outside die area.
[WARNING GRT-0036] Pin io_oeb[13] is outside die area.
[WARNING GRT-0036] Pin io_oeb[14] is outside die area.
[WARNING GRT-0036] Pin io_oeb[1] is outside die area.
[WARNING GRT-0036] Pin io_oeb[2] is outside die area.
[WARNING GRT-0036] Pin io_oeb[37] is outside die area.
[WARNING GRT-0036] Pin io_oeb[3] is outside die area.
[WARNING GRT-0036] Pin io_oeb[4] is outside die area.
[WARNING GRT-0036] Pin io_oeb[5] is outside die area.
[WARNING GRT-0036] Pin io_oeb[6] is outside die area.
[WARNING GRT-0036] Pin io_oeb[7] is outside die area.
[WARNING GRT-0036] Pin io_oeb[8] is outside die area.
[WARNING GRT-0036] Pin io_oeb[9] is outside die area.
[WARNING GRT-0036] Pin io_out[15] is outside die area.
[WARNING GRT-0036] Pin io_out[16] is outside die area.
[WARNING GRT-0036] Pin io_out[17] is outside die area.
[WARNING GRT-0036] Pin io_out[18] is outside die area.
[WARNING GRT-0036] Pin io_out[19] is outside die area.
[WARNING GRT-0036] Pin io_out[20] is outside die area.
[WARNING GRT-0036] Pin io_out[21] is outside die area.
[WARNING GRT-0036] Pin io_out[22] is outside die area.
[WARNING GRT-0036] Pin io_out[23] is outside die area.
[WARNING GRT-0036] Pin io_out[24] is outside die area.
[WARNING GRT-0036] Pin io_out[25] is outside die area.
[WARNING GRT-0036] Pin io_out[26] is outside die area.
[WARNING GRT-0036] Pin io_out[27] is outside die area.
[WARNING GRT-0036] Pin io_out[28] is outside die area.
[WARNING GRT-0036] Pin io_out[29] is outside die area.
[WARNING GRT-0036] Pin io_out[30] is outside die area.
[WARNING GRT-0036] Pin io_out[31] is outside die area.
[WARNING GRT-0036] Pin io_out[32] is outside die area.
[WARNING GRT-0036] Pin io_out[33] is outside die area.
[WARNING GRT-0036] Pin io_out[34] is outside die area.
[WARNING GRT-0036] Pin io_out[35] is outside die area.
[WARNING GRT-0036] Pin io_out[36] is outside die area.
[WARNING GRT-0036] Pin io_out[37] is outside die area.
[WARNING GRT-0036] Pin la_data_out[0] is outside die area.
[WARNING GRT-0036] Pin la_data_out[100] is outside die area.
[WARNING GRT-0036] Pin la_data_out[101] is outside die area.
[WARNING GRT-0036] Pin la_data_out[102] is outside die area.
[WARNING GRT-0036] Pin la_data_out[103] is outside die area.
[WARNING GRT-0036] Pin la_data_out[104] is outside die area.
[WARNING GRT-0036] Pin la_data_out[105] is outside die area.
[WARNING GRT-0036] Pin la_data_out[106] is outside die area.
[WARNING GRT-0036] Pin la_data_out[107] is outside die area.
[WARNING GRT-0036] Pin la_data_out[108] is outside die area.
[WARNING GRT-0036] Pin la_data_out[109] is outside die area.
[WARNING GRT-0036] Pin la_data_out[110] is outside die area.
[WARNING GRT-0036] Pin la_data_out[111] is outside die area.
[WARNING GRT-0036] Pin la_data_out[112] is outside die area.
[WARNING GRT-0036] Pin la_data_out[113] is outside die area.
[WARNING GRT-0036] Pin la_data_out[114] is outside die area.
[WARNING GRT-0036] Pin la_data_out[115] is outside die area.
[WARNING GRT-0036] Pin la_data_out[116] is outside die area.
[WARNING GRT-0036] Pin la_data_out[117] is outside die area.
[WARNING GRT-0036] Pin la_data_out[118] is outside die area.
[WARNING GRT-0036] Pin la_data_out[119] is outside die area.
[WARNING GRT-0036] Pin la_data_out[11] is outside die area.
[WARNING GRT-0036] Pin la_data_out[120] is outside die area.
[WARNING GRT-0036] Pin la_data_out[121] is outside die area.
[WARNING GRT-0036] Pin la_data_out[122] is outside die area.
[WARNING GRT-0036] Pin la_data_out[123] is outside die area.
[WARNING GRT-0036] Pin la_data_out[124] is outside die area.
[WARNING GRT-0036] Pin la_data_out[125] is outside die area.
[WARNING GRT-0036] Pin la_data_out[126] is outside die area.
[WARNING GRT-0036] Pin la_data_out[127] is outside die area.
[WARNING GRT-0036] Pin la_data_out[12] is outside die area.
[WARNING GRT-0036] Pin la_data_out[13] is outside die area.
[WARNING GRT-0036] Pin la_data_out[14] is outside die area.
[WARNING GRT-0036] Pin la_data_out[15] is outside die area.
[WARNING GRT-0036] Pin la_data_out[16] is outside die area.
[WARNING GRT-0036] Pin la_data_out[17] is outside die area.
[WARNING GRT-0036] Pin la_data_out[18] is outside die area.
[WARNING GRT-0036] Pin la_data_out[1] is outside die area.
[WARNING GRT-0036] Pin la_data_out[2] is outside die area.
[WARNING GRT-0036] Pin la_data_out[41] is outside die area.
[WARNING GRT-0036] Pin la_data_out[44] is outside die area.
[WARNING GRT-0036] Pin la_data_out[45] is outside die area.
[WARNING GRT-0036] Pin la_data_out[46] is outside die area.
[WARNING GRT-0036] Pin la_data_out[47] is outside die area.
[WARNING GRT-0036] Pin la_data_out[49] is outside die area.
[WARNING GRT-0036] Pin la_data_out[54] is outside die area.
[WARNING GRT-0036] Pin la_data_out[57] is outside die area.
[WARNING GRT-0036] Pin la_data_out[60] is outside die area.
[WARNING GRT-0036] Pin la_data_out[62] is outside die area.
[WARNING GRT-0036] Pin la_data_out[63] is outside die area.
[WARNING GRT-0036] Pin la_data_out[64] is outside die area.
[WARNING GRT-0036] Pin la_data_out[65] is outside die area.
[WARNING GRT-0036] Pin la_data_out[66] is outside die area.
[WARNING GRT-0036] Pin la_data_out[67] is outside die area.
[WARNING GRT-0036] Pin la_data_out[68] is outside die area.
[WARNING GRT-0036] Pin la_data_out[69] is outside die area.
[WARNING GRT-0036] Pin la_data_out[70] is outside die area.
[WARNING GRT-0036] Pin la_data_out[71] is outside die area.
[WARNING GRT-0036] Pin la_data_out[72] is outside die area.
[WARNING GRT-0036] Pin la_data_out[73] is outside die area.
[WARNING GRT-0036] Pin la_data_out[74] is outside die area.
[WARNING GRT-0036] Pin la_data_out[75] is outside die area.
[WARNING GRT-0036] Pin la_data_out[76] is outside die area.
[WARNING GRT-0036] Pin la_data_out[77] is outside die area.
[WARNING GRT-0036] Pin la_data_out[78] is outside die area.
[WARNING GRT-0036] Pin la_data_out[79] is outside die area.
[WARNING GRT-0036] Pin la_data_out[80] is outside die area.
[WARNING GRT-0036] Pin la_data_out[81] is outside die area.
[WARNING GRT-0036] Pin la_data_out[82] is outside die area.
[WARNING GRT-0036] Pin la_data_out[83] is outside die area.
[WARNING GRT-0036] Pin la_data_out[84] is outside die area.
[WARNING GRT-0036] Pin la_data_out[85] is outside die area.
[WARNING GRT-0036] Pin la_data_out[86] is outside die area.
[WARNING GRT-0036] Pin la_data_out[87] is outside die area.
[WARNING GRT-0036] Pin la_data_out[88] is outside die area.
[WARNING GRT-0036] Pin la_data_out[89] is outside die area.
[WARNING GRT-0036] Pin la_data_out[8] is outside die area.
[WARNING GRT-0036] Pin la_data_out[90] is outside die area.
[WARNING GRT-0036] Pin la_data_out[91] is outside die area.
[WARNING GRT-0036] Pin la_data_out[92] is outside die area.
[WARNING GRT-0036] Pin la_data_out[93] is outside die area.
[WARNING GRT-0036] Pin la_data_out[94] is outside die area.
[WARNING GRT-0036] Pin la_data_out[95] is outside die area.
[WARNING GRT-0036] Pin la_data_out[96] is outside die area.
[WARNING GRT-0036] Pin la_data_out[97] is outside die area.
[WARNING GRT-0036] Pin la_data_out[98] is outside die area.
[WARNING GRT-0036] Pin la_data_out[99] is outside die area.
[WARNING GRT-0036] Pin la_data_out[9] is outside die area.
[WARNING GRT-0036] Pin user_irq[0] is outside die area.
[WARNING GRT-0036] Pin wb_clk_i is outside die area.
[WARNING GRT-0036] Pin wbs_ack_o is outside die area.
[WARNING GRT-0036] Pin wbs_adr_i[12] is outside die area.
[WARNING GRT-0036] Pin wbs_adr_i[4] is outside die area.
[WARNING GRT-0036] Pin wbs_adr_i[5] is outside die area.
[WARNING GRT-0036] Pin wbs_dat_i[13] is outside die area.
[WARNING GRT-0036] Pin wbs_dat_i[15] is outside die area.
[WARNING GRT-0036] Pin wbs_dat_i[16] is outside die area.
[WARNING GRT-0036] Pin wbs_dat_i[18] is outside die area.
[WARNING GRT-0036] Pin wbs_dat_i[20] is outside die area.
[WARNING GRT-0036] Pin wbs_dat_i[21] is outside die area.
[WARNING GRT-0036] Pin wbs_dat_i[22] is outside die area.
[WARNING GRT-0036] Pin wbs_dat_i[24] is outside die area.
[WARNING GRT-0036] Pin wbs_dat_i[26] is outside die area.
[WARNING GRT-0036] Pin wbs_dat_i[27] is outside die area.
[WARNING GRT-0036] Pin wbs_dat_i[28] is outside die area.
[WARNING GRT-0036] Pin wbs_dat_i[30] is outside die area.
[WARNING GRT-0036] Pin wbs_dat_i[4] is outside die area.
[WARNING GRT-0036] Pin wbs_dat_i[5] is outside die area.
[WARNING GRT-0036] Pin wbs_dat_i[6] is outside die area.
[WARNING GRT-0036] Pin wbs_dat_i[7] is outside die area.
[WARNING GRT-0036] Pin wbs_dat_o[10] is outside die area.
[WARNING GRT-0036] Pin wbs_dat_o[11] is outside die area.
[WARNING GRT-0036] Pin wbs_dat_o[12] is outside die area.
[WARNING GRT-0036] Pin wbs_dat_o[13] is outside die area.
[WARNING GRT-0036] Pin wbs_dat_o[14] is outside die area.
[WARNING GRT-0036] Pin wbs_dat_o[15] is outside die area.
[WARNING GRT-0036] Pin wbs_dat_o[16] is outside die area.
[WARNING GRT-0036] Pin wbs_dat_o[17] is outside die area.
[WARNING GRT-0036] Pin wbs_dat_o[18] is outside die area.
[WARNING GRT-0036] Pin wbs_dat_o[19] is outside die area.
[WARNING GRT-0036] Pin wbs_dat_o[1] is outside die area.
[WARNING GRT-0036] Pin wbs_dat_o[20] is outside die area.
[WARNING GRT-0036] Pin wbs_dat_o[21] is outside die area.
[WARNING GRT-0036] Pin wbs_dat_o[22] is outside die area.
[WARNING GRT-0036] Pin wbs_dat_o[23] is outside die area.
[WARNING GRT-0036] Pin wbs_dat_o[24] is outside die area.
[WARNING GRT-0036] Pin wbs_dat_o[25] is outside die area.
[WARNING GRT-0036] Pin wbs_dat_o[26] is outside die area.
[WARNING GRT-0036] Pin wbs_dat_o[27] is outside die area.
[WARNING GRT-0036] Pin wbs_dat_o[28] is outside die area.
[WARNING GRT-0036] Pin wbs_dat_o[29] is outside die area.
[WARNING GRT-0036] Pin wbs_dat_o[2] is outside die area.
[WARNING GRT-0036] Pin wbs_dat_o[30] is outside die area.
[WARNING GRT-0036] Pin wbs_dat_o[31] is outside die area.
[WARNING GRT-0036] Pin wbs_dat_o[3] is outside die area.
[WARNING GRT-0036] Pin wbs_dat_o[4] is outside die area.
[WARNING GRT-0036] Pin wbs_dat_o[5] is outside die area.
[WARNING GRT-0036] Pin wbs_dat_o[6] is outside die area.
[WARNING GRT-0036] Pin wbs_dat_o[7] is outside die area.
[WARNING GRT-0036] Pin wbs_dat_o[8] is outside die area.
[WARNING GRT-0036] Pin wbs_dat_o[9] is outside die area.
[WARNING GRT-0036] Pin wbs_stb_i is outside die area.
[INFO GRT-0001] Minimum degree: 2
[INFO GRT-0002] Maximum degree: 10
[INFO GRT-0003] Macros: 4
[WARNING GRT-0040] Net vccd1 has wires outside die area.
[WARNING GRT-0040] Net vccd1 has wires outside die area.
[WARNING GRT-0040] Net vccd1 has wires outside die area.
[WARNING GRT-0040] Net vccd1 has wires outside die area.
[WARNING GRT-0040] Net vccd1 has wires outside die area.
[WARNING GRT-0040] Net vccd1 has wires outside die area.
[WARNING GRT-0040] Net vccd1 has wires outside die area.
[WARNING GRT-0040] Net vccd1 has wires outside die area.
[WARNING GRT-0040] Net vccd1 has wires outside die area.
[WARNING GRT-0040] Net vccd1 has wires outside die area.
[WARNING GRT-0040] Net vccd1 has wires outside die area.
[WARNING GRT-0040] Net vccd1 has wires outside die area.
[WARNING GRT-0040] Net vccd1 has wires outside die area.
[WARNING GRT-0040] Net vccd1 has wires outside die area.
[WARNING GRT-0040] Net vccd1 has wires outside die area.
[WARNING GRT-0040] Net vccd1 has wires outside die area.
[WARNING GRT-0040] Net vccd1 has wires outside die area.
[WARNING GRT-0040] Net vccd1 has wires outside die area.
[WARNING GRT-0040] Net vccd1 has wires outside die area.
[WARNING GRT-0040] Net vccd1 has wires outside die area.
[WARNING GRT-0040] Net vccd1 has wires outside die area.
[WARNING GRT-0040] Net vccd1 has wires outside die area.
[WARNING GRT-0040] Net vccd1 has wires outside die area.
[WARNING GRT-0040] Net vccd1 has wires outside die area.
[WARNING GRT-0040] Net vccd1 has wires outside die area.
[WARNING GRT-0040] Net vccd1 has wires outside die area.
[WARNING GRT-0040] Net vccd1 has wires outside die area.
[WARNING GRT-0040] Net vccd2 has wires outside die area.
[WARNING GRT-0040] Net vccd2 has wires outside die area.
[WARNING GRT-0040] Net vccd2 has wires outside die area.
[WARNING GRT-0040] Net vccd2 has wires outside die area.
[WARNING GRT-0040] Net vccd2 has wires outside die area.
[WARNING GRT-0040] Net vccd2 has wires outside die area.
[WARNING GRT-0040] Net vccd2 has wires outside die area.
[WARNING GRT-0040] Net vccd2 has wires outside die area.
[WARNING GRT-0040] Net vccd2 has wires outside die area.
[WARNING GRT-0040] Net vccd2 has wires outside die area.
[WARNING GRT-0040] Net vccd2 has wires outside die area.
[WARNING GRT-0040] Net vccd2 has wires outside die area.
[WARNING GRT-0040] Net vccd2 has wires outside die area.
[WARNING GRT-0040] Net vccd2 has wires outside die area.
[WARNING GRT-0040] Net vccd2 has wires outside die area.
[WARNING GRT-0040] Net vccd2 has wires outside die area.
[WARNING GRT-0040] Net vccd2 has wires outside die area.
[WARNING GRT-0040] Net vccd2 has wires outside die area.
[WARNING GRT-0040] Net vccd2 has wires outside die area.
[WARNING GRT-0040] Net vccd2 has wires outside die area.
[WARNING GRT-0040] Net vccd2 has wires outside die area.
[WARNING GRT-0040] Net vccd2 has wires outside die area.
[WARNING GRT-0040] Net vccd2 has wires outside die area.
[WARNING GRT-0040] Net vccd2 has wires outside die area.
[WARNING GRT-0040] Net vccd2 has wires outside die area.
[WARNING GRT-0040] Net vccd2 has wires outside die area.
[WARNING GRT-0040] Net vdda1 has wires outside die area.
[WARNING GRT-0040] Net vdda1 has wires outside die area.
[WARNING GRT-0040] Net vdda1 has wires outside die area.
[WARNING GRT-0040] Net vdda1 has wires outside die area.
[WARNING GRT-0040] Net vdda1 has wires outside die area.
[WARNING GRT-0040] Net vdda1 has wires outside die area.
[WARNING GRT-0040] Net vdda1 has wires outside die area.
[WARNING GRT-0040] Net vdda1 has wires outside die area.
[WARNING GRT-0040] Net vdda1 has wires outside die area.
[WARNING GRT-0040] Net vdda1 has wires outside die area.
[WARNING GRT-0040] Net vdda1 has wires outside die area.
[WARNING GRT-0040] Net vdda1 has wires outside die area.
[WARNING GRT-0040] Net vdda1 has wires outside die area.
[WARNING GRT-0040] Net vdda1 has wires outside die area.
[WARNING GRT-0040] Net vdda1 has wires outside die area.
[WARNING GRT-0040] Net vdda1 has wires outside die area.
[WARNING GRT-0040] Net vdda1 has wires outside die area.
[WARNING GRT-0040] Net vdda1 has wires outside die area.
[WARNING GRT-0040] Net vdda1 has wires outside die area.
[WARNING GRT-0040] Net vdda1 has wires outside die area.
[WARNING GRT-0040] Net vdda1 has wires outside die area.
[WARNING GRT-0040] Net vdda1 has wires outside die area.
[WARNING GRT-0040] Net vdda1 has wires outside die area.
[WARNING GRT-0040] Net vdda1 has wires outside die area.
[WARNING GRT-0040] Net vdda1 has wires outside die area.
[WARNING GRT-0040] Net vdda1 has wires outside die area.
[WARNING GRT-0040] Net vdda2 has wires outside die area.
[WARNING GRT-0040] Net vdda2 has wires outside die area.
[WARNING GRT-0040] Net vdda2 has wires outside die area.
[WARNING GRT-0040] Net vdda2 has wires outside die area.
[WARNING GRT-0040] Net vdda2 has wires outside die area.
[WARNING GRT-0040] Net vdda2 has wires outside die area.
[WARNING GRT-0040] Net vdda2 has wires outside die area.
[WARNING GRT-0040] Net vdda2 has wires outside die area.
[WARNING GRT-0040] Net vdda2 has wires outside die area.
[WARNING GRT-0040] Net vdda2 has wires outside die area.
[WARNING GRT-0040] Net vdda2 has wires outside die area.
[WARNING GRT-0040] Net vdda2 has wires outside die area.
[WARNING GRT-0040] Net vdda2 has wires outside die area.
[WARNING GRT-0040] Net vdda2 has wires outside die area.
[WARNING GRT-0040] Net vdda2 has wires outside die area.
[WARNING GRT-0040] Net vdda2 has wires outside die area.
[WARNING GRT-0040] Net vdda2 has wires outside die area.
[WARNING GRT-0040] Net vdda2 has wires outside die area.
[WARNING GRT-0040] Net vdda2 has wires outside die area.
[WARNING GRT-0040] Net vdda2 has wires outside die area.
[WARNING GRT-0040] Net vdda2 has wires outside die area.
[WARNING GRT-0040] Net vdda2 has wires outside die area.
[WARNING GRT-0040] Net vdda2 has wires outside die area.
[WARNING GRT-0040] Net vdda2 has wires outside die area.
[WARNING GRT-0040] Net vdda2 has wires outside die area.
[WARNING GRT-0040] Net vdda2 has wires outside die area.
[WARNING GRT-0040] Net vssa1 has wires outside die area.
[WARNING GRT-0040] Net vssa1 has wires outside die area.
[WARNING GRT-0040] Net vssa1 has wires outside die area.
[WARNING GRT-0040] Net vssa1 has wires outside die area.
[WARNING GRT-0040] Net vssa1 has wires outside die area.
[WARNING GRT-0040] Net vssa1 has wires outside die area.
[WARNING GRT-0040] Net vssa1 has wires outside die area.
[WARNING GRT-0040] Net vssa1 has wires outside die area.
[WARNING GRT-0040] Net vssa1 has wires outside die area.
[WARNING GRT-0040] Net vssa1 has wires outside die area.
[WARNING GRT-0040] Net vssa1 has wires outside die area.
[WARNING GRT-0040] Net vssa1 has wires outside die area.
[WARNING GRT-0040] Net vssa1 has wires outside die area.
[WARNING GRT-0040] Net vssa1 has wires outside die area.
[WARNING GRT-0040] Net vssa1 has wires outside die area.
[WARNING GRT-0040] Net vssa1 has wires outside die area.
[WARNING GRT-0040] Net vssa1 has wires outside die area.
[WARNING GRT-0040] Net vssa1 has wires outside die area.
[WARNING GRT-0040] Net vssa1 has wires outside die area.
[WARNING GRT-0040] Net vssa1 has wires outside die area.
[WARNING GRT-0040] Net vssa1 has wires outside die area.
[WARNING GRT-0040] Net vssa1 has wires outside die area.
[WARNING GRT-0040] Net vssa1 has wires outside die area.
[WARNING GRT-0040] Net vssa1 has wires outside die area.
[WARNING GRT-0040] Net vssa1 has wires outside die area.
[WARNING GRT-0040] Net vssa1 has wires outside die area.
[WARNING GRT-0040] Net vssa2 has wires outside die area.
[WARNING GRT-0040] Net vssa2 has wires outside die area.
[WARNING GRT-0040] Net vssa2 has wires outside die area.
[WARNING GRT-0040] Net vssa2 has wires outside die area.
[WARNING GRT-0040] Net vssa2 has wires outside die area.
[WARNING GRT-0040] Net vssa2 has wires outside die area.
[WARNING GRT-0040] Net vssa2 has wires outside die area.
[WARNING GRT-0040] Net vssa2 has wires outside die area.
[WARNING GRT-0040] Net vssa2 has wires outside die area.
[WARNING GRT-0040] Net vssa2 has wires outside die area.
[WARNING GRT-0040] Net vssa2 has wires outside die area.
[WARNING GRT-0040] Net vssa2 has wires outside die area.
[WARNING GRT-0040] Net vssa2 has wires outside die area.
[WARNING GRT-0040] Net vssa2 has wires outside die area.
[WARNING GRT-0040] Net vssa2 has wires outside die area.
[WARNING GRT-0040] Net vssa2 has wires outside die area.
[WARNING GRT-0040] Net vssa2 has wires outside die area.
[WARNING GRT-0040] Net vssa2 has wires outside die area.
[WARNING GRT-0040] Net vssa2 has wires outside die area.
[WARNING GRT-0040] Net vssa2 has wires outside die area.
[WARNING GRT-0040] Net vssa2 has wires outside die area.
[WARNING GRT-0040] Net vssa2 has wires outside die area.
[WARNING GRT-0040] Net vssa2 has wires outside die area.
[WARNING GRT-0040] Net vssa2 has wires outside die area.
[WARNING GRT-0040] Net vssa2 has wires outside die area.
[WARNING GRT-0040] Net vssd1 has wires outside die area.
[WARNING GRT-0040] Net vssd1 has wires outside die area.
[WARNING GRT-0040] Net vssd1 has wires outside die area.
[WARNING GRT-0040] Net vssd1 has wires outside die area.
[WARNING GRT-0040] Net vssd1 has wires outside die area.
[WARNING GRT-0040] Net vssd1 has wires outside die area.
[WARNING GRT-0040] Net vssd1 has wires outside die area.
[WARNING GRT-0040] Net vssd1 has wires outside die area.
[WARNING GRT-0040] Net vssd1 has wires outside die area.
[WARNING GRT-0040] Net vssd1 has wires outside die area.
[WARNING GRT-0040] Net vssd1 has wires outside die area.
[WARNING GRT-0040] Net vssd1 has wires outside die area.
[WARNING GRT-0040] Net vssd1 has wires outside die area.
[WARNING GRT-0040] Net vssd1 has wires outside die area.
[WARNING GRT-0040] Net vssd1 has wires outside die area.
[WARNING GRT-0040] Net vssd1 has wires outside die area.
[WARNING GRT-0040] Net vssd1 has wires outside die area.
[WARNING GRT-0040] Net vssd1 has wires outside die area.
[WARNING GRT-0040] Net vssd1 has wires outside die area.
[WARNING GRT-0040] Net vssd1 has wires outside die area.
[WARNING GRT-0040] Net vssd1 has wires outside die area.
[WARNING GRT-0040] Net vssd1 has wires outside die area.
[WARNING GRT-0040] Net vssd1 has wires outside die area.
[WARNING GRT-0040] Net vssd1 has wires outside die area.
[WARNING GRT-0040] Net vssd1 has wires outside die area.
[WARNING GRT-0040] Net vssd1 has wires outside die area.
[WARNING GRT-0040] Net vssd2 has wires outside die area.
[WARNING GRT-0040] Net vssd2 has wires outside die area.
[WARNING GRT-0040] Net vssd2 has wires outside die area.
[WARNING GRT-0040] Net vssd2 has wires outside die area.
[WARNING GRT-0040] Net vssd2 has wires outside die area.
[WARNING GRT-0040] Net vssd2 has wires outside die area.
[WARNING GRT-0040] Net vssd2 has wires outside die area.
[WARNING GRT-0040] Net vssd2 has wires outside die area.
[WARNING GRT-0040] Net vssd2 has wires outside die area.
[WARNING GRT-0040] Net vssd2 has wires outside die area.
[WARNING GRT-0040] Net vssd2 has wires outside die area.
[WARNING GRT-0040] Net vssd2 has wires outside die area.
[WARNING GRT-0040] Net vssd2 has wires outside die area.
[WARNING GRT-0040] Net vssd2 has wires outside die area.
[WARNING GRT-0040] Net vssd2 has wires outside die area.
[WARNING GRT-0040] Net vssd2 has wires outside die area.
[WARNING GRT-0040] Net vssd2 has wires outside die area.
[WARNING GRT-0040] Net vssd2 has wires outside die area.
[WARNING GRT-0040] Net vssd2 has wires outside die area.
[WARNING GRT-0040] Net vssd2 has wires outside die area.
[WARNING GRT-0040] Net vssd2 has wires outside die area.
[WARNING GRT-0040] Net vssd2 has wires outside die area.
[WARNING GRT-0040] Net vssd2 has wires outside die area.
[WARNING GRT-0040] Net vssd2 has wires outside die area.
[WARNING GRT-0040] Net vssd2 has wires outside die area.
[WARNING GRT-0040] Net vssd2 has wires outside die area.
[INFO GRT-0004] Blockages: 838
[INFO GRT-0009] rerouting 1496 nets.
[INFO GRT-0006] Repairing antennas, iteration 2.
[WARNING GRT-0043] No OR_DEFAULT vias defined.
Notice 0: Split top of 1 T shapes.
Notice 0: Split top of 1 T shapes.
Notice 0: Split top of 1 T shapes.
Notice 0: Split top of 1 T shapes.
Notice 0: Split top of 1 T shapes.
Notice 0: Split top of 1 T shapes.
Notice 0: Split top of 1 T shapes.
Notice 0: Split top of 1 T shapes.
Notice 0: Split top of 1 T shapes.
Notice 0: Split top of 1 T shapes.
Notice 0: Split top of 1 T shapes.
Notice 0: Split top of 1 T shapes.
Notice 0: Split top of 1 T shapes.
Notice 0: Split top of 1 T shapes.
Notice 0: Split top of 1 T shapes.
Notice 0: Split top of 1 T shapes.
Notice 0: Split top of 1 T shapes.
Notice 0: Split top of 1 T shapes.
Notice 0: Split top of 1 T shapes.
Notice 0: Split top of 1 T shapes.
Notice 0: Split top of 1 T shapes.
Notice 0: Split top of 1 T shapes.
Notice 0: Split top of 1 T shapes.
Notice 0: Split top of 1 T shapes.
Notice 0: Split top of 1 T shapes.
Notice 0: Split top of 1 T shapes.
Notice 0: Split top of 1 T shapes.
Notice 0: Split top of 1 T shapes.
Notice 0: Split top of 1 T shapes.
Notice 0: Split top of 1 T shapes.
Notice 0: Split top of 1 T shapes.
Notice 0: Split top of 1 T shapes.
Notice 0: Split top of 1 T shapes.
Notice 0: Split top of 1 T shapes.
Notice 0: Split top of 1 T shapes.
Notice 0: Split top of 1 T shapes.
Notice 0: Split top of 1 T shapes.
Notice 0: Split top of 1 T shapes.
Notice 0: Split top of 1 T shapes.
Notice 0: Split top of 1 T shapes.
Notice 0: Split top of 1 T shapes.
Notice 0: Split top of 1 T shapes.
Notice 0: Split top of 1 T shapes.
Notice 0: Split top of 1 T shapes.
Notice 0: Split top of 1 T shapes.
Notice 0: Split top of 1 T shapes.
Notice 0: Split top of 1 T shapes.
Notice 0: Split top of 1 T shapes.
Notice 0: Split top of 1 T shapes.
Notice 0: Split top of 1 T shapes.
Notice 0: Split top of 1 T shapes.
Notice 0: Split top of 1 T shapes.
Notice 0: Split top of 1 T shapes.
Notice 0: Split top of 1 T shapes.
Notice 0: Split top of 1 T shapes.
Notice 0: Split top of 1 T shapes.
Notice 0: Split top of 1 T shapes.
Notice 0: Split top of 1 T shapes.
Notice 0: Split top of 1 T shapes.
Notice 0: Split top of 1 T shapes.
Notice 0: Split top of 1 T shapes.
Notice 0: Split top of 1 T shapes.
Notice 0: Split top of 1 T shapes.
Notice 0: Split top of 1 T shapes.
Notice 0: Split top of 1 T shapes.
Notice 0: Split top of 1 T shapes.
Notice 0: Split top of 1 T shapes.
Notice 0: Split top of 1 T shapes.
Notice 0: Split top of 1 T shapes.
Notice 0: Split top of 1 T shapes.
Notice 0: Split top of 1 T shapes.
Notice 0: Split top of 1 T shapes.
Notice 0: Split top of 1 T shapes.
Notice 0: Split top of 1 T shapes.
Notice 0: Split top of 1 T shapes.
Notice 0: Split top of 1 T shapes.
Notice 0: Split top of 1 T shapes.
Notice 0: Split top of 1 T shapes.
Notice 0: Split top of 1 T shapes.
Notice 0: Split top of 1 T shapes.
Notice 0: Split top of 1 T shapes.
Notice 0: Split top of 1 T shapes.
Notice 0: Split top of 1 T shapes.
Notice 0: Split top of 1 T shapes.
Notice 0: Split top of 1 T shapes.
Notice 0: Split top of 1 T shapes.
Notice 0: Split top of 1 T shapes.
Notice 0: Split top of 1 T shapes.
Notice 0: Split top of 1 T shapes.
Notice 0: Split top of 1 T shapes.
Notice 0: Split top of 1 T shapes.
Notice 0: Split top of 1 T shapes.
Notice 0: Split top of 1 T shapes.
Notice 0: Split top of 1 T shapes.
Notice 0: Split top of 1 T shapes.
Notice 0: Split top of 1 T shapes.
Notice 0: Split top of 1 T shapes.
Notice 0: Split top of 1 T shapes.
Notice 0: Split top of 1 T shapes.
Notice 0: Split top of 1 T shapes.
Notice 0: Split top of 1 T shapes.
Notice 0: Split top of 1 T shapes.
Notice 0: Split top of 1 T shapes.
Notice 0: Split top of 1 T shapes.
Notice 0: Split top of 1 T shapes.
Notice 0: Split top of 1 T shapes.
Notice 0: Split top of 1 T shapes.
Notice 0: Split top of 1 T shapes.
Notice 0: Split top of 1 T shapes.
Notice 0: Split top of 1 T shapes.
Notice 0: Split top of 1 T shapes.
Notice 0: Split top of 1 T shapes.
Notice 0: Split top of 1 T shapes.
Notice 0: Split top of 1 T shapes.
Notice 0: Split top of 1 T shapes.
Notice 0: Split top of 1 T shapes.
Notice 0: Split top of 1 T shapes.
Notice 0: Split top of 1 T shapes.
Notice 0: Split top of 1 T shapes.
Notice 0: Split top of 1 T shapes.
Notice 0: Split top of 1 T shapes.
Notice 0: Split top of 1 T shapes.
Notice 0: Split top of 1 T shapes.
Notice 0:
disconnected net 797 net1008
Notice 0: Split top of 1 T shapes.
Notice 0: Split top of 1 T shapes.
Notice 0:
disconnected net 820 net1029
Notice 0: Split top of 1 T shapes.
Notice 0: Split top of 1 T shapes.
Notice 0: Split top of 1 T shapes.
Notice 0: Split top of 1 T shapes.
Notice 0:
disconnected net 851 net1057
Notice 0: Split top of 1 T shapes.
Notice 0: Split top of 1 T shapes.
Notice 0:
disconnected net 874 net1078
Notice 0: Split top of 1 T shapes.
Notice 0: Split top of 1 T shapes.
Notice 0: Split top of 1 T shapes.
Notice 0: Split top of 1 T shapes.
Notice 0:
disconnected net 900 net1100
Notice 0: Split top of 1 T shapes.
Notice 0: Split top of 1 T shapes.
Notice 0: Split top of 1 T shapes.
Notice 0: Split top of 1 T shapes.
Notice 0:
disconnected net 937 net1134
Notice 0: Split top of 1 T shapes.
Notice 0: Split top of 1 T shapes.
Notice 0: Split top of 1 T shapes.
Notice 0:
disconnected net 963 net1158
Notice 0: Split top of 1 T shapes.
Notice 0: Split top of 1 T shapes.
Notice 0: Split top of 1 T shapes.
Notice 0: Split top of 1 T shapes.
Notice 0: Split top of 1 T shapes.
Notice 0:
disconnected net 1005 net1196
Notice 0:
disconnected net 1018 net1207
Notice 0: Split top of 1 T shapes.
Notice 0:
disconnected net 1022 net1210
Notice 0: Split top of 1 T shapes.
Notice 0: Split top of 1 T shapes.
Notice 0: Split top of 1 T shapes.
Notice 0: Split top of 1 T shapes.
Notice 0: Split top of 1 T shapes.
Notice 0: Split top of 1 T shapes.
Notice 0: Split top of 1 T shapes.
Notice 0: Split top of 1 T shapes.
Notice 0:
disconnected net 1201 net1372
Notice 0: Split top of 1 T shapes.
Notice 0: Split top of 1 T shapes.
Notice 0:
disconnected net 1214 net1384
Notice 0: Split top of 1 T shapes.
Notice 0: Split top of 1 T shapes.
Notice 0: Split top of 1 T shapes.
Notice 0: Split top of 1 T shapes.
Notice 0: Split top of 1 T shapes.
Notice 0: Split top of 1 T shapes.
Notice 0: Split top of 1 T shapes.
Notice 0:
disconnected net 1516 net1656
Notice 0: Split top of 1 T shapes.
Notice 0: Split top of 1 T shapes.
Notice 0: Split top of 1 T shapes.
Notice 0:
disconnected net 1534 net1672
Notice 0: Split top of 2 T shapes.
Notice 0: Split top of 1 T shapes.
Notice 0: Split top of 1 T shapes.
Notice 0: Split top of 1 T shapes.
Notice 0: Split top of 2 T shapes.
Notice 0: Split top of 1 T shapes.
Notice 0: Split top of 1 T shapes.
Notice 0: Split top of 1 T shapes.
Notice 0: Split top of 1 T shapes.
Notice 0: Split top of 1 T shapes.
Notice 0: Split top of 1 T shapes.
Notice 0: Split top of 1 T shapes.
Notice 0: Split top of 1 T shapes.
Notice 0: Split top of 1 T shapes.
Notice 0: Split top of 1 T shapes.
Notice 0: Split top of 1 T shapes.
Notice 0: Split top of 1 T shapes.
Notice 0: Split top of 1 T shapes.
Notice 0: Split top of 1 T shapes.
Notice 0: Split top of 1 T shapes.
Notice 0: Split top of 1 T shapes.
Notice 0:
disconnected net 1762 net2000
Notice 0: Split top of 1 T shapes.
Notice 0:
disconnected net 1763 net2001
Notice 0: Split top of 1 T shapes.
Notice 0: Split top of 1 T shapes.
Notice 0: Split top of 1 T shapes.
Notice 0: Split top of 1 T shapes.
Notice 0: Split top of 1 T shapes.
Notice 0: Split top of 1 T shapes.
Notice 0: Split top of 1 T shapes.
Notice 0: Split top of 1 T shapes.
Notice 0: Split top of 1 T shapes.
Notice 0: Split top of 1 T shapes.
Notice 0: Split top of 1 T shapes.
Notice 0: Split top of 1 T shapes.
Notice 0: Split top of 1 T shapes.
Notice 0: Split top of 1 T shapes.
Notice 0: Split top of 1 T shapes.
Notice 0: Split top of 1 T shapes.
Notice 0: Split top of 1 T shapes.
Notice 0: Split top of 1 T shapes.
Notice 0: Split top of 1 T shapes.
Notice 0: Split top of 1 T shapes.
Notice 0: Split top of 1 T shapes.
Notice 0: Split top of 1 T shapes.
Notice 0:
disconnected net 2055 net437
Notice 0: Split top of 1 T shapes.
Notice 0: Split top of 1 T shapes.
Notice 0: Split top of 1 T shapes.
Notice 0:
disconnected net 2106 net483
Notice 0: Split top of 1 T shapes.
Notice 0:
disconnected net 2109 net486
Notice 0: Split top of 1 T shapes.
Notice 0:
disconnected net 2150 net522
Notice 0: Split top of 1 T shapes.
Notice 0: Split top of 1 T shapes.
Notice 0:
disconnected net 2183 net552
Notice 0: Split top of 1 T shapes.
Notice 0: Split top of 1 T shapes.
Notice 0: Split top of 1 T shapes.
Notice 0:
disconnected net 2207 net574
Notice 0: Split top of 1 T shapes.
Notice 0: Split top of 1 T shapes.
Notice 0: Split top of 1 T shapes.
Notice 0: Split top of 1 T shapes.
Notice 0: Split top of 1 T shapes.
Notice 0: Split top of 1 T shapes.
Notice 0:
disconnected net 2272 net632
Notice 0: Split top of 1 T shapes.
Notice 0: Split top of 1 T shapes.
Notice 0: Split top of 1 T shapes.
Notice 0:
disconnected net 2296 net654
Notice 0: Split top of 1 T shapes.
Notice 0: Split top of 1 T shapes.
Notice 0: Split top of 2 T shapes.
Notice 0: Split top of 1 T shapes.
Notice 0: Split top of 1 T shapes.
Notice 0:
disconnected net 2341 net695
Notice 0: Split top of 1 T shapes.
Notice 0: Split top of 1 T shapes.
Notice 0: Split top of 1 T shapes.
Notice 0:
disconnected net 2363 net714
Notice 0: Split top of 1 T shapes.
Notice 0:
disconnected net 2372 net722
Notice 0: Split top of 1 T shapes.
Notice 0:
disconnected net 2392 net740
Notice 0: Split top of 1 T shapes.
Notice 0:
disconnected net 2399 net747
Notice 0: Split top of 1 T shapes.
Notice 0:
disconnected net 2401 net749
Notice 0: Split top of 1 T shapes.
Notice 0:
disconnected net 2412 net759
Notice 0: Split top of 1 T shapes.
Notice 0:
disconnected net 2420 net766
Notice 0: Split top of 1 T shapes.
Notice 0:
disconnected net 2433 net778
Notice 0: Split top of 1 T shapes.
Notice 0:
disconnected net 2441 net785
Notice 0: Split top of 1 T shapes.
Notice 0: Split top of 1 T shapes.
Notice 0:
disconnected net 2463 net804
Notice 0: Split top of 1 T shapes.
Notice 0: Split top of 1 T shapes.
Notice 0: Split top of 1 T shapes.
Notice 0: Split top of 1 T shapes.
Notice 0:
disconnected net 2498 net836
Notice 0: Split top of 1 T shapes.
Notice 0: Split top of 1 T shapes.
Notice 0:
disconnected net 2520 net856
Notice 0: Split top of 1 T shapes.
Notice 0: Split top of 1 T shapes.
Notice 0: Split top of 1 T shapes.
Notice 0:
disconnected net 2542 net876
Notice 0: Split top of 1 T shapes.
Notice 0: Split top of 1 T shapes.
Notice 0:
disconnected net 2550 net883
Notice 0: Split top of 1 T shapes.
Notice 0: Split top of 1 T shapes.
Notice 0:
disconnected net 2573 net903
Notice 0: Split top of 1 T shapes.
Notice 0: Split top of 1 T shapes.
Notice 0:
disconnected net 2595 net923
Notice 0: Split top of 1 T shapes.
Notice 0: Split top of 1 T shapes.
Notice 0: Split top of 1 T shapes.
Notice 0:
disconnected net 2628 net953
Notice 0: Split top of 1 T shapes.
Notice 0: Split top of 1 T shapes.
Notice 0: Split top of 1 T shapes.
Notice 0: Split top of 1 T shapes.
Notice 0: Split top of 2 T shapes.
Notice 0: Split top of 1 T shapes.
Notice 0: Split top of 1 T shapes.
Notice 0: Split top of 1 T shapes.
Notice 0: Split top of 1 T shapes.
Notice 0: Split top of 1 T shapes.
Notice 0: Split top of 1 T shapes.
Notice 0: Split top of 1 T shapes.
Notice 0: Split top of 1 T shapes.
Notice 0: Split top of 1 T shapes.
Notice 0: Split top of 1 T shapes.
Notice 0: Split top of 1 T shapes.
Notice 0: Split top of 1 T shapes.
Notice 0: Split top of 1 T shapes.
Notice 0: Split top of 1 T shapes.
Notice 0: Split top of 1 T shapes.
Notice 0: Split top of 1 T shapes.
Notice 0: Split top of 1 T shapes.
Notice 0: Split top of 1 T shapes.
Notice 0: Split top of 1 T shapes.
Notice 0: Split top of 1 T shapes.
Notice 0: Split top of 1 T shapes.
Notice 0: Split top of 1 T shapes.
Notice 0: Split top of 1 T shapes.
Notice 0: Split top of 1 T shapes.
Notice 0: Split top of 1 T shapes.
Notice 0: Split top of 1 T shapes.
Notice 0: Split top of 1 T shapes.
Notice 0: Split top of 1 T shapes.
Notice 0: Split top of 1 T shapes.
Notice 0: Split top of 1 T shapes.
Notice 0: Split top of 1 T shapes.
Notice 0: Split top of 1 T shapes.
Notice 0: Split top of 1 T shapes.
Notice 0: Split top of 1 T shapes.
Notice 0: Split top of 1 T shapes.
Notice 0: Split top of 1 T shapes.
Notice 0: Split top of 1 T shapes.
Notice 0: Split top of 1 T shapes.
Notice 0: Split top of 1 T shapes.
Notice 0: Split top of 1 T shapes.
Notice 0: Split top of 1 T shapes.
Notice 0: Split top of 1 T shapes.
Notice 0: Split top of 1 T shapes.
Notice 0: Split top of 1 T shapes.
Notice 0: Split top of 1 T shapes.
Notice 0: Split top of 1 T shapes.
Notice 0: Split top of 1 T shapes.
Notice 0: Split top of 1 T shapes.
Notice 0: Split top of 1 T shapes.
Notice 0: Split top of 1 T shapes.
Notice 0: Split top of 1 T shapes.
Notice 0: Split top of 1 T shapes.
Notice 0: Split top of 1 T shapes.
Notice 0: Split top of 1 T shapes.
Notice 0: Split top of 1 T shapes.
Notice 0: Split top of 1 T shapes.
Notice 0: Split top of 1 T shapes.
Notice 0: Split top of 1 T shapes.
Notice 0: Split top of 1 T shapes.
Notice 0: Split top of 1 T shapes.
Notice 0: Split top of 1 T shapes.
Notice 0: Split top of 1 T shapes.
[INFO GRT-0012] Antenna violations: 54
[INFO GRT-0015] 295 diodes inserted.
[WARNING GRT-0036] Pin wbs_dat_o[0] is outside die area.
[INFO GRT-0001] Minimum degree: 2
[INFO GRT-0002] Maximum degree: 9
[INFO GRT-0003] Macros: 4
[WARNING GRT-0040] Net vccd1 has wires outside die area.
[WARNING GRT-0040] Net vccd1 has wires outside die area.
[WARNING GRT-0040] Net vccd1 has wires outside die area.
[WARNING GRT-0040] Net vccd1 has wires outside die area.
[WARNING GRT-0040] Net vccd1 has wires outside die area.
[WARNING GRT-0040] Net vccd1 has wires outside die area.
[WARNING GRT-0040] Net vccd1 has wires outside die area.
[WARNING GRT-0040] Net vccd1 has wires outside die area.
[WARNING GRT-0040] Net vccd1 has wires outside die area.
[WARNING GRT-0040] Net vccd1 has wires outside die area.
[WARNING GRT-0040] Net vccd1 has wires outside die area.
[WARNING GRT-0040] Net vccd1 has wires outside die area.
[WARNING GRT-0040] Net vccd1 has wires outside die area.
[WARNING GRT-0040] Net vccd1 has wires outside die area.
[WARNING GRT-0040] Net vccd1 has wires outside die area.
[WARNING GRT-0040] Net vccd1 has wires outside die area.
[WARNING GRT-0040] Net vccd1 has wires outside die area.
[WARNING GRT-0040] Net vccd1 has wires outside die area.
[WARNING GRT-0040] Net vccd1 has wires outside die area.
[WARNING GRT-0040] Net vccd1 has wires outside die area.
[WARNING GRT-0040] Net vccd1 has wires outside die area.
[WARNING GRT-0040] Net vccd1 has wires outside die area.
[WARNING GRT-0040] Net vccd1 has wires outside die area.
[WARNING GRT-0040] Net vccd1 has wires outside die area.
[WARNING GRT-0040] Net vccd1 has wires outside die area.
[WARNING GRT-0040] Net vccd1 has wires outside die area.
[WARNING GRT-0040] Net vccd1 has wires outside die area.
[WARNING GRT-0040] Net vccd2 has wires outside die area.
[WARNING GRT-0040] Net vccd2 has wires outside die area.
[WARNING GRT-0040] Net vccd2 has wires outside die area.
[WARNING GRT-0040] Net vccd2 has wires outside die area.
[WARNING GRT-0040] Net vccd2 has wires outside die area.
[WARNING GRT-0040] Net vccd2 has wires outside die area.
[WARNING GRT-0040] Net vccd2 has wires outside die area.
[WARNING GRT-0040] Net vccd2 has wires outside die area.
[WARNING GRT-0040] Net vccd2 has wires outside die area.
[WARNING GRT-0040] Net vccd2 has wires outside die area.
[WARNING GRT-0040] Net vccd2 has wires outside die area.
[WARNING GRT-0040] Net vccd2 has wires outside die area.
[WARNING GRT-0040] Net vccd2 has wires outside die area.
[WARNING GRT-0040] Net vccd2 has wires outside die area.
[WARNING GRT-0040] Net vccd2 has wires outside die area.
[WARNING GRT-0040] Net vccd2 has wires outside die area.
[WARNING GRT-0040] Net vccd2 has wires outside die area.
[WARNING GRT-0040] Net vccd2 has wires outside die area.
[WARNING GRT-0040] Net vccd2 has wires outside die area.
[WARNING GRT-0040] Net vccd2 has wires outside die area.
[WARNING GRT-0040] Net vccd2 has wires outside die area.
[WARNING GRT-0040] Net vccd2 has wires outside die area.
[WARNING GRT-0040] Net vccd2 has wires outside die area.
[WARNING GRT-0040] Net vccd2 has wires outside die area.
[WARNING GRT-0040] Net vccd2 has wires outside die area.
[WARNING GRT-0040] Net vccd2 has wires outside die area.
[WARNING GRT-0040] Net vdda1 has wires outside die area.
[WARNING GRT-0040] Net vdda1 has wires outside die area.
[WARNING GRT-0040] Net vdda1 has wires outside die area.
[WARNING GRT-0040] Net vdda1 has wires outside die area.
[WARNING GRT-0040] Net vdda1 has wires outside die area.
[WARNING GRT-0040] Net vdda1 has wires outside die area.
[WARNING GRT-0040] Net vdda1 has wires outside die area.
[WARNING GRT-0040] Net vdda1 has wires outside die area.
[WARNING GRT-0040] Net vdda1 has wires outside die area.
[WARNING GRT-0040] Net vdda1 has wires outside die area.
[WARNING GRT-0040] Net vdda1 has wires outside die area.
[WARNING GRT-0040] Net vdda1 has wires outside die area.
[WARNING GRT-0040] Net vdda1 has wires outside die area.
[WARNING GRT-0040] Net vdda1 has wires outside die area.
[WARNING GRT-0040] Net vdda1 has wires outside die area.
[WARNING GRT-0040] Net vdda1 has wires outside die area.
[WARNING GRT-0040] Net vdda1 has wires outside die area.
[WARNING GRT-0040] Net vdda1 has wires outside die area.
[WARNING GRT-0040] Net vdda1 has wires outside die area.
[WARNING GRT-0040] Net vdda1 has wires outside die area.
[WARNING GRT-0040] Net vdda1 has wires outside die area.
[WARNING GRT-0040] Net vdda1 has wires outside die area.
[WARNING GRT-0040] Net vdda1 has wires outside die area.
[WARNING GRT-0040] Net vdda1 has wires outside die area.
[WARNING GRT-0040] Net vdda1 has wires outside die area.
[WARNING GRT-0040] Net vdda1 has wires outside die area.
[WARNING GRT-0040] Net vdda2 has wires outside die area.
[WARNING GRT-0040] Net vdda2 has wires outside die area.
[WARNING GRT-0040] Net vdda2 has wires outside die area.
[WARNING GRT-0040] Net vdda2 has wires outside die area.
[WARNING GRT-0040] Net vdda2 has wires outside die area.
[WARNING GRT-0040] Net vdda2 has wires outside die area.
[WARNING GRT-0040] Net vdda2 has wires outside die area.
[WARNING GRT-0040] Net vdda2 has wires outside die area.
[WARNING GRT-0040] Net vdda2 has wires outside die area.
[WARNING GRT-0040] Net vdda2 has wires outside die area.
[WARNING GRT-0040] Net vdda2 has wires outside die area.
[WARNING GRT-0040] Net vdda2 has wires outside die area.
[WARNING GRT-0040] Net vdda2 has wires outside die area.
[WARNING GRT-0040] Net vdda2 has wires outside die area.
[WARNING GRT-0040] Net vdda2 has wires outside die area.
[WARNING GRT-0040] Net vdda2 has wires outside die area.
[WARNING GRT-0040] Net vdda2 has wires outside die area.
[WARNING GRT-0040] Net vdda2 has wires outside die area.
[WARNING GRT-0040] Net vdda2 has wires outside die area.
[WARNING GRT-0040] Net vdda2 has wires outside die area.
[WARNING GRT-0040] Net vdda2 has wires outside die area.
[WARNING GRT-0040] Net vdda2 has wires outside die area.
[WARNING GRT-0040] Net vdda2 has wires outside die area.
[WARNING GRT-0040] Net vdda2 has wires outside die area.
[WARNING GRT-0040] Net vdda2 has wires outside die area.
[WARNING GRT-0040] Net vdda2 has wires outside die area.
[WARNING GRT-0040] Net vssa1 has wires outside die area.
[WARNING GRT-0040] Net vssa1 has wires outside die area.
[WARNING GRT-0040] Net vssa1 has wires outside die area.
[WARNING GRT-0040] Net vssa1 has wires outside die area.
[WARNING GRT-0040] Net vssa1 has wires outside die area.
[WARNING GRT-0040] Net vssa1 has wires outside die area.
[WARNING GRT-0040] Net vssa1 has wires outside die area.
[WARNING GRT-0040] Net vssa1 has wires outside die area.
[WARNING GRT-0040] Net vssa1 has wires outside die area.
[WARNING GRT-0040] Net vssa1 has wires outside die area.
[WARNING GRT-0040] Net vssa1 has wires outside die area.
[WARNING GRT-0040] Net vssa1 has wires outside die area.
[WARNING GRT-0040] Net vssa1 has wires outside die area.
[WARNING GRT-0040] Net vssa1 has wires outside die area.
[WARNING GRT-0040] Net vssa1 has wires outside die area.
[WARNING GRT-0040] Net vssa1 has wires outside die area.
[WARNING GRT-0040] Net vssa1 has wires outside die area.
[WARNING GRT-0040] Net vssa1 has wires outside die area.
[WARNING GRT-0040] Net vssa1 has wires outside die area.
[WARNING GRT-0040] Net vssa1 has wires outside die area.
[WARNING GRT-0040] Net vssa1 has wires outside die area.
[WARNING GRT-0040] Net vssa1 has wires outside die area.
[WARNING GRT-0040] Net vssa1 has wires outside die area.
[WARNING GRT-0040] Net vssa1 has wires outside die area.
[WARNING GRT-0040] Net vssa1 has wires outside die area.
[WARNING GRT-0040] Net vssa1 has wires outside die area.
[WARNING GRT-0040] Net vssa2 has wires outside die area.
[WARNING GRT-0040] Net vssa2 has wires outside die area.
[WARNING GRT-0040] Net vssa2 has wires outside die area.
[WARNING GRT-0040] Net vssa2 has wires outside die area.
[WARNING GRT-0040] Net vssa2 has wires outside die area.
[WARNING GRT-0040] Net vssa2 has wires outside die area.
[WARNING GRT-0040] Net vssa2 has wires outside die area.
[WARNING GRT-0040] Net vssa2 has wires outside die area.
[WARNING GRT-0040] Net vssa2 has wires outside die area.
[WARNING GRT-0040] Net vssa2 has wires outside die area.
[WARNING GRT-0040] Net vssa2 has wires outside die area.
[WARNING GRT-0040] Net vssa2 has wires outside die area.
[WARNING GRT-0040] Net vssa2 has wires outside die area.
[WARNING GRT-0040] Net vssa2 has wires outside die area.
[WARNING GRT-0040] Net vssa2 has wires outside die area.
[WARNING GRT-0040] Net vssa2 has wires outside die area.
[WARNING GRT-0040] Net vssa2 has wires outside die area.
[WARNING GRT-0040] Net vssa2 has wires outside die area.
[WARNING GRT-0040] Net vssa2 has wires outside die area.
[WARNING GRT-0040] Net vssa2 has wires outside die area.
[WARNING GRT-0040] Net vssa2 has wires outside die area.
[WARNING GRT-0040] Net vssa2 has wires outside die area.
[WARNING GRT-0040] Net vssa2 has wires outside die area.
[WARNING GRT-0040] Net vssa2 has wires outside die area.
[WARNING GRT-0040] Net vssa2 has wires outside die area.
[WARNING GRT-0040] Net vssd1 has wires outside die area.
[WARNING GRT-0040] Net vssd1 has wires outside die area.
[WARNING GRT-0040] Net vssd1 has wires outside die area.
[WARNING GRT-0040] Net vssd1 has wires outside die area.
[WARNING GRT-0040] Net vssd1 has wires outside die area.
[WARNING GRT-0040] Net vssd1 has wires outside die area.
[WARNING GRT-0040] Net vssd1 has wires outside die area.
[WARNING GRT-0040] Net vssd1 has wires outside die area.
[WARNING GRT-0040] Net vssd1 has wires outside die area.
[WARNING GRT-0040] Net vssd1 has wires outside die area.
[WARNING GRT-0040] Net vssd1 has wires outside die area.
[WARNING GRT-0040] Net vssd1 has wires outside die area.
[WARNING GRT-0040] Net vssd1 has wires outside die area.
[WARNING GRT-0040] Net vssd1 has wires outside die area.
[WARNING GRT-0040] Net vssd1 has wires outside die area.
[WARNING GRT-0040] Net vssd1 has wires outside die area.
[WARNING GRT-0040] Net vssd1 has wires outside die area.
[WARNING GRT-0040] Net vssd1 has wires outside die area.
[WARNING GRT-0040] Net vssd1 has wires outside die area.
[WARNING GRT-0040] Net vssd1 has wires outside die area.
[WARNING GRT-0040] Net vssd1 has wires outside die area.
[WARNING GRT-0040] Net vssd1 has wires outside die area.
[WARNING GRT-0040] Net vssd1 has wires outside die area.
[WARNING GRT-0040] Net vssd1 has wires outside die area.
[WARNING GRT-0040] Net vssd1 has wires outside die area.
[WARNING GRT-0040] Net vssd1 has wires outside die area.
[WARNING GRT-0040] Net vssd2 has wires outside die area.
[WARNING GRT-0040] Net vssd2 has wires outside die area.
[WARNING GRT-0040] Net vssd2 has wires outside die area.
[WARNING GRT-0040] Net vssd2 has wires outside die area.
[WARNING GRT-0040] Net vssd2 has wires outside die area.
[WARNING GRT-0040] Net vssd2 has wires outside die area.
[WARNING GRT-0040] Net vssd2 has wires outside die area.
[WARNING GRT-0040] Net vssd2 has wires outside die area.
[WARNING GRT-0040] Net vssd2 has wires outside die area.
[WARNING GRT-0040] Net vssd2 has wires outside die area.
[WARNING GRT-0040] Net vssd2 has wires outside die area.
[WARNING GRT-0040] Net vssd2 has wires outside die area.
[WARNING GRT-0040] Net vssd2 has wires outside die area.
[WARNING GRT-0040] Net vssd2 has wires outside die area.
[WARNING GRT-0040] Net vssd2 has wires outside die area.
[WARNING GRT-0040] Net vssd2 has wires outside die area.
[WARNING GRT-0040] Net vssd2 has wires outside die area.
[WARNING GRT-0040] Net vssd2 has wires outside die area.
[WARNING GRT-0040] Net vssd2 has wires outside die area.
[WARNING GRT-0040] Net vssd2 has wires outside die area.
[WARNING GRT-0040] Net vssd2 has wires outside die area.
[WARNING GRT-0040] Net vssd2 has wires outside die area.
[WARNING GRT-0040] Net vssd2 has wires outside die area.
[WARNING GRT-0040] Net vssd2 has wires outside die area.
[WARNING GRT-0040] Net vssd2 has wires outside die area.
[WARNING GRT-0040] Net vssd2 has wires outside die area.
[INFO GRT-0004] Blockages: 838
[INFO GRT-0009] rerouting 213 nets.
[INFO GRT-0006] Repairing antennas, iteration 3.
[WARNING GRT-0043] No OR_DEFAULT vias defined.
Notice 0: Split top of 1 T shapes.
Notice 0: Split top of 1 T shapes.
Notice 0: Split top of 1 T shapes.
Notice 0: Split top of 1 T shapes.
Notice 0: Split top of 1 T shapes.
Notice 0: Split top of 1 T shapes.
Notice 0: Split top of 1 T shapes.
Notice 0: Split top of 1 T shapes.
Notice 0: Split top of 1 T shapes.
Notice 0: Split top of 1 T shapes.
Notice 0: Split top of 1 T shapes.
Notice 0: Split top of 1 T shapes.
Notice 0: Split top of 1 T shapes.
Notice 0: Split top of 1 T shapes.
Notice 0: Split top of 1 T shapes.
Notice 0: Split top of 1 T shapes.
Notice 0: Split top of 1 T shapes.
Notice 0: Split top of 1 T shapes.
Notice 0: Split top of 1 T shapes.
Notice 0: Split top of 1 T shapes.
Notice 0: Split top of 1 T shapes.
Notice 0: Split top of 1 T shapes.
Notice 0: Split top of 1 T shapes.
Notice 0: Split top of 1 T shapes.
Notice 0: Split top of 1 T shapes.
Notice 0: Split top of 1 T shapes.
Notice 0: Split top of 1 T shapes.
Notice 0: Split top of 1 T shapes.
Notice 0: Split top of 1 T shapes.
Notice 0: Split top of 1 T shapes.
Notice 0: Split top of 1 T shapes.
Notice 0: Split top of 1 T shapes.
Notice 0: Split top of 1 T shapes.
Notice 0: Split top of 1 T shapes.
Notice 0: Split top of 1 T shapes.
Notice 0: Split top of 1 T shapes.
Notice 0: Split top of 1 T shapes.
Notice 0: Split top of 1 T shapes.
Notice 0: Split top of 1 T shapes.
Notice 0: Split top of 1 T shapes.
Notice 0: Split top of 1 T shapes.
Notice 0: Split top of 1 T shapes.
Notice 0: Split top of 1 T shapes.
Notice 0: Split top of 1 T shapes.
Notice 0: Split top of 1 T shapes.
Notice 0: Split top of 1 T shapes.
Notice 0: Split top of 1 T shapes.
Notice 0: Split top of 1 T shapes.
Notice 0: Split top of 1 T shapes.
Notice 0: Split top of 1 T shapes.
Notice 0: Split top of 1 T shapes.
Notice 0: Split top of 1 T shapes.
Notice 0: Split top of 1 T shapes.
Notice 0: Split top of 1 T shapes.
Notice 0: Split top of 1 T shapes.
Notice 0: Split top of 1 T shapes.
Notice 0: Split top of 1 T shapes.
Notice 0: Split top of 1 T shapes.
Notice 0: Split top of 1 T shapes.
Notice 0: Split top of 1 T shapes.
Notice 0: Split top of 1 T shapes.
Notice 0: Split top of 1 T shapes.
Notice 0: Split top of 1 T shapes.
Notice 0: Split top of 1 T shapes.
Notice 0: Split top of 1 T shapes.
Notice 0: Split top of 1 T shapes.
Notice 0: Split top of 1 T shapes.
Notice 0: Split top of 1 T shapes.
Notice 0: Split top of 1 T shapes.
Notice 0: Split top of 1 T shapes.
Notice 0: Split top of 1 T shapes.
Notice 0: Split top of 1 T shapes.
Notice 0: Split top of 1 T shapes.
Notice 0: Split top of 1 T shapes.
Notice 0: Split top of 1 T shapes.
Notice 0: Split top of 1 T shapes.
Notice 0: Split top of 1 T shapes.
Notice 0: Split top of 1 T shapes.
Notice 0: Split top of 1 T shapes.
Notice 0: Split top of 1 T shapes.
Notice 0: Split top of 1 T shapes.
Notice 0: Split top of 1 T shapes.
Notice 0: Split top of 1 T shapes.
Notice 0: Split top of 1 T shapes.
Notice 0: Split top of 1 T shapes.
Notice 0: Split top of 1 T shapes.
Notice 0: Split top of 1 T shapes.
Notice 0: Split top of 1 T shapes.
Notice 0: Split top of 1 T shapes.
Notice 0: Split top of 1 T shapes.
Notice 0: Split top of 1 T shapes.
Notice 0: Split top of 1 T shapes.
Notice 0: Split top of 1 T shapes.
Notice 0: Split top of 1 T shapes.
Notice 0: Split top of 1 T shapes.
Notice 0: Split top of 1 T shapes.
Notice 0: Split top of 1 T shapes.
Notice 0: Split top of 1 T shapes.
Notice 0: Split top of 1 T shapes.
Notice 0: Split top of 1 T shapes.
Notice 0: Split top of 1 T shapes.
Notice 0: Split top of 1 T shapes.
Notice 0: Split top of 1 T shapes.
Notice 0: Split top of 1 T shapes.
Notice 0: Split top of 1 T shapes.
Notice 0: Split top of 1 T shapes.
Notice 0: Split top of 1 T shapes.
Notice 0: Split top of 1 T shapes.
Notice 0: Split top of 1 T shapes.
Notice 0: Split top of 1 T shapes.
Notice 0: Split top of 1 T shapes.
Notice 0: Split top of 1 T shapes.
Notice 0: Split top of 1 T shapes.
Notice 0: Split top of 1 T shapes.
Notice 0: Split top of 1 T shapes.
Notice 0: Split top of 1 T shapes.
Notice 0: Split top of 1 T shapes.
Notice 0: Split top of 1 T shapes.
Notice 0: Split top of 1 T shapes.
Notice 0: Split top of 1 T shapes.
Notice 0: Split top of 1 T shapes.
Notice 0: Split top of 1 T shapes.
Notice 0: Split top of 1 T shapes.
Notice 0:
disconnected net 797 net1008
Notice 0: Split top of 1 T shapes.
Notice 0: Split top of 1 T shapes.
Notice 0:
disconnected net 820 net1029
Notice 0: Split top of 1 T shapes.
Notice 0: Split top of 1 T shapes.
Notice 0: Split top of 1 T shapes.
Notice 0:
disconnected net 851 net1057
Notice 0: Split top of 1 T shapes.
Notice 0: Split top of 1 T shapes.
Notice 0:
disconnected net 874 net1078
Notice 0: Split top of 1 T shapes.
Notice 0: Split top of 1 T shapes.
Notice 0: Split top of 1 T shapes.
Notice 0:
disconnected net 900 net1100
Notice 0: Split top of 1 T shapes.
Notice 0: Split top of 1 T shapes.
Notice 0: Split top of 1 T shapes.
Notice 0: Split top of 1 T shapes.
Notice 0:
disconnected net 937 net1134
Notice 0: Split top of 1 T shapes.
Notice 0: Split top of 1 T shapes.
Notice 0: Split top of 1 T shapes.
Notice 0: Split top of 1 T shapes.
Notice 0:
disconnected net 963 net1158
Notice 0: Split top of 1 T shapes.
Notice 0: Split top of 1 T shapes.
Notice 0: Split top of 1 T shapes.
Notice 0: Split top of 1 T shapes.
Notice 0: Split top of 1 T shapes.
Notice 0: Split top of 1 T shapes.
Notice 0:
disconnected net 1005 net1196
Notice 0:
disconnected net 1018 net1207
Notice 0: Split top of 1 T shapes.
Notice 0:
disconnected net 1022 net1210
Notice 0: Split top of 1 T shapes.
Notice 0: Split top of 1 T shapes.
Notice 0: Split top of 1 T shapes.
Notice 0: Split top of 1 T shapes.
Notice 0: Split top of 1 T shapes.
Notice 0: Split top of 1 T shapes.
Notice 0: Split top of 1 T shapes.
Notice 0: Split top of 1 T shapes.
Notice 0: Split top of 1 T shapes.
Notice 0: Split top of 1 T shapes.
Notice 0:
disconnected net 1201 net1372
Notice 0: Split top of 1 T shapes.
Notice 0: Split top of 1 T shapes.
Notice 0:
disconnected net 1214 net1384
Notice 0: Split top of 1 T shapes.
Notice 0: Split top of 1 T shapes.
Notice 0: Split top of 1 T shapes.
Notice 0: Split top of 1 T shapes.
Notice 0: Split top of 1 T shapes.
Notice 0: Split top of 1 T shapes.
Notice 0: Split top of 1 T shapes.
Notice 0: Split top of 1 T shapes.
Notice 0: Split top of 1 T shapes.
Notice 0: Split top of 1 T shapes.
Notice 0: Split top of 1 T shapes.
Notice 0: Split top of 1 T shapes.
Notice 0: Split top of 1 T shapes.
Notice 0: Split top of 1 T shapes.
Notice 0:
disconnected net 1534 net1672
Notice 0: Split top of 2 T shapes.
Notice 0: Split top of 1 T shapes.
Notice 0: Split top of 1 T shapes.
Notice 0: Split top of 1 T shapes.
Notice 0: Split top of 2 T shapes.
Notice 0: Split top of 1 T shapes.
Notice 0: Split top of 1 T shapes.
Notice 0: Split top of 1 T shapes.
Notice 0: Split top of 1 T shapes.
Notice 0: Split top of 1 T shapes.
Notice 0: Split top of 1 T shapes.
Notice 0: Split top of 1 T shapes.
Notice 0: Split top of 1 T shapes.
Notice 0: Split top of 1 T shapes.
Notice 0: Split top of 1 T shapes.
Notice 0: Split top of 1 T shapes.
Notice 0: Split top of 1 T shapes.
Notice 0: Split top of 1 T shapes.
Notice 0: Split top of 1 T shapes.
Notice 0: Split top of 1 T shapes.
Notice 0: Split top of 1 T shapes.
Notice 0: Split top of 1 T shapes.
Notice 0: Split top of 1 T shapes.
Notice 0: Split top of 1 T shapes.
Notice 0: Split top of 1 T shapes.
Notice 0:
disconnected net 1762 net2000
Notice 0: Split top of 1 T shapes.
Notice 0:
disconnected net 1763 net2001
Notice 0: Split top of 1 T shapes.
Notice 0: Split top of 1 T shapes.
Notice 0: Split top of 1 T shapes.
Notice 0: Split top of 1 T shapes.
Notice 0: Split top of 1 T shapes.
Notice 0: Split top of 1 T shapes.
Notice 0: Split top of 1 T shapes.
Notice 0: Split top of 1 T shapes.
Notice 0: Split top of 1 T shapes.
Notice 0: Split top of 1 T shapes.
Notice 0: Split top of 1 T shapes.
Notice 0: Split top of 1 T shapes.
Notice 0: Split top of 1 T shapes.
Notice 0: Split top of 1 T shapes.
Notice 0: Split top of 1 T shapes.
Notice 0: Split top of 1 T shapes.
Notice 0: Split top of 1 T shapes.
Notice 0: Split top of 1 T shapes.
Notice 0: Split top of 1 T shapes.
Notice 0: Split top of 1 T shapes.
Notice 0: Split top of 1 T shapes.
Notice 0: Split top of 1 T shapes.
Notice 0: Split top of 1 T shapes.
Notice 0: Split top of 1 T shapes.
Notice 0:
disconnected net 2055 net437
Notice 0: Split top of 1 T shapes.
Notice 0: Split top of 1 T shapes.
Notice 0: Split top of 1 T shapes.
Notice 0:
disconnected net 2106 net483
Notice 0: Split top of 1 T shapes.
Notice 0:
disconnected net 2109 net486
Notice 0: Split top of 1 T shapes.
Notice 0:
disconnected net 2150 net522
Notice 0: Split top of 1 T shapes.
Notice 0: Split top of 1 T shapes.
Notice 0: Split top of 1 T shapes.
Notice 0:
disconnected net 2183 net552
Notice 0: Split top of 1 T shapes.
Notice 0: Split top of 1 T shapes.
Notice 0: Split top of 1 T shapes.
Notice 0:
disconnected net 2207 net574
Notice 0: Split top of 1 T shapes.
Notice 0: Split top of 1 T shapes.
Notice 0: Split top of 1 T shapes.
Notice 0: Split top of 1 T shapes.
Notice 0: Split top of 1 T shapes.
Notice 0: Split top of 1 T shapes.
Notice 0:
disconnected net 2272 net632
Notice 0: Split top of 1 T shapes.
Notice 0: Split top of 1 T shapes.
Notice 0: Split top of 1 T shapes.
Notice 0:
disconnected net 2296 net654
Notice 0: Split top of 1 T shapes.
Notice 0: Split top of 1 T shapes.
Notice 0: Split top of 2 T shapes.
Notice 0: Split top of 1 T shapes.
Notice 0: Split top of 1 T shapes.
Notice 0:
disconnected net 2341 net695
Notice 0: Split top of 1 T shapes.
Notice 0: Split top of 1 T shapes.
Notice 0: Split top of 1 T shapes.
Notice 0:
disconnected net 2363 net714
Notice 0: Split top of 1 T shapes.
Notice 0:
disconnected net 2372 net722
Notice 0: Split top of 1 T shapes.
Notice 0:
disconnected net 2392 net740
Notice 0: Split top of 1 T shapes.
Notice 0: Split top of 1 T shapes.
Notice 0:
disconnected net 2399 net747
Notice 0: Split top of 1 T shapes.
Notice 0:
disconnected net 2401 net749
Notice 0: Split top of 1 T shapes.
Notice 0:
disconnected net 2412 net759
Notice 0: Split top of 1 T shapes.
Notice 0: Split top of 1 T shapes.
Notice 0:
disconnected net 2420 net766
Notice 0: Split top of 1 T shapes.
Notice 0:
disconnected net 2433 net778
Notice 0: Split top of 1 T shapes.
Notice 0:
disconnected net 2441 net785
Notice 0: Split top of 1 T shapes.
Notice 0: Split top of 1 T shapes.
Notice 0:
disconnected net 2463 net804
Notice 0: Split top of 1 T shapes.
Notice 0: Split top of 1 T shapes.
Notice 0: Split top of 1 T shapes.
Notice 0: Split top of 1 T shapes.
Notice 0:
disconnected net 2498 net836
Notice 0: Split top of 1 T shapes.
Notice 0: Split top of 1 T shapes.
Notice 0: Split top of 1 T shapes.
Notice 0:
disconnected net 2520 net856
Notice 0: Split top of 1 T shapes.
Notice 0: Split top of 1 T shapes.
Notice 0: Split top of 1 T shapes.
Notice 0:
disconnected net 2542 net876
Notice 0: Split top of 1 T shapes.
Notice 0: Split top of 1 T shapes.
Notice 0:
disconnected net 2550 net883
Notice 0: Split top of 1 T shapes.
Notice 0: Split top of 1 T shapes.
Notice 0:
disconnected net 2573 net903
Notice 0: Split top of 1 T shapes.
Notice 0: Split top of 1 T shapes.
Notice 0:
disconnected net 2595 net923
Notice 0: Split top of 1 T shapes.
Notice 0: Split top of 1 T shapes.
Notice 0: Split top of 1 T shapes.
Notice 0:
disconnected net 2628 net953
Notice 0: Split top of 1 T shapes.
Notice 0: Split top of 1 T shapes.
Notice 0: Split top of 1 T shapes.
Notice 0: Split top of 1 T shapes.
Notice 0: Split top of 2 T shapes.
Notice 0: Split top of 1 T shapes.
Notice 0: Split top of 1 T shapes.
Notice 0: Split top of 1 T shapes.
Notice 0: Split top of 1 T shapes.
Notice 0: Split top of 1 T shapes.
Notice 0: Split top of 1 T shapes.
Notice 0: Split top of 1 T shapes.
Notice 0: Split top of 1 T shapes.
Notice 0: Split top of 1 T shapes.
Notice 0: Split top of 1 T shapes.
Notice 0: Split top of 1 T shapes.
Notice 0: Split top of 1 T shapes.
Notice 0: Split top of 1 T shapes.
Notice 0: Split top of 1 T shapes.
Notice 0: Split top of 1 T shapes.
Notice 0: Split top of 1 T shapes.
Notice 0: Split top of 1 T shapes.
Notice 0: Split top of 1 T shapes.
Notice 0: Split top of 1 T shapes.
Notice 0: Split top of 1 T shapes.
Notice 0: Split top of 1 T shapes.
Notice 0: Split top of 1 T shapes.
Notice 0: Split top of 1 T shapes.
Notice 0: Split top of 1 T shapes.
Notice 0: Split top of 1 T shapes.
Notice 0: Split top of 1 T shapes.
Notice 0: Split top of 1 T shapes.
Notice 0: Split top of 1 T shapes.
Notice 0: Split top of 1 T shapes.
Notice 0: Split top of 1 T shapes.
Notice 0: Split top of 1 T shapes.
Notice 0: Split top of 1 T shapes.
Notice 0: Split top of 1 T shapes.
Notice 0: Split top of 1 T shapes.
Notice 0: Split top of 1 T shapes.
Notice 0: Split top of 1 T shapes.
Notice 0: Split top of 1 T shapes.
Notice 0: Split top of 1 T shapes.
Notice 0: Split top of 1 T shapes.
Notice 0: Split top of 1 T shapes.
Notice 0: Split top of 1 T shapes.
Notice 0: Split top of 1 T shapes.
Notice 0: Split top of 1 T shapes.
Notice 0: Split top of 1 T shapes.
Notice 0: Split top of 1 T shapes.
Notice 0: Split top of 1 T shapes.
Notice 0: Split top of 1 T shapes.
Notice 0: Split top of 1 T shapes.
Notice 0: Split top of 1 T shapes.
Notice 0: Split top of 1 T shapes.
Notice 0: Split top of 1 T shapes.
Notice 0: Split top of 1 T shapes.
Notice 0: Split top of 1 T shapes.
Notice 0: Split top of 1 T shapes.
Notice 0: Split top of 1 T shapes.
Notice 0: Split top of 1 T shapes.
Notice 0: Split top of 1 T shapes.
Notice 0: Split top of 1 T shapes.
Notice 0: Split top of 1 T shapes.
Notice 0: Split top of 1 T shapes.
Notice 0: Split top of 1 T shapes.
Notice 0: Split top of 1 T shapes.
Notice 0: Split top of 1 T shapes.
[INFO GRT-0012] Antenna violations: 1
[INFO GRT-0015] 296 diodes inserted.
[INFO GRT-0001] Minimum degree: 5
[INFO GRT-0002] Maximum degree: 5
[INFO GRT-0003] Macros: 4
[WARNING GRT-0040] Net vccd1 has wires outside die area.
[WARNING GRT-0040] Net vccd1 has wires outside die area.
[WARNING GRT-0040] Net vccd1 has wires outside die area.
[WARNING GRT-0040] Net vccd1 has wires outside die area.
[WARNING GRT-0040] Net vccd1 has wires outside die area.
[WARNING GRT-0040] Net vccd1 has wires outside die area.
[WARNING GRT-0040] Net vccd1 has wires outside die area.
[WARNING GRT-0040] Net vccd1 has wires outside die area.
[WARNING GRT-0040] Net vccd1 has wires outside die area.
[WARNING GRT-0040] Net vccd1 has wires outside die area.
[WARNING GRT-0040] Net vccd1 has wires outside die area.
[WARNING GRT-0040] Net vccd1 has wires outside die area.
[WARNING GRT-0040] Net vccd1 has wires outside die area.
[WARNING GRT-0040] Net vccd1 has wires outside die area.
[WARNING GRT-0040] Net vccd1 has wires outside die area.
[WARNING GRT-0040] Net vccd1 has wires outside die area.
[WARNING GRT-0040] Net vccd1 has wires outside die area.
[WARNING GRT-0040] Net vccd1 has wires outside die area.
[WARNING GRT-0040] Net vccd1 has wires outside die area.
[WARNING GRT-0040] Net vccd1 has wires outside die area.
[WARNING GRT-0040] Net vccd1 has wires outside die area.
[WARNING GRT-0040] Net vccd1 has wires outside die area.
[WARNING GRT-0040] Net vccd1 has wires outside die area.
[WARNING GRT-0040] Net vccd1 has wires outside die area.
[WARNING GRT-0040] Net vccd1 has wires outside die area.
[WARNING GRT-0040] Net vccd1 has wires outside die area.
[WARNING GRT-0040] Net vccd1 has wires outside die area.
[WARNING GRT-0040] Net vccd2 has wires outside die area.
[WARNING GRT-0040] Net vccd2 has wires outside die area.
[WARNING GRT-0040] Net vccd2 has wires outside die area.
[WARNING GRT-0040] Net vccd2 has wires outside die area.
[WARNING GRT-0040] Net vccd2 has wires outside die area.
[WARNING GRT-0040] Net vccd2 has wires outside die area.
[WARNING GRT-0040] Net vccd2 has wires outside die area.
[WARNING GRT-0040] Net vccd2 has wires outside die area.
[WARNING GRT-0040] Net vccd2 has wires outside die area.
[WARNING GRT-0040] Net vccd2 has wires outside die area.
[WARNING GRT-0040] Net vccd2 has wires outside die area.
[WARNING GRT-0040] Net vccd2 has wires outside die area.
[WARNING GRT-0040] Net vccd2 has wires outside die area.
[WARNING GRT-0040] Net vccd2 has wires outside die area.
[WARNING GRT-0040] Net vccd2 has wires outside die area.
[WARNING GRT-0040] Net vccd2 has wires outside die area.
[WARNING GRT-0040] Net vccd2 has wires outside die area.
[WARNING GRT-0040] Net vccd2 has wires outside die area.
[WARNING GRT-0040] Net vccd2 has wires outside die area.
[WARNING GRT-0040] Net vccd2 has wires outside die area.
[WARNING GRT-0040] Net vccd2 has wires outside die area.
[WARNING GRT-0040] Net vccd2 has wires outside die area.
[WARNING GRT-0040] Net vccd2 has wires outside die area.
[WARNING GRT-0040] Net vccd2 has wires outside die area.
[WARNING GRT-0040] Net vccd2 has wires outside die area.
[WARNING GRT-0040] Net vccd2 has wires outside die area.
[WARNING GRT-0040] Net vdda1 has wires outside die area.
[WARNING GRT-0040] Net vdda1 has wires outside die area.
[WARNING GRT-0040] Net vdda1 has wires outside die area.
[WARNING GRT-0040] Net vdda1 has wires outside die area.
[WARNING GRT-0040] Net vdda1 has wires outside die area.
[WARNING GRT-0040] Net vdda1 has wires outside die area.
[WARNING GRT-0040] Net vdda1 has wires outside die area.
[WARNING GRT-0040] Net vdda1 has wires outside die area.
[WARNING GRT-0040] Net vdda1 has wires outside die area.
[WARNING GRT-0040] Net vdda1 has wires outside die area.
[WARNING GRT-0040] Net vdda1 has wires outside die area.
[WARNING GRT-0040] Net vdda1 has wires outside die area.
[WARNING GRT-0040] Net vdda1 has wires outside die area.
[WARNING GRT-0040] Net vdda1 has wires outside die area.
[WARNING GRT-0040] Net vdda1 has wires outside die area.
[WARNING GRT-0040] Net vdda1 has wires outside die area.
[WARNING GRT-0040] Net vdda1 has wires outside die area.
[WARNING GRT-0040] Net vdda1 has wires outside die area.
[WARNING GRT-0040] Net vdda1 has wires outside die area.
[WARNING GRT-0040] Net vdda1 has wires outside die area.
[WARNING GRT-0040] Net vdda1 has wires outside die area.
[WARNING GRT-0040] Net vdda1 has wires outside die area.
[WARNING GRT-0040] Net vdda1 has wires outside die area.
[WARNING GRT-0040] Net vdda1 has wires outside die area.
[WARNING GRT-0040] Net vdda1 has wires outside die area.
[WARNING GRT-0040] Net vdda1 has wires outside die area.
[WARNING GRT-0040] Net vdda2 has wires outside die area.
[WARNING GRT-0040] Net vdda2 has wires outside die area.
[WARNING GRT-0040] Net vdda2 has wires outside die area.
[WARNING GRT-0040] Net vdda2 has wires outside die area.
[WARNING GRT-0040] Net vdda2 has wires outside die area.
[WARNING GRT-0040] Net vdda2 has wires outside die area.
[WARNING GRT-0040] Net vdda2 has wires outside die area.
[WARNING GRT-0040] Net vdda2 has wires outside die area.
[WARNING GRT-0040] Net vdda2 has wires outside die area.
[WARNING GRT-0040] Net vdda2 has wires outside die area.
[WARNING GRT-0040] Net vdda2 has wires outside die area.
[WARNING GRT-0040] Net vdda2 has wires outside die area.
[WARNING GRT-0040] Net vdda2 has wires outside die area.
[WARNING GRT-0040] Net vdda2 has wires outside die area.
[WARNING GRT-0040] Net vdda2 has wires outside die area.
[WARNING GRT-0040] Net vdda2 has wires outside die area.
[WARNING GRT-0040] Net vdda2 has wires outside die area.
[WARNING GRT-0040] Net vdda2 has wires outside die area.
[WARNING GRT-0040] Net vdda2 has wires outside die area.
[WARNING GRT-0040] Net vdda2 has wires outside die area.
[WARNING GRT-0040] Net vdda2 has wires outside die area.
[WARNING GRT-0040] Net vdda2 has wires outside die area.
[WARNING GRT-0040] Net vdda2 has wires outside die area.
[WARNING GRT-0040] Net vdda2 has wires outside die area.
[WARNING GRT-0040] Net vdda2 has wires outside die area.
[WARNING GRT-0040] Net vdda2 has wires outside die area.
[WARNING GRT-0040] Net vssa1 has wires outside die area.
[WARNING GRT-0040] Net vssa1 has wires outside die area.
[WARNING GRT-0040] Net vssa1 has wires outside die area.
[WARNING GRT-0040] Net vssa1 has wires outside die area.
[WARNING GRT-0040] Net vssa1 has wires outside die area.
[WARNING GRT-0040] Net vssa1 has wires outside die area.
[WARNING GRT-0040] Net vssa1 has wires outside die area.
[WARNING GRT-0040] Net vssa1 has wires outside die area.
[WARNING GRT-0040] Net vssa1 has wires outside die area.
[WARNING GRT-0040] Net vssa1 has wires outside die area.
[WARNING GRT-0040] Net vssa1 has wires outside die area.
[WARNING GRT-0040] Net vssa1 has wires outside die area.
[WARNING GRT-0040] Net vssa1 has wires outside die area.
[WARNING GRT-0040] Net vssa1 has wires outside die area.
[WARNING GRT-0040] Net vssa1 has wires outside die area.
[WARNING GRT-0040] Net vssa1 has wires outside die area.
[WARNING GRT-0040] Net vssa1 has wires outside die area.
[WARNING GRT-0040] Net vssa1 has wires outside die area.
[WARNING GRT-0040] Net vssa1 has wires outside die area.
[WARNING GRT-0040] Net vssa1 has wires outside die area.
[WARNING GRT-0040] Net vssa1 has wires outside die area.
[WARNING GRT-0040] Net vssa1 has wires outside die area.
[WARNING GRT-0040] Net vssa1 has wires outside die area.
[WARNING GRT-0040] Net vssa1 has wires outside die area.
[WARNING GRT-0040] Net vssa1 has wires outside die area.
[WARNING GRT-0040] Net vssa1 has wires outside die area.
[WARNING GRT-0040] Net vssa2 has wires outside die area.
[WARNING GRT-0040] Net vssa2 has wires outside die area.
[WARNING GRT-0040] Net vssa2 has wires outside die area.
[WARNING GRT-0040] Net vssa2 has wires outside die area.
[WARNING GRT-0040] Net vssa2 has wires outside die area.
[WARNING GRT-0040] Net vssa2 has wires outside die area.
[WARNING GRT-0040] Net vssa2 has wires outside die area.
[WARNING GRT-0040] Net vssa2 has wires outside die area.
[WARNING GRT-0040] Net vssa2 has wires outside die area.
[WARNING GRT-0040] Net vssa2 has wires outside die area.
[WARNING GRT-0040] Net vssa2 has wires outside die area.
[WARNING GRT-0040] Net vssa2 has wires outside die area.
[WARNING GRT-0040] Net vssa2 has wires outside die area.
[WARNING GRT-0040] Net vssa2 has wires outside die area.
[WARNING GRT-0040] Net vssa2 has wires outside die area.
[WARNING GRT-0040] Net vssa2 has wires outside die area.
[WARNING GRT-0040] Net vssa2 has wires outside die area.
[WARNING GRT-0040] Net vssa2 has wires outside die area.
[WARNING GRT-0040] Net vssa2 has wires outside die area.
[WARNING GRT-0040] Net vssa2 has wires outside die area.
[WARNING GRT-0040] Net vssa2 has wires outside die area.
[WARNING GRT-0040] Net vssa2 has wires outside die area.
[WARNING GRT-0040] Net vssa2 has wires outside die area.
[WARNING GRT-0040] Net vssa2 has wires outside die area.
[WARNING GRT-0040] Net vssa2 has wires outside die area.
[WARNING GRT-0040] Net vssd1 has wires outside die area.
[WARNING GRT-0040] Net vssd1 has wires outside die area.
[WARNING GRT-0040] Net vssd1 has wires outside die area.
[WARNING GRT-0040] Net vssd1 has wires outside die area.
[WARNING GRT-0040] Net vssd1 has wires outside die area.
[WARNING GRT-0040] Net vssd1 has wires outside die area.
[WARNING GRT-0040] Net vssd1 has wires outside die area.
[WARNING GRT-0040] Net vssd1 has wires outside die area.
[WARNING GRT-0040] Net vssd1 has wires outside die area.
[WARNING GRT-0040] Net vssd1 has wires outside die area.
[WARNING GRT-0040] Net vssd1 has wires outside die area.
[WARNING GRT-0040] Net vssd1 has wires outside die area.
[WARNING GRT-0040] Net vssd1 has wires outside die area.
[WARNING GRT-0040] Net vssd1 has wires outside die area.
[WARNING GRT-0040] Net vssd1 has wires outside die area.
[WARNING GRT-0040] Net vssd1 has wires outside die area.
[WARNING GRT-0040] Net vssd1 has wires outside die area.
[WARNING GRT-0040] Net vssd1 has wires outside die area.
[WARNING GRT-0040] Net vssd1 has wires outside die area.
[WARNING GRT-0040] Net vssd1 has wires outside die area.
[WARNING GRT-0040] Net vssd1 has wires outside die area.
[WARNING GRT-0040] Net vssd1 has wires outside die area.
[WARNING GRT-0040] Net vssd1 has wires outside die area.
[WARNING GRT-0040] Net vssd1 has wires outside die area.
[WARNING GRT-0040] Net vssd1 has wires outside die area.
[WARNING GRT-0040] Net vssd1 has wires outside die area.
[WARNING GRT-0040] Net vssd2 has wires outside die area.
[WARNING GRT-0040] Net vssd2 has wires outside die area.
[WARNING GRT-0040] Net vssd2 has wires outside die area.
[WARNING GRT-0040] Net vssd2 has wires outside die area.
[WARNING GRT-0040] Net vssd2 has wires outside die area.
[WARNING GRT-0040] Net vssd2 has wires outside die area.
[WARNING GRT-0040] Net vssd2 has wires outside die area.
[WARNING GRT-0040] Net vssd2 has wires outside die area.
[WARNING GRT-0040] Net vssd2 has wires outside die area.
[WARNING GRT-0040] Net vssd2 has wires outside die area.
[WARNING GRT-0040] Net vssd2 has wires outside die area.
[WARNING GRT-0040] Net vssd2 has wires outside die area.
[WARNING GRT-0040] Net vssd2 has wires outside die area.
[WARNING GRT-0040] Net vssd2 has wires outside die area.
[WARNING GRT-0040] Net vssd2 has wires outside die area.
[WARNING GRT-0040] Net vssd2 has wires outside die area.
[WARNING GRT-0040] Net vssd2 has wires outside die area.
[WARNING GRT-0040] Net vssd2 has wires outside die area.
[WARNING GRT-0040] Net vssd2 has wires outside die area.
[WARNING GRT-0040] Net vssd2 has wires outside die area.
[WARNING GRT-0040] Net vssd2 has wires outside die area.
[WARNING GRT-0040] Net vssd2 has wires outside die area.
[WARNING GRT-0040] Net vssd2 has wires outside die area.
[WARNING GRT-0040] Net vssd2 has wires outside die area.
[WARNING GRT-0040] Net vssd2 has wires outside die area.
[WARNING GRT-0040] Net vssd2 has wires outside die area.
[INFO GRT-0004] Blockages: 838
[INFO GRT-0009] rerouting 1 nets.
[INFO GRT-0006] Repairing antennas, iteration 4.
[WARNING GRT-0043] No OR_DEFAULT vias defined.
Notice 0: Split top of 1 T shapes.
Notice 0: Split top of 1 T shapes.
Notice 0: Split top of 1 T shapes.
Notice 0: Split top of 1 T shapes.
Notice 0: Split top of 1 T shapes.
Notice 0: Split top of 1 T shapes.
Notice 0: Split top of 1 T shapes.
Notice 0: Split top of 1 T shapes.
Notice 0: Split top of 1 T shapes.
Notice 0: Split top of 1 T shapes.
Notice 0: Split top of 1 T shapes.
Notice 0: Split top of 1 T shapes.
Notice 0: Split top of 1 T shapes.
Notice 0: Split top of 1 T shapes.
Notice 0: Split top of 1 T shapes.
Notice 0: Split top of 1 T shapes.
Notice 0: Split top of 1 T shapes.
Notice 0: Split top of 1 T shapes.
Notice 0: Split top of 1 T shapes.
Notice 0: Split top of 1 T shapes.
Notice 0: Split top of 1 T shapes.
Notice 0: Split top of 1 T shapes.
Notice 0: Split top of 1 T shapes.
Notice 0: Split top of 1 T shapes.
Notice 0: Split top of 1 T shapes.
Notice 0: Split top of 1 T shapes.
Notice 0: Split top of 1 T shapes.
Notice 0: Split top of 1 T shapes.
Notice 0: Split top of 1 T shapes.
Notice 0: Split top of 1 T shapes.
Notice 0: Split top of 1 T shapes.
Notice 0: Split top of 1 T shapes.
Notice 0: Split top of 1 T shapes.
Notice 0: Split top of 1 T shapes.
Notice 0: Split top of 1 T shapes.
Notice 0: Split top of 1 T shapes.
Notice 0: Split top of 1 T shapes.
Notice 0: Split top of 1 T shapes.
Notice 0: Split top of 1 T shapes.
Notice 0: Split top of 1 T shapes.
Notice 0: Split top of 1 T shapes.
Notice 0: Split top of 1 T shapes.
Notice 0: Split top of 1 T shapes.
Notice 0: Split top of 1 T shapes.
Notice 0: Split top of 1 T shapes.
Notice 0: Split top of 1 T shapes.
Notice 0: Split top of 1 T shapes.
Notice 0: Split top of 1 T shapes.
Notice 0: Split top of 1 T shapes.
Notice 0: Split top of 1 T shapes.
Notice 0: Split top of 1 T shapes.
Notice 0: Split top of 1 T shapes.
Notice 0: Split top of 1 T shapes.
Notice 0: Split top of 1 T shapes.
Notice 0: Split top of 1 T shapes.
Notice 0: Split top of 1 T shapes.
Notice 0: Split top of 1 T shapes.
Notice 0: Split top of 1 T shapes.
Notice 0: Split top of 1 T shapes.
Notice 0: Split top of 1 T shapes.
Notice 0: Split top of 1 T shapes.
Notice 0: Split top of 1 T shapes.
Notice 0: Split top of 1 T shapes.
Notice 0: Split top of 1 T shapes.
Notice 0: Split top of 1 T shapes.
Notice 0: Split top of 1 T shapes.
Notice 0: Split top of 1 T shapes.
Notice 0: Split top of 1 T shapes.
Notice 0: Split top of 1 T shapes.
Notice 0: Split top of 1 T shapes.
Notice 0: Split top of 1 T shapes.
Notice 0: Split top of 1 T shapes.
Notice 0: Split top of 1 T shapes.
Notice 0: Split top of 1 T shapes.
Notice 0: Split top of 1 T shapes.
Notice 0: Split top of 1 T shapes.
Notice 0: Split top of 1 T shapes.
Notice 0: Split top of 1 T shapes.
Notice 0: Split top of 1 T shapes.
Notice 0: Split top of 1 T shapes.
Notice 0: Split top of 1 T shapes.
Notice 0: Split top of 1 T shapes.
Notice 0: Split top of 1 T shapes.
Notice 0: Split top of 1 T shapes.
Notice 0: Split top of 1 T shapes.
Notice 0: Split top of 1 T shapes.
Notice 0: Split top of 1 T shapes.
Notice 0: Split top of 1 T shapes.
Notice 0: Split top of 1 T shapes.
Notice 0: Split top of 1 T shapes.
Notice 0: Split top of 1 T shapes.
Notice 0: Split top of 1 T shapes.
Notice 0: Split top of 1 T shapes.
Notice 0: Split top of 1 T shapes.
Notice 0: Split top of 1 T shapes.
Notice 0: Split top of 1 T shapes.
Notice 0: Split top of 1 T shapes.
Notice 0: Split top of 1 T shapes.
Notice 0: Split top of 1 T shapes.
Notice 0: Split top of 1 T shapes.
Notice 0: Split top of 1 T shapes.
Notice 0: Split top of 1 T shapes.
Notice 0: Split top of 1 T shapes.
Notice 0: Split top of 1 T shapes.
Notice 0: Split top of 1 T shapes.
Notice 0: Split top of 1 T shapes.
Notice 0: Split top of 1 T shapes.
Notice 0: Split top of 1 T shapes.
Notice 0: Split top of 1 T shapes.
Notice 0: Split top of 1 T shapes.
Notice 0: Split top of 1 T shapes.
Notice 0: Split top of 1 T shapes.
Notice 0: Split top of 1 T shapes.
Notice 0: Split top of 1 T shapes.
Notice 0: Split top of 1 T shapes.
Notice 0: Split top of 1 T shapes.
Notice 0: Split top of 1 T shapes.
Notice 0: Split top of 1 T shapes.
Notice 0: Split top of 1 T shapes.
Notice 0: Split top of 1 T shapes.
Notice 0: Split top of 1 T shapes.
Notice 0: Split top of 1 T shapes.
Notice 0: Split top of 1 T shapes.
Notice 0:
disconnected net 797 net1008
Notice 0: Split top of 1 T shapes.
Notice 0: Split top of 1 T shapes.
Notice 0:
disconnected net 820 net1029
Notice 0: Split top of 1 T shapes.
Notice 0: Split top of 1 T shapes.
Notice 0: Split top of 1 T shapes.
Notice 0:
disconnected net 851 net1057
Notice 0: Split top of 1 T shapes.
Notice 0: Split top of 1 T shapes.
Notice 0:
disconnected net 874 net1078
Notice 0: Split top of 1 T shapes.
Notice 0: Split top of 1 T shapes.
Notice 0: Split top of 1 T shapes.
Notice 0:
disconnected net 900 net1100
Notice 0: Split top of 1 T shapes.
Notice 0: Split top of 1 T shapes.
Notice 0: Split top of 1 T shapes.
Notice 0: Split top of 1 T shapes.
Notice 0:
disconnected net 937 net1134
Notice 0: Split top of 1 T shapes.
Notice 0: Split top of 1 T shapes.
Notice 0: Split top of 1 T shapes.
Notice 0: Split top of 1 T shapes.
Notice 0:
disconnected net 963 net1158
Notice 0: Split top of 1 T shapes.
Notice 0: Split top of 1 T shapes.
Notice 0: Split top of 1 T shapes.
Notice 0: Split top of 1 T shapes.
Notice 0: Split top of 1 T shapes.
Notice 0: Split top of 1 T shapes.
Notice 0:
disconnected net 1005 net1196
Notice 0:
disconnected net 1018 net1207
Notice 0: Split top of 1 T shapes.
Notice 0:
disconnected net 1022 net1210
Notice 0: Split top of 1 T shapes.
Notice 0: Split top of 1 T shapes.
Notice 0: Split top of 1 T shapes.
Notice 0: Split top of 1 T shapes.
Notice 0: Split top of 1 T shapes.
Notice 0: Split top of 1 T shapes.
Notice 0: Split top of 1 T shapes.
Notice 0: Split top of 1 T shapes.
Notice 0: Split top of 1 T shapes.
Notice 0: Split top of 1 T shapes.
Notice 0:
disconnected net 1201 net1372
Notice 0: Split top of 1 T shapes.
Notice 0: Split top of 1 T shapes.
Notice 0:
disconnected net 1214 net1384
Notice 0: Split top of 1 T shapes.
Notice 0: Split top of 1 T shapes.
Notice 0: Split top of 1 T shapes.
Notice 0: Split top of 1 T shapes.
Notice 0: Split top of 1 T shapes.
Notice 0: Split top of 1 T shapes.
Notice 0: Split top of 1 T shapes.
Notice 0: Split top of 1 T shapes.
Notice 0: Split top of 1 T shapes.
Notice 0: Split top of 1 T shapes.
Notice 0: Split top of 1 T shapes.
Notice 0: Split top of 1 T shapes.
Notice 0: Split top of 1 T shapes.
Notice 0: Split top of 1 T shapes.
Notice 0:
disconnected net 1534 net1672
Notice 0: Split top of 2 T shapes.
Notice 0: Split top of 1 T shapes.
Notice 0: Split top of 1 T shapes.
Notice 0: Split top of 1 T shapes.
Notice 0: Split top of 2 T shapes.
Notice 0: Split top of 1 T shapes.
Notice 0: Split top of 1 T shapes.
Notice 0: Split top of 1 T shapes.
Notice 0: Split top of 1 T shapes.
Notice 0: Split top of 1 T shapes.
Notice 0: Split top of 1 T shapes.
Notice 0: Split top of 1 T shapes.
Notice 0: Split top of 1 T shapes.
Notice 0: Split top of 1 T shapes.
Notice 0: Split top of 1 T shapes.
Notice 0: Split top of 1 T shapes.
Notice 0: Split top of 1 T shapes.
Notice 0: Split top of 1 T shapes.
Notice 0: Split top of 1 T shapes.
Notice 0: Split top of 1 T shapes.
Notice 0: Split top of 1 T shapes.
Notice 0: Split top of 1 T shapes.
Notice 0: Split top of 1 T shapes.
Notice 0: Split top of 1 T shapes.
Notice 0: Split top of 1 T shapes.
Notice 0:
disconnected net 1762 net2000
Notice 0: Split top of 1 T shapes.
Notice 0:
disconnected net 1763 net2001
Notice 0: Split top of 1 T shapes.
Notice 0: Split top of 1 T shapes.
Notice 0: Split top of 1 T shapes.
Notice 0: Split top of 1 T shapes.
Notice 0: Split top of 1 T shapes.
Notice 0: Split top of 1 T shapes.
Notice 0: Split top of 1 T shapes.
Notice 0: Split top of 1 T shapes.
Notice 0: Split top of 1 T shapes.
Notice 0: Split top of 1 T shapes.
Notice 0: Split top of 1 T shapes.
Notice 0: Split top of 1 T shapes.
Notice 0: Split top of 1 T shapes.
Notice 0: Split top of 1 T shapes.
Notice 0: Split top of 1 T shapes.
Notice 0: Split top of 1 T shapes.
Notice 0: Split top of 1 T shapes.
Notice 0: Split top of 1 T shapes.
Notice 0: Split top of 1 T shapes.
Notice 0: Split top of 1 T shapes.
Notice 0: Split top of 1 T shapes.
Notice 0: Split top of 1 T shapes.
Notice 0: Split top of 1 T shapes.
Notice 0: Split top of 1 T shapes.
Notice 0:
disconnected net 2055 net437
Notice 0: Split top of 1 T shapes.
Notice 0: Split top of 1 T shapes.
Notice 0: Split top of 1 T shapes.
Notice 0:
disconnected net 2106 net483
Notice 0: Split top of 1 T shapes.
Notice 0:
disconnected net 2109 net486
Notice 0: Split top of 1 T shapes.
Notice 0:
disconnected net 2150 net522
Notice 0: Split top of 1 T shapes.
Notice 0: Split top of 1 T shapes.
Notice 0: Split top of 1 T shapes.
Notice 0:
disconnected net 2183 net552
Notice 0: Split top of 1 T shapes.
Notice 0: Split top of 1 T shapes.
Notice 0: Split top of 1 T shapes.
Notice 0:
disconnected net 2207 net574
Notice 0: Split top of 1 T shapes.
Notice 0: Split top of 1 T shapes.
Notice 0: Split top of 1 T shapes.
Notice 0: Split top of 1 T shapes.
Notice 0: Split top of 1 T shapes.
Notice 0: Split top of 1 T shapes.
Notice 0:
disconnected net 2272 net632
Notice 0: Split top of 1 T shapes.
Notice 0: Split top of 1 T shapes.
Notice 0: Split top of 1 T shapes.
Notice 0:
disconnected net 2296 net654
Notice 0: Split top of 1 T shapes.
Notice 0: Split top of 1 T shapes.
Notice 0: Split top of 2 T shapes.
Notice 0: Split top of 1 T shapes.
Notice 0: Split top of 1 T shapes.
Notice 0:
disconnected net 2341 net695
Notice 0: Split top of 1 T shapes.
Notice 0: Split top of 1 T shapes.
Notice 0: Split top of 1 T shapes.
Notice 0:
disconnected net 2363 net714
Notice 0: Split top of 1 T shapes.
Notice 0:
disconnected net 2372 net722
Notice 0: Split top of 1 T shapes.
Notice 0:
disconnected net 2392 net740
Notice 0: Split top of 1 T shapes.
Notice 0: Split top of 1 T shapes.
Notice 0:
disconnected net 2399 net747
Notice 0: Split top of 1 T shapes.
Notice 0:
disconnected net 2401 net749
Notice 0: Split top of 1 T shapes.
Notice 0:
disconnected net 2412 net759
Notice 0: Split top of 1 T shapes.
Notice 0: Split top of 1 T shapes.
Notice 0:
disconnected net 2420 net766
Notice 0: Split top of 1 T shapes.
Notice 0:
disconnected net 2433 net778
Notice 0: Split top of 1 T shapes.
Notice 0:
disconnected net 2441 net785
Notice 0: Split top of 1 T shapes.
Notice 0: Split top of 1 T shapes.
Notice 0:
disconnected net 2463 net804
Notice 0: Split top of 1 T shapes.
Notice 0: Split top of 1 T shapes.
Notice 0: Split top of 1 T shapes.
Notice 0: Split top of 1 T shapes.
Notice 0:
disconnected net 2498 net836
Notice 0: Split top of 1 T shapes.
Notice 0: Split top of 1 T shapes.
Notice 0: Split top of 1 T shapes.
Notice 0:
disconnected net 2520 net856
Notice 0: Split top of 1 T shapes.
Notice 0: Split top of 1 T shapes.
Notice 0: Split top of 1 T shapes.
Notice 0:
disconnected net 2542 net876
Notice 0: Split top of 1 T shapes.
Notice 0: Split top of 1 T shapes.
Notice 0:
disconnected net 2550 net883
Notice 0: Split top of 1 T shapes.
Notice 0: Split top of 1 T shapes.
Notice 0:
disconnected net 2573 net903
Notice 0: Split top of 1 T shapes.
Notice 0: Split top of 1 T shapes.
Notice 0:
disconnected net 2595 net923
Notice 0: Split top of 1 T shapes.
Notice 0: Split top of 1 T shapes.
Notice 0: Split top of 1 T shapes.
Notice 0:
disconnected net 2628 net953
Notice 0: Split top of 1 T shapes.
Notice 0: Split top of 1 T shapes.
Notice 0: Split top of 1 T shapes.
Notice 0: Split top of 1 T shapes.
Notice 0: Split top of 2 T shapes.
Notice 0: Split top of 1 T shapes.
Notice 0: Split top of 1 T shapes.
Notice 0: Split top of 1 T shapes.
Notice 0: Split top of 1 T shapes.
Notice 0: Split top of 1 T shapes.
Notice 0: Split top of 1 T shapes.
Notice 0: Split top of 1 T shapes.
Notice 0: Split top of 1 T shapes.
Notice 0: Split top of 1 T shapes.
Notice 0: Split top of 1 T shapes.
Notice 0: Split top of 1 T shapes.
Notice 0: Split top of 1 T shapes.
Notice 0: Split top of 1 T shapes.
Notice 0: Split top of 1 T shapes.
Notice 0: Split top of 1 T shapes.
Notice 0: Split top of 1 T shapes.
Notice 0: Split top of 1 T shapes.
Notice 0: Split top of 1 T shapes.
Notice 0: Split top of 1 T shapes.
Notice 0: Split top of 1 T shapes.
Notice 0: Split top of 1 T shapes.
Notice 0: Split top of 1 T shapes.
Notice 0: Split top of 1 T shapes.
Notice 0: Split top of 1 T shapes.
Notice 0: Split top of 1 T shapes.
Notice 0: Split top of 1 T shapes.
Notice 0: Split top of 1 T shapes.
Notice 0: Split top of 1 T shapes.
Notice 0: Split top of 1 T shapes.
Notice 0: Split top of 1 T shapes.
Notice 0: Split top of 1 T shapes.
Notice 0: Split top of 1 T shapes.
Notice 0: Split top of 1 T shapes.
Notice 0: Split top of 1 T shapes.
Notice 0: Split top of 1 T shapes.
Notice 0: Split top of 1 T shapes.
Notice 0: Split top of 1 T shapes.
Notice 0: Split top of 1 T shapes.
Notice 0: Split top of 1 T shapes.
Notice 0: Split top of 1 T shapes.
Notice 0: Split top of 1 T shapes.
Notice 0: Split top of 1 T shapes.
Notice 0: Split top of 1 T shapes.
Notice 0: Split top of 1 T shapes.
Notice 0: Split top of 1 T shapes.
Notice 0: Split top of 1 T shapes.
Notice 0: Split top of 1 T shapes.
Notice 0: Split top of 1 T shapes.
Notice 0: Split top of 1 T shapes.
Notice 0: Split top of 1 T shapes.
Notice 0: Split top of 1 T shapes.
Notice 0: Split top of 1 T shapes.
Notice 0: Split top of 1 T shapes.
Notice 0: Split top of 1 T shapes.
Notice 0: Split top of 1 T shapes.
Notice 0: Split top of 1 T shapes.
Notice 0: Split top of 1 T shapes.
Notice 0: Split top of 1 T shapes.
Notice 0: Split top of 1 T shapes.
Notice 0: Split top of 1 T shapes.
Notice 0: Split top of 1 T shapes.
Notice 0: Split top of 1 T shapes.
Notice 0: Split top of 1 T shapes.
[INFO GRT-0012] Antenna violations: 0
[INFO GRT-0014] Routed nets: 2453
[INFO]: Setting RC values...
min_report
===========================================================================
report_checks -path_delay min (Hold)
============================================================================
Startpoint: la_data_in[65] (input port clocked by wb_clk_i)
Endpoint: _313_ (rising edge-triggered flip-flop clocked by wb_clk_i)
Path Group: wb_clk_i
Path Type: min
Fanout Cap Slew Delay Time Description
-----------------------------------------------------------------------------
0.00 0.00 clock wb_clk_i (rise edge)
0.00 0.00 clock network delay (propagated)
4.00 4.00 ^ input external delay
0.04 0.02 4.02 ^ la_data_in[65] (in)
1 0.01 la_data_in[65] (net)
0.04 0.00 4.02 ^ input2/A (sky130_fd_sc_hd__buf_6)
0.05 0.10 4.12 ^ input2/X (sky130_fd_sc_hd__buf_6)
1 0.02 net2 (net)
0.05 0.00 4.12 ^ repeater1157/A (sky130_fd_sc_hd__clkbuf_4)
0.07 0.14 4.27 ^ repeater1157/X (sky130_fd_sc_hd__clkbuf_4)
1 0.02 net1157 (net)
0.07 0.00 4.27 ^ repeater1156/A (sky130_fd_sc_hd__buf_4)
0.07 0.13 4.40 ^ repeater1156/X (sky130_fd_sc_hd__buf_4)
1 0.02 net1156 (net)
0.07 0.00 4.40 ^ repeater1155/A (sky130_fd_sc_hd__buf_6)
0.05 0.11 4.51 ^ repeater1155/X (sky130_fd_sc_hd__buf_6)
2 0.02 net1155 (net)
0.05 0.00 4.51 ^ _311_/A0 (sky130_fd_sc_hd__mux2_2)
0.11 0.19 4.70 ^ _311_/X (sky130_fd_sc_hd__mux2_2)
1 0.02 _129_ (net)
0.11 0.00 4.71 ^ repeater424/A (sky130_fd_sc_hd__clkbuf_4)
0.06 0.16 4.87 ^ repeater424/X (sky130_fd_sc_hd__clkbuf_4)
1 0.02 net424 (net)
0.06 0.00 4.87 ^ repeater423/A (sky130_fd_sc_hd__buf_4)
0.03 0.10 4.97 ^ repeater423/X (sky130_fd_sc_hd__buf_4)
1 0.01 net423 (net)
0.03 0.00 4.97 ^ repeater422/A (sky130_fd_sc_hd__buf_6)
0.17 0.16 5.13 ^ repeater422/X (sky130_fd_sc_hd__buf_6)
2 0.08 net422 (net)
0.18 0.03 5.16 ^ repeater421/A (sky130_fd_sc_hd__buf_6)
0.04 0.13 5.29 ^ repeater421/X (sky130_fd_sc_hd__buf_6)
1 0.02 net421 (net)
0.04 0.00 5.29 ^ _312_/B (sky130_fd_sc_hd__nor2_1)
0.02 0.04 5.33 v _312_/Y (sky130_fd_sc_hd__nor2_1)
1 0.00 _008_ (net)
0.02 0.00 5.33 v repeater225/A (sky130_fd_sc_hd__dlymetal6s2s_1)
0.10 0.17 5.50 v repeater225/X (sky130_fd_sc_hd__dlymetal6s2s_1)
1 0.02 net225 (net)
0.10 0.00 5.50 v _313_/D (sky130_fd_sc_hd__dfxtp_1)
5.50 data arrival time
0.00 0.00 clock wb_clk_i (rise edge)
0.00 0.00 clock source latency
0.03 0.02 0.02 ^ wb_clk_i (in)
1 0.00 wb_clk_i (net)
0.03 0.00 0.02 ^ _179_/A1 (sky130_fd_sc_hd__mux2_2)
0.16 0.24 0.26 ^ _179_/X (sky130_fd_sc_hd__mux2_2)
2 0.03 _030_ (net)
0.16 0.00 0.26 ^ repeater1/A (sky130_fd_sc_hd__buf_4)
0.09 0.19 0.45 ^ repeater1/X (sky130_fd_sc_hd__buf_4)
2 0.03 net2013 (net)
0.09 0.00 0.45 ^ _180_/A (sky130_fd_sc_hd__buf_1)
0.12 0.15 0.61 ^ _180_/X (sky130_fd_sc_hd__buf_1)
1 0.01 clk (net)
0.12 0.00 0.61 ^ repeater7/A (sky130_fd_sc_hd__buf_2)
0.14 0.21 0.82 ^ repeater7/X (sky130_fd_sc_hd__buf_2)
2 0.03 net2019 (net)
0.14 0.00 0.82 ^ repeater6/A (sky130_fd_sc_hd__buf_4)
0.07 0.17 0.99 ^ repeater6/X (sky130_fd_sc_hd__buf_4)
1 0.02 net2018 (net)
0.07 0.00 0.99 ^ repeater5/A (sky130_fd_sc_hd__buf_6)
0.20 0.20 1.20 ^ repeater5/X (sky130_fd_sc_hd__buf_6)
2 0.10 net2017 (net)
0.22 0.05 1.25 ^ repeater4/A (sky130_fd_sc_hd__clkbuf_16)
0.04 0.19 1.44 ^ repeater4/X (sky130_fd_sc_hd__clkbuf_16)
1 0.02 net2016 (net)
0.04 0.00 1.44 ^ repeater3/A (sky130_fd_sc_hd__buf_6)
0.06 0.12 1.56 ^ repeater3/X (sky130_fd_sc_hd__buf_6)
1 0.03 net2015 (net)
0.06 0.00 1.56 ^ repeater2/A (sky130_fd_sc_hd__buf_12)
0.05 0.12 1.68 ^ repeater2/X (sky130_fd_sc_hd__buf_12)
1 0.03 net2014 (net)
0.05 0.01 1.69 ^ clkbuf_0_clk/A (sky130_fd_sc_hd__clkbuf_16)
0.04 0.14 1.82 ^ clkbuf_0_clk/X (sky130_fd_sc_hd__clkbuf_16)
2 0.02 clknet_0_clk (net)
0.04 0.00 1.83 ^ clkbuf_1_0_0_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.04 0.13 1.95 ^ clkbuf_1_0_0_clk/X (sky130_fd_sc_hd__clkbuf_8)
1 0.01 clknet_1_0_0_clk (net)
0.04 0.00 1.95 ^ clkbuf_1_0_1_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.04 0.12 2.07 ^ clkbuf_1_0_1_clk/X (sky130_fd_sc_hd__clkbuf_8)
1 0.01 clknet_1_0_1_clk (net)
0.04 0.00 2.08 ^ clkbuf_1_0_2_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.04 0.12 2.20 ^ clkbuf_1_0_2_clk/X (sky130_fd_sc_hd__clkbuf_8)
1 0.01 clknet_1_0_2_clk (net)
0.04 0.00 2.20 ^ clkbuf_1_0_3_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.06 0.15 2.34 ^ clkbuf_1_0_3_clk/X (sky130_fd_sc_hd__clkbuf_8)
5 0.03 clknet_1_0_3_clk (net)
0.06 0.00 2.34 ^ repeater13/A (sky130_fd_sc_hd__clkbuf_2)
0.12 0.17 2.52 ^ repeater13/X (sky130_fd_sc_hd__clkbuf_2)
1 0.02 net2025 (net)
0.12 0.00 2.52 ^ repeater12/A (sky130_fd_sc_hd__buf_2)
0.11 0.19 2.71 ^ repeater12/X (sky130_fd_sc_hd__buf_2)
3 0.02 net2024 (net)
0.11 0.00 2.71 ^ _313_/CLK (sky130_fd_sc_hd__dfxtp_1)
0.25 2.96 clock uncertainty
0.00 2.96 clock reconvergence pessimism
-0.06 2.90 library hold time
2.90 data required time
-----------------------------------------------------------------------------
2.90 data required time
-5.50 data arrival time
-----------------------------------------------------------------------------
2.60 slack (MET)
Startpoint: _313_ (rising edge-triggered flip-flop clocked by wb_clk_i)
Endpoint: wbs_ack_o (output port clocked by wb_clk_i)
Path Group: wb_clk_i
Path Type: min
Fanout Cap Slew Delay Time Description
-----------------------------------------------------------------------------
0.00 0.00 clock wb_clk_i (rise edge)
0.00 0.00 clock source latency
0.03 0.01 0.01 ^ wb_clk_i (in)
1 0.00 wb_clk_i (net)
0.03 0.00 0.01 ^ _179_/A1 (sky130_fd_sc_hd__mux2_2)
0.16 0.22 0.23 ^ _179_/X (sky130_fd_sc_hd__mux2_2)
2 0.03 _030_ (net)
0.16 0.00 0.24 ^ repeater1/A (sky130_fd_sc_hd__buf_4)
0.09 0.17 0.41 ^ repeater1/X (sky130_fd_sc_hd__buf_4)
2 0.03 net2013 (net)
0.09 0.00 0.41 ^ _180_/A (sky130_fd_sc_hd__buf_1)
0.12 0.14 0.55 ^ _180_/X (sky130_fd_sc_hd__buf_1)
1 0.01 clk (net)
0.12 0.00 0.55 ^ repeater7/A (sky130_fd_sc_hd__buf_2)
0.14 0.19 0.74 ^ repeater7/X (sky130_fd_sc_hd__buf_2)
2 0.03 net2019 (net)
0.14 0.00 0.74 ^ repeater6/A (sky130_fd_sc_hd__buf_4)
0.07 0.15 0.90 ^ repeater6/X (sky130_fd_sc_hd__buf_4)
1 0.02 net2018 (net)
0.07 0.00 0.90 ^ repeater5/A (sky130_fd_sc_hd__buf_6)
0.20 0.18 1.08 ^ repeater5/X (sky130_fd_sc_hd__buf_6)
2 0.10 net2017 (net)
0.22 0.05 1.13 ^ repeater4/A (sky130_fd_sc_hd__clkbuf_16)
0.04 0.17 1.30 ^ repeater4/X (sky130_fd_sc_hd__clkbuf_16)
1 0.02 net2016 (net)
0.04 0.00 1.30 ^ repeater3/A (sky130_fd_sc_hd__buf_6)
0.06 0.11 1.41 ^ repeater3/X (sky130_fd_sc_hd__buf_6)
1 0.03 net2015 (net)
0.06 0.00 1.42 ^ repeater2/A (sky130_fd_sc_hd__buf_12)
0.05 0.11 1.52 ^ repeater2/X (sky130_fd_sc_hd__buf_12)
1 0.03 net2014 (net)
0.05 0.01 1.53 ^ clkbuf_0_clk/A (sky130_fd_sc_hd__clkbuf_16)
0.04 0.12 1.65 ^ clkbuf_0_clk/X (sky130_fd_sc_hd__clkbuf_16)
2 0.02 clknet_0_clk (net)
0.04 0.00 1.65 ^ clkbuf_1_0_0_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.04 0.11 1.76 ^ clkbuf_1_0_0_clk/X (sky130_fd_sc_hd__clkbuf_8)
1 0.01 clknet_1_0_0_clk (net)
0.04 0.00 1.77 ^ clkbuf_1_0_1_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.04 0.11 1.88 ^ clkbuf_1_0_1_clk/X (sky130_fd_sc_hd__clkbuf_8)
1 0.01 clknet_1_0_1_clk (net)
0.04 0.00 1.88 ^ clkbuf_1_0_2_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.04 0.11 1.99 ^ clkbuf_1_0_2_clk/X (sky130_fd_sc_hd__clkbuf_8)
1 0.01 clknet_1_0_2_clk (net)
0.04 0.00 1.99 ^ clkbuf_1_0_3_clk/A (sky130_fd_sc_hd__clkbuf_8)
0.06 0.13 2.12 ^ clkbuf_1_0_3_clk/X (sky130_fd_sc_hd__clkbuf_8)
5 0.03 clknet_1_0_3_clk (net)
0.06 0.00 2.12 ^ repeater13/A (sky130_fd_sc_hd__clkbuf_2)
0.12 0.16 2.28 ^ repeater13/X (sky130_fd_sc_hd__clkbuf_2)
1 0.02 net2025 (net)
0.12 0.00 2.28 ^ repeater12/A (sky130_fd_sc_hd__buf_2)
0.11 0.18 2.45 ^ repeater12/X (sky130_fd_sc_hd__buf_2)
3 0.02 net2024 (net)
0.11 0.00 2.46 ^ _313_/CLK (sky130_fd_sc_hd__dfxtp_1)
0.07 0.33 2.78 ^ _313_/Q (sky130_fd_sc_hd__dfxtp_1)
1 0.01 net116 (net)
0.07 0.00 2.78 ^ repeater414/A (sky130_fd_sc_hd__dlymetal6s2s_1)
0.14 0.16 2.94 ^ repeater414/X (sky130_fd_sc_hd__dlymetal6s2s_1)
1 0.01 net414 (net)
0.14 0.00 2.94 ^ repeater413/A (sky130_fd_sc_hd__clkbuf_4)
0.12 0.21 3.16 ^ repeater413/X (sky130_fd_sc_hd__clkbuf_4)
1 0.04 net413 (net)
0.13 0.01 3.17 ^ repeater412/A (sky130_fd_sc_hd__buf_4)
0.05 0.14 3.30 ^ repeater412/X (sky130_fd_sc_hd__buf_4)
1 0.01 net412 (net)
0.05 0.00 3.30 ^ repeater411/A (sky130_fd_sc_hd__buf_6)
0.04 0.10 3.40 ^ repeater411/X (sky130_fd_sc_hd__buf_6)
1 0.02 net411 (net)
0.04 0.00 3.40 ^ repeater410/A (sky130_fd_sc_hd__buf_6)
0.05 0.10 3.50 ^ repeater410/X (sky130_fd_sc_hd__buf_6)
1 0.02 net410 (net)
0.05 0.00 3.50 ^ repeater409/A (sky130_fd_sc_hd__buf_6)
0.05 0.10 3.60 ^ repeater409/X (sky130_fd_sc_hd__buf_6)
1 0.02 net409 (net)
0.05 0.00 3.60 ^ repeater408/A (sky130_fd_sc_hd__buf_4)
0.06 0.12 3.73 ^ repeater408/X (sky130_fd_sc_hd__buf_4)
1 0.02 net408 (net)
0.06 0.00 3.73 ^ repeater407/A (sky130_fd_sc_hd__buf_4)
0.06 0.13 3.85 ^ repeater407/X (sky130_fd_sc_hd__buf_4)
1 0.02 net407 (net)
0.06 0.00 3.85 ^ repeater406/A (sky130_fd_sc_hd__buf_4)
0.07 0.13 3.98 ^ repeater406/X (sky130_fd_sc_hd__buf_4)
3 0.02 net406 (net)
0.07 0.00 3.99 ^ output116/A (sky130_fd_sc_hd__clkbuf_4)
0.12 0.19 4.17 ^ output116/X (sky130_fd_sc_hd__clkbuf_4)
1 0.03 wbs_ack_o (net)
0.12 0.00 4.18 ^ wbs_ack_o (out)
4.18 data arrival time
0.00 0.00 clock wb_clk_i (rise edge)
0.00 0.00 clock network delay (propagated)
0.25 0.25 clock uncertainty
0.00 0.25 clock reconvergence pessimism
-4.00 -3.75 output external delay
-3.75 data required time
-----------------------------------------------------------------------------
-3.75 data required time
-4.18 data arrival time
-----------------------------------------------------------------------------
7.93 slack (MET)
Startpoint: wbs_dat_i[10] (input port clocked by wb_clk_i)
Endpoint: la_data_out[42] (output port clocked by wb_clk_i)
Path Group: wb_clk_i
Path Type: min
Fanout Cap Slew Delay Time Description
-----------------------------------------------------------------------------
0.00 0.00 clock wb_clk_i (rise edge)
0.00 0.00 clock network delay (propagated)
4.00 4.00 ^ input external delay
0.03 0.02 4.02 ^ wbs_dat_i[10] (in)
1 0.00 wbs_dat_i[10] (net)
0.03 0.00 4.02 ^ input19/A (sky130_fd_sc_hd__buf_4)
0.06 0.11 4.13 ^ input19/X (sky130_fd_sc_hd__buf_4)
2 0.02 net19 (net)
0.06 0.00 4.13 ^ repeater1178/A (sky130_fd_sc_hd__clkbuf_4)
0.07 0.15 4.29 ^ repeater1178/X (sky130_fd_sc_hd__clkbuf_4)
1 0.02 net1178 (net)
0.07 0.00 4.29 ^ repeater1177/A (sky130_fd_sc_hd__buf_4)
0.07 0.14 4.43 ^ repeater1177/X (sky130_fd_sc_hd__buf_4)
1 0.02 net1177 (net)
0.07 0.00 4.43 ^ repeater1176/A (sky130_fd_sc_hd__buf_6)
0.05 0.11 4.54 ^ repeater1176/X (sky130_fd_sc_hd__buf_6)
1 0.02 net1176 (net)
0.05 0.00 4.54 ^ repeater1175/A (sky130_fd_sc_hd__buf_6)
0.05 0.11 4.65 ^ repeater1175/X (sky130_fd_sc_hd__buf_6)
1 0.02 net1175 (net)
0.05 0.00 4.65 ^ repeater1174/A (sky130_fd_sc_hd__buf_6)
0.05 0.10 4.75 ^ repeater1174/X (sky130_fd_sc_hd__buf_6)
1 0.02 net1174 (net)
0.05 0.00 4.75 ^ repeater1173/A (sky130_fd_sc_hd__buf_4)
0.07 0.12 4.88 ^ repeater1173/X (sky130_fd_sc_hd__buf_4)
2 0.02 net1173 (net)
0.07 0.00 4.88 ^ _487_/A (sky130_fd_sc_hd__dlymetal6s2s_1)
0.07 0.11 4.98 ^ _487_/X (sky130_fd_sc_hd__dlymetal6s2s_1)
1 0.00 net88 (net)
0.07 0.00 4.98 ^ output88/A (sky130_fd_sc_hd__clkbuf_4)
0.12 0.19 5.17 ^ output88/X (sky130_fd_sc_hd__clkbuf_4)
1 0.03 la_data_out[42] (net)
0.12 0.00 5.17 ^ la_data_out[42] (out)
5.17 data arrival time
0.00 0.00 clock wb_clk_i (rise edge)
0.00 0.00 clock network delay (propagated)
0.25 0.25 clock uncertainty
0.00 0.25 clock reconvergence pessimism
-4.00 -3.75 output external delay
-3.75 data required time
-----------------------------------------------------------------------------
-3.75 data required time
-5.17 data arrival time
-----------------------------------------------------------------------------
8.92 slack (MET)
Startpoint: wbs_dat_i[26] (input port clocked by wb_clk_i)
Endpoint: la_data_out[58] (output port clocked by wb_clk_i)
Path Group: wb_clk_i
Path Type: min
Fanout Cap Slew Delay Time Description
-----------------------------------------------------------------------------
0.00 0.00 clock wb_clk_i (rise edge)
0.00 0.00 clock network delay (propagated)
4.00 4.00 ^ input external delay
0.03 0.02 4.02 ^ wbs_dat_i[26] (in)
1 0.01 wbs_dat_i[26] (net)
0.03 0.00 4.02 ^ input36/A (sky130_fd_sc_hd__buf_4)
0.07 0.12 4.14 ^ input36/X (sky130_fd_sc_hd__buf_4)
2 0.02 net36 (net)
0.07 0.00 4.14 ^ repeater815/A (sky130_fd_sc_hd__clkbuf_4)
0.07 0.15 4.29 ^ repeater815/X (sky130_fd_sc_hd__clkbuf_4)
1 0.02 net815 (net)
0.07 0.00 4.30 ^ repeater814/A (sky130_fd_sc_hd__buf_4)
0.07 0.13 4.43 ^ repeater814/X (sky130_fd_sc_hd__buf_4)
1 0.02 net814 (net)
0.07 0.00 4.43 ^ repeater813/A (sky130_fd_sc_hd__buf_6)
0.05 0.11 4.54 ^ repeater813/X (sky130_fd_sc_hd__buf_6)
1 0.02 net813 (net)
0.05 0.00 4.54 ^ repeater812/A (sky130_fd_sc_hd__buf_6)
0.05 0.11 4.65 ^ repeater812/X (sky130_fd_sc_hd__buf_6)
1 0.02 net812 (net)
0.05 0.00 4.65 ^ repeater811/A (sky130_fd_sc_hd__buf_6)
0.05 0.10 4.75 ^ repeater811/X (sky130_fd_sc_hd__buf_6)
1 0.02 net811 (net)
0.05 0.00 4.76 ^ repeater810/A (sky130_fd_sc_hd__buf_4)
0.06 0.12 4.88 ^ repeater810/X (sky130_fd_sc_hd__buf_4)
2 0.02 net810 (net)
0.07 0.00 4.88 ^ _503_/A (sky130_fd_sc_hd__dlymetal6s2s_1)
0.07 0.11 4.99 ^ _503_/X (sky130_fd_sc_hd__dlymetal6s2s_1)
1 0.00 net105 (net)
0.07 0.00 4.99 ^ output105/A (sky130_fd_sc_hd__clkbuf_4)
0.12 0.19 5.17 ^ output105/X (sky130_fd_sc_hd__clkbuf_4)
1 0.03 la_data_out[58] (net)
0.12 0.00 5.17 ^ la_data_out[58] (out)
5.17 data arrival time
0.00 0.00 clock wb_clk_i (rise edge)
0.00 0.00 clock network delay (propagated)
0.25 0.25 clock uncertainty
0.00 0.25 clock reconvergence pessimism
-4.00 -3.75 output external delay
-3.75 data required time
-----------------------------------------------------------------------------
-3.75 data required time
-5.17 data arrival time
-----------------------------------------------------------------------------
8.92 slack (MET)
Startpoint: wbs_dat_i[11] (input port clocked by wb_clk_i)
Endpoint: la_data_out[43] (output port clocked by wb_clk_i)
Path Group: wb_clk_i
Path Type: min
Fanout Cap Slew Delay Time Description
-----------------------------------------------------------------------------
0.00 0.00 clock wb_clk_i (rise edge)
0.00 0.00 clock network delay (propagated)
4.00 4.00 ^ input external delay
0.03 0.02 4.02 ^ wbs_dat_i[11] (in)
1 0.01 wbs_dat_i[11] (net)
0.03 0.00 4.02 ^ input20/A (sky130_fd_sc_hd__buf_4)
0.06 0.11 4.13 ^ input20/X (sky130_fd_sc_hd__buf_4)
2 0.02 net20 (net)
0.06 0.00 4.13 ^ repeater1154/A (sky130_fd_sc_hd__clkdlybuf4s15_2)
0.11 0.24 4.37 ^ repeater1154/X (sky130_fd_sc_hd__clkdlybuf4s15_2)
1 0.02 net1154 (net)
0.11 0.00 4.37 ^ repeater1153/A (sky130_fd_sc_hd__buf_4)
0.07 0.15 4.52 ^ repeater1153/X (sky130_fd_sc_hd__buf_4)
1 0.02 net1153 (net)
0.07 0.00 4.53 ^ repeater1152/A (sky130_fd_sc_hd__buf_6)
0.05 0.11 4.64 ^ repeater1152/X (sky130_fd_sc_hd__buf_6)
1 0.02 net1152 (net)
0.05 0.00 4.64 ^ repeater1151/A (sky130_fd_sc_hd__buf_6)
0.06 0.11 4.75 ^ repeater1151/X (sky130_fd_sc_hd__buf_6)
1 0.02 net1151 (net)
0.06 0.00 4.75 ^ repeater1150/A (sky130_fd_sc_hd__buf_6)
0.05 0.10 4.85 ^ repeater1150/X (sky130_fd_sc_hd__buf_6)
1 0.02 net1150 (net)
0.05 0.00 4.85 ^ repeater1149/A (sky130_fd_sc_hd__buf_4)
0.07 0.12 4.98 ^ repeater1149/X (sky130_fd_sc_hd__buf_4)
2 0.02 net1149 (net)
0.07 0.00 4.98 ^ _488_/A (sky130_fd_sc_hd__dlymetal6s2s_1)
0.06 0.10 5.08 ^ _488_/X (sky130_fd_sc_hd__dlymetal6s2s_1)
1 0.00 net89 (net)
0.06 0.00 5.08 ^ output89/A (sky130_fd_sc_hd__clkbuf_4)
0.12 0.19 5.27 ^ output89/X (sky130_fd_sc_hd__clkbuf_4)
1 0.03 la_data_out[43] (net)
0.12 0.00 5.27 ^ la_data_out[43] (out)
5.27 data arrival time
0.00 0.00 clock wb_clk_i (rise edge)
0.00 0.00 clock network delay (propagated)
0.25 0.25 clock uncertainty
0.00 0.25 clock reconvergence pessimism
-4.00 -3.75 output external delay
-3.75 data required time
-----------------------------------------------------------------------------
-3.75 data required time
-5.27 data arrival time
-----------------------------------------------------------------------------
9.02 slack (MET)
min_report_end
max_report
===========================================================================
report_checks -path_delay max (Setup)
============================================================================
Startpoint: wbs_adr_i[11] (input port clocked by wb_clk_i)
Endpoint: wbs_dat_o[5] (output port clocked by wb_clk_i)
Path Group: wb_clk_i
Path Type: max
Fanout Cap Slew Delay Time Description
-----------------------------------------------------------------------------
0.00 0.00 clock wb_clk_i (rise edge)
0.00 0.00 clock network delay (propagated)
4.00 4.00 v input external delay
0.01 0.01 4.01 v wbs_adr_i[11] (in)
1 0.00 wbs_adr_i[11] (net)
0.01 0.00 4.01 v input7/A (sky130_fd_sc_hd__dlymetal6s2s_1)
0.04 0.13 4.14 v input7/X (sky130_fd_sc_hd__dlymetal6s2s_1)
1 0.00 net7 (net)
0.04 0.00 4.14 v repeater476/A (sky130_fd_sc_hd__dlymetal6s2s_1)
0.10 0.20 4.34 v repeater476/X (sky130_fd_sc_hd__dlymetal6s2s_1)
1 0.02 net476 (net)
0.10 0.00 4.34 v repeater475/A (sky130_fd_sc_hd__clkbuf_4)
0.05 0.19 4.53 v repeater475/X (sky130_fd_sc_hd__clkbuf_4)
1 0.02 net475 (net)
0.05 0.00 4.54 v repeater474/A (sky130_fd_sc_hd__buf_4)
0.04 0.16 4.70 v repeater474/X (sky130_fd_sc_hd__buf_4)
1 0.02 net474 (net)
0.04 0.00 4.70 v repeater473/A (sky130_fd_sc_hd__buf_6)
0.03 0.13 4.83 v repeater473/X (sky130_fd_sc_hd__buf_6)
1 0.02 net473 (net)
0.03 0.00 4.83 v repeater472/A (sky130_fd_sc_hd__buf_6)
0.03 0.12 4.95 v repeater472/X (sky130_fd_sc_hd__buf_6)
1 0.01 net472 (net)
0.03 0.00 4.95 v repeater471/A (sky130_fd_sc_hd__buf_6)
0.05 0.14 5.09 v repeater471/X (sky130_fd_sc_hd__buf_6)
2 0.04 net471 (net)
0.05 0.01 5.10 v repeater470/A (sky130_fd_sc_hd__buf_4)
0.03 0.15 5.24 v repeater470/X (sky130_fd_sc_hd__buf_4)
1 0.01 net470 (net)
0.03 0.00 5.24 v repeater469/A (sky130_fd_sc_hd__buf_4)
0.04 0.15 5.40 v repeater469/X (sky130_fd_sc_hd__buf_4)
2 0.02 net469 (net)
0.04 0.00 5.40 v repeater468/A (sky130_fd_sc_hd__dlymetal6s2s_1)
0.09 0.19 5.59 v repeater468/X (sky130_fd_sc_hd__dlymetal6s2s_1)
4 0.02 net468 (net)
0.09 0.00 5.59 v _159_/A (sky130_fd_sc_hd__inv_2)
0.05 0.08 5.67 ^ _159_/Y (sky130_fd_sc_hd__inv_2)
2 0.01 _016_ (net)
0.05 0.00 5.67 ^ _160_/A (sky130_fd_sc_hd__clkbuf_4)
0.06 0.15 5.82 ^ _160_/X (sky130_fd_sc_hd__clkbuf_4)
3 0.01 _017_ (net)
0.06 0.00 5.82 ^ _164_/A (sky130_fd_sc_hd__dlymetal6s2s_1)
0.22 0.23 6.05 ^ _164_/X (sky130_fd_sc_hd__dlymetal6s2s_1)
5 0.02 _020_ (net)
0.22 0.00 6.05 ^ _205_/A (sky130_fd_sc_hd__dlymetal6s2s_1)
0.18 0.24 6.29 ^ _205_/X (sky130_fd_sc_hd__dlymetal6s2s_1)
5 0.02 _050_ (net)
0.18 0.00 6.29 ^ _208_/A1 (sky130_fd_sc_hd__o21a_1)
0.10 0.20 6.50 ^ _208_/X (sky130_fd_sc_hd__o21a_1)
1 0.01 _053_ (net)
0.10 0.00 6.50 ^ repeater390/A (sky130_fd_sc_hd__clkdlybuf4s15_2)
0.11 0.28 6.77 ^ repeater390/X (sky130_fd_sc_hd__clkdlybuf4s15_2)
1 0.02 net390 (net)
0.11 0.00 6.78 ^ _212_/B1 (sky130_fd_sc_hd__o22a_1)
0.08 0.19 6.96 ^ _212_/X (sky130_fd_sc_hd__o22a_1)
1 0.01 net107 (net)
0.08 0.00 6.96 ^ repeater288/A (sky130_fd_sc_hd__clkdlybuf4s15_2)
0.40 0.46 7.43 ^ repeater288/X (sky130_fd_sc_hd__clkdlybuf4s15_2)
2 0.07 net288 (net)
0.40 0.03 7.46 ^ repeater287/A (sky130_fd_sc_hd__buf_4)
0.04 0.20 7.66 ^ repeater287/X (sky130_fd_sc_hd__buf_4)
1 0.01 net287 (net)
0.04 0.00 7.66 ^ repeater286/A (sky130_fd_sc_hd__buf_6)
0.05 0.11 7.77 ^ repeater286/X (sky130_fd_sc_hd__buf_6)
1 0.02 net286 (net)
0.05 0.00 7.77 ^ repeater285/A (sky130_fd_sc_hd__buf_6)
0.05 0.11 7.88 ^ repeater285/X (sky130_fd_sc_hd__buf_6)
1 0.02 net285 (net)
0.05 0.00 7.89 ^ repeater284/A (sky130_fd_sc_hd__buf_6)
0.06 0.12 8.01 ^ repeater284/X (sky130_fd_sc_hd__buf_6)
4 0.02 net284 (net)
0.06 0.00 8.01 ^ _514_/A (sky130_fd_sc_hd__dlymetal6s2s_1)
0.06 0.11 8.12 ^ _514_/X (sky130_fd_sc_hd__dlymetal6s2s_1)
1 0.00 net144 (net)
0.06 0.00 8.12 ^ repeater178/A (sky130_fd_sc_hd__dlymetal6s2s_1)
0.21 0.22 8.34 ^ repeater178/X (sky130_fd_sc_hd__dlymetal6s2s_1)
1 0.02 net178 (net)
0.21 0.00 8.34 ^ repeater177/A (sky130_fd_sc_hd__clkbuf_4)
0.07 0.22 8.56 ^ repeater177/X (sky130_fd_sc_hd__clkbuf_4)
1 0.02 net177 (net)
0.07 0.00 8.56 ^ output144/A (sky130_fd_sc_hd__clkbuf_4)
0.12 0.21 8.77 ^ output144/X (sky130_fd_sc_hd__clkbuf_4)
1 0.03 wbs_dat_o[5] (net)
0.12 0.00 8.77 ^ wbs_dat_o[5] (out)
8.77 data arrival time
20.00 20.00 clock wb_clk_i (rise edge)
0.00 20.00 clock network delay (propagated)
-0.25 19.75 clock uncertainty
0.00 19.75 clock reconvergence pessimism
-4.00 15.75 output external delay
15.75 data required time
-----------------------------------------------------------------------------
15.75 data required time
-8.77 data arrival time
-----------------------------------------------------------------------------
6.98 slack (MET)
Startpoint: wbs_adr_i[11] (input port clocked by wb_clk_i)
Endpoint: wbs_dat_o[0] (output port clocked by wb_clk_i)
Path Group: wb_clk_i
Path Type: max
Fanout Cap Slew Delay Time Description
-----------------------------------------------------------------------------
0.00 0.00 clock wb_clk_i (rise edge)
0.00 0.00 clock network delay (propagated)
4.00 4.00 v input external delay
0.01 0.01 4.01 v wbs_adr_i[11] (in)
1 0.00 wbs_adr_i[11] (net)
0.01 0.00 4.01 v input7/A (sky130_fd_sc_hd__dlymetal6s2s_1)
0.04 0.13 4.14 v input7/X (sky130_fd_sc_hd__dlymetal6s2s_1)
1 0.00 net7 (net)
0.04 0.00 4.14 v repeater476/A (sky130_fd_sc_hd__dlymetal6s2s_1)
0.10 0.20 4.34 v repeater476/X (sky130_fd_sc_hd__dlymetal6s2s_1)
1 0.02 net476 (net)
0.10 0.00 4.34 v repeater475/A (sky130_fd_sc_hd__clkbuf_4)
0.05 0.19 4.53 v repeater475/X (sky130_fd_sc_hd__clkbuf_4)
1 0.02 net475 (net)
0.05 0.00 4.54 v repeater474/A (sky130_fd_sc_hd__buf_4)
0.04 0.16 4.70 v repeater474/X (sky130_fd_sc_hd__buf_4)
1 0.02 net474 (net)
0.04 0.00 4.70 v repeater473/A (sky130_fd_sc_hd__buf_6)
0.03 0.13 4.83 v repeater473/X (sky130_fd_sc_hd__buf_6)
1 0.02 net473 (net)
0.03 0.00 4.83 v repeater472/A (sky130_fd_sc_hd__buf_6)
0.03 0.12 4.95 v repeater472/X (sky130_fd_sc_hd__buf_6)
1 0.01 net472 (net)
0.03 0.00 4.95 v repeater471/A (sky130_fd_sc_hd__buf_6)
0.05 0.14 5.09 v repeater471/X (sky130_fd_sc_hd__buf_6)
2 0.04 net471 (net)
0.05 0.01 5.10 v repeater470/A (sky130_fd_sc_hd__buf_4)
0.03 0.15 5.24 v repeater470/X (sky130_fd_sc_hd__buf_4)
1 0.01 net470 (net)
0.03 0.00 5.24 v repeater469/A (sky130_fd_sc_hd__buf_4)
0.04 0.15 5.40 v repeater469/X (sky130_fd_sc_hd__buf_4)
2 0.02 net469 (net)
0.04 0.00 5.40 v repeater468/A (sky130_fd_sc_hd__dlymetal6s2s_1)
0.09 0.19 5.59 v repeater468/X (sky130_fd_sc_hd__dlymetal6s2s_1)
4 0.02 net468 (net)
0.09 0.00 5.59 v _159_/A (sky130_fd_sc_hd__inv_2)
0.05 0.08 5.67 ^ _159_/Y (sky130_fd_sc_hd__inv_2)
2 0.01 _016_ (net)
0.05 0.00 5.67 ^ _186_/A (sky130_fd_sc_hd__clkbuf_4)
0.05 0.14 5.81 ^ _186_/X (sky130_fd_sc_hd__clkbuf_4)
2 0.01 _036_ (net)
0.05 0.00 5.81 ^ repeater419/A (sky130_fd_sc_hd__clkdlybuf4s15_2)
0.13 0.27 6.08 ^ repeater419/X (sky130_fd_sc_hd__clkdlybuf4s15_2)
3 0.02 net419 (net)
0.13 0.00 6.08 ^ _187_/A (sky130_fd_sc_hd__clkbuf_4)
0.07 0.19 6.27 ^ _187_/X (sky130_fd_sc_hd__clkbuf_4)
3 0.02 _037_ (net)
0.07 0.00 6.27 ^ repeater416/A (sky130_fd_sc_hd__dlymetal6s2s_1)
0.21 0.22 6.49 ^ repeater416/X (sky130_fd_sc_hd__dlymetal6s2s_1)
1 0.02 net416 (net)
0.21 0.00 6.50 ^ repeater415/A (sky130_fd_sc_hd__clkbuf_4)
0.09 0.24 6.73 ^ repeater415/X (sky130_fd_sc_hd__clkbuf_4)
4 0.03 net415 (net)
0.09 0.00 6.74 ^ _189_/A1 (sky130_fd_sc_hd__a22o_1)
0.16 0.24 6.98 ^ _189_/X (sky130_fd_sc_hd__a22o_1)
1 0.02 _039_ (net)
0.16 0.00 6.98 ^ _190_/B2 (sky130_fd_sc_hd__o22a_1)
0.05 0.16 7.14 ^ _190_/X (sky130_fd_sc_hd__o22a_1)
1 0.00 net52 (net)
0.05 0.00 7.14 ^ repeater315/A (sky130_fd_sc_hd__dlymetal6s2s_1)
0.09 0.13 7.27 ^ repeater315/X (sky130_fd_sc_hd__dlymetal6s2s_1)
1 0.01 net315 (net)
0.09 0.00 7.27 ^ repeater314/A (sky130_fd_sc_hd__clkbuf_4)
0.14 0.23 7.50 ^ repeater314/X (sky130_fd_sc_hd__clkbuf_4)
2 0.04 net314 (net)
0.14 0.01 7.51 ^ repeater313/A (sky130_fd_sc_hd__buf_4)
0.08 0.18 7.69 ^ repeater313/X (sky130_fd_sc_hd__buf_4)
1 0.03 net313 (net)
0.09 0.00 7.69 ^ repeater312/A (sky130_fd_sc_hd__buf_6)
0.05 0.13 7.82 ^ repeater312/X (sky130_fd_sc_hd__buf_6)
1 0.02 net312 (net)
0.05 0.00 7.82 ^ repeater311/A (sky130_fd_sc_hd__buf_6)
0.05 0.12 7.94 ^ repeater311/X (sky130_fd_sc_hd__buf_6)
2 0.02 net311 (net)
0.05 0.00 7.94 ^ repeater310/A (sky130_fd_sc_hd__dlymetal6s2s_1)
0.20 0.21 8.15 ^ repeater310/X (sky130_fd_sc_hd__dlymetal6s2s_1)
1 0.02 net310 (net)
0.20 0.00 8.16 ^ _509_/A (sky130_fd_sc_hd__buf_4)
0.07 0.19 8.34 ^ _509_/X (sky130_fd_sc_hd__buf_4)
1 0.02 net117 (net)
0.07 0.00 8.35 ^ repeater183/A (sky130_fd_sc_hd__clkbuf_4)
0.08 0.17 8.52 ^ repeater183/X (sky130_fd_sc_hd__clkbuf_4)
2 0.02 net183 (net)
0.08 0.00 8.52 ^ output117/A (sky130_fd_sc_hd__clkbuf_4)
0.12 0.21 8.73 ^ output117/X (sky130_fd_sc_hd__clkbuf_4)
1 0.03 wbs_dat_o[0] (net)
0.12 0.00 8.73 ^ wbs_dat_o[0] (out)
8.73 data arrival time
20.00 20.00 clock wb_clk_i (rise edge)
0.00 20.00 clock network delay (propagated)
-0.25 19.75 clock uncertainty
0.00 19.75 clock reconvergence pessimism
-4.00 15.75 output external delay
15.75 data required time
-----------------------------------------------------------------------------
15.75 data required time
-8.73 data arrival time
-----------------------------------------------------------------------------
7.02 slack (MET)
Startpoint: wbs_adr_i[11] (input port clocked by wb_clk_i)
Endpoint: wbs_dat_o[6] (output port clocked by wb_clk_i)
Path Group: wb_clk_i
Path Type: max
Fanout Cap Slew Delay Time Description
-----------------------------------------------------------------------------
0.00 0.00 clock wb_clk_i (rise edge)
0.00 0.00 clock network delay (propagated)
4.00 4.00 v input external delay
0.01 0.01 4.01 v wbs_adr_i[11] (in)
1 0.00 wbs_adr_i[11] (net)
0.01 0.00 4.01 v input7/A (sky130_fd_sc_hd__dlymetal6s2s_1)
0.04 0.13 4.14 v input7/X (sky130_fd_sc_hd__dlymetal6s2s_1)
1 0.00 net7 (net)
0.04 0.00 4.14 v repeater476/A (sky130_fd_sc_hd__dlymetal6s2s_1)
0.10 0.20 4.34 v repeater476/X (sky130_fd_sc_hd__dlymetal6s2s_1)
1 0.02 net476 (net)
0.10 0.00 4.34 v repeater475/A (sky130_fd_sc_hd__clkbuf_4)
0.05 0.19 4.53 v repeater475/X (sky130_fd_sc_hd__clkbuf_4)
1 0.02 net475 (net)
0.05 0.00 4.54 v repeater474/A (sky130_fd_sc_hd__buf_4)
0.04 0.16 4.70 v repeater474/X (sky130_fd_sc_hd__buf_4)
1 0.02 net474 (net)
0.04 0.00 4.70 v repeater473/A (sky130_fd_sc_hd__buf_6)
0.03 0.13 4.83 v repeater473/X (sky130_fd_sc_hd__buf_6)
1 0.02 net473 (net)
0.03 0.00 4.83 v repeater472/A (sky130_fd_sc_hd__buf_6)
0.03 0.12 4.95 v repeater472/X (sky130_fd_sc_hd__buf_6)
1 0.01 net472 (net)
0.03 0.00 4.95 v repeater471/A (sky130_fd_sc_hd__buf_6)
0.05 0.14 5.09 v repeater471/X (sky130_fd_sc_hd__buf_6)
2 0.04 net471 (net)
0.05 0.01 5.10 v repeater470/A (sky130_fd_sc_hd__buf_4)
0.03 0.15 5.24 v repeater470/X (sky130_fd_sc_hd__buf_4)
1 0.01 net470 (net)
0.03 0.00 5.24 v repeater469/A (sky130_fd_sc_hd__buf_4)
0.04 0.15 5.40 v repeater469/X (sky130_fd_sc_hd__buf_4)
2 0.02 net469 (net)
0.04 0.00 5.40 v repeater468/A (sky130_fd_sc_hd__dlymetal6s2s_1)
0.09 0.19 5.59 v repeater468/X (sky130_fd_sc_hd__dlymetal6s2s_1)
4 0.02 net468 (net)
0.09 0.00 5.59 v _159_/A (sky130_fd_sc_hd__inv_2)
0.05 0.08 5.67 ^ _159_/Y (sky130_fd_sc_hd__inv_2)
2 0.01 _016_ (net)
0.05 0.00 5.67 ^ _160_/A (sky130_fd_sc_hd__clkbuf_4)
0.06 0.15 5.82 ^ _160_/X (sky130_fd_sc_hd__clkbuf_4)
3 0.01 _017_ (net)
0.06 0.00 5.82 ^ _164_/A (sky130_fd_sc_hd__dlymetal6s2s_1)
0.22 0.23 6.05 ^ _164_/X (sky130_fd_sc_hd__dlymetal6s2s_1)
5 0.02 _020_ (net)
0.22 0.00 6.05 ^ _205_/A (sky130_fd_sc_hd__dlymetal6s2s_1)
0.18 0.24 6.29 ^ _205_/X (sky130_fd_sc_hd__dlymetal6s2s_1)
5 0.02 _050_ (net)
0.18 0.00 6.29 ^ _213_/A1 (sky130_fd_sc_hd__o21a_1)
0.08 0.19 6.48 ^ _213_/X (sky130_fd_sc_hd__o21a_1)
1 0.01 _057_ (net)
0.08 0.00 6.48 ^ repeater389/A (sky130_fd_sc_hd__clkdlybuf4s15_2)
0.11 0.27 6.75 ^ repeater389/X (sky130_fd_sc_hd__clkdlybuf4s15_2)
1 0.02 net389 (net)
0.11 0.00 6.76 ^ _215_/B1 (sky130_fd_sc_hd__o22a_1)
0.08 0.18 6.94 ^ _215_/X (sky130_fd_sc_hd__o22a_1)
1 0.01 net112 (net)
0.08 0.00 6.94 ^ repeater283/A (sky130_fd_sc_hd__clkdlybuf4s15_2)
0.22 0.35 7.28 ^ repeater283/X (sky130_fd_sc_hd__clkdlybuf4s15_2)
1 0.04 net283 (net)
0.22 0.01 7.29 ^ repeater282/A (sky130_fd_sc_hd__clkbuf_4)
0.12 0.26 7.56 ^ repeater282/X (sky130_fd_sc_hd__clkbuf_4)
2 0.04 net282 (net)
0.12 0.01 7.57 ^ repeater281/A (sky130_fd_sc_hd__buf_4)
0.06 0.16 7.73 ^ repeater281/X (sky130_fd_sc_hd__buf_4)
1 0.02 net281 (net)
0.06 0.00 7.73 ^ repeater280/A (sky130_fd_sc_hd__buf_6)
0.05 0.12 7.85 ^ repeater280/X (sky130_fd_sc_hd__buf_6)
1 0.02 net280 (net)
0.05 0.00 7.85 ^ repeater279/A (sky130_fd_sc_hd__buf_6)
0.05 0.11 7.96 ^ repeater279/X (sky130_fd_sc_hd__buf_6)
2 0.02 net279 (net)
0.05 0.00 7.97 ^ _515_/A (sky130_fd_sc_hd__dlymetal6s2s_1)
0.07 0.11 8.08 ^ _515_/X (sky130_fd_sc_hd__dlymetal6s2s_1)
1 0.00 net145 (net)
0.07 0.00 8.08 ^ repeater176/A (sky130_fd_sc_hd__dlymetal6s2s_1)
0.21 0.22 8.30 ^ repeater176/X (sky130_fd_sc_hd__dlymetal6s2s_1)
1 0.02 net176 (net)
0.21 0.00 8.30 ^ repeater175/A (sky130_fd_sc_hd__clkbuf_4)
0.07 0.22 8.52 ^ repeater175/X (sky130_fd_sc_hd__clkbuf_4)
1 0.02 net175 (net)
0.07 0.00 8.52 ^ output145/A (sky130_fd_sc_hd__clkbuf_4)
0.12 0.21 8.73 ^ output145/X (sky130_fd_sc_hd__clkbuf_4)
1 0.03 wbs_dat_o[6] (net)
0.12 0.00 8.73 ^ wbs_dat_o[6] (out)
8.73 data arrival time
20.00 20.00 clock wb_clk_i (rise edge)
0.00 20.00 clock network delay (propagated)
-0.25 19.75 clock uncertainty
0.00 19.75 clock reconvergence pessimism
-4.00 15.75 output external delay
15.75 data required time
-----------------------------------------------------------------------------
15.75 data required time
-8.73 data arrival time
-----------------------------------------------------------------------------
7.02 slack (MET)
Startpoint: wbs_adr_i[11] (input port clocked by wb_clk_i)
Endpoint: wbs_dat_o[1] (output port clocked by wb_clk_i)
Path Group: wb_clk_i
Path Type: max
Fanout Cap Slew Delay Time Description
-----------------------------------------------------------------------------
0.00 0.00 clock wb_clk_i (rise edge)
0.00 0.00 clock network delay (propagated)
4.00 4.00 v input external delay
0.01 0.01 4.01 v wbs_adr_i[11] (in)
1 0.00 wbs_adr_i[11] (net)
0.01 0.00 4.01 v input7/A (sky130_fd_sc_hd__dlymetal6s2s_1)
0.04 0.13 4.14 v input7/X (sky130_fd_sc_hd__dlymetal6s2s_1)
1 0.00 net7 (net)
0.04 0.00 4.14 v repeater476/A (sky130_fd_sc_hd__dlymetal6s2s_1)
0.10 0.20 4.34 v repeater476/X (sky130_fd_sc_hd__dlymetal6s2s_1)
1 0.02 net476 (net)
0.10 0.00 4.34 v repeater475/A (sky130_fd_sc_hd__clkbuf_4)
0.05 0.19 4.53 v repeater475/X (sky130_fd_sc_hd__clkbuf_4)
1 0.02 net475 (net)
0.05 0.00 4.54 v repeater474/A (sky130_fd_sc_hd__buf_4)
0.04 0.16 4.70 v repeater474/X (sky130_fd_sc_hd__buf_4)
1 0.02 net474 (net)
0.04 0.00 4.70 v repeater473/A (sky130_fd_sc_hd__buf_6)
0.03 0.13 4.83 v repeater473/X (sky130_fd_sc_hd__buf_6)
1 0.02 net473 (net)
0.03 0.00 4.83 v repeater472/A (sky130_fd_sc_hd__buf_6)
0.03 0.12 4.95 v repeater472/X (sky130_fd_sc_hd__buf_6)
1 0.01 net472 (net)
0.03 0.00 4.95 v repeater471/A (sky130_fd_sc_hd__buf_6)
0.05 0.14 5.09 v repeater471/X (sky130_fd_sc_hd__buf_6)
2 0.04 net471 (net)
0.05 0.01 5.10 v repeater470/A (sky130_fd_sc_hd__buf_4)
0.03 0.15 5.24 v repeater470/X (sky130_fd_sc_hd__buf_4)
1 0.01 net470 (net)
0.03 0.00 5.24 v repeater469/A (sky130_fd_sc_hd__buf_4)
0.04 0.15 5.40 v repeater469/X (sky130_fd_sc_hd__buf_4)
2 0.02 net469 (net)
0.04 0.00 5.40 v repeater468/A (sky130_fd_sc_hd__dlymetal6s2s_1)
0.09 0.19 5.59 v repeater468/X (sky130_fd_sc_hd__dlymetal6s2s_1)
4 0.02 net468 (net)
0.09 0.00 5.59 v _159_/A (sky130_fd_sc_hd__inv_2)
0.05 0.08 5.67 ^ _159_/Y (sky130_fd_sc_hd__inv_2)
2 0.01 _016_ (net)
0.05 0.00 5.67 ^ _186_/A (sky130_fd_sc_hd__clkbuf_4)
0.05 0.14 5.81 ^ _186_/X (sky130_fd_sc_hd__clkbuf_4)
2 0.01 _036_ (net)
0.05 0.00 5.81 ^ repeater419/A (sky130_fd_sc_hd__clkdlybuf4s15_2)
0.13 0.27 6.08 ^ repeater419/X (sky130_fd_sc_hd__clkdlybuf4s15_2)
3 0.02 net419 (net)
0.13 0.00 6.08 ^ _187_/A (sky130_fd_sc_hd__clkbuf_4)
0.07 0.19 6.27 ^ _187_/X (sky130_fd_sc_hd__clkbuf_4)
3 0.02 _037_ (net)
0.07 0.00 6.27 ^ repeater416/A (sky130_fd_sc_hd__dlymetal6s2s_1)
0.21 0.22 6.49 ^ repeater416/X (sky130_fd_sc_hd__dlymetal6s2s_1)
1 0.02 net416 (net)
0.21 0.00 6.50 ^ repeater415/A (sky130_fd_sc_hd__clkbuf_4)
0.09 0.24 6.73 ^ repeater415/X (sky130_fd_sc_hd__clkbuf_4)
4 0.03 net415 (net)
0.09 0.00 6.74 ^ _192_/A1 (sky130_fd_sc_hd__a22o_1)
0.17 0.25 6.98 ^ _192_/X (sky130_fd_sc_hd__a22o_1)
1 0.02 _041_ (net)
0.17 0.00 6.98 ^ _193_/B2 (sky130_fd_sc_hd__o22a_1)
0.04 0.15 7.13 ^ _193_/X (sky130_fd_sc_hd__o22a_1)
1 0.00 net63 (net)
0.04 0.00 7.13 ^ repeater309/A (sky130_fd_sc_hd__dlymetal6s2s_1)
0.10 0.13 7.26 ^ repeater309/X (sky130_fd_sc_hd__dlymetal6s2s_1)
1 0.01 net309 (net)
0.10 0.00 7.26 ^ repeater308/A (sky130_fd_sc_hd__clkbuf_4)
0.13 0.22 7.49 ^ repeater308/X (sky130_fd_sc_hd__clkbuf_4)
1 0.04 net308 (net)
0.13 0.01 7.50 ^ repeater307/A (sky130_fd_sc_hd__buf_4)
0.09 0.18 7.68 ^ repeater307/X (sky130_fd_sc_hd__buf_4)
1 0.03 net307 (net)
0.09 0.00 7.69 ^ repeater306/A (sky130_fd_sc_hd__buf_6)
0.05 0.13 7.81 ^ repeater306/X (sky130_fd_sc_hd__buf_6)
1 0.02 net306 (net)
0.05 0.00 7.81 ^ repeater305/A (sky130_fd_sc_hd__buf_6)
0.05 0.11 7.93 ^ repeater305/X (sky130_fd_sc_hd__buf_6)
2 0.02 net305 (net)
0.05 0.00 7.93 ^ repeater304/A (sky130_fd_sc_hd__dlymetal6s2s_1)
0.21 0.22 8.15 ^ repeater304/X (sky130_fd_sc_hd__dlymetal6s2s_1)
1 0.02 net304 (net)
0.21 0.00 8.15 ^ _510_/A (sky130_fd_sc_hd__buf_4)
0.06 0.19 8.33 ^ _510_/X (sky130_fd_sc_hd__buf_4)
1 0.02 net128 (net)
0.06 0.00 8.34 ^ repeater182/A (sky130_fd_sc_hd__clkbuf_4)
0.07 0.17 8.50 ^ repeater182/X (sky130_fd_sc_hd__clkbuf_4)
1 0.02 net182 (net)
0.07 0.00 8.50 ^ output128/A (sky130_fd_sc_hd__clkbuf_4)
0.12 0.21 8.71 ^ output128/X (sky130_fd_sc_hd__clkbuf_4)
1 0.03 wbs_dat_o[1] (net)
0.12 0.00 8.71 ^ wbs_dat_o[1] (out)
8.71 data arrival time
20.00 20.00 clock wb_clk_i (rise edge)
0.00 20.00 clock network delay (propagated)
-0.25 19.75 clock uncertainty
0.00 19.75 clock reconvergence pessimism
-4.00 15.75 output external delay
15.75 data required time
-----------------------------------------------------------------------------
15.75 data required time
-8.71 data arrival time
-----------------------------------------------------------------------------
7.04 slack (MET)
Startpoint: wbs_adr_i[11] (input port clocked by wb_clk_i)
Endpoint: wbs_dat_o[2] (output port clocked by wb_clk_i)
Path Group: wb_clk_i
Path Type: max
Fanout Cap Slew Delay Time Description
-----------------------------------------------------------------------------
0.00 0.00 clock wb_clk_i (rise edge)
0.00 0.00 clock network delay (propagated)
4.00 4.00 v input external delay
0.01 0.01 4.01 v wbs_adr_i[11] (in)
1 0.00 wbs_adr_i[11] (net)
0.01 0.00 4.01 v input7/A (sky130_fd_sc_hd__dlymetal6s2s_1)
0.04 0.13 4.14 v input7/X (sky130_fd_sc_hd__dlymetal6s2s_1)
1 0.00 net7 (net)
0.04 0.00 4.14 v repeater476/A (sky130_fd_sc_hd__dlymetal6s2s_1)
0.10 0.20 4.34 v repeater476/X (sky130_fd_sc_hd__dlymetal6s2s_1)
1 0.02 net476 (net)
0.10 0.00 4.34 v repeater475/A (sky130_fd_sc_hd__clkbuf_4)
0.05 0.19 4.53 v repeater475/X (sky130_fd_sc_hd__clkbuf_4)
1 0.02 net475 (net)
0.05 0.00 4.54 v repeater474/A (sky130_fd_sc_hd__buf_4)
0.04 0.16 4.70 v repeater474/X (sky130_fd_sc_hd__buf_4)
1 0.02 net474 (net)
0.04 0.00 4.70 v repeater473/A (sky130_fd_sc_hd__buf_6)
0.03 0.13 4.83 v repeater473/X (sky130_fd_sc_hd__buf_6)
1 0.02 net473 (net)
0.03 0.00 4.83 v repeater472/A (sky130_fd_sc_hd__buf_6)
0.03 0.12 4.95 v repeater472/X (sky130_fd_sc_hd__buf_6)
1 0.01 net472 (net)
0.03 0.00 4.95 v repeater471/A (sky130_fd_sc_hd__buf_6)
0.05 0.14 5.09 v repeater471/X (sky130_fd_sc_hd__buf_6)
2 0.04 net471 (net)
0.05 0.01 5.10 v repeater470/A (sky130_fd_sc_hd__buf_4)
0.03 0.15 5.24 v repeater470/X (sky130_fd_sc_hd__buf_4)
1 0.01 net470 (net)
0.03 0.00 5.24 v repeater469/A (sky130_fd_sc_hd__buf_4)
0.04 0.15 5.40 v repeater469/X (sky130_fd_sc_hd__buf_4)
2 0.02 net469 (net)
0.04 0.00 5.40 v repeater468/A (sky130_fd_sc_hd__dlymetal6s2s_1)
0.09 0.19 5.59 v repeater468/X (sky130_fd_sc_hd__dlymetal6s2s_1)
4 0.02 net468 (net)
0.09 0.00 5.59 v _159_/A (sky130_fd_sc_hd__inv_2)
0.05 0.08 5.67 ^ _159_/Y (sky130_fd_sc_hd__inv_2)
2 0.01 _016_ (net)
0.05 0.00 5.67 ^ _186_/A (sky130_fd_sc_hd__clkbuf_4)
0.05 0.14 5.81 ^ _186_/X (sky130_fd_sc_hd__clkbuf_4)
2 0.01 _036_ (net)
0.05 0.00 5.81 ^ repeater419/A (sky130_fd_sc_hd__clkdlybuf4s15_2)
0.13 0.27 6.08 ^ repeater419/X (sky130_fd_sc_hd__clkdlybuf4s15_2)
3 0.02 net419 (net)
0.13 0.00 6.08 ^ _187_/A (sky130_fd_sc_hd__clkbuf_4)
0.07 0.19 6.27 ^ _187_/X (sky130_fd_sc_hd__clkbuf_4)
3 0.02 _037_ (net)
0.07 0.00 6.27 ^ repeater416/A (sky130_fd_sc_hd__dlymetal6s2s_1)
0.21 0.22 6.49 ^ repeater416/X (sky130_fd_sc_hd__dlymetal6s2s_1)
1 0.02 net416 (net)
0.21 0.00 6.50 ^ repeater415/A (sky130_fd_sc_hd__clkbuf_4)
0.09 0.24 6.73 ^ repeater415/X (sky130_fd_sc_hd__clkbuf_4)
4 0.03 net415 (net)
0.09 0.00 6.74 ^ _195_/A1 (sky130_fd_sc_hd__a22o_1)
0.16 0.24 6.98 ^ _195_/X (sky130_fd_sc_hd__a22o_1)
1 0.02 _043_ (net)
0.16 0.00 6.98 ^ _196_/B2 (sky130_fd_sc_hd__o22a_1)
0.09 0.19 7.16 ^ _196_/X (sky130_fd_sc_hd__o22a_1)
1 0.01 net74 (net)
0.09 0.00 7.16 ^ repeater303/A (sky130_fd_sc_hd__clkbuf_4)
0.13 0.22 7.38 ^ repeater303/X (sky130_fd_sc_hd__clkbuf_4)
1 0.04 net303 (net)
0.13 0.01 7.39 ^ repeater302/A (sky130_fd_sc_hd__buf_4)
0.09 0.18 7.58 ^ repeater302/X (sky130_fd_sc_hd__buf_4)
1 0.03 net302 (net)
0.09 0.01 7.58 ^ repeater301/A (sky130_fd_sc_hd__buf_6)
0.05 0.13 7.71 ^ repeater301/X (sky130_fd_sc_hd__buf_6)
1 0.02 net301 (net)
0.05 0.00 7.71 ^ repeater300/A (sky130_fd_sc_hd__buf_6)
0.05 0.11 7.83 ^ repeater300/X (sky130_fd_sc_hd__buf_6)
2 0.02 net300 (net)
0.05 0.00 7.83 ^ repeater299/A (sky130_fd_sc_hd__dlymetal6s2s_1)
0.20 0.21 8.04 ^ repeater299/X (sky130_fd_sc_hd__dlymetal6s2s_1)
1 0.02 net299 (net)
0.20 0.00 8.05 ^ _511_/A (sky130_fd_sc_hd__buf_4)
0.06 0.18 8.23 ^ _511_/X (sky130_fd_sc_hd__buf_4)
1 0.02 net139 (net)
0.06 0.00 8.23 ^ repeater181/A (sky130_fd_sc_hd__clkbuf_4)
0.07 0.17 8.40 ^ repeater181/X (sky130_fd_sc_hd__clkbuf_4)
1 0.02 net181 (net)
0.07 0.00 8.40 ^ output139/A (sky130_fd_sc_hd__clkbuf_4)
0.12 0.21 8.61 ^ output139/X (sky130_fd_sc_hd__clkbuf_4)
1 0.03 wbs_dat_o[2] (net)
0.12 0.00 8.61 ^ wbs_dat_o[2] (out)
8.61 data arrival time
20.00 20.00 clock wb_clk_i (rise edge)
0.00 20.00 clock network delay (propagated)
-0.25 19.75 clock uncertainty
0.00 19.75 clock reconvergence pessimism
-4.00 15.75 output external delay
15.75 data required time
-----------------------------------------------------------------------------
15.75 data required time
-8.61 data arrival time
-----------------------------------------------------------------------------
7.14 slack (MET)
max_report_end
check_report
===========================================================================
report_checks -unconstrained
============================================================================
Startpoint: wbs_adr_i[11] (input port clocked by wb_clk_i)
Endpoint: wbs_dat_o[5] (output port clocked by wb_clk_i)
Path Group: wb_clk_i
Path Type: max
Fanout Cap Slew Delay Time Description
-----------------------------------------------------------------------------
0.00 0.00 clock wb_clk_i (rise edge)
0.00 0.00 clock network delay (propagated)
4.00 4.00 v input external delay
0.01 0.01 4.01 v wbs_adr_i[11] (in)
1 0.00 wbs_adr_i[11] (net)
0.01 0.00 4.01 v input7/A (sky130_fd_sc_hd__dlymetal6s2s_1)
0.04 0.13 4.14 v input7/X (sky130_fd_sc_hd__dlymetal6s2s_1)
1 0.00 net7 (net)
0.04 0.00 4.14 v repeater476/A (sky130_fd_sc_hd__dlymetal6s2s_1)
0.10 0.20 4.34 v repeater476/X (sky130_fd_sc_hd__dlymetal6s2s_1)
1 0.02 net476 (net)
0.10 0.00 4.34 v repeater475/A (sky130_fd_sc_hd__clkbuf_4)
0.05 0.19 4.53 v repeater475/X (sky130_fd_sc_hd__clkbuf_4)
1 0.02 net475 (net)
0.05 0.00 4.54 v repeater474/A (sky130_fd_sc_hd__buf_4)
0.04 0.16 4.70 v repeater474/X (sky130_fd_sc_hd__buf_4)
1 0.02 net474 (net)
0.04 0.00 4.70 v repeater473/A (sky130_fd_sc_hd__buf_6)
0.03 0.13 4.83 v repeater473/X (sky130_fd_sc_hd__buf_6)
1 0.02 net473 (net)
0.03 0.00 4.83 v repeater472/A (sky130_fd_sc_hd__buf_6)
0.03 0.12 4.95 v repeater472/X (sky130_fd_sc_hd__buf_6)
1 0.01 net472 (net)
0.03 0.00 4.95 v repeater471/A (sky130_fd_sc_hd__buf_6)
0.05 0.14 5.09 v repeater471/X (sky130_fd_sc_hd__buf_6)
2 0.04 net471 (net)
0.05 0.01 5.10 v repeater470/A (sky130_fd_sc_hd__buf_4)
0.03 0.15 5.24 v repeater470/X (sky130_fd_sc_hd__buf_4)
1 0.01 net470 (net)
0.03 0.00 5.24 v repeater469/A (sky130_fd_sc_hd__buf_4)
0.04 0.15 5.40 v repeater469/X (sky130_fd_sc_hd__buf_4)
2 0.02 net469 (net)
0.04 0.00 5.40 v repeater468/A (sky130_fd_sc_hd__dlymetal6s2s_1)
0.09 0.19 5.59 v repeater468/X (sky130_fd_sc_hd__dlymetal6s2s_1)
4 0.02 net468 (net)
0.09 0.00 5.59 v _159_/A (sky130_fd_sc_hd__inv_2)
0.05 0.08 5.67 ^ _159_/Y (sky130_fd_sc_hd__inv_2)
2 0.01 _016_ (net)
0.05 0.00 5.67 ^ _160_/A (sky130_fd_sc_hd__clkbuf_4)
0.06 0.15 5.82 ^ _160_/X (sky130_fd_sc_hd__clkbuf_4)
3 0.01 _017_ (net)
0.06 0.00 5.82 ^ _164_/A (sky130_fd_sc_hd__dlymetal6s2s_1)
0.22 0.23 6.05 ^ _164_/X (sky130_fd_sc_hd__dlymetal6s2s_1)
5 0.02 _020_ (net)
0.22 0.00 6.05 ^ _205_/A (sky130_fd_sc_hd__dlymetal6s2s_1)
0.18 0.24 6.29 ^ _205_/X (sky130_fd_sc_hd__dlymetal6s2s_1)
5 0.02 _050_ (net)
0.18 0.00 6.29 ^ _208_/A1 (sky130_fd_sc_hd__o21a_1)
0.10 0.20 6.50 ^ _208_/X (sky130_fd_sc_hd__o21a_1)
1 0.01 _053_ (net)
0.10 0.00 6.50 ^ repeater390/A (sky130_fd_sc_hd__clkdlybuf4s15_2)
0.11 0.28 6.77 ^ repeater390/X (sky130_fd_sc_hd__clkdlybuf4s15_2)
1 0.02 net390 (net)
0.11 0.00 6.78 ^ _212_/B1 (sky130_fd_sc_hd__o22a_1)
0.08 0.19 6.96 ^ _212_/X (sky130_fd_sc_hd__o22a_1)
1 0.01 net107 (net)
0.08 0.00 6.96 ^ repeater288/A (sky130_fd_sc_hd__clkdlybuf4s15_2)
0.40 0.46 7.43 ^ repeater288/X (sky130_fd_sc_hd__clkdlybuf4s15_2)
2 0.07 net288 (net)
0.40 0.03 7.46 ^ repeater287/A (sky130_fd_sc_hd__buf_4)
0.04 0.20 7.66 ^ repeater287/X (sky130_fd_sc_hd__buf_4)
1 0.01 net287 (net)
0.04 0.00 7.66 ^ repeater286/A (sky130_fd_sc_hd__buf_6)
0.05 0.11 7.77 ^ repeater286/X (sky130_fd_sc_hd__buf_6)
1 0.02 net286 (net)
0.05 0.00 7.77 ^ repeater285/A (sky130_fd_sc_hd__buf_6)
0.05 0.11 7.88 ^ repeater285/X (sky130_fd_sc_hd__buf_6)
1 0.02 net285 (net)
0.05 0.00 7.89 ^ repeater284/A (sky130_fd_sc_hd__buf_6)
0.06 0.12 8.01 ^ repeater284/X (sky130_fd_sc_hd__buf_6)
4 0.02 net284 (net)
0.06 0.00 8.01 ^ _514_/A (sky130_fd_sc_hd__dlymetal6s2s_1)
0.06 0.11 8.12 ^ _514_/X (sky130_fd_sc_hd__dlymetal6s2s_1)
1 0.00 net144 (net)
0.06 0.00 8.12 ^ repeater178/A (sky130_fd_sc_hd__dlymetal6s2s_1)
0.21 0.22 8.34 ^ repeater178/X (sky130_fd_sc_hd__dlymetal6s2s_1)
1 0.02 net178 (net)
0.21 0.00 8.34 ^ repeater177/A (sky130_fd_sc_hd__clkbuf_4)
0.07 0.22 8.56 ^ repeater177/X (sky130_fd_sc_hd__clkbuf_4)
1 0.02 net177 (net)
0.07 0.00 8.56 ^ output144/A (sky130_fd_sc_hd__clkbuf_4)
0.12 0.21 8.77 ^ output144/X (sky130_fd_sc_hd__clkbuf_4)
1 0.03 wbs_dat_o[5] (net)
0.12 0.00 8.77 ^ wbs_dat_o[5] (out)
8.77 data arrival time
20.00 20.00 clock wb_clk_i (rise edge)
0.00 20.00 clock network delay (propagated)
-0.25 19.75 clock uncertainty
0.00 19.75 clock reconvergence pessimism
-4.00 15.75 output external delay
15.75 data required time
-----------------------------------------------------------------------------
15.75 data required time
-8.77 data arrival time
-----------------------------------------------------------------------------
6.98 slack (MET)
===========================================================================
report_checks --slack_max -0.01
============================================================================
No paths found.
check_report_end
check_slew
===========================================================================
report_check_types -max_slew -max_cap -max_fanout -violators
============================================================================
max fanout
Pin Limit Fanout Slack
---------------------------------------------------------
repeater1393/X 5 9 -4 (VIOLATED)
repeater697/X 5 8 -3 (VIOLATED)
_174_/X 5 6 (VIOLATED)
_209_/X 5 6 (VIOLATED)
repeater1656/X 5 6 (VIOLATED)
repeater1658/X 5 6 (VIOLATED)
repeater1672/X 5 6 (VIOLATED)
repeater1674/X 5 6 (VIOLATED)
repeater1680/X 5 6 (VIOLATED)
repeater1682/X 5 6 (VIOLATED)
repeater1684/X 5 6 (VIOLATED)
repeater1704/X 5 6 (VIOLATED)
repeater532/X 5 6 (VIOLATED)
repeater546/X 5 6 (VIOLATED)
repeater634/X 5 6 (VIOLATED)
repeater664/X 5 6 (VIOLATED)
repeater749/X 5 6 (VIOLATED)
repeater878/X 5 6 (VIOLATED)
repeater990/X 5 6 (VIOLATED)
repeater997/X 5 6 (VIOLATED)
===========================================================================
max slew violation count 0
max fanout violation count 20
max cap violation count 0
============================================================================
check_slew_end
tns_report
===========================================================================
report_tns
============================================================================
tns 0.00
tns_report_end
wns_report
===========================================================================
report_wns
============================================================================
wns 0.00
wns_report_end
worst_slack
===========================================================================
report_worst_slack -max (Setup)
============================================================================
worst slack 6.98
===========================================================================
report_worst_slack -min (Hold)
============================================================================
worst slack 2.60
worst_slack_end
clock_skew
===========================================================================
report_clock_skew
============================================================================
Clock wb_clk_i
Latency CRPR Skew
_313_/CLK ^
8.42
_313_/CLK ^
2.46 0.00 5.96
clock_skew_end
power_report
===========================================================================
report_power
============================================================================
Group Internal Switching Leakage Total
Power Power Power Power (Watts)
----------------------------------------------------------------
Sequential 2.06e-06 2.93e-08 8.44e-12 2.09e-06 0.2%
Combinational 3.83e-04 5.14e-04 2.49e-08 8.96e-04 99.8%
Macro 0.00e+00 0.00e+00 0.00e+00 0.00e+00 0.0%
Pad 0.00e+00 0.00e+00 0.00e+00 0.00e+00 0.0%
----------------------------------------------------------------
Total 3.85e-04 5.14e-04 2.49e-08 8.98e-04 100.0%
42.8% 57.2% 0.0%
power_report_end
area_report
===========================================================================
report_design_area
============================================================================
Design area 1333072 u^2 13% utilization.
area_report_end