Update database
diff --git a/.github/workflows/user_project_ci.yml b/.github/workflows/user_project_ci.yml
index 8fef4e9..b09bda5 100644
--- a/.github/workflows/user_project_ci.yml
+++ b/.github/workflows/user_project_ci.yml
@@ -136,6 +136,14 @@
run: |
make verify-nec_ir_receiver-rtl
+ - name: Run DV RTL test -> step_motor_controller
+ run: |
+ make verify-step_motor_controller-rtl
+
+ - name: Run DV RTL test -> string_led_controller_tb
+ run: |
+ make verify-string_led_controller_tb-rtl
+
- name: Run DV GL test -> pseudorandom
run: |
make verify-pseudorandom-gl
@@ -144,3 +152,11 @@
run: |
make verify-nec_ir_receiver-gl
+ - name: Run DV GL test -> step_motor_controller
+ run: |
+ make verify-step_motor_controller-gl
+
+ - name: Run DV GL test -> string_led_controller_tb
+ run: |
+ make verify-string_led_controller_tb-gl
+
diff --git a/def/user_proj_example.def.gz b/def/user_proj_example.def.gz
index 29cf7b4..10ab8fc 100644
--- a/def/user_proj_example.def.gz
+++ b/def/user_proj_example.def.gz
Binary files differ
diff --git a/def/user_project_wrapper.def.gz b/def/user_project_wrapper.def.gz
index 267d414..8856bcb 100644
--- a/def/user_project_wrapper.def.gz
+++ b/def/user_project_wrapper.def.gz
Binary files differ
diff --git a/gds/user_proj_example.gds.gz b/gds/user_proj_example.gds.gz
index 1623105..7268090 100644
--- a/gds/user_proj_example.gds.gz
+++ b/gds/user_proj_example.gds.gz
Binary files differ
diff --git a/gds/user_project_wrapper.gds.gz b/gds/user_project_wrapper.gds.gz
index bb1722e..e24afac 100644
--- a/gds/user_project_wrapper.gds.gz
+++ b/gds/user_project_wrapper.gds.gz
Binary files differ
diff --git a/lef/user_proj_example.lef.gz b/lef/user_proj_example.lef.gz
index b8b28bd..c0174a8 100644
--- a/lef/user_proj_example.lef.gz
+++ b/lef/user_proj_example.lef.gz
Binary files differ
diff --git a/lef/user_project_wrapper.lef.gz b/lef/user_project_wrapper.lef.gz
index bcdc9c4..776b625 100644
--- a/lef/user_project_wrapper.lef.gz
+++ b/lef/user_project_wrapper.lef.gz
Binary files differ
diff --git a/mag/user_proj_example.mag.gz b/mag/user_proj_example.mag.gz
index 690a166..23843fa 100644
--- a/mag/user_proj_example.mag.gz
+++ b/mag/user_proj_example.mag.gz
Binary files differ
diff --git a/mag/user_project_wrapper.mag.gz b/mag/user_project_wrapper.mag.gz
index fbe27e9..b55f6bd 100644
--- a/mag/user_project_wrapper.mag.gz
+++ b/mag/user_project_wrapper.mag.gz
Binary files differ
diff --git a/maglef/user_proj_example.mag.gz b/maglef/user_proj_example.mag.gz
index 1894ee4..ff7821b 100644
--- a/maglef/user_proj_example.mag.gz
+++ b/maglef/user_proj_example.mag.gz
Binary files differ
diff --git a/maglef/user_project_wrapper.mag.gz b/maglef/user_project_wrapper.mag.gz
index d95693e..581b6ef 100644
--- a/maglef/user_project_wrapper.mag.gz
+++ b/maglef/user_project_wrapper.mag.gz
Binary files differ
diff --git a/openlane/user_proj_example/config.json b/openlane/user_proj_example/config.json
index c3de8af..98ba924 100644
--- a/openlane/user_proj_example/config.json
+++ b/openlane/user_proj_example/config.json
@@ -2,20 +2,20 @@
"PDK" : "sky130A",
"STD_CELL_LIBRARY" : "sky130_fd_sc_hd",
"CARAVEL_ROOT" : "../../caravel",
- "CLOCK_NET" : "counter.clk",
+ "CLOCK_NET" : "wb_clk_i",
"CLOCK_PERIOD" : "10",
"CLOCK_PORT" : "wb_clk_i",
"DESIGN_IS_CORE" : "0",
"DESIGN_NAME" : "user_proj_example",
- "DIE_AREA" : "0 0 900 600",
+ "DIE_AREA" : "0 0 1000 1000",
"DIODE_INSERTION_STRATEGY" : "4",
"FP_PIN_ORDER_CFG" : "pin_order.cfg",
"FP_SIZING" : "absolute",
"GLB_RT_MAXLAYER" : "5",
"GND_NETS" : "vssd1",
"PL_BASIC_PLACEMENT" : "1",
- "PL_TARGET_DENSITY" : "0.05",
+ "PL_TARGET_DENSITY" : "0.30",
"RUN_CVC" : "1",
"VDD_NETS" : "vccd1",
- "VERILOG_FILES" : ["../../caravel/verilog/rtl/defines.v", "../../verilog/rtl/user_proj_example.v"]
+ "VERILOG_FILES" : ["../../caravel/verilog/rtl/defines.v", "../../verilog/rtl/user_proj_example.v", "../../verilog/rtl/wishbone_1mst_to_4slv.v", "../../verilog/rtl/prescaler.v", "../../verilog/rtl/simple_fifo.v", "../../verilog/rtl/nec_ir_receiver.v", "../../verilog/rtl/pseudorandom.v", "../../verilog/rtl/step_motor_controller.v", "../../verilog/rtl/string_led_controller.v", "../../verilog/rtl/generic_sram_1rw1r.v", "../../verilog/rtl/inferred_sram_1rw1r.v"]
}
diff --git a/openlane/user_proj_example/config.tcl b/openlane/user_proj_example/config.tcl
index b743da4..49ff64f 100755
--- a/openlane/user_proj_example/config.tcl
+++ b/openlane/user_proj_example/config.tcl
@@ -40,15 +40,19 @@
set ::env(CLOCK_PERIOD) "10"
set ::env(FP_SIZING) absolute
-set ::env(DIE_AREA) "0 0 1000 1000"
+set ::env(DIE_AREA) "0 0 1200 1400"
set ::env(FP_PIN_ORDER_CFG) $script_dir/pin_order.cfg
set ::env(PL_BASIC_PLACEMENT) 0
-set ::env(PL_TARGET_DENSITY) 0.30
+set ::env(PL_TARGET_DENSITY) 0.32
#set ::env(SYNTH_USE_PG_PINS_DEFINES) "USE_POWER_PINS"
+#set ::env(PL_TIME_DRIVEN) 1
+set ::env(PL_RESIZER_HOLD_SLACK_MARGIN) 0.25
+set ::env(GLB_RESIZER_HOLD_SLACK_MARGIN) 0.25
+
# Maximum layer used for routing is metal 4.
# This is because this macro will be inserted in a top level (user_project_wrapper)
# where the PDN is planned on metal 5. So, to avoid having shorts between routes
@@ -61,6 +65,7 @@
set ::env(VDD_NETS) [list {vccd1}]
set ::env(GND_NETS) [list {vssd1}]
-set ::env(DIODE_INSERTION_STRATEGY) 4
+set ::env(DIODE_INSERTION_STRATEGY) 4
+
# If you're going to use multiple power domains, then disable cvc run.
set ::env(RUN_CVC) 1
diff --git a/openlane/user_project_wrapper/config.json b/openlane/user_project_wrapper/config.json
index d83d5bb..4ccd0d4 100644
--- a/openlane/user_project_wrapper/config.json
+++ b/openlane/user_project_wrapper/config.json
@@ -2,9 +2,9 @@
"PDK" : "sky130A",
"STD_CELL_LIBRARY" : "sky130_fd_sc_hd",
"CARAVEL_ROOT" : "../../caravel",
- "CLOCK_NET" : "mprj.clk",
+ "CLOCK_NET" : "wb_clk_i",
"CLOCK_PERIOD" : "10",
- "CLOCK_PORT" : "user_clock2",
+ "CLOCK_PORT" : "wb_clk_i",
"CLOCK_TREE_SYNTH" : "0",
"DESIGN_NAME" : "user_project_wrapper",
"DIE_AREA" : "0 0 2920 3520",
diff --git a/openlane/user_project_wrapper/config.tcl b/openlane/user_project_wrapper/config.tcl
index dcdbbf7..a5052af 100755
--- a/openlane/user_project_wrapper/config.tcl
+++ b/openlane/user_project_wrapper/config.tcl
@@ -39,7 +39,7 @@
## Clock configurations
set ::env(CLOCK_PORT) "wb_clk_i"
-set ::env(CLOCK_NET) "mprj.wb_clk_i"
+set ::env(CLOCK_NET) "wb_clk_i"
set ::env(CLOCK_PERIOD) "10"
diff --git a/openlane/user_project_wrapper/macro.cfg b/openlane/user_project_wrapper/macro.cfg
index a7365ab..fbe0b43 100644
--- a/openlane/user_project_wrapper/macro.cfg
+++ b/openlane/user_project_wrapper/macro.cfg
@@ -1 +1 @@
-mprj 1175 1690 N
+mprj 850 500 N
diff --git a/sdc/user_proj_example.sdc b/sdc/user_proj_example.sdc
index 94595e2..58b9e81 100644
--- a/sdc/user_proj_example.sdc
+++ b/sdc/user_proj_example.sdc
@@ -1,6 +1,6 @@
###############################################################################
# Created by write_sdc
-# Fri Mar 18 19:40:27 2022
+# Sat Mar 19 04:02:20 2022
###############################################################################
current_design user_proj_example
###############################################################################
diff --git a/sdc/user_project_wrapper.sdc b/sdc/user_project_wrapper.sdc
index 9edf1a3..ecaa0f1 100644
--- a/sdc/user_project_wrapper.sdc
+++ b/sdc/user_project_wrapper.sdc
@@ -1,6 +1,6 @@
###############################################################################
# Created by write_sdc
-# Fri Mar 18 19:53:19 2022
+# Sat Mar 19 04:26:30 2022
###############################################################################
current_design user_project_wrapper
###############################################################################
diff --git a/sdf/user_proj_example.sdf.gz b/sdf/user_proj_example.sdf.gz
index 20737a6..2055aec 100644
--- a/sdf/user_proj_example.sdf.gz
+++ b/sdf/user_proj_example.sdf.gz
Binary files differ
diff --git a/sdf/user_project_wrapper.sdf.gz b/sdf/user_project_wrapper.sdf.gz
index c72f763..29c1eac 100644
--- a/sdf/user_project_wrapper.sdf.gz
+++ b/sdf/user_project_wrapper.sdf.gz
Binary files differ
diff --git a/signoff/user_proj_example/final_summary_report.csv b/signoff/user_proj_example/final_summary_report.csv
index 595f1a1..a17ff99 100644
--- a/signoff/user_proj_example/final_summary_report.csv
+++ b/signoff/user_proj_example/final_summary_report.csv
@@ -1,2 +1,2 @@
,design,design_name,config,flow_status,total_runtime,routed_runtime,(Cell/mm^2)/Core_Util,DIEAREA_mm^2,CellPer_mm^2,OpenDP_Util,Peak_Memory_Usage_MB,cell_count,tritonRoute_violations,Short_violations,MetSpc_violations,OffGrid_violations,MinHole_violations,Other_violations,Magic_violations,antenna_violations,lvs_total_errors,cvc_total_errors,klayout_violations,wire_length,vias,wns,pl_wns,optimized_wns,fastroute_wns,spef_wns,tns,pl_tns,optimized_tns,fastroute_tns,spef_tns,HPWL,routing_layer1_pct,routing_layer2_pct,routing_layer3_pct,routing_layer4_pct,routing_layer5_pct,routing_layer6_pct,wires_count,wire_bits,public_wires_count,public_wire_bits,memories_count,memory_bits,processes_count,cells_pre_abc,AND,DFF,NAND,NOR,OR,XOR,XNOR,MUX,inputs,outputs,level,EndCaps,TapCells,Diodes,Total_Physical_Cells,suggested_clock_frequency,suggested_clock_period,CLOCK_PERIOD,SYNTH_STRATEGY,SYNTH_MAX_FANOUT,FP_CORE_UTIL,FP_ASPECT_RATIO,FP_PDN_VPITCH,FP_PDN_HPITCH,PL_TARGET_DENSITY,GLB_RT_ADJUSTMENT,STD_CELL_LIBRARY,CELL_PAD,DIODE_INSERTION_STRATEGY
-0,/home/osboxes/caravel/EfablessMpw5/ChristmasTreeController/openlane/user_proj_example,user_proj_example,user_proj_example,flow completed,0h13m39s0ms,0h5m36s0ms,16724.0,1.0,8362.0,9.11,3947.46,8362,0,0,0,0,0,0,0,10,0,0,-1,363233,65227,0.0,0.0,-1,0.0,0.0,0.0,0.0,-1,0.0,0.0,276982645.0,0.0,14.59,11.83,1.35,0.76,-1,4720,8834,461,4568,0,0,0,5865,187,110,192,169,653,386,199,922,1513,2120,26,718,13718,0,14436,100.0,10.0,10,AREA 0,5,50,1,153.6,153.18,0.3,0.3,sky130_fd_sc_hd,4,4
+0,/home/osboxes/caravel/EfablessMpw5/ChristmasTreeController/openlane/user_proj_example,user_proj_example,user_proj_example,flow completed,0h21m43s0ms,0h8m11s0ms,11360.714285714286,1.68,5680.357142857143,6.25,6003.52,9543,0,0,0,0,0,0,0,10,0,0,-1,433976,75803,0.0,0.0,-1,0.0,0.0,0.0,0.0,-1,0.0,0.0,333586110.0,0.0,8.44,9.49,0.52,2.52,-1,5064,9617,473,4843,0,0,0,6632,193,441,143,258,607,491,204,919,1836,2656,21,1012,23368,0,24380,100.0,10.0,10,AREA 0,5,50,1,153.6,153.18,0.32,0.3,sky130_fd_sc_hd,4,4
diff --git a/signoff/user_project_wrapper/final_summary_report.csv b/signoff/user_project_wrapper/final_summary_report.csv
index 763becb..d19510b 100644
--- a/signoff/user_project_wrapper/final_summary_report.csv
+++ b/signoff/user_project_wrapper/final_summary_report.csv
@@ -1,2 +1,2 @@
,design,design_name,config,flow_status,total_runtime,routed_runtime,(Cell/mm^2)/Core_Util,DIEAREA_mm^2,CellPer_mm^2,OpenDP_Util,Peak_Memory_Usage_MB,cell_count,tritonRoute_violations,Short_violations,MetSpc_violations,OffGrid_violations,MinHole_violations,Other_violations,Magic_violations,antenna_violations,lvs_total_errors,cvc_total_errors,klayout_violations,wire_length,vias,wns,pl_wns,optimized_wns,fastroute_wns,spef_wns,tns,pl_tns,optimized_tns,fastroute_tns,spef_tns,HPWL,routing_layer1_pct,routing_layer2_pct,routing_layer3_pct,routing_layer4_pct,routing_layer5_pct,routing_layer6_pct,wires_count,wire_bits,public_wires_count,public_wire_bits,memories_count,memory_bits,processes_count,cells_pre_abc,AND,DFF,NAND,NOR,OR,XOR,XNOR,MUX,inputs,outputs,level,EndCaps,TapCells,Diodes,Total_Physical_Cells,suggested_clock_frequency,suggested_clock_period,CLOCK_PERIOD,SYNTH_STRATEGY,SYNTH_MAX_FANOUT,FP_CORE_UTIL,FP_ASPECT_RATIO,FP_PDN_VPITCH,FP_PDN_HPITCH,PL_TARGET_DENSITY,GLB_RT_ADJUSTMENT,STD_CELL_LIBRARY,CELL_PAD,DIODE_INSERTION_STRATEGY
-0,/home/osboxes/caravel/EfablessMpw5/ChristmasTreeController/openlane/user_project_wrapper,user_project_wrapper,user_project_wrapper,flow completed,0h9m23s0ms,0h2m53s0ms,-2.0,-1,-1,-1,477.55,1,0,0,0,0,0,0,0,0,0,-1,-1,1385951,1937,0.0,-1,-1,0.0,0.0,0.0,-1,-1,0.0,0.0,-1,0.0,2.04,6.88,0.4,0.44,-1,27,645,27,645,0,0,0,1,0,0,0,0,0,0,0,0,-1,-1,-1,0,0,0,0,100.0,10.0,10,AREA 0,5,50,1,180,180,0.55,0.3,sky130_fd_sc_hd,4,0
+0,/home/osboxes/caravel/EfablessMpw5/ChristmasTreeController/openlane/user_project_wrapper,user_project_wrapper,user_project_wrapper,flow completed,0h13m42s0ms,0h2m7s0ms,-2.0,-1,-1,-1,477.06,1,0,0,0,0,0,0,0,0,0,-1,-1,764501,1719,0.0,-1,-1,0.0,0.0,0.0,-1,-1,0.0,0.0,-1,0.0,2.14,2.77,0.19,0.23,-1,27,645,27,645,0,0,0,1,0,0,0,0,0,0,0,0,-1,-1,-1,0,0,0,0,100.0,10.0,10,AREA 0,5,50,1,180,180,0.55,0.3,sky130_fd_sc_hd,4,0
diff --git a/spef/user_proj_example.spef.gz b/spef/user_proj_example.spef.gz
index 804b273..1c63f21 100644
--- a/spef/user_proj_example.spef.gz
+++ b/spef/user_proj_example.spef.gz
Binary files differ
diff --git a/spef/user_project_wrapper.spef.gz b/spef/user_project_wrapper.spef.gz
index cef6115..b96bbc5 100644
--- a/spef/user_project_wrapper.spef.gz
+++ b/spef/user_project_wrapper.spef.gz
Binary files differ
diff --git a/spi/lvs/user_proj_example.spice.gz b/spi/lvs/user_proj_example.spice.gz
index 77b6439..6778daa 100644
--- a/spi/lvs/user_proj_example.spice.gz
+++ b/spi/lvs/user_proj_example.spice.gz
Binary files differ
diff --git a/spi/lvs/user_project_wrapper.spice.gz b/spi/lvs/user_project_wrapper.spice.gz
index 76db3dc..d2ff3fb 100644
--- a/spi/lvs/user_project_wrapper.spice.gz
+++ b/spi/lvs/user_project_wrapper.spice.gz
Binary files differ
diff --git a/verilog/dv/Makefile b/verilog/dv/Makefile
index 5927800..fe7ff9f 100644
--- a/verilog/dv/Makefile
+++ b/verilog/dv/Makefile
@@ -20,7 +20,7 @@
.SILENT: clean all
-PATTERNS = pseudorandom nec_ir_receiver
+PATTERNS = pseudorandom nec_ir_receiver string_led_controller step_motor_controller
all: ${PATTERNS}
diff --git a/verilog/dv/nec_ir_receiver/nec_ir_receiver.c b/verilog/dv/nec_ir_receiver/nec_ir_receiver.c
index e8e17ef..51c682a 100644
--- a/verilog/dv/nec_ir_receiver/nec_ir_receiver.c
+++ b/verilog/dv/nec_ir_receiver/nec_ir_receiver.c
@@ -1,5 +1,5 @@
/*
- * SPDX-FileCopyrightText: 2020 Efabless Corporation
+ * SPDX-FileCopyrightText: 2022 , Julien OURY
*
* Licensed under the Apache License, Version 2.0 (the "License");
* you may not use this file except in compliance with the License.
@@ -13,6 +13,7 @@
* See the License for the specific language governing permissions and
* limitations under the License.
* SPDX-License-Identifier: Apache-2.0
+ * SPDX-FileContributor: Created by Julien OURY <julien.oury@outlook.fr>
*/
// This include is relative to $CARAVEL_PATH (see Makefile)
@@ -20,97 +21,75 @@
#include <stub.c>
/*
- Wishbone Test:
- - Configures MPRJ lower 8-IO pins as outputs
- - Checks counter value through the wishbone port
+ NEC IR receiver Test:
+ - Configure IR receiver
+ - Wait data from IR receiver
*/
#define reg_mprj_ir_cmd (*(volatile uint32_t*)0x30000000)
#define reg_mprj_ir_multiplier (*(volatile uint32_t*)0x30000004)
-#define reg_mprj_ir_divider (*(volatile uint32_t*)0x30000008)
-#define reg_mprj_ir_data (*(volatile uint32_t*)0x3000000C)
+#define reg_mprj_ir_divider (*(volatile uint32_t*)0x30000008)
+#define reg_mprj_ir_data (*(volatile uint32_t*)0x3000000C)
-void main()
-{
+void main() {
- /*
- IO Control Registers
- | DM | VTRIP | SLOW | AN_POL | AN_SEL | AN_EN | MOD_SEL | INP_DIS | HOLDH | OEB_N | MGMT_EN |
- | 3-bits | 1-bit | 1-bit | 1-bit | 1-bit | 1-bit | 1-bit | 1-bit | 1-bit | 1-bit | 1-bit |
- Output: 0000_0110_0000_1110 (0x1808) = GPIO_MODE_USER_STD_OUTPUT
- | DM | VTRIP | SLOW | AN_POL | AN_SEL | AN_EN | MOD_SEL | INP_DIS | HOLDH | OEB_N | MGMT_EN |
- | 110 | 0 | 0 | 0 | 0 | 0 | 0 | 1 | 0 | 0 | 0 |
-
-
- Input: 0000_0001_0000_1111 (0x0402) = GPIO_MODE_USER_STD_INPUT_NOPULL
- | DM | VTRIP | SLOW | AN_POL | AN_SEL | AN_EN | MOD_SEL | INP_DIS | HOLDH | OEB_N | MGMT_EN |
- | 001 | 0 | 0 | 0 | 0 | 0 | 0 | 0 | 0 | 1 | 0 |
- */
+ // Enable WishBone bus
+ reg_wb_enable = 1;
- /* Set up the housekeeping SPI to be connected internally so */
- /* that external pin changes don't affect it. */
+ // I/Os is used by software
+ reg_mprj_io_31 = GPIO_MODE_MGMT_STD_OUTPUT;
+ reg_mprj_io_30 = GPIO_MODE_MGMT_STD_OUTPUT;
+ reg_mprj_io_29 = GPIO_MODE_MGMT_STD_OUTPUT;
+ reg_mprj_io_28 = GPIO_MODE_MGMT_STD_OUTPUT;
+ reg_mprj_io_27 = GPIO_MODE_MGMT_STD_OUTPUT;
+ reg_mprj_io_26 = GPIO_MODE_MGMT_STD_OUTPUT;
+ reg_mprj_io_25 = GPIO_MODE_MGMT_STD_OUTPUT;
+ reg_mprj_io_24 = GPIO_MODE_MGMT_STD_OUTPUT;
+ reg_mprj_io_23 = GPIO_MODE_MGMT_STD_OUTPUT;
+ reg_mprj_io_22 = GPIO_MODE_MGMT_STD_OUTPUT;
+ reg_mprj_io_21 = GPIO_MODE_MGMT_STD_OUTPUT;
+ reg_mprj_io_20 = GPIO_MODE_MGMT_STD_OUTPUT;
+ reg_mprj_io_19 = GPIO_MODE_MGMT_STD_OUTPUT;
+ reg_mprj_io_18 = GPIO_MODE_MGMT_STD_OUTPUT;
+ reg_mprj_io_17 = GPIO_MODE_MGMT_STD_OUTPUT;
+ reg_mprj_io_16 = GPIO_MODE_MGMT_STD_OUTPUT;
+ reg_mprj_io_15 = GPIO_MODE_MGMT_STD_OUTPUT;
+ reg_mprj_io_14 = GPIO_MODE_MGMT_STD_OUTPUT;
+ reg_mprj_io_13 = GPIO_MODE_MGMT_STD_OUTPUT;
+ reg_mprj_io_12 = GPIO_MODE_MGMT_STD_OUTPUT;
+ reg_mprj_io_11 = GPIO_MODE_MGMT_STD_OUTPUT;
+ reg_mprj_io_10 = GPIO_MODE_MGMT_STD_OUTPUT;
+ reg_mprj_io_9 = GPIO_MODE_MGMT_STD_OUTPUT;
+ reg_mprj_io_8 = GPIO_MODE_MGMT_STD_OUTPUT;
+ reg_mprj_io_7 = GPIO_MODE_MGMT_STD_OUTPUT;
+ reg_mprj_io_6 = GPIO_MODE_MGMT_STD_OUTPUT;
+ reg_mprj_io_5 = GPIO_MODE_MGMT_STD_OUTPUT;
+ reg_mprj_io_4 = GPIO_MODE_MGMT_STD_OUTPUT;
+ reg_mprj_io_3 = GPIO_MODE_MGMT_STD_OUTPUT;
+ reg_mprj_io_2 = GPIO_MODE_MGMT_STD_OUTPUT;
+ reg_mprj_io_1 = GPIO_MODE_MGMT_STD_OUTPUT;
+ reg_mprj_io_0 = GPIO_MODE_MGMT_STD_OUTPUT;
- reg_spi_enable = 1;
- reg_wb_enable = 1;
- // reg_spimaster_config = 0xa002; // Enable, prescaler = 2,
- // connect to housekeeping SPI
+ // Apply configuration
+ reg_mprj_xfer = 1;
+ while (reg_mprj_xfer == 1);
- // Connect the housekeeping SPI to the SPI master
- // so that the CSB line is not left floating. This allows
- // all of the GPIO pins to be used for user functions.
+ // Configuration of IR receiver
+ // - Protocol tick period divided by 10 for simulation speed-up
+ reg_mprj_ir_multiplier = 0x00000064;
+ reg_mprj_ir_divider = 0x00006DDD;
+ reg_mprj_ir_cmd = 0x94000000;
- reg_mprj_io_31 = GPIO_MODE_MGMT_STD_OUTPUT;
- reg_mprj_io_30 = GPIO_MODE_MGMT_STD_OUTPUT;
- reg_mprj_io_29 = GPIO_MODE_MGMT_STD_OUTPUT;
- reg_mprj_io_28 = GPIO_MODE_MGMT_STD_OUTPUT;
- reg_mprj_io_27 = GPIO_MODE_MGMT_STD_OUTPUT;
- reg_mprj_io_26 = GPIO_MODE_MGMT_STD_OUTPUT;
- reg_mprj_io_25 = GPIO_MODE_MGMT_STD_OUTPUT;
- reg_mprj_io_24 = GPIO_MODE_MGMT_STD_OUTPUT;
- reg_mprj_io_23 = GPIO_MODE_MGMT_STD_OUTPUT;
- reg_mprj_io_22 = GPIO_MODE_MGMT_STD_OUTPUT;
- reg_mprj_io_21 = GPIO_MODE_MGMT_STD_OUTPUT;
- reg_mprj_io_20 = GPIO_MODE_MGMT_STD_OUTPUT;
- reg_mprj_io_19 = GPIO_MODE_MGMT_STD_OUTPUT;
- reg_mprj_io_18 = GPIO_MODE_MGMT_STD_OUTPUT;
- reg_mprj_io_17 = GPIO_MODE_MGMT_STD_OUTPUT;
- reg_mprj_io_16 = GPIO_MODE_MGMT_STD_OUTPUT;
- reg_mprj_io_15 = GPIO_MODE_MGMT_STD_OUTPUT;
- reg_mprj_io_14 = GPIO_MODE_MGMT_STD_OUTPUT;
- reg_mprj_io_13 = GPIO_MODE_MGMT_STD_OUTPUT;
- reg_mprj_io_12 = GPIO_MODE_MGMT_STD_OUTPUT;
- reg_mprj_io_11 = GPIO_MODE_MGMT_STD_OUTPUT;
- reg_mprj_io_10 = GPIO_MODE_MGMT_STD_OUTPUT;
- reg_mprj_io_9 = GPIO_MODE_MGMT_STD_OUTPUT;
- reg_mprj_io_8 = GPIO_MODE_MGMT_STD_OUTPUT;
- reg_mprj_io_7 = GPIO_MODE_MGMT_STD_OUTPUT;
- reg_mprj_io_6 = GPIO_MODE_MGMT_STD_OUTPUT;
- reg_mprj_io_5 = GPIO_MODE_MGMT_STD_OUTPUT;
- reg_mprj_io_4 = GPIO_MODE_MGMT_STD_OUTPUT;
- reg_mprj_io_3 = GPIO_MODE_MGMT_STD_OUTPUT;
- reg_mprj_io_2 = GPIO_MODE_MGMT_STD_OUTPUT;
- reg_mprj_io_1 = GPIO_MODE_MGMT_STD_OUTPUT;
- reg_mprj_io_0 = GPIO_MODE_MGMT_STD_OUTPUT;
-
- /* Config IR receiver */
- // 70 312,5ns / 25ns
- reg_mprj_ir_multiplier = 0x00000064; //Protocol tick period divided by 10 for simulation speed-up
- reg_mprj_ir_divider = 0x00006DDD;
- reg_mprj_ir_cmd = 0x94000000;
+ // Flag start of the test
+ reg_mprj_datal = 0xAB600000;
- /* Apply configuration */
- reg_mprj_xfer = 1;
- while (reg_mprj_xfer == 1);
-
- reg_la2_oenb = reg_la2_iena = 0x00000000; // [95:64]
-
- // Flag start of the test
- reg_mprj_datal = 0xAB600000;
-
+ // Wait data from IR receiver
int ir_data;
do {
ir_data = reg_mprj_ir_data;
} while ((ir_data & 0x80000000) != 0x80000000 );
+
+ //Flage end of the test (and provide IR received data)
reg_mprj_datal = 0xAB610000 | (ir_data & 0x0000FFFF) ;
}
diff --git a/verilog/dv/nec_ir_receiver/nec_ir_receiver_tb.v b/verilog/dv/nec_ir_receiver/nec_ir_receiver_tb.v
index 0663330..b680683 100644
--- a/verilog/dv/nec_ir_receiver/nec_ir_receiver_tb.v
+++ b/verilog/dv/nec_ir_receiver/nec_ir_receiver_tb.v
@@ -1,5 +1,6 @@
-// SPDX-FileCopyrightText: 2020 Efabless Corporation
-//
+////////////////////////////////////////////////////////////////////////////
+// SPDX-FileCopyrightText: 2022 , Julien OURY
+//
// Licensed under the Apache License, Version 2.0 (the "License");
// you may not use this file except in compliance with the License.
// You may obtain a copy of the License at
@@ -12,6 +13,9 @@
// See the License for the specific language governing permissions and
// limitations under the License.
// SPDX-License-Identifier: Apache-2.0
+// SPDX-FileContributor: Created by Julien OURY <julien.oury@outlook.fr>
+//
+////////////////////////////////////////////////////////////////////////////
`default_nettype none
diff --git a/verilog/dv/pseudorandom/pseudorandom.c b/verilog/dv/pseudorandom/pseudorandom.c
index 6b82d38..1b4eac8 100644
--- a/verilog/dv/pseudorandom/pseudorandom.c
+++ b/verilog/dv/pseudorandom/pseudorandom.c
@@ -1,5 +1,5 @@
/*
- * SPDX-FileCopyrightText: 2020 Efabless Corporation
+ * SPDX-FileCopyrightText: 2022 , Julien OURY
*
* Licensed under the Apache License, Version 2.0 (the "License");
* you may not use this file except in compliance with the License.
@@ -13,6 +13,7 @@
* See the License for the specific language governing permissions and
* limitations under the License.
* SPDX-License-Identifier: Apache-2.0
+ * SPDX-FileContributor: Created by Julien OURY <julien.oury@outlook.fr>
*/
// This include is relative to $CARAVEL_PATH (see Makefile)
@@ -20,86 +21,58 @@
#include <stub.c>
/*
- Wishbone Test:
- - Configures MPRJ lower 8-IO pins as outputs
- - Checks counter value through the wishbone port
+ PseudoRandom generator Test:
+ - Read 32 randoms values
+ - Check that each value is non-equal to 0x00000000
+ - Check that each value is non-equal to 0xFFFFFFFF
+ - Check that each value is non-equal to the previous value
*/
-#define reg_mprj_ir_cmd (*(volatile uint32_t*)0x30000000)
-#define reg_mprj_ir_multiplier (*(volatile uint32_t*)0x30000004)
-#define reg_mprj_ir_divider (*(volatile uint32_t*)0x30000008)
-#define reg_mprj_ir_data (*(volatile uint32_t*)0x3000000C)
-
#define reg_mprj_rand (*(volatile uint32_t*)0x30010000)
-void main()
-{
+void main() {
- /*
- IO Control Registers
- | DM | VTRIP | SLOW | AN_POL | AN_SEL | AN_EN | MOD_SEL | INP_DIS | HOLDH | OEB_N | MGMT_EN |
- | 3-bits | 1-bit | 1-bit | 1-bit | 1-bit | 1-bit | 1-bit | 1-bit | 1-bit | 1-bit | 1-bit |
- Output: 0000_0110_0000_1110 (0x1808) = GPIO_MODE_USER_STD_OUTPUT
- | DM | VTRIP | SLOW | AN_POL | AN_SEL | AN_EN | MOD_SEL | INP_DIS | HOLDH | OEB_N | MGMT_EN |
- | 110 | 0 | 0 | 0 | 0 | 0 | 0 | 1 | 0 | 0 | 0 |
-
-
- Input: 0000_0001_0000_1111 (0x0402) = GPIO_MODE_USER_STD_INPUT_NOPULL
- | DM | VTRIP | SLOW | AN_POL | AN_SEL | AN_EN | MOD_SEL | INP_DIS | HOLDH | OEB_N | MGMT_EN |
- | 001 | 0 | 0 | 0 | 0 | 0 | 0 | 0 | 0 | 1 | 0 |
- */
+ // Enable WishBone bus
+ reg_wb_enable = 1;
- /* Set up the housekeeping SPI to be connected internally so */
- /* that external pin changes don't affect it. */
+ // I/Os is used by software
+ reg_mprj_io_31 = GPIO_MODE_MGMT_STD_OUTPUT;
+ reg_mprj_io_30 = GPIO_MODE_MGMT_STD_OUTPUT;
+ reg_mprj_io_29 = GPIO_MODE_MGMT_STD_OUTPUT;
+ reg_mprj_io_28 = GPIO_MODE_MGMT_STD_OUTPUT;
+ reg_mprj_io_27 = GPIO_MODE_MGMT_STD_OUTPUT;
+ reg_mprj_io_26 = GPIO_MODE_MGMT_STD_OUTPUT;
+ reg_mprj_io_25 = GPIO_MODE_MGMT_STD_OUTPUT;
+ reg_mprj_io_24 = GPIO_MODE_MGMT_STD_OUTPUT;
+ reg_mprj_io_23 = GPIO_MODE_MGMT_STD_OUTPUT;
+ reg_mprj_io_22 = GPIO_MODE_MGMT_STD_OUTPUT;
+ reg_mprj_io_21 = GPIO_MODE_MGMT_STD_OUTPUT;
+ reg_mprj_io_20 = GPIO_MODE_MGMT_STD_OUTPUT;
+ reg_mprj_io_19 = GPIO_MODE_MGMT_STD_OUTPUT;
+ reg_mprj_io_18 = GPIO_MODE_MGMT_STD_OUTPUT;
+ reg_mprj_io_17 = GPIO_MODE_MGMT_STD_OUTPUT;
+ reg_mprj_io_16 = GPIO_MODE_MGMT_STD_OUTPUT;
+ reg_mprj_io_15 = GPIO_MODE_MGMT_STD_OUTPUT;
+ reg_mprj_io_14 = GPIO_MODE_MGMT_STD_OUTPUT;
+ reg_mprj_io_13 = GPIO_MODE_MGMT_STD_OUTPUT;
+ reg_mprj_io_12 = GPIO_MODE_MGMT_STD_OUTPUT;
+ reg_mprj_io_11 = GPIO_MODE_MGMT_STD_OUTPUT;
+ reg_mprj_io_10 = GPIO_MODE_MGMT_STD_OUTPUT;
+ reg_mprj_io_9 = GPIO_MODE_MGMT_STD_OUTPUT;
+ reg_mprj_io_8 = GPIO_MODE_MGMT_STD_OUTPUT;
+ reg_mprj_io_7 = GPIO_MODE_MGMT_STD_OUTPUT;
+ reg_mprj_io_6 = GPIO_MODE_MGMT_STD_OUTPUT;
+ reg_mprj_io_5 = GPIO_MODE_MGMT_STD_OUTPUT;
+ reg_mprj_io_4 = GPIO_MODE_MGMT_STD_OUTPUT;
+ reg_mprj_io_3 = GPIO_MODE_MGMT_STD_OUTPUT;
+ reg_mprj_io_2 = GPIO_MODE_MGMT_STD_OUTPUT;
+ reg_mprj_io_1 = GPIO_MODE_MGMT_STD_OUTPUT;
+ reg_mprj_io_0 = GPIO_MODE_MGMT_STD_OUTPUT;
- reg_spi_enable = 1;
- reg_wb_enable = 1;
- // reg_spimaster_config = 0xa002; // Enable, prescaler = 2,
- // connect to housekeeping SPI
-
- // Connect the housekeeping SPI to the SPI master
- // so that the CSB line is not left floating. This allows
- // all of the GPIO pins to be used for user functions.
-
- reg_mprj_io_31 = GPIO_MODE_MGMT_STD_OUTPUT;
- reg_mprj_io_30 = GPIO_MODE_MGMT_STD_OUTPUT;
- reg_mprj_io_29 = GPIO_MODE_MGMT_STD_OUTPUT;
- reg_mprj_io_28 = GPIO_MODE_MGMT_STD_OUTPUT;
- reg_mprj_io_27 = GPIO_MODE_MGMT_STD_OUTPUT;
- reg_mprj_io_26 = GPIO_MODE_MGMT_STD_OUTPUT;
- reg_mprj_io_25 = GPIO_MODE_MGMT_STD_OUTPUT;
- reg_mprj_io_24 = GPIO_MODE_MGMT_STD_OUTPUT;
- reg_mprj_io_23 = GPIO_MODE_MGMT_STD_OUTPUT;
- reg_mprj_io_22 = GPIO_MODE_MGMT_STD_OUTPUT;
- reg_mprj_io_21 = GPIO_MODE_MGMT_STD_OUTPUT;
- reg_mprj_io_20 = GPIO_MODE_MGMT_STD_OUTPUT;
- reg_mprj_io_19 = GPIO_MODE_MGMT_STD_OUTPUT;
- reg_mprj_io_18 = GPIO_MODE_MGMT_STD_OUTPUT;
- reg_mprj_io_17 = GPIO_MODE_MGMT_STD_OUTPUT;
- reg_mprj_io_16 = GPIO_MODE_MGMT_STD_OUTPUT;
- reg_mprj_io_15 = GPIO_MODE_MGMT_STD_OUTPUT;
- reg_mprj_io_14 = GPIO_MODE_MGMT_STD_OUTPUT;
- reg_mprj_io_13 = GPIO_MODE_MGMT_STD_OUTPUT;
- reg_mprj_io_12 = GPIO_MODE_MGMT_STD_OUTPUT;
- reg_mprj_io_11 = GPIO_MODE_MGMT_STD_OUTPUT;
- reg_mprj_io_10 = GPIO_MODE_MGMT_STD_OUTPUT;
- reg_mprj_io_9 = GPIO_MODE_MGMT_STD_OUTPUT;
- reg_mprj_io_8 = GPIO_MODE_MGMT_STD_OUTPUT;
- reg_mprj_io_7 = GPIO_MODE_MGMT_STD_OUTPUT;
- reg_mprj_io_6 = GPIO_MODE_MGMT_STD_OUTPUT;
- reg_mprj_io_5 = GPIO_MODE_MGMT_STD_OUTPUT;
- reg_mprj_io_4 = GPIO_MODE_MGMT_STD_OUTPUT;
- reg_mprj_io_3 = GPIO_MODE_MGMT_STD_OUTPUT;
- reg_mprj_io_2 = GPIO_MODE_MGMT_STD_OUTPUT;
- reg_mprj_io_1 = GPIO_MODE_MGMT_STD_OUTPUT;
- reg_mprj_io_0 = GPIO_MODE_MGMT_STD_OUTPUT;
-
- /* Apply configuration */
+ // Apply configuration
reg_mprj_xfer = 1;
while (reg_mprj_xfer == 1);
- reg_la2_oenb = reg_la2_iena = 0x00000000; // [95:64]
-
// Flag start of the test
reg_mprj_datal = 0xAB600000;
@@ -107,7 +80,7 @@
error = 0;
last_data = 0x00000000;
- for(i=0;i<4;i++) {
+ for(i=0;i<31;i++) {
data = reg_mprj_rand;
if (data == 0x00000000) error++;
if (data == 0xFFFFFFFF) error++;
@@ -115,7 +88,7 @@
last_data = data;
}
- // Flag end of the test
+ // Flag end of the test (and provide the number of errors)
reg_mprj_datal = 0xAB610000 | (error & 0x0000FFFF);
}
diff --git a/verilog/dv/pseudorandom/pseudorandom_tb.v b/verilog/dv/pseudorandom/pseudorandom_tb.v
index 83a580a..d37cd94 100644
--- a/verilog/dv/pseudorandom/pseudorandom_tb.v
+++ b/verilog/dv/pseudorandom/pseudorandom_tb.v
@@ -1,5 +1,6 @@
-// SPDX-FileCopyrightText: 2020 Efabless Corporation
-//
+////////////////////////////////////////////////////////////////////////////
+// SPDX-FileCopyrightText: 2022 , Julien OURY
+//
// Licensed under the Apache License, Version 2.0 (the "License");
// you may not use this file except in compliance with the License.
// You may obtain a copy of the License at
@@ -12,6 +13,9 @@
// See the License for the specific language governing permissions and
// limitations under the License.
// SPDX-License-Identifier: Apache-2.0
+// SPDX-FileContributor: Created by Julien OURY <julien.oury@outlook.fr>
+//
+////////////////////////////////////////////////////////////////////////////
`default_nettype none
diff --git a/verilog/dv/step_motor_controller/Makefile b/verilog/dv/step_motor_controller/Makefile
new file mode 100644
index 0000000..3fd0b56
--- /dev/null
+++ b/verilog/dv/step_motor_controller/Makefile
@@ -0,0 +1,32 @@
+# SPDX-FileCopyrightText: 2020 Efabless Corporation
+#
+# Licensed under the Apache License, Version 2.0 (the "License");
+# you may not use this file except in compliance with the License.
+# You may obtain a copy of the License at
+#
+# http://www.apache.org/licenses/LICENSE-2.0
+#
+# Unless required by applicable law or agreed to in writing, software
+# distributed under the License is distributed on an "AS IS" BASIS,
+# WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express or implied.
+# See the License for the specific language governing permissions and
+# limitations under the License.
+#
+# SPDX-License-Identifier: Apache-2.0
+
+
+
+PWDD := $(shell pwd)
+BLOCKS := $(shell basename $(PWDD))
+
+# ---- Include Partitioned Makefiles ----
+
+CONFIG = caravel_user_project
+
+
+include $(MCW_ROOT)/verilog/dv/make/env.makefile
+include $(MCW_ROOT)/verilog/dv/make/var.makefile
+include $(MCW_ROOT)/verilog/dv/make/cpu.makefile
+include $(MCW_ROOT)/verilog/dv/make/sim.makefile
+
+
diff --git a/verilog/dv/step_motor_controller/step_motor_controller.c b/verilog/dv/step_motor_controller/step_motor_controller.c
new file mode 100644
index 0000000..cdba9aa
--- /dev/null
+++ b/verilog/dv/step_motor_controller/step_motor_controller.c
@@ -0,0 +1,100 @@
+/*
+ * SPDX-FileCopyrightText: 2022 , Julien OURY
+ *
+ * Licensed under the Apache License, Version 2.0 (the "License");
+ * you may not use this file except in compliance with the License.
+ * You may obtain a copy of the License at
+ *
+ * http://www.apache.org/licenses/LICENSE-2.0
+ *
+ * Unless required by applicable law or agreed to in writing, software
+ * distributed under the License is distributed on an "AS IS" BASIS,
+ * WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express or implied.
+ * See the License for the specific language governing permissions and
+ * limitations under the License.
+ * SPDX-License-Identifier: Apache-2.0
+ * SPDX-FileContributor: Created by Julien OURY <julien.oury@outlook.fr>
+ */
+
+// This include is relative to $CARAVEL_PATH (see Makefile)
+#include <defs.h>
+#include <stub.c>
+
+/*
+ Step motor controller test:
+ - Configure the controller
+ - Start driving the moteur for 4 steps
+ - Wait end of step moving before flag the end of test
+*/
+
+#define reg_mprj_motor_config (*(volatile uint32_t*)0x30020000)
+#define reg_mprj_motor_multiplier (*(volatile uint32_t*)0x30020004)
+#define reg_mprj_motor_divider (*(volatile uint32_t*)0x30020008)
+#define reg_mprj_motor_period (*(volatile uint32_t*)0x3002000C)
+#define reg_mprj_motor_control (*(volatile uint32_t*)0x30020010)
+
+void main() {
+
+ // Enable WishBone bus
+ reg_wb_enable = 1;
+
+ // I/Os is used by software
+ reg_mprj_io_31 = GPIO_MODE_MGMT_STD_OUTPUT;
+ reg_mprj_io_30 = GPIO_MODE_MGMT_STD_OUTPUT;
+ reg_mprj_io_29 = GPIO_MODE_MGMT_STD_OUTPUT;
+ reg_mprj_io_28 = GPIO_MODE_MGMT_STD_OUTPUT;
+ reg_mprj_io_27 = GPIO_MODE_MGMT_STD_OUTPUT;
+ reg_mprj_io_26 = GPIO_MODE_MGMT_STD_OUTPUT;
+ reg_mprj_io_25 = GPIO_MODE_MGMT_STD_OUTPUT;
+ reg_mprj_io_24 = GPIO_MODE_MGMT_STD_OUTPUT;
+ reg_mprj_io_23 = GPIO_MODE_MGMT_STD_OUTPUT;
+ reg_mprj_io_22 = GPIO_MODE_MGMT_STD_OUTPUT;
+ reg_mprj_io_21 = GPIO_MODE_MGMT_STD_OUTPUT;
+ reg_mprj_io_20 = GPIO_MODE_MGMT_STD_OUTPUT;
+ reg_mprj_io_19 = GPIO_MODE_MGMT_STD_OUTPUT;
+ reg_mprj_io_18 = GPIO_MODE_MGMT_STD_OUTPUT;
+ reg_mprj_io_17 = GPIO_MODE_MGMT_STD_OUTPUT;
+ reg_mprj_io_16 = GPIO_MODE_MGMT_STD_OUTPUT;
+ reg_mprj_io_15 = GPIO_MODE_MGMT_STD_OUTPUT;
+ reg_mprj_io_14 = GPIO_MODE_MGMT_STD_OUTPUT;
+ reg_mprj_io_13 = GPIO_MODE_MGMT_STD_OUTPUT;
+ reg_mprj_io_12 = GPIO_MODE_MGMT_STD_OUTPUT;
+ reg_mprj_io_11 = GPIO_MODE_MGMT_STD_OUTPUT;
+ reg_mprj_io_10 = GPIO_MODE_MGMT_STD_OUTPUT;
+ reg_mprj_io_9 = GPIO_MODE_MGMT_STD_OUTPUT;
+ reg_mprj_io_8 = GPIO_MODE_MGMT_STD_OUTPUT;
+ reg_mprj_io_7 = GPIO_MODE_MGMT_STD_OUTPUT;
+ reg_mprj_io_6 = GPIO_MODE_MGMT_STD_OUTPUT;
+ reg_mprj_io_5 = GPIO_MODE_MGMT_STD_OUTPUT;
+ reg_mprj_io_4 = GPIO_MODE_MGMT_STD_OUTPUT;
+ reg_mprj_io_3 = GPIO_MODE_MGMT_STD_OUTPUT;
+ reg_mprj_io_2 = GPIO_MODE_MGMT_STD_OUTPUT;
+ reg_mprj_io_1 = GPIO_MODE_MGMT_STD_OUTPUT;
+ reg_mprj_io_0 = GPIO_MODE_MGMT_STD_OUTPUT;
+
+ // Apply configuration
+ reg_mprj_xfer = 1;
+ while (reg_mprj_xfer == 1);
+
+ // Flag start of the test
+ reg_mprj_datal = 0xAB600000;
+
+ // Configure the controller
+ reg_mprj_motor_multiplier = 0x00000001;
+ reg_mprj_motor_divider = 0x0000000A;
+ reg_mprj_motor_period = 0x0000000A;
+ reg_mprj_motor_config = 0x800000FF;
+
+ // Start the motor
+ reg_mprj_motor_control = 0x80000016;
+
+ // Wait end of step moving
+ int data;
+ do {
+ data = reg_mprj_motor_control;
+ } while ((data & 0x00FFFFFF) != 0x00000000 );
+
+ // Flag end of the test
+ reg_mprj_datal = 0xAB610000;
+
+}
diff --git a/verilog/dv/step_motor_controller/step_motor_controller_tb.v b/verilog/dv/step_motor_controller/step_motor_controller_tb.v
new file mode 100644
index 0000000..3951adf
--- /dev/null
+++ b/verilog/dv/step_motor_controller/step_motor_controller_tb.v
@@ -0,0 +1,162 @@
+////////////////////////////////////////////////////////////////////////////
+// SPDX-FileCopyrightText: 2022 , Julien OURY
+//
+// Licensed under the Apache License, Version 2.0 (the "License");
+// you may not use this file except in compliance with the License.
+// You may obtain a copy of the License at
+//
+// http://www.apache.org/licenses/LICENSE-2.0
+//
+// Unless required by applicable law or agreed to in writing, software
+// distributed under the License is distributed on an "AS IS" BASIS,
+// WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express or implied.
+// See the License for the specific language governing permissions and
+// limitations under the License.
+// SPDX-License-Identifier: Apache-2.0
+// SPDX-FileContributor: Created by Julien OURY <julien.oury@outlook.fr>
+//
+////////////////////////////////////////////////////////////////////////////
+
+`default_nettype none
+
+`timescale 1 ns / 1 ps
+
+module step_motor_controller_tb;
+ reg clock;
+ reg RSTB;
+ reg CSB;
+ reg power1, power2;
+ reg power3, power4;
+
+ wire gpio;
+ wire [37:0] mprj_io;
+ wire [15:0] checkbits;
+ wire [15:0] errorbits;
+
+ reg [7:0] cmd_addr;
+ reg [7:0] cmd_data;
+
+ assign checkbits = mprj_io[31:16];
+ assign errorbits = mprj_io[15:0];
+
+ assign (pull1,pull0) mprj_io[37:0] = 38'b11111111111111111111111111111111111111;
+
+ // External clock is used by default. Make this artificially fast for the
+ // simulation. Normally this would be a slow clock and the digital PLL
+ // would be the fast clock.
+
+ always #12.5 clock <= (clock === 1'b0);
+
+ initial begin
+ clock = 0;
+ end
+
+ initial begin
+ $dumpfile("step_motor_controller.vcd");
+ $dumpvars(0, step_motor_controller_tb);
+
+ // Repeat cycles of 1000 clock edges as needed to complete testbench
+ repeat (7000) begin
+ repeat (1000) @(posedge clock);
+ // $display("+1000 cycles");
+ end
+ $display("%c[1;31m",27);
+ `ifdef GL
+ $display ("Monitor: Timeout, Test Mega-Project WB Port (GL) Failed");
+ `else
+ $display ("Monitor: Timeout, Test Mega-Project WB Port (RTL) Failed");
+ `endif
+ $display("%c[0m",27);
+ $finish;
+ end
+
+ initial begin
+
+ wait(checkbits == 16'hAB60);
+ $display("Monitor: MPRJ-Logic WB Started");
+ wait (checkbits == 16'hAB61);
+ if (errorbits == 16'h0000) begin
+ `ifdef GL
+ $display("Monitor: Mega-Project WB (GL) Passed");
+ `else
+ $display("Monitor: Mega-Project WB (RTL) Passed");
+ `endif
+ end else begin
+ `ifdef GL
+ $display("Monitor: Mega-Project WB (GL) Failed [0x%h errors]", errorbits);
+ `else
+ $display("Monitor: Mega-Project WB (RTL) Failed [0x%h errors]", errorbits);
+ `endif
+ end
+ $finish;
+ end
+
+ initial begin
+ RSTB <= 1'b0;
+ CSB <= 1'b1; // Force CSB high
+ #2000;
+ RSTB <= 1'b1; // Release reset
+ #100000;
+ CSB = 1'b0; // CSB can be released
+ end
+
+ initial begin // Power-up sequence
+ power1 <= 1'b0;
+ power2 <= 1'b0;
+ #200;
+ power1 <= 1'b1;
+ #200;
+ power2 <= 1'b1;
+ end
+
+ wire flash_csb;
+ wire flash_clk;
+ wire flash_io0;
+ wire flash_io1;
+
+ wire VDD3V3 = power1;
+ wire VDD1V8 = power2;
+ wire VSS = 1'b0;
+
+ caravel uut (
+ .vddio (VDD3V3),
+ .vddio_2 (VDD3V3),
+ .vssio (VSS),
+ .vssio_2 (VSS),
+ .vdda (VDD3V3),
+ .vssa (VSS),
+ .vccd (VDD1V8),
+ .vssd (VSS),
+ .vdda1 (VDD3V3),
+ .vdda1_2 (VDD3V3),
+ .vdda2 (VDD3V3),
+ .vssa1 (VSS),
+ .vssa1_2 (VSS),
+ .vssa2 (VSS),
+ .vccd1 (VDD1V8),
+ .vccd2 (VDD1V8),
+ .vssd1 (VSS),
+ .vssd2 (VSS),
+ .clock (clock),
+ .gpio (gpio),
+ .mprj_io (mprj_io),
+ .flash_csb(flash_csb),
+ .flash_clk(flash_clk),
+ .flash_io0(flash_io0),
+ .flash_io1(flash_io1),
+ .resetb (RSTB)
+ );
+
+ spiflash #(
+ .FILENAME("step_motor_controller.hex")
+ ) spiflash (
+ .csb(flash_csb),
+ .clk(flash_clk),
+ .io0(flash_io0),
+ .io1(flash_io1),
+ .io2(), // not used
+ .io3() // not used
+ );
+
+endmodule
+`default_nettype wire
diff --git a/verilog/dv/string_led_controller/Makefile b/verilog/dv/string_led_controller/Makefile
new file mode 100644
index 0000000..3fd0b56
--- /dev/null
+++ b/verilog/dv/string_led_controller/Makefile
@@ -0,0 +1,32 @@
+# SPDX-FileCopyrightText: 2020 Efabless Corporation
+#
+# Licensed under the Apache License, Version 2.0 (the "License");
+# you may not use this file except in compliance with the License.
+# You may obtain a copy of the License at
+#
+# http://www.apache.org/licenses/LICENSE-2.0
+#
+# Unless required by applicable law or agreed to in writing, software
+# distributed under the License is distributed on an "AS IS" BASIS,
+# WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express or implied.
+# See the License for the specific language governing permissions and
+# limitations under the License.
+#
+# SPDX-License-Identifier: Apache-2.0
+
+
+
+PWDD := $(shell pwd)
+BLOCKS := $(shell basename $(PWDD))
+
+# ---- Include Partitioned Makefiles ----
+
+CONFIG = caravel_user_project
+
+
+include $(MCW_ROOT)/verilog/dv/make/env.makefile
+include $(MCW_ROOT)/verilog/dv/make/var.makefile
+include $(MCW_ROOT)/verilog/dv/make/cpu.makefile
+include $(MCW_ROOT)/verilog/dv/make/sim.makefile
+
+
diff --git a/verilog/dv/string_led_controller/string_led_controller.c b/verilog/dv/string_led_controller/string_led_controller.c
new file mode 100644
index 0000000..3ce20ad
--- /dev/null
+++ b/verilog/dv/string_led_controller/string_led_controller.c
@@ -0,0 +1,105 @@
+/*
+ * SPDX-FileCopyrightText: 2022 , Julien OURY
+ *
+ * Licensed under the Apache License, Version 2.0 (the "License");
+ * you may not use this file except in compliance with the License.
+ * You may obtain a copy of the License at
+ *
+ * http://www.apache.org/licenses/LICENSE-2.0
+ *
+ * Unless required by applicable law or agreed to in writing, software
+ * distributed under the License is distributed on an "AS IS" BASIS,
+ * WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express or implied.
+ * See the License for the specific language governing permissions and
+ * limitations under the License.
+ * SPDX-License-Identifier: Apache-2.0
+ * SPDX-FileContributor: Created by Julien OURY <julien.oury@outlook.fr>
+ */
+
+// This include is relative to $CARAVEL_PATH (see Makefile)
+#include <defs.h>
+#include <stub.c>
+
+/*
+ String led controller test:
+ - Configure the controller
+ - Start send 1 byte
+ - Wait end of send before flag the end of test
+*/
+
+#define reg_mprj_led_config (*(volatile uint32_t*)0x30030000)
+#define reg_mprj_led_multiplier (*(volatile uint32_t*)0x30030004)
+#define reg_mprj_led_divider (*(volatile uint32_t*)0x30030008)
+#define reg_mprj_led_control (*(volatile uint32_t*)0x3003000C)
+#define reg_mprj_led_data_0 (*(volatile uint32_t*)0x30031000)
+#define reg_mprj_led_data_1 (*(volatile uint32_t*)0x30031004)
+#define reg_mprj_led_data_2 (*(volatile uint32_t*)0x30031008)
+#define reg_mprj_led_data_3 (*(volatile uint32_t*)0x3003100C)
+
+void main() {
+
+ // Enable WishBone bus
+ reg_wb_enable = 1;
+
+ // I/Os is used by software
+ reg_mprj_io_31 = GPIO_MODE_MGMT_STD_OUTPUT;
+ reg_mprj_io_30 = GPIO_MODE_MGMT_STD_OUTPUT;
+ reg_mprj_io_29 = GPIO_MODE_MGMT_STD_OUTPUT;
+ reg_mprj_io_28 = GPIO_MODE_MGMT_STD_OUTPUT;
+ reg_mprj_io_27 = GPIO_MODE_MGMT_STD_OUTPUT;
+ reg_mprj_io_26 = GPIO_MODE_MGMT_STD_OUTPUT;
+ reg_mprj_io_25 = GPIO_MODE_MGMT_STD_OUTPUT;
+ reg_mprj_io_24 = GPIO_MODE_MGMT_STD_OUTPUT;
+ reg_mprj_io_23 = GPIO_MODE_MGMT_STD_OUTPUT;
+ reg_mprj_io_22 = GPIO_MODE_MGMT_STD_OUTPUT;
+ reg_mprj_io_21 = GPIO_MODE_MGMT_STD_OUTPUT;
+ reg_mprj_io_20 = GPIO_MODE_MGMT_STD_OUTPUT;
+ reg_mprj_io_19 = GPIO_MODE_MGMT_STD_OUTPUT;
+ reg_mprj_io_18 = GPIO_MODE_MGMT_STD_OUTPUT;
+ reg_mprj_io_17 = GPIO_MODE_MGMT_STD_OUTPUT;
+ reg_mprj_io_16 = GPIO_MODE_MGMT_STD_OUTPUT;
+ reg_mprj_io_15 = GPIO_MODE_MGMT_STD_OUTPUT;
+ reg_mprj_io_14 = GPIO_MODE_MGMT_STD_OUTPUT;
+ reg_mprj_io_13 = GPIO_MODE_MGMT_STD_OUTPUT;
+ reg_mprj_io_12 = GPIO_MODE_MGMT_STD_OUTPUT;
+ reg_mprj_io_11 = GPIO_MODE_MGMT_STD_OUTPUT;
+ reg_mprj_io_10 = GPIO_MODE_MGMT_STD_OUTPUT;
+ reg_mprj_io_9 = GPIO_MODE_MGMT_STD_OUTPUT;
+ reg_mprj_io_8 = GPIO_MODE_MGMT_STD_OUTPUT;
+ reg_mprj_io_7 = GPIO_MODE_MGMT_STD_OUTPUT;
+ reg_mprj_io_6 = GPIO_MODE_MGMT_STD_OUTPUT;
+ reg_mprj_io_5 = GPIO_MODE_MGMT_STD_OUTPUT;
+ reg_mprj_io_4 = GPIO_MODE_MGMT_STD_OUTPUT;
+ reg_mprj_io_3 = GPIO_MODE_MGMT_STD_OUTPUT;
+ reg_mprj_io_2 = GPIO_MODE_MGMT_STD_OUTPUT;
+ reg_mprj_io_1 = GPIO_MODE_MGMT_STD_OUTPUT;
+ reg_mprj_io_0 = GPIO_MODE_MGMT_STD_OUTPUT;
+
+ // Apply configuration
+ reg_mprj_xfer = 1;
+ while (reg_mprj_xfer == 1);
+
+ // Flag start of the test
+ reg_mprj_datal = 0xAB600000;
+
+ // Configure the controller
+ reg_mprj_led_multiplier = 0x00000001;
+ reg_mprj_led_divider = 0x0000000A;
+ reg_mprj_led_config = 0x80000000;
+ reg_mprj_led_data_0 = 0x000000AF;
+ reg_mprj_led_data_1 = 0x0000005F;
+ reg_mprj_led_data_2 = 0x000000AA;
+ reg_mprj_led_data_3 = 0x000000AA;
+
+ // Start the motor
+ reg_mprj_led_control = 0x80000000;
+
+ // Wait end of step moving
+ int data;
+ do {
+ data = reg_mprj_led_control;
+ } while ((data & 0x40000000) == 0x40000000 );
+
+ // Flag end of the test
+ reg_mprj_datal = 0xAB610000;
+}
diff --git a/verilog/dv/string_led_controller/string_led_controller_tb.v b/verilog/dv/string_led_controller/string_led_controller_tb.v
new file mode 100644
index 0000000..15987eb
--- /dev/null
+++ b/verilog/dv/string_led_controller/string_led_controller_tb.v
@@ -0,0 +1,162 @@
+////////////////////////////////////////////////////////////////////////////
+// SPDX-FileCopyrightText: 2022 , Julien OURY
+//
+// Licensed under the Apache License, Version 2.0 (the "License");
+// you may not use this file except in compliance with the License.
+// You may obtain a copy of the License at
+//
+// http://www.apache.org/licenses/LICENSE-2.0
+//
+// Unless required by applicable law or agreed to in writing, software
+// distributed under the License is distributed on an "AS IS" BASIS,
+// WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express or implied.
+// See the License for the specific language governing permissions and
+// limitations under the License.
+// SPDX-License-Identifier: Apache-2.0
+// SPDX-FileContributor: Created by Julien OURY <julien.oury@outlook.fr>
+//
+////////////////////////////////////////////////////////////////////////////
+
+`default_nettype none
+
+`timescale 1 ns / 1 ps
+
+module string_led_controller_tb;
+ reg clock;
+ reg RSTB;
+ reg CSB;
+ reg power1, power2;
+ reg power3, power4;
+
+ wire gpio;
+ wire [37:0] mprj_io;
+ wire [15:0] checkbits;
+ wire [15:0] errorbits;
+
+ reg [7:0] cmd_addr;
+ reg [7:0] cmd_data;
+
+ assign checkbits = mprj_io[31:16];
+ assign errorbits = mprj_io[15:0];
+
+ assign (pull1,pull0) mprj_io[37:0] = 38'b11111111111111111111111111111111111111;
+
+ // External clock is used by default. Make this artificially fast for the
+ // simulation. Normally this would be a slow clock and the digital PLL
+ // would be the fast clock.
+
+ always #12.5 clock <= (clock === 1'b0);
+
+ initial begin
+ clock = 0;
+ end
+
+ initial begin
+ $dumpfile("string_led_controller.vcd");
+ $dumpvars(0, string_led_controller_tb);
+
+ // Repeat cycles of 1000 clock edges as needed to complete testbench
+ repeat (7000) begin
+ repeat (1000) @(posedge clock);
+ // $display("+1000 cycles");
+ end
+ $display("%c[1;31m",27);
+ `ifdef GL
+ $display ("Monitor: Timeout, Test Mega-Project WB Port (GL) Failed");
+ `else
+ $display ("Monitor: Timeout, Test Mega-Project WB Port (RTL) Failed");
+ `endif
+ $display("%c[0m",27);
+ $finish;
+ end
+
+ initial begin
+
+ wait(checkbits == 16'hAB60);
+ $display("Monitor: MPRJ-Logic WB Started");
+ wait (checkbits == 16'hAB61);
+ if (errorbits == 16'h0000) begin
+ `ifdef GL
+ $display("Monitor: Mega-Project WB (GL) Passed");
+ `else
+ $display("Monitor: Mega-Project WB (RTL) Passed");
+ `endif
+ end else begin
+ `ifdef GL
+ $display("Monitor: Mega-Project WB (GL) Failed [0x%h errors]", errorbits);
+ `else
+ $display("Monitor: Mega-Project WB (RTL) Failed [0x%h errors]", errorbits);
+ `endif
+ end
+ $finish;
+ end
+
+ initial begin
+ RSTB <= 1'b0;
+ CSB <= 1'b1; // Force CSB high
+ #2000;
+ RSTB <= 1'b1; // Release reset
+ #100000;
+ CSB = 1'b0; // CSB can be released
+ end
+
+ initial begin // Power-up sequence
+ power1 <= 1'b0;
+ power2 <= 1'b0;
+ #200;
+ power1 <= 1'b1;
+ #200;
+ power2 <= 1'b1;
+ end
+
+ wire flash_csb;
+ wire flash_clk;
+ wire flash_io0;
+ wire flash_io1;
+
+ wire VDD3V3 = power1;
+ wire VDD1V8 = power2;
+ wire VSS = 1'b0;
+
+ caravel uut (
+ .vddio (VDD3V3),
+ .vddio_2 (VDD3V3),
+ .vssio (VSS),
+ .vssio_2 (VSS),
+ .vdda (VDD3V3),
+ .vssa (VSS),
+ .vccd (VDD1V8),
+ .vssd (VSS),
+ .vdda1 (VDD3V3),
+ .vdda1_2 (VDD3V3),
+ .vdda2 (VDD3V3),
+ .vssa1 (VSS),
+ .vssa1_2 (VSS),
+ .vssa2 (VSS),
+ .vccd1 (VDD1V8),
+ .vccd2 (VDD1V8),
+ .vssd1 (VSS),
+ .vssd2 (VSS),
+ .clock (clock),
+ .gpio (gpio),
+ .mprj_io (mprj_io),
+ .flash_csb(flash_csb),
+ .flash_clk(flash_clk),
+ .flash_io0(flash_io0),
+ .flash_io1(flash_io1),
+ .resetb (RSTB)
+ );
+
+ spiflash #(
+ .FILENAME("string_led_controller.hex")
+ ) spiflash (
+ .csb(flash_csb),
+ .clk(flash_clk),
+ .io0(flash_io0),
+ .io1(flash_io1),
+ .io2(), // not used
+ .io3() // not used
+ );
+
+endmodule
+`default_nettype wire
diff --git a/verilog/gl/user_proj_example.v b/verilog/gl/user_proj_example.v
index 44d2ac3..6761d6d 100644
--- a/verilog/gl/user_proj_example.v
+++ b/verilog/gl/user_proj_example.v
@@ -37,206 +37,206 @@
output [31:0] wbs_dat_o;
input [3:0] wbs_sel_i;
- wire net271;
+ wire net267;
+ wire net277;
+ wire net278;
+ wire net279;
+ wire net280;
wire net281;
wire net282;
wire net283;
wire net284;
wire net285;
wire net286;
+ wire net268;
wire net287;
wire net288;
wire net289;
wire net290;
- wire net272;
wire net291;
wire net292;
wire net293;
wire net294;
wire net295;
wire net296;
+ wire net269;
wire net297;
wire net298;
- wire net299;
- wire net300;
- wire net273;
- wire net301;
- wire net302;
+ wire net100;
+ wire net101;
+ wire net102;
+ wire net103;
wire net104;
- wire net105;
- wire net106;
- wire net107;
- wire net108;
- wire net303;
+ wire net299;
+ wire net270;
+ wire net271;
+ wire net272;
+ wire net273;
wire net274;
wire net275;
wire net276;
- wire net277;
- wire net278;
- wire net279;
- wire net280;
- wire net109;
+ wire net105;
+ wire net115;
+ wire net116;
+ wire net117;
+ wire net118;
wire net119;
wire net120;
wire net121;
wire net122;
wire net123;
wire net124;
+ wire net106;
wire net125;
wire net126;
wire net127;
wire net128;
- wire net110;
wire net129;
wire net130;
wire net131;
wire net132;
wire net133;
wire net134;
+ wire net107;
wire net135;
wire net136;
wire net137;
- wire net138;
+ wire net108;
+ wire net109;
+ wire net110;
wire net111;
- wire net139;
- wire net140;
- wire net141;
wire net112;
wire net113;
wire net114;
- wire net115;
- wire net116;
- wire net117;
- wire net118;
- wire net142;
- wire net143;
+ wire net138;
+ wire net139;
+ wire net239;
+ wire net240;
+ wire net241;
+ wire net242;
wire net243;
wire net244;
wire net245;
wire net246;
wire net247;
wire net248;
+ wire net149;
wire net249;
wire net250;
wire net251;
wire net252;
- wire net153;
wire net253;
wire net254;
wire net255;
wire net256;
wire net257;
wire net258;
+ wire net150;
wire net259;
wire net260;
wire net261;
wire net262;
- wire net154;
wire net263;
wire net264;
wire net265;
wire net266;
- wire net267;
- wire net268;
- wire net269;
- wire net270;
+ wire net151;
+ wire net152;
+ wire net153;
+ wire net154;
wire net155;
wire net156;
wire net157;
wire net158;
+ wire net140;
wire net159;
wire net160;
wire net161;
wire net162;
- wire net144;
wire net163;
wire net164;
wire net165;
wire net166;
wire net167;
wire net168;
+ wire net141;
wire net169;
wire net170;
wire net171;
wire net172;
- wire net145;
wire net173;
wire net174;
wire net175;
wire net176;
wire net177;
wire net178;
+ wire net142;
wire net179;
wire net180;
wire net181;
wire net182;
- wire net146;
wire net183;
wire net184;
wire net185;
wire net186;
wire net187;
wire net188;
+ wire net143;
wire net189;
wire net190;
wire net191;
wire net192;
- wire net147;
wire net193;
wire net194;
wire net195;
wire net196;
wire net197;
wire net198;
+ wire net144;
wire net199;
wire net200;
wire net201;
wire net202;
- wire net148;
wire net203;
wire net204;
wire net205;
wire net206;
wire net207;
wire net208;
+ wire net145;
wire net209;
wire net210;
wire net211;
wire net212;
- wire net149;
wire net213;
wire net214;
wire net215;
wire net216;
wire net217;
wire net218;
+ wire net146;
wire net219;
wire net220;
wire net221;
wire net222;
- wire net150;
wire net223;
wire net224;
wire net225;
wire net226;
wire net227;
wire net228;
+ wire net147;
wire net229;
wire net230;
wire net231;
wire net232;
- wire net151;
wire net233;
wire net234;
wire net235;
wire net236;
wire net237;
wire net238;
- wire net239;
- wire net240;
- wire net241;
- wire net242;
- wire net152;
+ wire net148;
wire _00000_;
wire _00001_;
wire _00002_;
@@ -6897,11 +6897,835 @@
wire _06657_;
wire _06658_;
wire _06659_;
+ wire _06660_;
+ wire _06661_;
+ wire _06662_;
+ wire _06663_;
+ wire _06664_;
+ wire _06665_;
+ wire _06666_;
+ wire _06667_;
+ wire _06668_;
+ wire _06669_;
+ wire _06670_;
+ wire _06671_;
+ wire _06672_;
+ wire _06673_;
+ wire _06674_;
+ wire _06675_;
+ wire _06676_;
+ wire _06677_;
+ wire _06678_;
+ wire _06679_;
+ wire _06680_;
+ wire _06681_;
+ wire _06682_;
+ wire _06683_;
+ wire _06684_;
+ wire _06685_;
+ wire _06686_;
+ wire _06687_;
+ wire _06688_;
+ wire _06689_;
+ wire _06690_;
+ wire _06691_;
+ wire _06692_;
+ wire _06693_;
+ wire _06694_;
+ wire _06695_;
+ wire _06696_;
+ wire _06697_;
+ wire _06698_;
+ wire _06699_;
+ wire _06700_;
+ wire _06701_;
+ wire _06702_;
+ wire _06703_;
+ wire _06704_;
+ wire _06705_;
+ wire _06706_;
+ wire _06707_;
+ wire _06708_;
+ wire _06709_;
+ wire _06710_;
+ wire _06711_;
+ wire _06712_;
+ wire _06713_;
+ wire _06714_;
+ wire _06715_;
+ wire _06716_;
+ wire _06717_;
+ wire _06718_;
+ wire _06719_;
+ wire _06720_;
+ wire _06721_;
+ wire _06722_;
+ wire _06723_;
+ wire _06724_;
+ wire _06725_;
+ wire _06726_;
+ wire _06727_;
+ wire _06728_;
+ wire _06729_;
+ wire _06730_;
+ wire _06731_;
+ wire _06732_;
+ wire _06733_;
+ wire _06734_;
+ wire _06735_;
+ wire _06736_;
+ wire _06737_;
+ wire _06738_;
+ wire _06739_;
+ wire _06740_;
+ wire _06741_;
+ wire _06742_;
+ wire _06743_;
+ wire _06744_;
+ wire _06745_;
+ wire _06746_;
+ wire _06747_;
+ wire _06748_;
+ wire _06749_;
+ wire _06750_;
+ wire _06751_;
+ wire _06752_;
+ wire _06753_;
+ wire _06754_;
+ wire _06755_;
+ wire _06756_;
+ wire _06757_;
+ wire _06758_;
+ wire _06759_;
+ wire _06760_;
+ wire _06761_;
+ wire _06762_;
+ wire _06763_;
+ wire _06764_;
+ wire _06765_;
+ wire _06766_;
+ wire _06767_;
+ wire _06768_;
+ wire _06769_;
+ wire _06770_;
+ wire _06771_;
+ wire _06772_;
+ wire _06773_;
+ wire _06774_;
+ wire _06775_;
+ wire _06776_;
+ wire _06777_;
+ wire _06778_;
+ wire _06779_;
+ wire _06780_;
+ wire _06781_;
+ wire _06782_;
+ wire _06783_;
+ wire _06784_;
+ wire _06785_;
+ wire _06786_;
+ wire _06787_;
+ wire _06788_;
+ wire _06789_;
+ wire _06790_;
+ wire _06791_;
+ wire _06792_;
+ wire _06793_;
+ wire _06794_;
+ wire _06795_;
+ wire _06796_;
+ wire _06797_;
+ wire _06798_;
+ wire _06799_;
+ wire _06800_;
+ wire _06801_;
+ wire _06802_;
+ wire _06803_;
+ wire _06804_;
+ wire _06805_;
+ wire _06806_;
+ wire _06807_;
+ wire _06808_;
+ wire _06809_;
+ wire _06810_;
+ wire _06811_;
+ wire _06812_;
+ wire _06813_;
+ wire _06814_;
+ wire _06815_;
+ wire _06816_;
+ wire _06817_;
+ wire _06818_;
+ wire _06819_;
+ wire _06820_;
+ wire _06821_;
+ wire _06822_;
+ wire _06823_;
+ wire _06824_;
+ wire _06825_;
+ wire _06826_;
+ wire _06827_;
+ wire _06828_;
+ wire _06829_;
+ wire _06830_;
+ wire _06831_;
+ wire _06832_;
+ wire _06833_;
+ wire _06834_;
+ wire _06835_;
+ wire _06836_;
+ wire _06837_;
+ wire _06838_;
+ wire _06839_;
+ wire _06840_;
+ wire _06841_;
+ wire _06842_;
+ wire _06843_;
+ wire _06844_;
+ wire _06845_;
+ wire _06846_;
+ wire _06847_;
+ wire _06848_;
+ wire _06849_;
+ wire _06850_;
+ wire _06851_;
+ wire _06852_;
+ wire _06853_;
+ wire _06854_;
+ wire _06855_;
+ wire _06856_;
+ wire _06857_;
+ wire _06858_;
+ wire _06859_;
+ wire _06860_;
+ wire _06861_;
+ wire _06862_;
+ wire _06863_;
+ wire _06864_;
+ wire _06865_;
+ wire _06866_;
+ wire _06867_;
+ wire _06868_;
+ wire _06869_;
+ wire _06870_;
+ wire _06871_;
+ wire _06872_;
+ wire _06873_;
+ wire _06874_;
+ wire _06875_;
+ wire _06876_;
+ wire _06877_;
+ wire _06878_;
+ wire _06879_;
+ wire _06880_;
+ wire _06881_;
+ wire _06882_;
+ wire _06883_;
+ wire _06884_;
+ wire _06885_;
+ wire _06886_;
+ wire _06887_;
+ wire _06888_;
+ wire _06889_;
+ wire _06890_;
+ wire _06891_;
+ wire _06892_;
+ wire _06893_;
+ wire _06894_;
+ wire _06895_;
+ wire _06896_;
+ wire _06897_;
+ wire _06898_;
+ wire _06899_;
+ wire _06900_;
+ wire _06901_;
+ wire _06902_;
+ wire _06903_;
+ wire _06904_;
+ wire _06905_;
+ wire _06906_;
+ wire _06907_;
+ wire _06908_;
+ wire _06909_;
+ wire _06910_;
+ wire _06911_;
+ wire _06912_;
+ wire _06913_;
+ wire _06914_;
+ wire _06915_;
+ wire _06916_;
+ wire _06917_;
+ wire _06918_;
+ wire _06919_;
+ wire _06920_;
+ wire _06921_;
+ wire _06922_;
+ wire _06923_;
+ wire _06924_;
+ wire _06925_;
+ wire _06926_;
+ wire _06927_;
+ wire _06928_;
+ wire _06929_;
+ wire _06930_;
+ wire _06931_;
+ wire _06932_;
+ wire _06933_;
+ wire _06934_;
+ wire _06935_;
+ wire _06936_;
+ wire _06937_;
+ wire _06938_;
+ wire _06939_;
+ wire _06940_;
+ wire _06941_;
+ wire _06942_;
+ wire _06943_;
+ wire _06944_;
+ wire _06945_;
+ wire _06946_;
+ wire _06947_;
+ wire _06948_;
+ wire _06949_;
+ wire _06950_;
+ wire _06951_;
+ wire _06952_;
+ wire _06953_;
+ wire _06954_;
+ wire _06955_;
+ wire _06956_;
+ wire _06957_;
+ wire _06958_;
+ wire _06959_;
+ wire _06960_;
+ wire _06961_;
+ wire _06962_;
+ wire _06963_;
+ wire _06964_;
+ wire _06965_;
+ wire _06966_;
+ wire _06967_;
+ wire _06968_;
+ wire _06969_;
+ wire _06970_;
+ wire _06971_;
+ wire _06972_;
+ wire _06973_;
+ wire _06974_;
+ wire _06975_;
+ wire _06976_;
+ wire _06977_;
+ wire _06978_;
+ wire _06979_;
+ wire _06980_;
+ wire _06981_;
+ wire _06982_;
+ wire _06983_;
+ wire _06984_;
+ wire _06985_;
+ wire _06986_;
+ wire _06987_;
+ wire _06988_;
+ wire _06989_;
+ wire _06990_;
+ wire _06991_;
+ wire _06992_;
+ wire _06993_;
+ wire _06994_;
+ wire _06995_;
+ wire _06996_;
+ wire _06997_;
+ wire _06998_;
+ wire _06999_;
+ wire _07000_;
+ wire _07001_;
+ wire _07002_;
+ wire _07003_;
+ wire _07004_;
+ wire _07005_;
+ wire _07006_;
+ wire _07007_;
+ wire _07008_;
+ wire _07009_;
+ wire _07010_;
+ wire _07011_;
+ wire _07012_;
+ wire _07013_;
+ wire _07014_;
+ wire _07015_;
+ wire _07016_;
+ wire _07017_;
+ wire _07018_;
+ wire _07019_;
+ wire _07020_;
+ wire _07021_;
+ wire _07022_;
+ wire _07023_;
+ wire _07024_;
+ wire _07025_;
+ wire _07026_;
+ wire _07027_;
+ wire _07028_;
+ wire _07029_;
+ wire _07030_;
+ wire _07031_;
+ wire _07032_;
+ wire _07033_;
+ wire _07034_;
+ wire _07035_;
+ wire _07036_;
+ wire _07037_;
+ wire _07038_;
+ wire _07039_;
+ wire _07040_;
+ wire _07041_;
+ wire _07042_;
+ wire _07043_;
+ wire _07044_;
+ wire _07045_;
+ wire _07046_;
+ wire _07047_;
+ wire _07048_;
+ wire _07049_;
+ wire _07050_;
+ wire _07051_;
+ wire _07052_;
+ wire _07053_;
+ wire _07054_;
+ wire _07055_;
+ wire _07056_;
+ wire _07057_;
+ wire _07058_;
+ wire _07059_;
+ wire _07060_;
+ wire _07061_;
+ wire _07062_;
+ wire _07063_;
+ wire _07064_;
+ wire _07065_;
+ wire _07066_;
+ wire _07067_;
+ wire _07068_;
+ wire _07069_;
+ wire _07070_;
+ wire _07071_;
+ wire _07072_;
+ wire _07073_;
+ wire _07074_;
+ wire _07075_;
+ wire _07076_;
+ wire _07077_;
+ wire _07078_;
+ wire _07079_;
+ wire _07080_;
+ wire _07081_;
+ wire _07082_;
+ wire _07083_;
+ wire _07084_;
+ wire _07085_;
+ wire _07086_;
+ wire _07087_;
+ wire _07088_;
+ wire _07089_;
+ wire _07090_;
+ wire _07091_;
+ wire _07092_;
+ wire _07093_;
+ wire _07094_;
+ wire _07095_;
+ wire _07096_;
+ wire _07097_;
+ wire _07098_;
+ wire _07099_;
+ wire _07100_;
+ wire _07101_;
+ wire _07102_;
+ wire _07103_;
+ wire _07104_;
+ wire _07105_;
+ wire _07106_;
+ wire _07107_;
+ wire _07108_;
+ wire _07109_;
+ wire _07110_;
+ wire _07111_;
+ wire _07112_;
+ wire _07113_;
+ wire _07114_;
+ wire _07115_;
+ wire _07116_;
+ wire _07117_;
+ wire _07118_;
+ wire _07119_;
+ wire _07120_;
+ wire _07121_;
+ wire _07122_;
+ wire _07123_;
+ wire _07124_;
+ wire _07125_;
+ wire _07126_;
+ wire _07127_;
+ wire _07128_;
+ wire _07129_;
+ wire _07130_;
+ wire _07131_;
+ wire _07132_;
+ wire _07133_;
+ wire _07134_;
+ wire _07135_;
+ wire _07136_;
+ wire _07137_;
+ wire _07138_;
+ wire _07139_;
+ wire _07140_;
+ wire _07141_;
+ wire _07142_;
+ wire _07143_;
+ wire _07144_;
+ wire _07145_;
+ wire _07146_;
+ wire _07147_;
+ wire _07148_;
+ wire _07149_;
+ wire _07150_;
+ wire _07151_;
+ wire _07152_;
+ wire _07153_;
+ wire _07154_;
+ wire _07155_;
+ wire _07156_;
+ wire _07157_;
+ wire _07158_;
+ wire _07159_;
+ wire _07160_;
+ wire _07161_;
+ wire _07162_;
+ wire _07163_;
+ wire _07164_;
+ wire _07165_;
+ wire _07166_;
+ wire _07167_;
+ wire _07168_;
+ wire _07169_;
+ wire _07170_;
+ wire _07171_;
+ wire _07172_;
+ wire _07173_;
+ wire _07174_;
+ wire _07175_;
+ wire _07176_;
+ wire _07177_;
+ wire _07178_;
+ wire _07179_;
+ wire _07180_;
+ wire _07181_;
+ wire _07182_;
+ wire _07183_;
+ wire _07184_;
+ wire _07185_;
+ wire _07186_;
+ wire _07187_;
+ wire _07188_;
+ wire _07189_;
+ wire _07190_;
+ wire _07191_;
+ wire _07192_;
+ wire _07193_;
+ wire _07194_;
+ wire _07195_;
+ wire _07196_;
+ wire _07197_;
+ wire _07198_;
+ wire _07199_;
+ wire _07200_;
+ wire _07201_;
+ wire _07202_;
+ wire _07203_;
+ wire _07204_;
+ wire _07205_;
+ wire _07206_;
+ wire _07207_;
+ wire _07208_;
+ wire _07209_;
+ wire _07210_;
+ wire _07211_;
+ wire _07212_;
+ wire _07213_;
+ wire _07214_;
+ wire _07215_;
+ wire _07216_;
+ wire _07217_;
+ wire _07218_;
+ wire _07219_;
+ wire _07220_;
+ wire _07221_;
+ wire _07222_;
+ wire _07223_;
+ wire _07224_;
+ wire _07225_;
+ wire _07226_;
+ wire _07227_;
+ wire _07228_;
+ wire _07229_;
+ wire _07230_;
+ wire _07231_;
+ wire _07232_;
+ wire _07233_;
+ wire _07234_;
+ wire _07235_;
+ wire _07236_;
+ wire _07237_;
+ wire _07238_;
+ wire _07239_;
+ wire _07240_;
+ wire _07241_;
+ wire _07242_;
+ wire _07243_;
+ wire _07244_;
+ wire _07245_;
+ wire _07246_;
+ wire _07247_;
+ wire _07248_;
+ wire _07249_;
+ wire _07250_;
+ wire _07251_;
+ wire _07252_;
+ wire _07253_;
+ wire _07254_;
+ wire _07255_;
+ wire _07256_;
+ wire _07257_;
+ wire _07258_;
+ wire _07259_;
+ wire _07260_;
+ wire _07261_;
+ wire _07262_;
+ wire _07263_;
+ wire _07264_;
+ wire _07265_;
+ wire _07266_;
+ wire _07267_;
+ wire _07268_;
+ wire _07269_;
+ wire _07270_;
+ wire _07271_;
+ wire _07272_;
+ wire _07273_;
+ wire _07274_;
+ wire _07275_;
+ wire _07276_;
+ wire _07277_;
+ wire _07278_;
+ wire _07279_;
+ wire _07280_;
+ wire _07281_;
+ wire _07282_;
+ wire _07283_;
+ wire _07284_;
+ wire _07285_;
+ wire _07286_;
+ wire _07287_;
+ wire _07288_;
+ wire _07289_;
+ wire _07290_;
+ wire _07291_;
+ wire _07292_;
+ wire _07293_;
+ wire _07294_;
+ wire _07295_;
+ wire _07296_;
+ wire _07297_;
+ wire _07298_;
+ wire _07299_;
+ wire _07300_;
+ wire _07301_;
+ wire _07302_;
+ wire _07303_;
+ wire _07304_;
+ wire _07305_;
+ wire _07306_;
+ wire _07307_;
+ wire _07308_;
+ wire _07309_;
+ wire _07310_;
+ wire _07311_;
+ wire _07312_;
+ wire _07313_;
+ wire _07314_;
+ wire _07315_;
+ wire _07316_;
+ wire _07317_;
+ wire _07318_;
+ wire _07319_;
+ wire _07320_;
+ wire _07321_;
+ wire _07322_;
+ wire _07323_;
+ wire _07324_;
+ wire _07325_;
+ wire _07326_;
+ wire _07327_;
+ wire _07328_;
+ wire _07329_;
+ wire _07330_;
+ wire _07331_;
+ wire _07332_;
+ wire _07333_;
+ wire _07334_;
+ wire _07335_;
+ wire _07336_;
+ wire _07337_;
+ wire _07338_;
+ wire _07339_;
+ wire _07340_;
+ wire _07341_;
+ wire _07342_;
+ wire _07343_;
+ wire _07344_;
+ wire _07345_;
+ wire _07346_;
+ wire _07347_;
+ wire _07348_;
+ wire _07349_;
+ wire _07350_;
+ wire _07351_;
+ wire _07352_;
+ wire _07353_;
+ wire _07354_;
+ wire _07355_;
+ wire _07356_;
+ wire _07357_;
+ wire _07358_;
+ wire _07359_;
+ wire _07360_;
+ wire _07361_;
+ wire _07362_;
+ wire _07363_;
+ wire _07364_;
+ wire _07365_;
+ wire _07366_;
+ wire _07367_;
+ wire _07368_;
+ wire _07369_;
+ wire _07370_;
+ wire _07371_;
+ wire _07372_;
+ wire _07373_;
+ wire _07374_;
+ wire _07375_;
+ wire _07376_;
+ wire _07377_;
+ wire _07378_;
+ wire _07379_;
+ wire _07380_;
+ wire _07381_;
+ wire _07382_;
+ wire _07383_;
+ wire _07384_;
+ wire _07385_;
+ wire _07386_;
+ wire _07387_;
+ wire _07388_;
+ wire _07389_;
+ wire _07390_;
+ wire _07391_;
+ wire _07392_;
+ wire _07393_;
+ wire _07394_;
+ wire _07395_;
+ wire _07396_;
+ wire _07397_;
+ wire _07398_;
+ wire _07399_;
+ wire _07400_;
+ wire _07401_;
+ wire _07402_;
+ wire _07403_;
+ wire _07404_;
+ wire _07405_;
+ wire _07406_;
+ wire _07407_;
+ wire _07408_;
+ wire _07409_;
+ wire _07410_;
+ wire _07411_;
+ wire _07412_;
+ wire _07413_;
+ wire _07414_;
+ wire _07415_;
+ wire _07416_;
+ wire _07417_;
+ wire _07418_;
+ wire _07419_;
+ wire _07420_;
+ wire _07421_;
+ wire _07422_;
+ wire _07423_;
+ wire _07424_;
+ wire _07425_;
+ wire _07426_;
+ wire _07427_;
+ wire _07428_;
+ wire _07429_;
+ wire _07430_;
+ wire _07431_;
+ wire _07432_;
+ wire _07433_;
+ wire _07434_;
+ wire _07435_;
+ wire _07436_;
+ wire _07437_;
+ wire _07438_;
+ wire _07439_;
+ wire _07440_;
+ wire _07441_;
+ wire _07442_;
+ wire _07443_;
+ wire _07444_;
+ wire _07445_;
+ wire _07446_;
+ wire _07447_;
+ wire _07448_;
+ wire _07449_;
+ wire _07450_;
+ wire _07451_;
+ wire _07452_;
+ wire _07453_;
+ wire _07454_;
+ wire _07455_;
+ wire _07456_;
+ wire _07457_;
+ wire _07458_;
+ wire _07459_;
+ wire _07460_;
+ wire _07461_;
+ wire _07462_;
+ wire _07463_;
+ wire _07464_;
+ wire _07465_;
+ wire _07466_;
+ wire _07467_;
+ wire _07468_;
+ wire _07469_;
+ wire _07470_;
+ wire _07471_;
+ wire _07472_;
+ wire _07473_;
+ wire _07474_;
+ wire _07475_;
+ wire _07476_;
+ wire _07477_;
+ wire _07478_;
+ wire _07479_;
+ wire _07480_;
+ wire _07481_;
wire clknet_0_wb_clk_i;
wire clknet_1_0_0_wb_clk_i;
wire clknet_1_0_1_wb_clk_i;
+ wire clknet_1_0_2_wb_clk_i;
wire clknet_1_1_0_wb_clk_i;
wire clknet_1_1_1_wb_clk_i;
+ wire clknet_1_1_2_wb_clk_i;
wire clknet_2_0_0_wb_clk_i;
wire clknet_2_0_1_wb_clk_i;
wire clknet_2_1_0_wb_clk_i;
@@ -6911,13 +7735,21 @@
wire clknet_2_3_0_wb_clk_i;
wire clknet_2_3_1_wb_clk_i;
wire clknet_3_0_0_wb_clk_i;
+ wire clknet_3_0_1_wb_clk_i;
wire clknet_3_1_0_wb_clk_i;
+ wire clknet_3_1_1_wb_clk_i;
wire clknet_3_2_0_wb_clk_i;
+ wire clknet_3_2_1_wb_clk_i;
wire clknet_3_3_0_wb_clk_i;
+ wire clknet_3_3_1_wb_clk_i;
wire clknet_3_4_0_wb_clk_i;
+ wire clknet_3_4_1_wb_clk_i;
wire clknet_3_5_0_wb_clk_i;
+ wire clknet_3_5_1_wb_clk_i;
wire clknet_3_6_0_wb_clk_i;
+ wire clknet_3_6_1_wb_clk_i;
wire clknet_3_7_0_wb_clk_i;
+ wire clknet_3_7_1_wb_clk_i;
wire clknet_4_0_0_wb_clk_i;
wire clknet_4_10_0_wb_clk_i;
wire clknet_4_11_0_wb_clk_i;
@@ -6934,38 +7766,6 @@
wire clknet_4_7_0_wb_clk_i;
wire clknet_4_8_0_wb_clk_i;
wire clknet_4_9_0_wb_clk_i;
- wire clknet_5_0_0_wb_clk_i;
- wire clknet_5_10_0_wb_clk_i;
- wire clknet_5_11_0_wb_clk_i;
- wire clknet_5_12_0_wb_clk_i;
- wire clknet_5_13_0_wb_clk_i;
- wire clknet_5_14_0_wb_clk_i;
- wire clknet_5_15_0_wb_clk_i;
- wire clknet_5_16_0_wb_clk_i;
- wire clknet_5_17_0_wb_clk_i;
- wire clknet_5_18_0_wb_clk_i;
- wire clknet_5_19_0_wb_clk_i;
- wire clknet_5_1_0_wb_clk_i;
- wire clknet_5_20_0_wb_clk_i;
- wire clknet_5_21_0_wb_clk_i;
- wire clknet_5_22_0_wb_clk_i;
- wire clknet_5_23_0_wb_clk_i;
- wire clknet_5_24_0_wb_clk_i;
- wire clknet_5_25_0_wb_clk_i;
- wire clknet_5_26_0_wb_clk_i;
- wire clknet_5_27_0_wb_clk_i;
- wire clknet_5_28_0_wb_clk_i;
- wire clknet_5_29_0_wb_clk_i;
- wire clknet_5_2_0_wb_clk_i;
- wire clknet_5_30_0_wb_clk_i;
- wire clknet_5_31_0_wb_clk_i;
- wire clknet_5_3_0_wb_clk_i;
- wire clknet_5_4_0_wb_clk_i;
- wire clknet_5_5_0_wb_clk_i;
- wire clknet_5_6_0_wb_clk_i;
- wire clknet_5_7_0_wb_clk_i;
- wire clknet_5_8_0_wb_clk_i;
- wire clknet_5_9_0_wb_clk_i;
wire clknet_leaf_0_wb_clk_i;
wire clknet_leaf_100_wb_clk_i;
wire clknet_leaf_101_wb_clk_i;
@@ -6984,6 +7784,7 @@
wire clknet_leaf_113_wb_clk_i;
wire clknet_leaf_114_wb_clk_i;
wire clknet_leaf_115_wb_clk_i;
+ wire clknet_leaf_116_wb_clk_i;
wire clknet_leaf_117_wb_clk_i;
wire clknet_leaf_118_wb_clk_i;
wire clknet_leaf_119_wb_clk_i;
@@ -7005,6 +7806,7 @@
wire clknet_leaf_133_wb_clk_i;
wire clknet_leaf_134_wb_clk_i;
wire clknet_leaf_135_wb_clk_i;
+ wire clknet_leaf_136_wb_clk_i;
wire clknet_leaf_137_wb_clk_i;
wire clknet_leaf_138_wb_clk_i;
wire clknet_leaf_139_wb_clk_i;
@@ -7084,75 +7886,13 @@
wire clknet_leaf_205_wb_clk_i;
wire clknet_leaf_206_wb_clk_i;
wire clknet_leaf_207_wb_clk_i;
- wire clknet_leaf_208_wb_clk_i;
- wire clknet_leaf_209_wb_clk_i;
wire clknet_leaf_20_wb_clk_i;
- wire clknet_leaf_210_wb_clk_i;
- wire clknet_leaf_211_wb_clk_i;
- wire clknet_leaf_212_wb_clk_i;
- wire clknet_leaf_213_wb_clk_i;
- wire clknet_leaf_214_wb_clk_i;
- wire clknet_leaf_215_wb_clk_i;
- wire clknet_leaf_216_wb_clk_i;
- wire clknet_leaf_217_wb_clk_i;
- wire clknet_leaf_218_wb_clk_i;
- wire clknet_leaf_219_wb_clk_i;
wire clknet_leaf_21_wb_clk_i;
- wire clknet_leaf_220_wb_clk_i;
- wire clknet_leaf_221_wb_clk_i;
- wire clknet_leaf_222_wb_clk_i;
- wire clknet_leaf_224_wb_clk_i;
- wire clknet_leaf_225_wb_clk_i;
- wire clknet_leaf_226_wb_clk_i;
- wire clknet_leaf_227_wb_clk_i;
- wire clknet_leaf_228_wb_clk_i;
wire clknet_leaf_22_wb_clk_i;
- wire clknet_leaf_230_wb_clk_i;
- wire clknet_leaf_231_wb_clk_i;
- wire clknet_leaf_232_wb_clk_i;
- wire clknet_leaf_233_wb_clk_i;
- wire clknet_leaf_234_wb_clk_i;
- wire clknet_leaf_235_wb_clk_i;
- wire clknet_leaf_236_wb_clk_i;
- wire clknet_leaf_237_wb_clk_i;
- wire clknet_leaf_238_wb_clk_i;
- wire clknet_leaf_239_wb_clk_i;
wire clknet_leaf_23_wb_clk_i;
- wire clknet_leaf_240_wb_clk_i;
- wire clknet_leaf_241_wb_clk_i;
- wire clknet_leaf_242_wb_clk_i;
- wire clknet_leaf_243_wb_clk_i;
- wire clknet_leaf_245_wb_clk_i;
- wire clknet_leaf_246_wb_clk_i;
- wire clknet_leaf_247_wb_clk_i;
- wire clknet_leaf_248_wb_clk_i;
- wire clknet_leaf_249_wb_clk_i;
wire clknet_leaf_24_wb_clk_i;
- wire clknet_leaf_250_wb_clk_i;
- wire clknet_leaf_251_wb_clk_i;
- wire clknet_leaf_252_wb_clk_i;
- wire clknet_leaf_253_wb_clk_i;
- wire clknet_leaf_254_wb_clk_i;
- wire clknet_leaf_255_wb_clk_i;
- wire clknet_leaf_256_wb_clk_i;
- wire clknet_leaf_257_wb_clk_i;
- wire clknet_leaf_258_wb_clk_i;
- wire clknet_leaf_259_wb_clk_i;
wire clknet_leaf_25_wb_clk_i;
- wire clknet_leaf_260_wb_clk_i;
- wire clknet_leaf_261_wb_clk_i;
- wire clknet_leaf_262_wb_clk_i;
- wire clknet_leaf_263_wb_clk_i;
- wire clknet_leaf_264_wb_clk_i;
- wire clknet_leaf_265_wb_clk_i;
- wire clknet_leaf_266_wb_clk_i;
- wire clknet_leaf_267_wb_clk_i;
- wire clknet_leaf_268_wb_clk_i;
- wire clknet_leaf_269_wb_clk_i;
wire clknet_leaf_26_wb_clk_i;
- wire clknet_leaf_270_wb_clk_i;
- wire clknet_leaf_271_wb_clk_i;
- wire clknet_leaf_272_wb_clk_i;
wire clknet_leaf_27_wb_clk_i;
wire clknet_leaf_28_wb_clk_i;
wire clknet_leaf_29_wb_clk_i;
@@ -7166,6 +7906,7 @@
wire clknet_leaf_36_wb_clk_i;
wire clknet_leaf_37_wb_clk_i;
wire clknet_leaf_38_wb_clk_i;
+ wire clknet_leaf_39_wb_clk_i;
wire clknet_leaf_3_wb_clk_i;
wire clknet_leaf_40_wb_clk_i;
wire clknet_leaf_41_wb_clk_i;
@@ -7179,6 +7920,7 @@
wire clknet_leaf_49_wb_clk_i;
wire clknet_leaf_4_wb_clk_i;
wire clknet_leaf_50_wb_clk_i;
+ wire clknet_leaf_51_wb_clk_i;
wire clknet_leaf_52_wb_clk_i;
wire clknet_leaf_53_wb_clk_i;
wire clknet_leaf_54_wb_clk_i;
@@ -7232,7 +7974,18 @@
wire clknet_leaf_98_wb_clk_i;
wire clknet_leaf_99_wb_clk_i;
wire clknet_leaf_9_wb_clk_i;
+ wire clknet_opt_10_0_wb_clk_i;
+ wire clknet_opt_11_0_wb_clk_i;
wire clknet_opt_1_0_wb_clk_i;
+ wire clknet_opt_2_0_wb_clk_i;
+ wire clknet_opt_2_1_wb_clk_i;
+ wire clknet_opt_3_0_wb_clk_i;
+ wire clknet_opt_4_0_wb_clk_i;
+ wire clknet_opt_5_0_wb_clk_i;
+ wire clknet_opt_6_0_wb_clk_i;
+ wire clknet_opt_7_0_wb_clk_i;
+ wire clknet_opt_8_0_wb_clk_i;
+ wire clknet_opt_9_0_wb_clk_i;
wire \i_nec_ir_receiver.divider[0] ;
wire \i_nec_ir_receiver.divider[10] ;
wire \i_nec_ir_receiver.divider[11] ;
@@ -7254,7 +8007,6 @@
wire \i_nec_ir_receiver.divider[8] ;
wire \i_nec_ir_receiver.divider[9] ;
wire \i_nec_ir_receiver.event_delay[10] ;
- wire \i_nec_ir_receiver.event_delay[11] ;
wire \i_nec_ir_receiver.event_delay[1] ;
wire \i_nec_ir_receiver.event_delay[2] ;
wire \i_nec_ir_receiver.event_delay[3] ;
@@ -7289,7 +8041,6 @@
wire \i_nec_ir_receiver.i_event_catcher.clear_n ;
wire \i_nec_ir_receiver.i_event_catcher.cnt[0] ;
wire \i_nec_ir_receiver.i_event_catcher.cnt[10] ;
- wire \i_nec_ir_receiver.i_event_catcher.cnt[11] ;
wire \i_nec_ir_receiver.i_event_catcher.cnt[1] ;
wire \i_nec_ir_receiver.i_event_catcher.cnt[2] ;
wire \i_nec_ir_receiver.i_event_catcher.cnt[3] ;
@@ -7701,10 +8452,185 @@
wire \i_nec_ir_receiver.i_prescaler.counter[7] ;
wire \i_nec_ir_receiver.i_prescaler.counter[8] ;
wire \i_nec_ir_receiver.i_prescaler.counter[9] ;
+ wire \i_nec_ir_receiver.i_prescaler.div[0] ;
+ wire \i_nec_ir_receiver.i_prescaler.div[10] ;
+ wire \i_nec_ir_receiver.i_prescaler.div[11] ;
+ wire \i_nec_ir_receiver.i_prescaler.div[12] ;
+ wire \i_nec_ir_receiver.i_prescaler.div[13] ;
+ wire \i_nec_ir_receiver.i_prescaler.div[14] ;
+ wire \i_nec_ir_receiver.i_prescaler.div[15] ;
+ wire \i_nec_ir_receiver.i_prescaler.div[16] ;
+ wire \i_nec_ir_receiver.i_prescaler.div[17] ;
+ wire \i_nec_ir_receiver.i_prescaler.div[18] ;
+ wire \i_nec_ir_receiver.i_prescaler.div[19] ;
+ wire \i_nec_ir_receiver.i_prescaler.div[1] ;
+ wire \i_nec_ir_receiver.i_prescaler.div[2] ;
+ wire \i_nec_ir_receiver.i_prescaler.div[3] ;
+ wire \i_nec_ir_receiver.i_prescaler.div[4] ;
+ wire \i_nec_ir_receiver.i_prescaler.div[5] ;
+ wire \i_nec_ir_receiver.i_prescaler.div[6] ;
+ wire \i_nec_ir_receiver.i_prescaler.div[7] ;
+ wire \i_nec_ir_receiver.i_prescaler.div[8] ;
+ wire \i_nec_ir_receiver.i_prescaler.div[9] ;
+ wire \i_nec_ir_receiver.i_prescaler.div_m_mul[0] ;
+ wire \i_nec_ir_receiver.i_prescaler.div_m_mul[10] ;
+ wire \i_nec_ir_receiver.i_prescaler.div_m_mul[11] ;
+ wire \i_nec_ir_receiver.i_prescaler.div_m_mul[12] ;
+ wire \i_nec_ir_receiver.i_prescaler.div_m_mul[13] ;
+ wire \i_nec_ir_receiver.i_prescaler.div_m_mul[14] ;
+ wire \i_nec_ir_receiver.i_prescaler.div_m_mul[15] ;
+ wire \i_nec_ir_receiver.i_prescaler.div_m_mul[16] ;
+ wire \i_nec_ir_receiver.i_prescaler.div_m_mul[17] ;
+ wire \i_nec_ir_receiver.i_prescaler.div_m_mul[18] ;
+ wire \i_nec_ir_receiver.i_prescaler.div_m_mul[19] ;
+ wire \i_nec_ir_receiver.i_prescaler.div_m_mul[1] ;
+ wire \i_nec_ir_receiver.i_prescaler.div_m_mul[2] ;
+ wire \i_nec_ir_receiver.i_prescaler.div_m_mul[3] ;
+ wire \i_nec_ir_receiver.i_prescaler.div_m_mul[4] ;
+ wire \i_nec_ir_receiver.i_prescaler.div_m_mul[5] ;
+ wire \i_nec_ir_receiver.i_prescaler.div_m_mul[6] ;
+ wire \i_nec_ir_receiver.i_prescaler.div_m_mul[7] ;
+ wire \i_nec_ir_receiver.i_prescaler.div_m_mul[8] ;
+ wire \i_nec_ir_receiver.i_prescaler.div_m_mul[9] ;
+ wire \i_nec_ir_receiver.i_prescaler.mul[0] ;
+ wire \i_nec_ir_receiver.i_prescaler.mul[10] ;
+ wire \i_nec_ir_receiver.i_prescaler.mul[11] ;
+ wire \i_nec_ir_receiver.i_prescaler.mul[12] ;
+ wire \i_nec_ir_receiver.i_prescaler.mul[13] ;
+ wire \i_nec_ir_receiver.i_prescaler.mul[14] ;
+ wire \i_nec_ir_receiver.i_prescaler.mul[15] ;
+ wire \i_nec_ir_receiver.i_prescaler.mul[16] ;
+ wire \i_nec_ir_receiver.i_prescaler.mul[17] ;
+ wire \i_nec_ir_receiver.i_prescaler.mul[18] ;
+ wire \i_nec_ir_receiver.i_prescaler.mul[19] ;
+ wire \i_nec_ir_receiver.i_prescaler.mul[1] ;
+ wire \i_nec_ir_receiver.i_prescaler.mul[2] ;
+ wire \i_nec_ir_receiver.i_prescaler.mul[3] ;
+ wire \i_nec_ir_receiver.i_prescaler.mul[4] ;
+ wire \i_nec_ir_receiver.i_prescaler.mul[5] ;
+ wire \i_nec_ir_receiver.i_prescaler.mul[6] ;
+ wire \i_nec_ir_receiver.i_prescaler.mul[7] ;
+ wire \i_nec_ir_receiver.i_prescaler.mul[8] ;
+ wire \i_nec_ir_receiver.i_prescaler.mul[9] ;
+ wire \i_nec_ir_receiver.i_prescaler.mul_m_div[10] ;
+ wire \i_nec_ir_receiver.i_prescaler.mul_m_div[11] ;
+ wire \i_nec_ir_receiver.i_prescaler.mul_m_div[12] ;
+ wire \i_nec_ir_receiver.i_prescaler.mul_m_div[13] ;
+ wire \i_nec_ir_receiver.i_prescaler.mul_m_div[14] ;
+ wire \i_nec_ir_receiver.i_prescaler.mul_m_div[15] ;
+ wire \i_nec_ir_receiver.i_prescaler.mul_m_div[16] ;
+ wire \i_nec_ir_receiver.i_prescaler.mul_m_div[17] ;
+ wire \i_nec_ir_receiver.i_prescaler.mul_m_div[18] ;
+ wire \i_nec_ir_receiver.i_prescaler.mul_m_div[19] ;
+ wire \i_nec_ir_receiver.i_prescaler.mul_m_div[1] ;
+ wire \i_nec_ir_receiver.i_prescaler.mul_m_div[2] ;
+ wire \i_nec_ir_receiver.i_prescaler.mul_m_div[3] ;
+ wire \i_nec_ir_receiver.i_prescaler.mul_m_div[4] ;
+ wire \i_nec_ir_receiver.i_prescaler.mul_m_div[5] ;
+ wire \i_nec_ir_receiver.i_prescaler.mul_m_div[6] ;
+ wire \i_nec_ir_receiver.i_prescaler.mul_m_div[7] ;
+ wire \i_nec_ir_receiver.i_prescaler.mul_m_div[8] ;
+ wire \i_nec_ir_receiver.i_prescaler.mul_m_div[9] ;
wire \i_nec_ir_receiver.i_prescaler.tick ;
wire \i_nec_ir_receiver.i_pulse_filter.filter_reg[0] ;
wire \i_nec_ir_receiver.i_pulse_filter.filter_reg[1] ;
wire \i_nec_ir_receiver.i_pulse_filter.filter_reg[2] ;
+ wire \i_pseudorandom.i_xoroshiro_64_plus_plus.n0[0] ;
+ wire \i_pseudorandom.i_xoroshiro_64_plus_plus.n0[10] ;
+ wire \i_pseudorandom.i_xoroshiro_64_plus_plus.n0[11] ;
+ wire \i_pseudorandom.i_xoroshiro_64_plus_plus.n0[12] ;
+ wire \i_pseudorandom.i_xoroshiro_64_plus_plus.n0[13] ;
+ wire \i_pseudorandom.i_xoroshiro_64_plus_plus.n0[14] ;
+ wire \i_pseudorandom.i_xoroshiro_64_plus_plus.n0[15] ;
+ wire \i_pseudorandom.i_xoroshiro_64_plus_plus.n0[16] ;
+ wire \i_pseudorandom.i_xoroshiro_64_plus_plus.n0[17] ;
+ wire \i_pseudorandom.i_xoroshiro_64_plus_plus.n0[18] ;
+ wire \i_pseudorandom.i_xoroshiro_64_plus_plus.n0[19] ;
+ wire \i_pseudorandom.i_xoroshiro_64_plus_plus.n0[1] ;
+ wire \i_pseudorandom.i_xoroshiro_64_plus_plus.n0[20] ;
+ wire \i_pseudorandom.i_xoroshiro_64_plus_plus.n0[21] ;
+ wire \i_pseudorandom.i_xoroshiro_64_plus_plus.n0[22] ;
+ wire \i_pseudorandom.i_xoroshiro_64_plus_plus.n0[23] ;
+ wire \i_pseudorandom.i_xoroshiro_64_plus_plus.n0[24] ;
+ wire \i_pseudorandom.i_xoroshiro_64_plus_plus.n0[25] ;
+ wire \i_pseudorandom.i_xoroshiro_64_plus_plus.n0[26] ;
+ wire \i_pseudorandom.i_xoroshiro_64_plus_plus.n0[27] ;
+ wire \i_pseudorandom.i_xoroshiro_64_plus_plus.n0[28] ;
+ wire \i_pseudorandom.i_xoroshiro_64_plus_plus.n0[29] ;
+ wire \i_pseudorandom.i_xoroshiro_64_plus_plus.n0[2] ;
+ wire \i_pseudorandom.i_xoroshiro_64_plus_plus.n0[30] ;
+ wire \i_pseudorandom.i_xoroshiro_64_plus_plus.n0[31] ;
+ wire \i_pseudorandom.i_xoroshiro_64_plus_plus.n0[3] ;
+ wire \i_pseudorandom.i_xoroshiro_64_plus_plus.n0[4] ;
+ wire \i_pseudorandom.i_xoroshiro_64_plus_plus.n0[5] ;
+ wire \i_pseudorandom.i_xoroshiro_64_plus_plus.n0[6] ;
+ wire \i_pseudorandom.i_xoroshiro_64_plus_plus.n0[7] ;
+ wire \i_pseudorandom.i_xoroshiro_64_plus_plus.n0[8] ;
+ wire \i_pseudorandom.i_xoroshiro_64_plus_plus.n0[9] ;
+ wire \i_pseudorandom.i_xoroshiro_64_plus_plus.n1[0] ;
+ wire \i_pseudorandom.i_xoroshiro_64_plus_plus.n1[10] ;
+ wire \i_pseudorandom.i_xoroshiro_64_plus_plus.n1[11] ;
+ wire \i_pseudorandom.i_xoroshiro_64_plus_plus.n1[12] ;
+ wire \i_pseudorandom.i_xoroshiro_64_plus_plus.n1[13] ;
+ wire \i_pseudorandom.i_xoroshiro_64_plus_plus.n1[14] ;
+ wire \i_pseudorandom.i_xoroshiro_64_plus_plus.n1[15] ;
+ wire \i_pseudorandom.i_xoroshiro_64_plus_plus.n1[16] ;
+ wire \i_pseudorandom.i_xoroshiro_64_plus_plus.n1[17] ;
+ wire \i_pseudorandom.i_xoroshiro_64_plus_plus.n1[18] ;
+ wire \i_pseudorandom.i_xoroshiro_64_plus_plus.n1[19] ;
+ wire \i_pseudorandom.i_xoroshiro_64_plus_plus.n1[1] ;
+ wire \i_pseudorandom.i_xoroshiro_64_plus_plus.n1[20] ;
+ wire \i_pseudorandom.i_xoroshiro_64_plus_plus.n1[21] ;
+ wire \i_pseudorandom.i_xoroshiro_64_plus_plus.n1[22] ;
+ wire \i_pseudorandom.i_xoroshiro_64_plus_plus.n1[23] ;
+ wire \i_pseudorandom.i_xoroshiro_64_plus_plus.n1[24] ;
+ wire \i_pseudorandom.i_xoroshiro_64_plus_plus.n1[25] ;
+ wire \i_pseudorandom.i_xoroshiro_64_plus_plus.n1[26] ;
+ wire \i_pseudorandom.i_xoroshiro_64_plus_plus.n1[27] ;
+ wire \i_pseudorandom.i_xoroshiro_64_plus_plus.n1[28] ;
+ wire \i_pseudorandom.i_xoroshiro_64_plus_plus.n1[29] ;
+ wire \i_pseudorandom.i_xoroshiro_64_plus_plus.n1[2] ;
+ wire \i_pseudorandom.i_xoroshiro_64_plus_plus.n1[30] ;
+ wire \i_pseudorandom.i_xoroshiro_64_plus_plus.n1[31] ;
+ wire \i_pseudorandom.i_xoroshiro_64_plus_plus.n1[3] ;
+ wire \i_pseudorandom.i_xoroshiro_64_plus_plus.n1[4] ;
+ wire \i_pseudorandom.i_xoroshiro_64_plus_plus.n1[5] ;
+ wire \i_pseudorandom.i_xoroshiro_64_plus_plus.n1[6] ;
+ wire \i_pseudorandom.i_xoroshiro_64_plus_plus.n1[7] ;
+ wire \i_pseudorandom.i_xoroshiro_64_plus_plus.n1[8] ;
+ wire \i_pseudorandom.i_xoroshiro_64_plus_plus.n1[9] ;
+ wire \i_pseudorandom.i_xoroshiro_64_plus_plus.n1_plus_n0[0] ;
+ wire \i_pseudorandom.i_xoroshiro_64_plus_plus.n1_plus_n0[10] ;
+ wire \i_pseudorandom.i_xoroshiro_64_plus_plus.n1_plus_n0[11] ;
+ wire \i_pseudorandom.i_xoroshiro_64_plus_plus.n1_plus_n0[12] ;
+ wire \i_pseudorandom.i_xoroshiro_64_plus_plus.n1_plus_n0[13] ;
+ wire \i_pseudorandom.i_xoroshiro_64_plus_plus.n1_plus_n0[14] ;
+ wire \i_pseudorandom.i_xoroshiro_64_plus_plus.n1_plus_n0[15] ;
+ wire \i_pseudorandom.i_xoroshiro_64_plus_plus.n1_plus_n0[16] ;
+ wire \i_pseudorandom.i_xoroshiro_64_plus_plus.n1_plus_n0[17] ;
+ wire \i_pseudorandom.i_xoroshiro_64_plus_plus.n1_plus_n0[18] ;
+ wire \i_pseudorandom.i_xoroshiro_64_plus_plus.n1_plus_n0[19] ;
+ wire \i_pseudorandom.i_xoroshiro_64_plus_plus.n1_plus_n0[1] ;
+ wire \i_pseudorandom.i_xoroshiro_64_plus_plus.n1_plus_n0[20] ;
+ wire \i_pseudorandom.i_xoroshiro_64_plus_plus.n1_plus_n0[21] ;
+ wire \i_pseudorandom.i_xoroshiro_64_plus_plus.n1_plus_n0[22] ;
+ wire \i_pseudorandom.i_xoroshiro_64_plus_plus.n1_plus_n0[23] ;
+ wire \i_pseudorandom.i_xoroshiro_64_plus_plus.n1_plus_n0[24] ;
+ wire \i_pseudorandom.i_xoroshiro_64_plus_plus.n1_plus_n0[25] ;
+ wire \i_pseudorandom.i_xoroshiro_64_plus_plus.n1_plus_n0[26] ;
+ wire \i_pseudorandom.i_xoroshiro_64_plus_plus.n1_plus_n0[27] ;
+ wire \i_pseudorandom.i_xoroshiro_64_plus_plus.n1_plus_n0[28] ;
+ wire \i_pseudorandom.i_xoroshiro_64_plus_plus.n1_plus_n0[29] ;
+ wire \i_pseudorandom.i_xoroshiro_64_plus_plus.n1_plus_n0[2] ;
+ wire \i_pseudorandom.i_xoroshiro_64_plus_plus.n1_plus_n0[30] ;
+ wire \i_pseudorandom.i_xoroshiro_64_plus_plus.n1_plus_n0[31] ;
+ wire \i_pseudorandom.i_xoroshiro_64_plus_plus.n1_plus_n0[3] ;
+ wire \i_pseudorandom.i_xoroshiro_64_plus_plus.n1_plus_n0[4] ;
+ wire \i_pseudorandom.i_xoroshiro_64_plus_plus.n1_plus_n0[5] ;
+ wire \i_pseudorandom.i_xoroshiro_64_plus_plus.n1_plus_n0[6] ;
+ wire \i_pseudorandom.i_xoroshiro_64_plus_plus.n1_plus_n0[7] ;
+ wire \i_pseudorandom.i_xoroshiro_64_plus_plus.n1_plus_n0[8] ;
+ wire \i_pseudorandom.i_xoroshiro_64_plus_plus.n1_plus_n0[9] ;
wire \i_pseudorandom.i_xoroshiro_64_plus_plus.next ;
wire \i_pseudorandom.i_xoroshiro_64_plus_plus.s0[0] ;
wire \i_pseudorandom.i_xoroshiro_64_plus_plus.s0[10] ;
@@ -7770,6 +8696,38 @@
wire \i_pseudorandom.i_xoroshiro_64_plus_plus.s1[7] ;
wire \i_pseudorandom.i_xoroshiro_64_plus_plus.s1[8] ;
wire \i_pseudorandom.i_xoroshiro_64_plus_plus.s1[9] ;
+ wire \i_pseudorandom.i_xoroshiro_64_plus_plus.s1_xor_s0[0] ;
+ wire \i_pseudorandom.i_xoroshiro_64_plus_plus.s1_xor_s0[10] ;
+ wire \i_pseudorandom.i_xoroshiro_64_plus_plus.s1_xor_s0[11] ;
+ wire \i_pseudorandom.i_xoroshiro_64_plus_plus.s1_xor_s0[12] ;
+ wire \i_pseudorandom.i_xoroshiro_64_plus_plus.s1_xor_s0[13] ;
+ wire \i_pseudorandom.i_xoroshiro_64_plus_plus.s1_xor_s0[14] ;
+ wire \i_pseudorandom.i_xoroshiro_64_plus_plus.s1_xor_s0[15] ;
+ wire \i_pseudorandom.i_xoroshiro_64_plus_plus.s1_xor_s0[16] ;
+ wire \i_pseudorandom.i_xoroshiro_64_plus_plus.s1_xor_s0[17] ;
+ wire \i_pseudorandom.i_xoroshiro_64_plus_plus.s1_xor_s0[18] ;
+ wire \i_pseudorandom.i_xoroshiro_64_plus_plus.s1_xor_s0[19] ;
+ wire \i_pseudorandom.i_xoroshiro_64_plus_plus.s1_xor_s0[1] ;
+ wire \i_pseudorandom.i_xoroshiro_64_plus_plus.s1_xor_s0[20] ;
+ wire \i_pseudorandom.i_xoroshiro_64_plus_plus.s1_xor_s0[21] ;
+ wire \i_pseudorandom.i_xoroshiro_64_plus_plus.s1_xor_s0[22] ;
+ wire \i_pseudorandom.i_xoroshiro_64_plus_plus.s1_xor_s0[23] ;
+ wire \i_pseudorandom.i_xoroshiro_64_plus_plus.s1_xor_s0[24] ;
+ wire \i_pseudorandom.i_xoroshiro_64_plus_plus.s1_xor_s0[25] ;
+ wire \i_pseudorandom.i_xoroshiro_64_plus_plus.s1_xor_s0[26] ;
+ wire \i_pseudorandom.i_xoroshiro_64_plus_plus.s1_xor_s0[27] ;
+ wire \i_pseudorandom.i_xoroshiro_64_plus_plus.s1_xor_s0[28] ;
+ wire \i_pseudorandom.i_xoroshiro_64_plus_plus.s1_xor_s0[29] ;
+ wire \i_pseudorandom.i_xoroshiro_64_plus_plus.s1_xor_s0[2] ;
+ wire \i_pseudorandom.i_xoroshiro_64_plus_plus.s1_xor_s0[30] ;
+ wire \i_pseudorandom.i_xoroshiro_64_plus_plus.s1_xor_s0[31] ;
+ wire \i_pseudorandom.i_xoroshiro_64_plus_plus.s1_xor_s0[3] ;
+ wire \i_pseudorandom.i_xoroshiro_64_plus_plus.s1_xor_s0[4] ;
+ wire \i_pseudorandom.i_xoroshiro_64_plus_plus.s1_xor_s0[5] ;
+ wire \i_pseudorandom.i_xoroshiro_64_plus_plus.s1_xor_s0[6] ;
+ wire \i_pseudorandom.i_xoroshiro_64_plus_plus.s1_xor_s0[7] ;
+ wire \i_pseudorandom.i_xoroshiro_64_plus_plus.s1_xor_s0[8] ;
+ wire \i_pseudorandom.i_xoroshiro_64_plus_plus.s1_xor_s0[9] ;
wire \i_pseudorandom.wbs_dat_o[0] ;
wire \i_pseudorandom.wbs_dat_o[10] ;
wire \i_pseudorandom.wbs_dat_o[11] ;
@@ -7902,6 +8860,85 @@
wire \i_step_motor_controller.i_prescaler.counter[7] ;
wire \i_step_motor_controller.i_prescaler.counter[8] ;
wire \i_step_motor_controller.i_prescaler.counter[9] ;
+ wire \i_step_motor_controller.i_prescaler.div[0] ;
+ wire \i_step_motor_controller.i_prescaler.div[10] ;
+ wire \i_step_motor_controller.i_prescaler.div[11] ;
+ wire \i_step_motor_controller.i_prescaler.div[12] ;
+ wire \i_step_motor_controller.i_prescaler.div[13] ;
+ wire \i_step_motor_controller.i_prescaler.div[14] ;
+ wire \i_step_motor_controller.i_prescaler.div[15] ;
+ wire \i_step_motor_controller.i_prescaler.div[16] ;
+ wire \i_step_motor_controller.i_prescaler.div[17] ;
+ wire \i_step_motor_controller.i_prescaler.div[18] ;
+ wire \i_step_motor_controller.i_prescaler.div[19] ;
+ wire \i_step_motor_controller.i_prescaler.div[1] ;
+ wire \i_step_motor_controller.i_prescaler.div[2] ;
+ wire \i_step_motor_controller.i_prescaler.div[3] ;
+ wire \i_step_motor_controller.i_prescaler.div[4] ;
+ wire \i_step_motor_controller.i_prescaler.div[5] ;
+ wire \i_step_motor_controller.i_prescaler.div[6] ;
+ wire \i_step_motor_controller.i_prescaler.div[7] ;
+ wire \i_step_motor_controller.i_prescaler.div[8] ;
+ wire \i_step_motor_controller.i_prescaler.div[9] ;
+ wire \i_step_motor_controller.i_prescaler.div_m_mul[0] ;
+ wire \i_step_motor_controller.i_prescaler.div_m_mul[10] ;
+ wire \i_step_motor_controller.i_prescaler.div_m_mul[11] ;
+ wire \i_step_motor_controller.i_prescaler.div_m_mul[12] ;
+ wire \i_step_motor_controller.i_prescaler.div_m_mul[13] ;
+ wire \i_step_motor_controller.i_prescaler.div_m_mul[14] ;
+ wire \i_step_motor_controller.i_prescaler.div_m_mul[15] ;
+ wire \i_step_motor_controller.i_prescaler.div_m_mul[16] ;
+ wire \i_step_motor_controller.i_prescaler.div_m_mul[17] ;
+ wire \i_step_motor_controller.i_prescaler.div_m_mul[18] ;
+ wire \i_step_motor_controller.i_prescaler.div_m_mul[19] ;
+ wire \i_step_motor_controller.i_prescaler.div_m_mul[1] ;
+ wire \i_step_motor_controller.i_prescaler.div_m_mul[2] ;
+ wire \i_step_motor_controller.i_prescaler.div_m_mul[3] ;
+ wire \i_step_motor_controller.i_prescaler.div_m_mul[4] ;
+ wire \i_step_motor_controller.i_prescaler.div_m_mul[5] ;
+ wire \i_step_motor_controller.i_prescaler.div_m_mul[6] ;
+ wire \i_step_motor_controller.i_prescaler.div_m_mul[7] ;
+ wire \i_step_motor_controller.i_prescaler.div_m_mul[8] ;
+ wire \i_step_motor_controller.i_prescaler.div_m_mul[9] ;
+ wire \i_step_motor_controller.i_prescaler.mul[0] ;
+ wire \i_step_motor_controller.i_prescaler.mul[10] ;
+ wire \i_step_motor_controller.i_prescaler.mul[11] ;
+ wire \i_step_motor_controller.i_prescaler.mul[12] ;
+ wire \i_step_motor_controller.i_prescaler.mul[13] ;
+ wire \i_step_motor_controller.i_prescaler.mul[14] ;
+ wire \i_step_motor_controller.i_prescaler.mul[15] ;
+ wire \i_step_motor_controller.i_prescaler.mul[16] ;
+ wire \i_step_motor_controller.i_prescaler.mul[17] ;
+ wire \i_step_motor_controller.i_prescaler.mul[18] ;
+ wire \i_step_motor_controller.i_prescaler.mul[19] ;
+ wire \i_step_motor_controller.i_prescaler.mul[1] ;
+ wire \i_step_motor_controller.i_prescaler.mul[2] ;
+ wire \i_step_motor_controller.i_prescaler.mul[3] ;
+ wire \i_step_motor_controller.i_prescaler.mul[4] ;
+ wire \i_step_motor_controller.i_prescaler.mul[5] ;
+ wire \i_step_motor_controller.i_prescaler.mul[6] ;
+ wire \i_step_motor_controller.i_prescaler.mul[7] ;
+ wire \i_step_motor_controller.i_prescaler.mul[8] ;
+ wire \i_step_motor_controller.i_prescaler.mul[9] ;
+ wire \i_step_motor_controller.i_prescaler.mul_m_div[10] ;
+ wire \i_step_motor_controller.i_prescaler.mul_m_div[11] ;
+ wire \i_step_motor_controller.i_prescaler.mul_m_div[12] ;
+ wire \i_step_motor_controller.i_prescaler.mul_m_div[13] ;
+ wire \i_step_motor_controller.i_prescaler.mul_m_div[14] ;
+ wire \i_step_motor_controller.i_prescaler.mul_m_div[15] ;
+ wire \i_step_motor_controller.i_prescaler.mul_m_div[16] ;
+ wire \i_step_motor_controller.i_prescaler.mul_m_div[17] ;
+ wire \i_step_motor_controller.i_prescaler.mul_m_div[18] ;
+ wire \i_step_motor_controller.i_prescaler.mul_m_div[19] ;
+ wire \i_step_motor_controller.i_prescaler.mul_m_div[1] ;
+ wire \i_step_motor_controller.i_prescaler.mul_m_div[2] ;
+ wire \i_step_motor_controller.i_prescaler.mul_m_div[3] ;
+ wire \i_step_motor_controller.i_prescaler.mul_m_div[4] ;
+ wire \i_step_motor_controller.i_prescaler.mul_m_div[5] ;
+ wire \i_step_motor_controller.i_prescaler.mul_m_div[6] ;
+ wire \i_step_motor_controller.i_prescaler.mul_m_div[7] ;
+ wire \i_step_motor_controller.i_prescaler.mul_m_div[8] ;
+ wire \i_step_motor_controller.i_prescaler.mul_m_div[9] ;
wire \i_step_motor_controller.i_prescaler.multiplier[0] ;
wire \i_step_motor_controller.i_prescaler.multiplier[10] ;
wire \i_step_motor_controller.i_prescaler.multiplier[11] ;
@@ -7938,15 +8975,7 @@
wire \i_step_motor_controller.i_step_motor_controller_registers.cycles[13] ;
wire \i_step_motor_controller.i_step_motor_controller_registers.cycles[14] ;
wire \i_step_motor_controller.i_step_motor_controller_registers.cycles[15] ;
- wire \i_step_motor_controller.i_step_motor_controller_registers.cycles[16] ;
- wire \i_step_motor_controller.i_step_motor_controller_registers.cycles[17] ;
- wire \i_step_motor_controller.i_step_motor_controller_registers.cycles[18] ;
- wire \i_step_motor_controller.i_step_motor_controller_registers.cycles[19] ;
wire \i_step_motor_controller.i_step_motor_controller_registers.cycles[1] ;
- wire \i_step_motor_controller.i_step_motor_controller_registers.cycles[20] ;
- wire \i_step_motor_controller.i_step_motor_controller_registers.cycles[21] ;
- wire \i_step_motor_controller.i_step_motor_controller_registers.cycles[22] ;
- wire \i_step_motor_controller.i_step_motor_controller_registers.cycles[23] ;
wire \i_step_motor_controller.i_step_motor_controller_registers.cycles[2] ;
wire \i_step_motor_controller.i_step_motor_controller_registers.cycles[3] ;
wire \i_step_motor_controller.i_step_motor_controller_registers.cycles[4] ;
@@ -7969,10 +8998,6 @@
wire \i_step_motor_controller.i_step_motor_controller_registers.wbs_dat_o[18] ;
wire \i_step_motor_controller.i_step_motor_controller_registers.wbs_dat_o[19] ;
wire \i_step_motor_controller.i_step_motor_controller_registers.wbs_dat_o[1] ;
- wire \i_step_motor_controller.i_step_motor_controller_registers.wbs_dat_o[20] ;
- wire \i_step_motor_controller.i_step_motor_controller_registers.wbs_dat_o[21] ;
- wire \i_step_motor_controller.i_step_motor_controller_registers.wbs_dat_o[22] ;
- wire \i_step_motor_controller.i_step_motor_controller_registers.wbs_dat_o[23] ;
wire \i_step_motor_controller.i_step_motor_controller_registers.wbs_dat_o[29] ;
wire \i_step_motor_controller.i_step_motor_controller_registers.wbs_dat_o[2] ;
wire \i_step_motor_controller.i_step_motor_controller_registers.wbs_dat_o[30] ;
@@ -8563,7 +9588,6 @@
wire \i_string_led_controller.i_memory.inferred.sram.r_wdata0[5] ;
wire \i_string_led_controller.i_memory.inferred.sram.r_wdata0[6] ;
wire \i_string_led_controller.i_memory.inferred.sram.r_wdata0[7] ;
- wire \i_string_led_controller.i_memory.inferred.sram.r_we0_n ;
wire \i_string_led_controller.i_memory.inferred.sram.rdata1[0] ;
wire \i_string_led_controller.i_memory.inferred.sram.rdata1[1] ;
wire \i_string_led_controller.i_memory.inferred.sram.rdata1[2] ;
@@ -8580,7 +9604,6 @@
wire \i_string_led_controller.i_memory.inferred.sram.wdata0[5] ;
wire \i_string_led_controller.i_memory.inferred.sram.wdata0[6] ;
wire \i_string_led_controller.i_memory.inferred.sram.wdata0[7] ;
- wire \i_string_led_controller.i_memory.inferred.sram.we0_n ;
wire \i_string_led_controller.i_prescaler.counter[0] ;
wire \i_string_led_controller.i_prescaler.counter[10] ;
wire \i_string_led_controller.i_prescaler.counter[11] ;
@@ -8601,6 +9624,85 @@
wire \i_string_led_controller.i_prescaler.counter[7] ;
wire \i_string_led_controller.i_prescaler.counter[8] ;
wire \i_string_led_controller.i_prescaler.counter[9] ;
+ wire \i_string_led_controller.i_prescaler.div[0] ;
+ wire \i_string_led_controller.i_prescaler.div[10] ;
+ wire \i_string_led_controller.i_prescaler.div[11] ;
+ wire \i_string_led_controller.i_prescaler.div[12] ;
+ wire \i_string_led_controller.i_prescaler.div[13] ;
+ wire \i_string_led_controller.i_prescaler.div[14] ;
+ wire \i_string_led_controller.i_prescaler.div[15] ;
+ wire \i_string_led_controller.i_prescaler.div[16] ;
+ wire \i_string_led_controller.i_prescaler.div[17] ;
+ wire \i_string_led_controller.i_prescaler.div[18] ;
+ wire \i_string_led_controller.i_prescaler.div[19] ;
+ wire \i_string_led_controller.i_prescaler.div[1] ;
+ wire \i_string_led_controller.i_prescaler.div[2] ;
+ wire \i_string_led_controller.i_prescaler.div[3] ;
+ wire \i_string_led_controller.i_prescaler.div[4] ;
+ wire \i_string_led_controller.i_prescaler.div[5] ;
+ wire \i_string_led_controller.i_prescaler.div[6] ;
+ wire \i_string_led_controller.i_prescaler.div[7] ;
+ wire \i_string_led_controller.i_prescaler.div[8] ;
+ wire \i_string_led_controller.i_prescaler.div[9] ;
+ wire \i_string_led_controller.i_prescaler.div_m_mul[0] ;
+ wire \i_string_led_controller.i_prescaler.div_m_mul[10] ;
+ wire \i_string_led_controller.i_prescaler.div_m_mul[11] ;
+ wire \i_string_led_controller.i_prescaler.div_m_mul[12] ;
+ wire \i_string_led_controller.i_prescaler.div_m_mul[13] ;
+ wire \i_string_led_controller.i_prescaler.div_m_mul[14] ;
+ wire \i_string_led_controller.i_prescaler.div_m_mul[15] ;
+ wire \i_string_led_controller.i_prescaler.div_m_mul[16] ;
+ wire \i_string_led_controller.i_prescaler.div_m_mul[17] ;
+ wire \i_string_led_controller.i_prescaler.div_m_mul[18] ;
+ wire \i_string_led_controller.i_prescaler.div_m_mul[19] ;
+ wire \i_string_led_controller.i_prescaler.div_m_mul[1] ;
+ wire \i_string_led_controller.i_prescaler.div_m_mul[2] ;
+ wire \i_string_led_controller.i_prescaler.div_m_mul[3] ;
+ wire \i_string_led_controller.i_prescaler.div_m_mul[4] ;
+ wire \i_string_led_controller.i_prescaler.div_m_mul[5] ;
+ wire \i_string_led_controller.i_prescaler.div_m_mul[6] ;
+ wire \i_string_led_controller.i_prescaler.div_m_mul[7] ;
+ wire \i_string_led_controller.i_prescaler.div_m_mul[8] ;
+ wire \i_string_led_controller.i_prescaler.div_m_mul[9] ;
+ wire \i_string_led_controller.i_prescaler.mul[0] ;
+ wire \i_string_led_controller.i_prescaler.mul[10] ;
+ wire \i_string_led_controller.i_prescaler.mul[11] ;
+ wire \i_string_led_controller.i_prescaler.mul[12] ;
+ wire \i_string_led_controller.i_prescaler.mul[13] ;
+ wire \i_string_led_controller.i_prescaler.mul[14] ;
+ wire \i_string_led_controller.i_prescaler.mul[15] ;
+ wire \i_string_led_controller.i_prescaler.mul[16] ;
+ wire \i_string_led_controller.i_prescaler.mul[17] ;
+ wire \i_string_led_controller.i_prescaler.mul[18] ;
+ wire \i_string_led_controller.i_prescaler.mul[19] ;
+ wire \i_string_led_controller.i_prescaler.mul[1] ;
+ wire \i_string_led_controller.i_prescaler.mul[2] ;
+ wire \i_string_led_controller.i_prescaler.mul[3] ;
+ wire \i_string_led_controller.i_prescaler.mul[4] ;
+ wire \i_string_led_controller.i_prescaler.mul[5] ;
+ wire \i_string_led_controller.i_prescaler.mul[6] ;
+ wire \i_string_led_controller.i_prescaler.mul[7] ;
+ wire \i_string_led_controller.i_prescaler.mul[8] ;
+ wire \i_string_led_controller.i_prescaler.mul[9] ;
+ wire \i_string_led_controller.i_prescaler.mul_m_div[10] ;
+ wire \i_string_led_controller.i_prescaler.mul_m_div[11] ;
+ wire \i_string_led_controller.i_prescaler.mul_m_div[12] ;
+ wire \i_string_led_controller.i_prescaler.mul_m_div[13] ;
+ wire \i_string_led_controller.i_prescaler.mul_m_div[14] ;
+ wire \i_string_led_controller.i_prescaler.mul_m_div[15] ;
+ wire \i_string_led_controller.i_prescaler.mul_m_div[16] ;
+ wire \i_string_led_controller.i_prescaler.mul_m_div[17] ;
+ wire \i_string_led_controller.i_prescaler.mul_m_div[18] ;
+ wire \i_string_led_controller.i_prescaler.mul_m_div[19] ;
+ wire \i_string_led_controller.i_prescaler.mul_m_div[1] ;
+ wire \i_string_led_controller.i_prescaler.mul_m_div[2] ;
+ wire \i_string_led_controller.i_prescaler.mul_m_div[3] ;
+ wire \i_string_led_controller.i_prescaler.mul_m_div[4] ;
+ wire \i_string_led_controller.i_prescaler.mul_m_div[5] ;
+ wire \i_string_led_controller.i_prescaler.mul_m_div[6] ;
+ wire \i_string_led_controller.i_prescaler.mul_m_div[7] ;
+ wire \i_string_led_controller.i_prescaler.mul_m_div[8] ;
+ wire \i_string_led_controller.i_prescaler.mul_m_div[9] ;
wire \i_string_led_controller.i_prescaler.multiplier[0] ;
wire \i_string_led_controller.i_prescaler.multiplier[10] ;
wire \i_string_led_controller.i_prescaler.multiplier[11] ;
@@ -8623,8 +9725,6 @@
wire \i_string_led_controller.i_prescaler.multiplier[9] ;
wire \i_string_led_controller.i_registers.irq_en ;
wire \i_string_led_controller.i_registers.last_progress ;
- wire \i_string_led_controller.i_registers.mstate[0] ;
- wire \i_string_led_controller.i_registers.mstate[1] ;
wire \i_string_led_controller.i_registers.progress ;
wire \i_string_led_controller.i_registers.ready ;
wire \i_string_led_controller.i_registers.start ;
@@ -8638,6 +9738,12 @@
wire \i_string_led_controller.i_registers.w_first[3] ;
wire \i_string_led_controller.i_registers.w_first[4] ;
wire \i_string_led_controller.i_registers.w_first[5] ;
+ wire \i_string_led_controller.i_registers.w_last[0] ;
+ wire \i_string_led_controller.i_registers.w_last[1] ;
+ wire \i_string_led_controller.i_registers.w_last[2] ;
+ wire \i_string_led_controller.i_registers.w_last[3] ;
+ wire \i_string_led_controller.i_registers.w_last[4] ;
+ wire \i_string_led_controller.i_registers.w_last[5] ;
wire \i_string_led_controller.i_registers.wbs_dat_o[0] ;
wire \i_string_led_controller.i_registers.wbs_dat_o[10] ;
wire \i_string_led_controller.i_registers.wbs_dat_o[11] ;
@@ -8683,6 +9789,12 @@
wire \i_string_led_controller.i_sequencer.first_addr[3] ;
wire \i_string_led_controller.i_sequencer.first_addr[4] ;
wire \i_string_led_controller.i_sequencer.first_addr[5] ;
+ wire \i_string_led_controller.i_sequencer.last_addr[0] ;
+ wire \i_string_led_controller.i_sequencer.last_addr[1] ;
+ wire \i_string_led_controller.i_sequencer.last_addr[2] ;
+ wire \i_string_led_controller.i_sequencer.last_addr[3] ;
+ wire \i_string_led_controller.i_sequencer.last_addr[4] ;
+ wire \i_string_led_controller.i_sequencer.last_addr[5] ;
wire \i_string_led_controller.i_sequencer.state_reg[0] ;
wire \i_string_led_controller.i_sequencer.state_reg[10] ;
wire \i_string_led_controller.i_sequencer.state_reg[11] ;
@@ -8697,10 +9809,70 @@
wire \i_string_led_controller.i_sequencer.state_reg[9] ;
wire net1;
wire net10;
- wire net100;
- wire net101;
- wire net102;
- wire net103;
+ wire net1000;
+ wire net1001;
+ wire net1002;
+ wire net1003;
+ wire net1004;
+ wire net1005;
+ wire net1006;
+ wire net1007;
+ wire net1008;
+ wire net1009;
+ wire net1010;
+ wire net1011;
+ wire net1012;
+ wire net1013;
+ wire net1014;
+ wire net1015;
+ wire net1016;
+ wire net1017;
+ wire net1018;
+ wire net1019;
+ wire net1020;
+ wire net1021;
+ wire net1022;
+ wire net1023;
+ wire net1024;
+ wire net1025;
+ wire net1026;
+ wire net1027;
+ wire net1028;
+ wire net1029;
+ wire net1030;
+ wire net1031;
+ wire net1032;
+ wire net1033;
+ wire net1034;
+ wire net1035;
+ wire net1036;
+ wire net1037;
+ wire net1038;
+ wire net1039;
+ wire net1040;
+ wire net1041;
+ wire net1042;
+ wire net1043;
+ wire net1044;
+ wire net1045;
+ wire net1046;
+ wire net1047;
+ wire net1048;
+ wire net1049;
+ wire net1050;
+ wire net1051;
+ wire net1052;
+ wire net1053;
+ wire net1054;
+ wire net1055;
+ wire net1056;
+ wire net1057;
+ wire net1058;
+ wire net1059;
+ wire net1060;
+ wire net1061;
+ wire net1062;
+ wire net1063;
wire net11;
wire net12;
wire net13;
@@ -8723,6 +9895,10 @@
wire net29;
wire net3;
wire net30;
+ wire net300;
+ wire net301;
+ wire net302;
+ wire net303;
wire net304;
wire net305;
wire net306;
@@ -8970,17559 +10146,21017 @@
wire net524;
wire net525;
wire net526;
+ wire net527;
+ wire net528;
+ wire net529;
wire net53;
+ wire net530;
+ wire net531;
+ wire net532;
+ wire net533;
+ wire net534;
+ wire net535;
+ wire net536;
+ wire net537;
+ wire net538;
+ wire net539;
wire net54;
+ wire net540;
+ wire net541;
+ wire net542;
+ wire net543;
+ wire net544;
+ wire net545;
+ wire net546;
+ wire net547;
+ wire net548;
+ wire net549;
wire net55;
+ wire net550;
+ wire net551;
+ wire net552;
+ wire net553;
+ wire net554;
+ wire net555;
+ wire net556;
+ wire net557;
+ wire net558;
+ wire net559;
wire net56;
+ wire net560;
+ wire net561;
+ wire net562;
+ wire net563;
+ wire net564;
+ wire net565;
+ wire net566;
+ wire net567;
+ wire net568;
+ wire net569;
wire net57;
+ wire net570;
+ wire net571;
+ wire net572;
+ wire net573;
+ wire net574;
+ wire net575;
+ wire net576;
+ wire net577;
+ wire net578;
+ wire net579;
wire net58;
+ wire net580;
+ wire net581;
+ wire net582;
+ wire net583;
+ wire net584;
+ wire net585;
+ wire net586;
+ wire net587;
+ wire net588;
+ wire net589;
wire net59;
+ wire net590;
+ wire net591;
+ wire net592;
+ wire net593;
+ wire net594;
+ wire net595;
+ wire net596;
+ wire net597;
+ wire net598;
+ wire net599;
wire net6;
wire net60;
+ wire net600;
+ wire net601;
+ wire net602;
+ wire net603;
+ wire net604;
+ wire net605;
+ wire net606;
+ wire net607;
+ wire net608;
+ wire net609;
wire net61;
+ wire net610;
+ wire net611;
+ wire net612;
+ wire net613;
+ wire net614;
+ wire net615;
+ wire net616;
+ wire net617;
+ wire net618;
+ wire net619;
wire net62;
+ wire net620;
+ wire net621;
+ wire net622;
+ wire net623;
+ wire net624;
+ wire net625;
+ wire net626;
+ wire net627;
+ wire net628;
+ wire net629;
wire net63;
+ wire net630;
+ wire net631;
+ wire net632;
+ wire net633;
+ wire net634;
+ wire net635;
+ wire net636;
+ wire net637;
+ wire net638;
+ wire net639;
wire net64;
+ wire net640;
+ wire net641;
+ wire net642;
+ wire net643;
+ wire net644;
+ wire net645;
+ wire net646;
+ wire net647;
+ wire net648;
+ wire net649;
wire net65;
+ wire net650;
+ wire net651;
+ wire net652;
+ wire net653;
+ wire net654;
+ wire net655;
+ wire net656;
+ wire net657;
+ wire net658;
+ wire net659;
wire net66;
+ wire net660;
+ wire net661;
+ wire net662;
+ wire net663;
+ wire net664;
+ wire net665;
+ wire net666;
+ wire net667;
+ wire net668;
+ wire net669;
wire net67;
+ wire net670;
+ wire net671;
+ wire net672;
+ wire net673;
+ wire net674;
+ wire net675;
+ wire net676;
+ wire net677;
+ wire net678;
+ wire net679;
wire net68;
+ wire net680;
+ wire net681;
+ wire net682;
+ wire net683;
+ wire net684;
+ wire net685;
+ wire net686;
+ wire net687;
+ wire net688;
+ wire net689;
wire net69;
+ wire net690;
+ wire net691;
+ wire net692;
+ wire net693;
+ wire net694;
+ wire net695;
+ wire net696;
+ wire net697;
+ wire net698;
+ wire net699;
wire net7;
wire net70;
+ wire net700;
+ wire net701;
+ wire net702;
+ wire net703;
+ wire net704;
+ wire net705;
+ wire net706;
+ wire net707;
+ wire net708;
+ wire net709;
wire net71;
+ wire net710;
+ wire net711;
+ wire net712;
+ wire net713;
+ wire net714;
+ wire net715;
+ wire net716;
+ wire net717;
+ wire net718;
+ wire net719;
wire net72;
+ wire net720;
+ wire net721;
+ wire net722;
+ wire net723;
+ wire net724;
+ wire net725;
+ wire net726;
+ wire net727;
+ wire net728;
+ wire net729;
wire net73;
+ wire net730;
+ wire net731;
+ wire net732;
+ wire net733;
+ wire net734;
+ wire net735;
+ wire net736;
+ wire net737;
+ wire net738;
+ wire net739;
wire net74;
+ wire net740;
+ wire net741;
+ wire net742;
+ wire net743;
+ wire net744;
+ wire net745;
+ wire net746;
+ wire net747;
+ wire net748;
+ wire net749;
wire net75;
+ wire net750;
+ wire net751;
+ wire net752;
+ wire net753;
+ wire net754;
+ wire net755;
+ wire net756;
+ wire net757;
+ wire net758;
+ wire net759;
wire net76;
+ wire net760;
+ wire net761;
+ wire net762;
+ wire net763;
+ wire net764;
+ wire net765;
+ wire net766;
+ wire net767;
+ wire net768;
+ wire net769;
wire net77;
+ wire net770;
+ wire net771;
+ wire net772;
+ wire net773;
+ wire net774;
+ wire net775;
+ wire net776;
+ wire net777;
+ wire net778;
+ wire net779;
wire net78;
+ wire net780;
+ wire net781;
+ wire net782;
+ wire net783;
+ wire net784;
+ wire net785;
+ wire net786;
+ wire net787;
+ wire net788;
+ wire net789;
wire net79;
+ wire net790;
+ wire net791;
+ wire net792;
+ wire net793;
+ wire net794;
+ wire net795;
+ wire net796;
+ wire net797;
+ wire net798;
+ wire net799;
wire net8;
wire net80;
+ wire net800;
+ wire net801;
+ wire net802;
+ wire net803;
+ wire net804;
+ wire net805;
+ wire net806;
+ wire net807;
+ wire net808;
+ wire net809;
wire net81;
+ wire net810;
+ wire net811;
+ wire net812;
+ wire net813;
+ wire net814;
+ wire net815;
+ wire net816;
+ wire net817;
+ wire net818;
+ wire net819;
wire net82;
+ wire net820;
+ wire net821;
+ wire net822;
+ wire net823;
+ wire net824;
+ wire net825;
+ wire net826;
+ wire net827;
+ wire net828;
+ wire net829;
wire net83;
+ wire net830;
+ wire net831;
+ wire net832;
+ wire net833;
+ wire net834;
+ wire net835;
+ wire net836;
+ wire net837;
+ wire net838;
+ wire net839;
wire net84;
+ wire net840;
+ wire net841;
+ wire net842;
+ wire net843;
+ wire net844;
+ wire net845;
+ wire net846;
+ wire net847;
+ wire net848;
+ wire net849;
wire net85;
+ wire net850;
+ wire net851;
+ wire net852;
+ wire net853;
+ wire net854;
+ wire net855;
+ wire net856;
+ wire net857;
+ wire net858;
+ wire net859;
wire net86;
+ wire net860;
+ wire net861;
+ wire net862;
+ wire net863;
+ wire net864;
+ wire net865;
+ wire net866;
+ wire net867;
+ wire net868;
+ wire net869;
wire net87;
+ wire net870;
+ wire net871;
+ wire net872;
+ wire net873;
+ wire net874;
+ wire net875;
+ wire net876;
+ wire net877;
+ wire net878;
+ wire net879;
wire net88;
+ wire net880;
+ wire net881;
+ wire net882;
+ wire net883;
+ wire net884;
+ wire net885;
+ wire net886;
+ wire net887;
+ wire net888;
+ wire net889;
wire net89;
+ wire net890;
+ wire net891;
+ wire net892;
+ wire net893;
+ wire net894;
+ wire net895;
+ wire net896;
+ wire net897;
+ wire net898;
+ wire net899;
wire net9;
wire net90;
+ wire net900;
+ wire net901;
+ wire net902;
+ wire net903;
+ wire net904;
+ wire net905;
+ wire net906;
+ wire net907;
+ wire net908;
+ wire net909;
wire net91;
+ wire net910;
+ wire net911;
+ wire net912;
+ wire net913;
+ wire net914;
+ wire net915;
+ wire net916;
+ wire net917;
+ wire net918;
+ wire net919;
wire net92;
+ wire net920;
+ wire net921;
+ wire net922;
+ wire net923;
+ wire net924;
+ wire net925;
+ wire net926;
+ wire net927;
+ wire net928;
+ wire net929;
wire net93;
+ wire net930;
+ wire net931;
+ wire net932;
+ wire net933;
+ wire net934;
+ wire net935;
+ wire net936;
+ wire net937;
+ wire net938;
+ wire net939;
wire net94;
+ wire net940;
+ wire net941;
+ wire net942;
+ wire net943;
+ wire net944;
+ wire net945;
+ wire net946;
+ wire net947;
+ wire net948;
+ wire net949;
wire net95;
+ wire net950;
+ wire net951;
+ wire net952;
+ wire net953;
+ wire net954;
+ wire net955;
+ wire net956;
+ wire net957;
+ wire net958;
+ wire net959;
wire net96;
+ wire net960;
+ wire net961;
+ wire net962;
+ wire net963;
+ wire net964;
+ wire net965;
+ wire net966;
+ wire net967;
+ wire net968;
+ wire net969;
wire net97;
+ wire net970;
+ wire net971;
+ wire net972;
+ wire net973;
+ wire net974;
+ wire net975;
+ wire net976;
+ wire net977;
+ wire net978;
+ wire net979;
wire net98;
+ wire net980;
+ wire net981;
+ wire net982;
+ wire net983;
+ wire net984;
+ wire net985;
+ wire net986;
+ wire net987;
+ wire net988;
+ wire net989;
wire net99;
+ wire net990;
+ wire net991;
+ wire net992;
+ wire net993;
+ wire net994;
+ wire net995;
+ wire net996;
+ wire net997;
+ wire net998;
+ wire net999;
- sky130_fd_sc_hd__diode_2 ANTENNA__06664__A (.DIODE(_02229_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__07483__A (.DIODE(\i_pseudorandom.i_xoroshiro_64_plus_plus.next ),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__06668__A_N (.DIODE(\i_nec_ir_receiver.i_nec_ir_receiver_registers.tolerance[0] ),
+ sky130_fd_sc_hd__diode_2 ANTENNA__07485__A1 (.DIODE(_03665_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__06669__B1_N (.DIODE(\i_nec_ir_receiver.i_nec_ir_receiver_registers.tolerance[1] ),
+ sky130_fd_sc_hd__diode_2 ANTENNA__07485__S (.DIODE(_03667_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__06676__A (.DIODE(\i_nec_ir_receiver.i_frame_decoder.repeat_en ),
+ sky130_fd_sc_hd__diode_2 ANTENNA__07488__S (.DIODE(_03667_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__06678__A (.DIODE(_02243_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__07491__A1 (.DIODE(_03671_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__06680__A1 (.DIODE(_02227_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__07491__S (.DIODE(_03667_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__06680__A2 (.DIODE(_02230_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__07494__A0 (.DIODE(\i_pseudorandom.i_xoroshiro_64_plus_plus.s0[9] ),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__06682__A (.DIODE(_02246_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__07494__A1 (.DIODE(_03673_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__06684__A (.DIODE(\i_nec_ir_receiver.i_fifo.rd_ptr[2] ),
+ sky130_fd_sc_hd__diode_2 ANTENNA__07494__S (.DIODE(_03667_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__06686__A (.DIODE(\i_nec_ir_receiver.i_fifo.rd_ptr[1] ),
+ sky130_fd_sc_hd__diode_2 ANTENNA__07498__A (.DIODE(_03676_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__06687__A (.DIODE(\i_nec_ir_receiver.i_fifo.rd_ptr[1] ),
+ sky130_fd_sc_hd__diode_2 ANTENNA__07499__A1 (.DIODE(_03675_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__06688__A (.DIODE(\i_nec_ir_receiver.i_fifo.rd_ptr[0] ),
+ sky130_fd_sc_hd__diode_2 ANTENNA__07502__A1 (.DIODE(_03679_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__06693__A (.DIODE(_02246_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__07507__A0 (.DIODE(\i_pseudorandom.i_xoroshiro_64_plus_plus.s0[5] ),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__06694__A (.DIODE(\i_nec_ir_receiver.i_fifo.rd_ptr[2] ),
+ sky130_fd_sc_hd__diode_2 ANTENNA__07510__A0 (.DIODE(\i_pseudorandom.i_xoroshiro_64_plus_plus.s0[4] ),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__06697__A (.DIODE(\i_nec_ir_receiver.i_fifo.rd_ptr[0] ),
+ sky130_fd_sc_hd__diode_2 ANTENNA__07512__A (.DIODE(_03676_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__06699__A (.DIODE(_02263_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__07513__A0 (.DIODE(\i_pseudorandom.i_xoroshiro_64_plus_plus.s0[3] ),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__06700__A (.DIODE(\i_nec_ir_receiver.i_fifo.rd_ptr[1] ),
+ sky130_fd_sc_hd__diode_2 ANTENNA__07513__S (.DIODE(_03686_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__06701__A (.DIODE(_02265_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__07515__A0 (.DIODE(\i_pseudorandom.i_xoroshiro_64_plus_plus.s0[2] ),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__06702__A (.DIODE(_02266_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__07515__S (.DIODE(_03686_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__06703__A_N (.DIODE(_02261_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__07517__A0 (.DIODE(\i_pseudorandom.i_xoroshiro_64_plus_plus.s0[1] ),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__06703__D (.DIODE(_02267_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__07517__S (.DIODE(_03686_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__06704__A (.DIODE(_02250_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__07520__A0 (.DIODE(\i_pseudorandom.i_xoroshiro_64_plus_plus.s0[0] ),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__06707__A (.DIODE(_02271_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__07520__S (.DIODE(_03686_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__06708__A1 (.DIODE(_02248_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__07522__A (.DIODE(net380),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__06708__B1 (.DIODE(_02272_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__07522__B (.DIODE(net58),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__06709__A1 (.DIODE(_02248_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__07526__A (.DIODE(net493),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__06710__A (.DIODE(_02229_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__07533__A (.DIODE(_03702_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__06711__A1 (.DIODE(_02250_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__07536__A (.DIODE(\i_pseudorandom.i_xoroshiro_64_plus_plus.next ),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__06711__B1 (.DIODE(_02274_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__07536__C (.DIODE(net700),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__06713__A (.DIODE(_02261_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__07536__D_N (.DIODE(_03705_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__06714__A (.DIODE(_02243_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__07537__A (.DIODE(_03706_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__06715__B1 (.DIODE(_02267_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__07542__A (.DIODE(\i_pseudorandom.i_xoroshiro_64_plus_plus.n0[21] ),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__06716__A (.DIODE(_02243_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__07543__A (.DIODE(_03711_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__06717__C (.DIODE(_02279_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__07544__A (.DIODE(_03711_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__06719__A1 (.DIODE(_02271_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__07546__A (.DIODE(\i_pseudorandom.i_xoroshiro_64_plus_plus.n0[20] ),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__06727__A (.DIODE(_02243_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__07547__A (.DIODE(\i_pseudorandom.i_xoroshiro_64_plus_plus.n0[20] ),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__06746__B1 (.DIODE(_02279_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__07551__A (.DIODE(\i_pseudorandom.i_xoroshiro_64_plus_plus.n0[23] ),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__06748__A (.DIODE(_02279_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__07552__A (.DIODE(\i_pseudorandom.i_xoroshiro_64_plus_plus.n0[23] ),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__06757__A1 (.DIODE(_02307_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__07554__A (.DIODE(\i_pseudorandom.i_xoroshiro_64_plus_plus.n0[22] ),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__06762__A1 (.DIODE(_02307_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__07555__A (.DIODE(\i_pseudorandom.i_xoroshiro_64_plus_plus.n0[22] ),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__06766__A (.DIODE(_02272_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__07559__A (.DIODE(_03727_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__06767__C1 (.DIODE(_02323_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__07561__A (.DIODE(_03729_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__06771__A1 (.DIODE(_02307_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__07565__A (.DIODE(_03733_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__06775__A1 (.DIODE(_02230_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__07568__A (.DIODE(\i_pseudorandom.i_xoroshiro_64_plus_plus.n0[16] ),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__06778__C1 (.DIODE(_02272_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__07569__A (.DIODE(_03737_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__06781__B1 (.DIODE(_02271_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__07569__B (.DIODE(\i_pseudorandom.i_xoroshiro_64_plus_plus.n1_plus_n0[31] ),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__06782__A1 (.DIODE(_02230_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__07570__A (.DIODE(_03737_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__06786__A (.DIODE(\i_nec_ir_receiver.i_nec_ir_receiver_registers.tolerance[1] ),
+ sky130_fd_sc_hd__diode_2 ANTENNA__07570__B (.DIODE(\i_pseudorandom.i_xoroshiro_64_plus_plus.n1_plus_n0[31] ),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__06792__A1 (.DIODE(\i_nec_ir_receiver.i_nec_ir_receiver_registers.tolerance[0] ),
+ sky130_fd_sc_hd__diode_2 ANTENNA__07574__B (.DIODE(\i_pseudorandom.i_xoroshiro_64_plus_plus.n1_plus_n0[29] ),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__06795__B2 (.DIODE(\i_nec_ir_receiver.i_nec_ir_receiver_registers.tolerance[0] ),
+ sky130_fd_sc_hd__diode_2 ANTENNA__07575__A (.DIODE(_03675_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__06795__C1 (.DIODE(_02229_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__07583__A2 (.DIODE(\i_pseudorandom.i_xoroshiro_64_plus_plus.n1_plus_n0[15] ),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__06798__A (.DIODE(net63),
+ sky130_fd_sc_hd__diode_2 ANTENNA__07593__A (.DIODE(_03679_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__06799__B (.DIODE(net61),
+ sky130_fd_sc_hd__diode_2 ANTENNA__07594__A (.DIODE(_03679_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__06800__A (.DIODE(net21),
+ sky130_fd_sc_hd__diode_2 ANTENNA__07595__A (.DIODE(_03675_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__06801__A (.DIODE(net18),
+ sky130_fd_sc_hd__diode_2 ANTENNA__07598__A (.DIODE(_03673_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__06803__A (.DIODE(_02351_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__07599__A (.DIODE(_03673_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__06808__A (.DIODE(_02356_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__07600__A (.DIODE(_03671_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__06809__A (.DIODE(net432),
+ sky130_fd_sc_hd__diode_2 ANTENNA__07603__C1 (.DIODE(_03771_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__06809__B (.DIODE(net442),
+ sky130_fd_sc_hd__diode_2 ANTENNA__07604__B (.DIODE(\i_pseudorandom.i_xoroshiro_64_plus_plus.n1_plus_n0[26] ),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__06810__A (.DIODE(net468),
+ sky130_fd_sc_hd__diode_2 ANTENNA__07606__B (.DIODE(\i_pseudorandom.i_xoroshiro_64_plus_plus.n1_plus_n0[27] ),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__06810__B (.DIODE(net517),
+ sky130_fd_sc_hd__diode_2 ANTENNA__07607__B (.DIODE(\i_pseudorandom.i_xoroshiro_64_plus_plus.n1_plus_n0[27] ),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__06812__A (.DIODE(_02360_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__07608__B (.DIODE(\i_pseudorandom.i_xoroshiro_64_plus_plus.n1_plus_n0[26] ),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__06813__B (.DIODE(_02358_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__07609__A1 (.DIODE(_03772_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__06813__C (.DIODE(_02359_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__07610__A (.DIODE(\i_pseudorandom.i_xoroshiro_64_plus_plus.n0[13] ),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__06814__A (.DIODE(_02357_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__07610__B (.DIODE(\i_pseudorandom.i_xoroshiro_64_plus_plus.n1_plus_n0[28] ),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__06815__A (.DIODE(_02363_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__07612__B (.DIODE(\i_pseudorandom.i_xoroshiro_64_plus_plus.n1_plus_n0[29] ),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__06816__A (.DIODE(_02348_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__07613__A (.DIODE(\i_pseudorandom.i_xoroshiro_64_plus_plus.n0[13] ),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__06816__C (.DIODE(_02364_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__07613__B (.DIODE(\i_pseudorandom.i_xoroshiro_64_plus_plus.n1_plus_n0[28] ),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__06818__A0 (.DIODE(\i_nec_ir_receiver.i_frame_decoder.repeat_en ),
+ sky130_fd_sc_hd__diode_2 ANTENNA__07616__B (.DIODE(\i_pseudorandom.i_xoroshiro_64_plus_plus.n1_plus_n0[30] ),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__06818__A1 (.DIODE(net459),
+ sky130_fd_sc_hd__diode_2 ANTENNA__07617__B (.DIODE(\i_pseudorandom.i_xoroshiro_64_plus_plus.n1_plus_n0[30] ),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__06818__S (.DIODE(_02366_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__07619__A1 (.DIODE(_03727_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__06820__A0 (.DIODE(_02229_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__07619__C1 (.DIODE(_03729_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__06820__A1 (.DIODE(net465),
+ sky130_fd_sc_hd__diode_2 ANTENNA__07621__A (.DIODE(\i_pseudorandom.i_xoroshiro_64_plus_plus.n0[16] ),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__06820__S (.DIODE(_02366_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__07621__B (.DIODE(\i_pseudorandom.i_xoroshiro_64_plus_plus.n1_plus_n0[31] ),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__06823__A (.DIODE(net399),
+ sky130_fd_sc_hd__diode_2 ANTENNA__07623__B2 (.DIODE(_03727_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__06825__A_N (.DIODE(_02371_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__07630__A (.DIODE(\i_pseudorandom.i_xoroshiro_64_plus_plus.n0[24] ),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__06827__A (.DIODE(net63),
+ sky130_fd_sc_hd__diode_2 ANTENNA__07631__A (.DIODE(\i_pseudorandom.i_xoroshiro_64_plus_plus.n0[24] ),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__06827__B (.DIODE(net58),
+ sky130_fd_sc_hd__diode_2 ANTENNA__07636__A (.DIODE(_03804_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__06828__A (.DIODE(_02374_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__07637__A (.DIODE(\i_pseudorandom.i_xoroshiro_64_plus_plus.n0[26] ),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__06829__A (.DIODE(_02363_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__07638__A (.DIODE(\i_pseudorandom.i_xoroshiro_64_plus_plus.n0[26] ),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__06829__B (.DIODE(_02373_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__07641__A (.DIODE(_03796_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__06829__C (.DIODE(_02375_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__07643__A (.DIODE(_03811_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__06831__A0 (.DIODE(_02369_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__07645__A (.DIODE(_03804_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__06831__A1 (.DIODE(_02370_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__07654__A (.DIODE(net5),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__06833__A (.DIODE(net399),
+ sky130_fd_sc_hd__diode_2 ANTENNA__07659__A (.DIODE(\i_pseudorandom.i_xoroshiro_64_plus_plus.next ),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__06835__A_N (.DIODE(_02380_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__07659__B (.DIODE(net700),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__06837__A (.DIODE(_02363_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__07660__A (.DIODE(_03828_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__06837__B (.DIODE(_02374_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__07661__A (.DIODE(_03829_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__06837__C (.DIODE(_02382_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__07662__A1 (.DIODE(\i_pseudorandom.wbs_dat_o[31] ),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__06839__A0 (.DIODE(\i_nec_ir_receiver.i_nec_ir_receiver_registers.multiplier[0] ),
+ sky130_fd_sc_hd__diode_2 ANTENNA__07667__A1 (.DIODE(\i_pseudorandom.wbs_dat_o[30] ),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__06839__A1 (.DIODE(net398),
+ sky130_fd_sc_hd__diode_2 ANTENNA__07672__A1 (.DIODE(_03838_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__06839__S (.DIODE(_02384_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__07674__A1 (.DIODE(\i_pseudorandom.wbs_dat_o[29] ),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__06841__A (.DIODE(net5),
+ sky130_fd_sc_hd__diode_2 ANTENNA__07678__A1 (.DIODE(\i_pseudorandom.wbs_dat_o[28] ),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__06842__B (.DIODE(_02356_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__07681__A1 (.DIODE(_03796_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__06843__C_N (.DIODE(net4),
+ sky130_fd_sc_hd__diode_2 ANTENNA__07684__A1 (.DIODE(\i_pseudorandom.wbs_dat_o[27] ),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__06844__B (.DIODE(_02358_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__07687__A (.DIODE(_03828_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__06844__D (.DIODE(_02388_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__07688__A1 (.DIODE(\i_pseudorandom.wbs_dat_o[26] ),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__06845__A (.DIODE(net420),
+ sky130_fd_sc_hd__diode_2 ANTENNA__07691__A1 (.DIODE(_03796_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__06848__A (.DIODE(net3),
+ sky130_fd_sc_hd__diode_2 ANTENNA__07693__A1 (.DIODE(\i_pseudorandom.wbs_dat_o[25] ),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__06852__A (.DIODE(_02396_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__07695__A (.DIODE(_03796_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__06853__B (.DIODE(_02397_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__07696__A1 (.DIODE(\i_pseudorandom.wbs_dat_o[24] ),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__06855__B2 (.DIODE(\i_string_led_controller.i_registers.start ),
+ sky130_fd_sc_hd__diode_2 ANTENNA__07704__A1 (.DIODE(\i_pseudorandom.wbs_dat_o[23] ),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__06856__A3 (.DIODE(_02392_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__07707__A1 (.DIODE(\i_pseudorandom.wbs_dat_o[22] ),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__06858__A (.DIODE(\i_string_led_controller.i_sequencer.state_reg[0] ),
+ sky130_fd_sc_hd__diode_2 ANTENNA__07711__A (.DIODE(_03828_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__06859__B2 (.DIODE(\i_string_led_controller.i_registers.progress ),
+ sky130_fd_sc_hd__diode_2 ANTENNA__07712__A1 (.DIODE(\i_pseudorandom.wbs_dat_o[21] ),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__06860__A1 (.DIODE(\i_string_led_controller.i_bit_generator.polarity ),
+ sky130_fd_sc_hd__diode_2 ANTENNA__07715__A1 (.DIODE(\i_pseudorandom.wbs_dat_o[20] ),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__06863__B2 (.DIODE(\i_string_led_controller.i_registers.w_count[3] ),
+ sky130_fd_sc_hd__diode_2 ANTENNA__07721__A1 (.DIODE(\i_pseudorandom.wbs_dat_o[19] ),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__06864__B2 (.DIODE(\i_string_led_controller.i_registers.w_count[2] ),
+ sky130_fd_sc_hd__diode_2 ANTENNA__07724__A1 (.DIODE(\i_pseudorandom.wbs_dat_o[18] ),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__06865__B2 (.DIODE(\i_string_led_controller.i_registers.w_count[1] ),
+ sky130_fd_sc_hd__diode_2 ANTENNA__07727__A1 (.DIODE(\i_pseudorandom.wbs_dat_o[17] ),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__06866__B2 (.DIODE(\i_string_led_controller.i_registers.w_count[0] ),
+ sky130_fd_sc_hd__diode_2 ANTENNA__07730__A (.DIODE(_03828_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__06868__A (.DIODE(_02373_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__07731__A1 (.DIODE(\i_pseudorandom.wbs_dat_o[16] ),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__06869__A (.DIODE(_02406_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__07736__A1 (.DIODE(\i_pseudorandom.wbs_dat_o[15] ),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__06870__A (.DIODE(_02382_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__07741__A1 (.DIODE(\i_pseudorandom.wbs_dat_o[14] ),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__06871__A (.DIODE(_02408_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__07744__A1 (.DIODE(_03665_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__06879__A1 (.DIODE(\i_string_led_controller.divider[16] ),
+ sky130_fd_sc_hd__diode_2 ANTENNA__07744__A2 (.DIODE(\i_pseudorandom.i_xoroshiro_64_plus_plus.n1_plus_n0[27] ),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__06879__B2 (.DIODE(\i_string_led_controller.i_prescaler.multiplier[16] ),
+ sky130_fd_sc_hd__diode_2 ANTENNA__07746__A1 (.DIODE(\i_pseudorandom.wbs_dat_o[13] ),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__06881__A (.DIODE(_02406_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__07748__A1 (.DIODE(_03772_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__06882__A (.DIODE(_02408_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__07751__A1 (.DIODE(\i_pseudorandom.wbs_dat_o[12] ),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__06886__B2 (.DIODE(\i_string_led_controller.i_prescaler.multiplier[14] ),
+ sky130_fd_sc_hd__diode_2 ANTENNA__07754__A1 (.DIODE(_03671_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__06889__A1 (.DIODE(\i_string_led_controller.divider[13] ),
+ sky130_fd_sc_hd__diode_2 ANTENNA__07754__B1 (.DIODE(_03772_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__06889__B2 (.DIODE(\i_string_led_controller.i_prescaler.multiplier[13] ),
+ sky130_fd_sc_hd__diode_2 ANTENNA__07756__A (.DIODE(_03828_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__06891__B2 (.DIODE(\i_string_led_controller.i_prescaler.multiplier[12] ),
+ sky130_fd_sc_hd__diode_2 ANTENNA__07757__A0 (.DIODE(_03904_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__06893__B2 (.DIODE(\i_string_led_controller.i_prescaler.multiplier[10] ),
+ sky130_fd_sc_hd__diode_2 ANTENNA__07757__A1 (.DIODE(\i_pseudorandom.wbs_dat_o[11] ),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__06895__A (.DIODE(_02406_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__07760__A (.DIODE(_03771_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__06896__A (.DIODE(_02408_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__07761__A1 (.DIODE(\i_pseudorandom.wbs_dat_o[10] ),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__06897__A2 (.DIODE(_02424_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__07766__A1 (.DIODE(\i_pseudorandom.wbs_dat_o[9] ),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__06897__B1 (.DIODE(_02425_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__07771__A1 (.DIODE(\i_pseudorandom.wbs_dat_o[8] ),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__06899__A2 (.DIODE(_02424_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__07775__A1 (.DIODE(\i_pseudorandom.wbs_dat_o[7] ),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__06899__B1 (.DIODE(_02425_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__07780__A1 (.DIODE(\i_pseudorandom.wbs_dat_o[6] ),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__06900__A2 (.DIODE(_02392_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__07784__A1 (.DIODE(\i_pseudorandom.wbs_dat_o[5] ),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__06901__A2 (.DIODE(_02424_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__07788__A1 (.DIODE(\i_pseudorandom.wbs_dat_o[4] ),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__06901__B1 (.DIODE(_02425_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__07792__A1 (.DIODE(\i_pseudorandom.wbs_dat_o[3] ),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__06901__B2 (.DIODE(\i_string_led_controller.i_prescaler.multiplier[7] ),
+ sky130_fd_sc_hd__diode_2 ANTENNA__07792__S (.DIODE(_03829_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__06902__A2 (.DIODE(_02392_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__07796__A1 (.DIODE(\i_pseudorandom.wbs_dat_o[2] ),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__06903__A2 (.DIODE(_02424_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__07796__S (.DIODE(_03829_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__06903__B1 (.DIODE(_02425_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__07798__B (.DIODE(\i_pseudorandom.i_xoroshiro_64_plus_plus.n1_plus_n0[15] ),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__06904__A2 (.DIODE(_02392_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__07800__A1 (.DIODE(\i_pseudorandom.wbs_dat_o[1] ),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__06905__A (.DIODE(_02373_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__07800__S (.DIODE(_03829_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__06906__A (.DIODE(_02382_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__07802__B (.DIODE(\i_pseudorandom.i_xoroshiro_64_plus_plus.n1_plus_n0[15] ),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__06907__A (.DIODE(_02380_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__07804__A1 (.DIODE(\i_pseudorandom.wbs_dat_o[0] ),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__06908__A (.DIODE(_02371_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__07804__S (.DIODE(_03829_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__06925__B (.DIODE(_02380_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__07806__A (.DIODE(net700),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__06925__C (.DIODE(_02371_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__07807__B (.DIODE(net461),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__06931__A (.DIODE(\i_string_led_controller.i_registers.w_first[0] ),
+ sky130_fd_sc_hd__diode_2 ANTENNA__07808__A (.DIODE(net652),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__06931__B (.DIODE(_02380_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__07809__A (.DIODE(net707),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__06931__C (.DIODE(_02371_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__07811__B (.DIODE(net493),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__06932__A2 (.DIODE(_02449_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__07813__A (.DIODE(_03944_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__06932__B1 (.DIODE(_02450_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__07813__B (.DIODE(_03947_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__06946__A0 (.DIODE(_02459_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__07815__A0 (.DIODE(net356),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__06962__A1 (.DIODE(\i_nec_ir_receiver.i_frame_decoder.frame_shift[23] ),
+ sky130_fd_sc_hd__diode_2 ANTENNA__07817__B (.DIODE(net493),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__06963__A1 (.DIODE(\i_nec_ir_receiver.i_frame_decoder.frame_shift[22] ),
+ sky130_fd_sc_hd__diode_2 ANTENNA__07820__A (.DIODE(net762),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__06963__B2 (.DIODE(\i_nec_ir_receiver.i_frame_decoder.frame_shift[23] ),
+ sky130_fd_sc_hd__diode_2 ANTENNA__07824__A (.DIODE(\i_nec_ir_receiver.i_fifo.rd_ptr[0] ),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__06964__A1 (.DIODE(\i_nec_ir_receiver.i_frame_decoder.frame_shift[21] ),
+ sky130_fd_sc_hd__diode_2 ANTENNA__07825__A (.DIODE(_03959_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__06964__B2 (.DIODE(\i_nec_ir_receiver.i_frame_decoder.frame_shift[22] ),
+ sky130_fd_sc_hd__diode_2 ANTENNA__07826__A (.DIODE(_03960_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__06967__A1 (.DIODE(\i_nec_ir_receiver.i_frame_decoder.frame_shift[20] ),
+ sky130_fd_sc_hd__diode_2 ANTENNA__07827__B (.DIODE(\i_nec_ir_receiver.i_fifo.rd_ptr[1] ),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__06968__B2 (.DIODE(\i_nec_ir_receiver.i_frame_decoder.frame_shift[20] ),
+ sky130_fd_sc_hd__diode_2 ANTENNA__07828__B (.DIODE(\i_nec_ir_receiver.i_fifo.rd_ptr[1] ),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__06969__A1 (.DIODE(\i_nec_ir_receiver.i_frame_decoder.frame_shift[18] ),
+ sky130_fd_sc_hd__diode_2 ANTENNA__07829__A (.DIODE(\i_nec_ir_receiver.i_fifo.rd_ptr[0] ),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__06970__B2 (.DIODE(\i_nec_ir_receiver.i_frame_decoder.frame_shift[18] ),
+ sky130_fd_sc_hd__diode_2 ANTENNA__07830__B2 (.DIODE(_03964_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__06988__A1 (.DIODE(\i_nec_ir_receiver.i_frame_decoder.frame_shift[5] ),
+ sky130_fd_sc_hd__diode_2 ANTENNA__07831__B (.DIODE(\i_nec_ir_receiver.i_fifo.rd_ptr[2] ),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__06989__A1 (.DIODE(\i_nec_ir_receiver.i_frame_decoder.frame_shift[4] ),
+ sky130_fd_sc_hd__diode_2 ANTENNA__07834__A2 (.DIODE(_03961_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__06989__B2 (.DIODE(\i_nec_ir_receiver.i_frame_decoder.frame_shift[5] ),
+ sky130_fd_sc_hd__diode_2 ANTENNA__07835__A (.DIODE(_03957_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__06990__A1 (.DIODE(\i_nec_ir_receiver.i_frame_decoder.frame_shift[3] ),
+ sky130_fd_sc_hd__diode_2 ANTENNA__07836__A (.DIODE(_03970_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__06990__B2 (.DIODE(\i_nec_ir_receiver.i_frame_decoder.frame_shift[4] ),
+ sky130_fd_sc_hd__diode_2 ANTENNA__07837__A (.DIODE(_03971_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__06991__A1 (.DIODE(\i_nec_ir_receiver.i_frame_decoder.frame_shift[2] ),
+ sky130_fd_sc_hd__diode_2 ANTENNA__07841__A (.DIODE(_03947_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__06991__B2 (.DIODE(\i_nec_ir_receiver.i_frame_decoder.frame_shift[3] ),
+ sky130_fd_sc_hd__diode_2 ANTENNA__07843__A (.DIODE(\i_nec_ir_receiver.i_event_catcher.clear_n ),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__06992__A1 (.DIODE(\i_nec_ir_receiver.i_frame_decoder.frame_shift[1] ),
+ sky130_fd_sc_hd__diode_2 ANTENNA__07845__A2 (.DIODE(_03975_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__06992__B2 (.DIODE(\i_nec_ir_receiver.i_frame_decoder.frame_shift[2] ),
+ sky130_fd_sc_hd__diode_2 ANTENNA__07845__B2 (.DIODE(_03979_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__06994__A1 (.DIODE(_02481_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__07846__A2 (.DIODE(_03973_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__06994__B2 (.DIODE(\i_nec_ir_receiver.i_frame_decoder.frame_shift[1] ),
+ sky130_fd_sc_hd__diode_2 ANTENNA__07847__B2 (.DIODE(\i_nec_ir_receiver.i_frame_decoder.repeat_en ),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__06995__A0 (.DIODE(\i_nec_ir_receiver.i_nec_ir_receiver_registers.tolerance[0] ),
+ sky130_fd_sc_hd__diode_2 ANTENNA__07849__A (.DIODE(_03982_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__06995__A1 (.DIODE(net477),
+ sky130_fd_sc_hd__diode_2 ANTENNA__07850__A (.DIODE(_03983_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__06995__S (.DIODE(_02366_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__07851__A (.DIODE(_03959_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__06997__A0 (.DIODE(\i_nec_ir_receiver.i_nec_ir_receiver_registers.polarity ),
+ sky130_fd_sc_hd__diode_2 ANTENNA__07853__A (.DIODE(_03986_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__06997__A1 (.DIODE(net46),
+ sky130_fd_sc_hd__diode_2 ANTENNA__07854__A (.DIODE(_03987_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__06997__S (.DIODE(_02366_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__07855__A (.DIODE(\i_nec_ir_receiver.i_fifo.rd_ptr[1] ),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__07000__A (.DIODE(net471),
+ sky130_fd_sc_hd__diode_2 ANTENNA__07858__A1 (.DIODE(_03964_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__07001__B (.DIODE(_02358_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__07858__C1 (.DIODE(_03991_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__07002__A (.DIODE(_02357_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__07862__A (.DIODE(_03960_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__07002__C (.DIODE(_02359_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__07863__A (.DIODE(_03996_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__07004__A (.DIODE(_02397_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__07864__S (.DIODE(_03997_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__07005__A (.DIODE(net470),
+ sky130_fd_sc_hd__diode_2 ANTENNA__07865__A (.DIODE(\i_nec_ir_receiver.i_fifo.rd_ptr[2] ),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__07005__C (.DIODE(_02488_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__07867__A1 (.DIODE(_03995_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__07006__A (.DIODE(_02489_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__07867__B1 (.DIODE(_04000_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__07018__B1 (.DIODE(_02500_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__07868__S0 (.DIODE(_03987_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__07018__B2 (.DIODE(\i_nec_ir_receiver.frame_write ),
+ sky130_fd_sc_hd__diode_2 ANTENNA__07868__S1 (.DIODE(_03995_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__07021__B (.DIODE(net60),
+ sky130_fd_sc_hd__diode_2 ANTENNA__07869__A (.DIODE(\i_nec_ir_receiver.i_fifo.rd_ptr[2] ),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__07022__A (.DIODE(_02502_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__07871__A (.DIODE(_04004_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__07022__C_N (.DIODE(_02503_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__07872__A (.DIODE(_04005_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__07023__A0 (.DIODE(_02501_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__07873__B2 (.DIODE(_04006_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__07023__A1 (.DIODE(\i_string_led_controller.divider[16] ),
+ sky130_fd_sc_hd__diode_2 ANTENNA__07876__S (.DIODE(_04009_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__07025__A (.DIODE(net366),
+ sky130_fd_sc_hd__diode_2 ANTENNA__07878__A (.DIODE(_04004_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__07026__B (.DIODE(net63),
+ sky130_fd_sc_hd__diode_2 ANTENNA__07879__A1 (.DIODE(_03995_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__07027__A (.DIODE(_02502_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__07879__B1 (.DIODE(_04012_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__07027__C_N (.DIODE(_02507_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__07880__A1 (.DIODE(_03991_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__07029__A0 (.DIODE(_02506_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__07884__S (.DIODE(_04009_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__07032__A0 (.DIODE(_02511_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__07886__A1 (.DIODE(_03991_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__07035__A0 (.DIODE(_02513_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__07886__B1 (.DIODE(_04000_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__07035__A1 (.DIODE(\i_string_led_controller.divider[13] ),
+ sky130_fd_sc_hd__diode_2 ANTENNA__07887__A1 (.DIODE(_04017_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__07038__A0 (.DIODE(_02515_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__07888__B1 (.DIODE(_03983_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__07041__A0 (.DIODE(_02517_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__07889__A1 (.DIODE(_03984_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__07043__A (.DIODE(net316),
+ sky130_fd_sc_hd__diode_2 ANTENNA__07889__C1 (.DIODE(net762),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__07044__A0 (.DIODE(_02519_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__07890__B (.DIODE(_04023_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__07047__A0 (.DIODE(_02521_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__07894__A2 (.DIODE(_04026_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__07049__A (.DIODE(net335),
+ sky130_fd_sc_hd__diode_2 ANTENNA__07895__A2 (.DIODE(_04025_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__07050__A0 (.DIODE(_02523_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__07895__A3 (.DIODE(_03973_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__07053__B (.DIODE(net494),
+ sky130_fd_sc_hd__diode_2 ANTENNA__07896__A2 (.DIODE(_03975_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__07054__A (.DIODE(_02502_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__07896__B2 (.DIODE(\i_nec_ir_receiver.i_nec_ir_receiver_registers.polarity ),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__07056__A0 (.DIODE(_02525_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__07897__A2 (.DIODE(_03975_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__07059__A0 (.DIODE(_02530_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__07897__B2 (.DIODE(\i_nec_ir_receiver.i_nec_ir_receiver_registers.tolerance[1] ),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__07061__A (.DIODE(net312),
+ sky130_fd_sc_hd__diode_2 ANTENNA__07898__A2 (.DIODE(_03975_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__07062__A0 (.DIODE(_02532_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__07898__B2 (.DIODE(\i_nec_ir_receiver.i_nec_ir_receiver_registers.tolerance[0] ),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__07064__A (.DIODE(net325),
+ sky130_fd_sc_hd__diode_2 ANTENNA__07899__A_N (.DIODE(net707),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__07065__A0 (.DIODE(_02534_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__07899__B (.DIODE(net652),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__07067__A (.DIODE(net410),
+ sky130_fd_sc_hd__diode_2 ANTENNA__07901__A (.DIODE(_04029_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__07068__A0 (.DIODE(_02536_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__07903__A_N (.DIODE(net652),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__07070__A (.DIODE(net308),
+ sky130_fd_sc_hd__diode_2 ANTENNA__07903__B (.DIODE(net707),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__07071__A0 (.DIODE(_02538_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__07905__A (.DIODE(_04033_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__07073__A (.DIODE(net386),
+ sky130_fd_sc_hd__diode_2 ANTENNA__07907__A2 (.DIODE(_04031_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__07074__A0 (.DIODE(_02540_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__07907__B1 (.DIODE(_04035_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__07076__B (.DIODE(net61),
+ sky130_fd_sc_hd__diode_2 ANTENNA__07907__C1 (.DIODE(_03975_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__07077__A (.DIODE(_02542_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__07909__A2 (.DIODE(_04031_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__07077__C (.DIODE(_02397_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__07909__B1 (.DIODE(_04035_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__07078__A0 (.DIODE(net46),
+ sky130_fd_sc_hd__diode_2 ANTENNA__07909__C1 (.DIODE(_04026_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__07078__A1 (.DIODE(\i_string_led_controller.i_registers.w_count[3] ),
+ sky130_fd_sc_hd__diode_2 ANTENNA__07911__A2 (.DIODE(_04031_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__07080__A0 (.DIODE(net473),
+ sky130_fd_sc_hd__diode_2 ANTENNA__07911__B1 (.DIODE(_04035_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__07080__A1 (.DIODE(\i_string_led_controller.i_registers.w_count[2] ),
+ sky130_fd_sc_hd__diode_2 ANTENNA__07911__C1 (.DIODE(_04026_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__07082__A0 (.DIODE(net477),
+ sky130_fd_sc_hd__diode_2 ANTENNA__07912__B1 (.DIODE(_04038_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__07082__A1 (.DIODE(\i_string_led_controller.i_registers.w_count[1] ),
+ sky130_fd_sc_hd__diode_2 ANTENNA__07913__A2 (.DIODE(_04031_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__07084__C (.DIODE(_02397_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__07913__B1 (.DIODE(_04035_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__07085__A (.DIODE(_02547_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__07913__C1 (.DIODE(_04026_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__07086__A0 (.DIODE(_02532_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__07914__A2 (.DIODE(_04025_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__07088__A0 (.DIODE(_02534_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__07916__A (.DIODE(_04040_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__07090__A0 (.DIODE(_02536_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__07919__S0 (.DIODE(_03986_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__07092__A0 (.DIODE(_02538_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__07920__A (.DIODE(_03960_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__07094__A0 (.DIODE(_02540_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__07922__S0 (.DIODE(_04045_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__07124__A (.DIODE(net511),
+ sky130_fd_sc_hd__diode_2 ANTENNA__07922__S1 (.DIODE(_04046_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__07124__B (.DIODE(_02351_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__07923__S (.DIODE(_04005_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__07126__A (.DIODE(net4),
+ sky130_fd_sc_hd__diode_2 ANTENNA__07924__A (.DIODE(_03982_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__07126__B (.DIODE(_02360_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__07927__S1 (.DIODE(_04051_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__07127__B (.DIODE(_02576_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__07928__A (.DIODE(_03959_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__07127__C (.DIODE(net432),
+ sky130_fd_sc_hd__diode_2 ANTENNA__07929__A (.DIODE(\i_nec_ir_receiver.i_fifo.rd_ptr[1] ),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__07127__D (.DIODE(net62),
+ sky130_fd_sc_hd__diode_2 ANTENNA__07930__S0 (.DIODE(_04053_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__07129__A (.DIODE(_02375_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__07930__S1 (.DIODE(_04054_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__07131__B2 (.DIODE(net418),
+ sky130_fd_sc_hd__diode_2 ANTENNA__07931__S (.DIODE(_04004_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__07148__A (.DIODE(_02594_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__07932__A (.DIODE(_04050_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__07149__B1 (.DIODE(_02595_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__07933__A1 (.DIODE(_03983_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__07160__A (.DIODE(_02594_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__07933__C1 (.DIODE(_03971_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__07167__A2 (.DIODE(_02606_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__07934__A2 (.DIODE(_04041_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__07169__A (.DIODE(\i_string_led_controller.i_sequencer.state_reg[0] ),
+ sky130_fd_sc_hd__diode_2 ANTENNA__07934__B1 (.DIODE(_04043_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__07169__B (.DIODE(\i_string_led_controller.i_registers.start ),
+ sky130_fd_sc_hd__diode_2 ANTENNA__07934__C1 (.DIODE(_04058_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__07171__A (.DIODE(\i_string_led_controller.i_sequencer.state_reg[0] ),
+ sky130_fd_sc_hd__diode_2 ANTENNA__07939__A (.DIODE(_03984_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__07171__B (.DIODE(\i_string_led_controller.i_registers.start ),
+ sky130_fd_sc_hd__diode_2 ANTENNA__07942__S0 (.DIODE(_04064_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__07174__C1 (.DIODE(_02595_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__07942__S1 (.DIODE(_04065_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__07176__C1 (.DIODE(_02595_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__07945__S0 (.DIODE(_04067_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__07178__C1 (.DIODE(_02595_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__07945__S1 (.DIODE(_04068_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__07180__C1 (.DIODE(_02595_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__07948__A (.DIODE(_04071_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__07182__A (.DIODE(_02594_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__07949__S (.DIODE(_04072_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__07183__C1 (.DIODE(_02620_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__07950__A (.DIODE(_04050_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__07185__A1 (.DIODE(\i_string_led_controller.i_registers.w_first[0] ),
+ sky130_fd_sc_hd__diode_2 ANTENNA__07951__S0 (.DIODE(_03987_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__07185__C1 (.DIODE(_02620_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__07952__A (.DIODE(_03960_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__07193__A (.DIODE(\i_string_led_controller.i_registers.progress ),
+ sky130_fd_sc_hd__diode_2 ANTENNA__07955__S0 (.DIODE(_04077_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__07193__B (.DIODE(\i_string_led_controller.i_registers.start ),
+ sky130_fd_sc_hd__diode_2 ANTENNA__07955__S1 (.DIODE(_04078_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__07194__A0 (.DIODE(\i_string_led_controller.i_sequencer.state_reg[0] ),
+ sky130_fd_sc_hd__diode_2 ANTENNA__07956__S (.DIODE(_04006_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__07202__A (.DIODE(_02606_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__07957__A (.DIODE(_04074_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__07203__A1 (.DIODE(\i_string_led_controller.i_registers.w_count[3] ),
+ sky130_fd_sc_hd__diode_2 ANTENNA__07958__A1 (.DIODE(_04063_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__07208__A0 (.DIODE(\i_string_led_controller.i_registers.w_count[2] ),
+ sky130_fd_sc_hd__diode_2 ANTENNA__07958__C1 (.DIODE(_03973_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__07208__S (.DIODE(_02642_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__07959__A (.DIODE(_04029_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__07210__A2 (.DIODE(_02594_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__07960__A (.DIODE(_04033_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__07210__A3 (.DIODE(_02606_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__07961__C1 (.DIODE(_04026_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__07211__A0 (.DIODE(\i_string_led_controller.i_registers.w_count[1] ),
+ sky130_fd_sc_hd__diode_2 ANTENNA__07962__A2 (.DIODE(_04025_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__07211__S (.DIODE(_02606_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__07962__B1 (.DIODE(_04082_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__07214__A (.DIODE(\i_string_led_controller.i_registers.w_count[0] ),
+ sky130_fd_sc_hd__diode_2 ANTENNA__07963__S0 (.DIODE(_04064_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__07214__B (.DIODE(_02606_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__07963__S1 (.DIODE(_04065_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__07230__S (.DIODE(_02642_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__07964__S0 (.DIODE(_04067_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__07234__S (.DIODE(_02642_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__07964__S1 (.DIODE(_04068_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__07238__S (.DIODE(_02642_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__07965__S (.DIODE(_04072_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__07242__S (.DIODE(_02642_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__07966__S0 (.DIODE(_04077_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__07247__B (.DIODE(_02388_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__07967__S0 (.DIODE(_03997_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__07248__C (.DIODE(net432),
+ sky130_fd_sc_hd__diode_2 ANTENNA__07967__S1 (.DIODE(_04078_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__07248__D (.DIODE(net442),
+ sky130_fd_sc_hd__diode_2 ANTENNA__07968__S (.DIODE(_04071_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__07249__C (.DIODE(net420),
+ sky130_fd_sc_hd__diode_2 ANTENNA__07969__A (.DIODE(_04074_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__07252__B (.DIODE(net494),
+ sky130_fd_sc_hd__diode_2 ANTENNA__07970__A1 (.DIODE(_04063_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__07252__C (.DIODE(_02676_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__07970__C1 (.DIODE(_03973_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__07253__A (.DIODE(_02677_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__07972__C1 (.DIODE(_04094_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__07254__A1 (.DIODE(net377),
+ sky130_fd_sc_hd__diode_2 ANTENNA__07973__A2 (.DIODE(_04025_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__07256__A1 (.DIODE(_02530_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__07973__B1 (.DIODE(_04093_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__07258__A1 (.DIODE(_02532_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__07975__S0 (.DIODE(_03986_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__07260__A1 (.DIODE(_02534_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__07975__S1 (.DIODE(_04096_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__07262__A1 (.DIODE(net436),
+ sky130_fd_sc_hd__diode_2 ANTENNA__07976__A (.DIODE(_03960_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__07264__A1 (.DIODE(_02538_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__07977__S0 (.DIODE(_04098_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__07264__S (.DIODE(_02677_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__07977__S1 (.DIODE(_04046_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__07266__A1 (.DIODE(_02540_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__07978__S (.DIODE(_04005_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__07266__S (.DIODE(_02677_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__07980__S1 (.DIODE(_04051_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__07268__A1 (.DIODE(net398),
+ sky130_fd_sc_hd__diode_2 ANTENNA__07981__A (.DIODE(\i_nec_ir_receiver.i_fifo.rd_ptr[1] ),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__07268__S (.DIODE(_02677_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__07982__S0 (.DIODE(_04053_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__07270__A1 (.DIODE(\i_string_led_controller.i_bit_generator.polarity ),
+ sky130_fd_sc_hd__diode_2 ANTENNA__07982__S1 (.DIODE(_04103_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__07273__A (.DIODE(_02676_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__07983__S (.DIODE(_04004_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__07274__A1 (.DIODE(net470),
+ sky130_fd_sc_hd__diode_2 ANTENNA__07984__A (.DIODE(_04101_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__07276__A0 (.DIODE(_02370_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__07985__A1 (.DIODE(_03983_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__07276__A1 (.DIODE(\i_string_led_controller.i_registers.w_first[0] ),
+ sky130_fd_sc_hd__diode_2 ANTENNA__07985__C1 (.DIODE(_03971_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__07276__S (.DIODE(_02547_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__07986__A2 (.DIODE(_04041_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__07278__A0 (.DIODE(net479),
+ sky130_fd_sc_hd__diode_2 ANTENNA__07986__B1 (.DIODE(_04043_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__07278__A1 (.DIODE(\i_string_led_controller.i_registers.w_count[0] ),
+ sky130_fd_sc_hd__diode_2 ANTENNA__07986__C1 (.DIODE(_04107_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__07280__A (.DIODE(_02348_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__07989__S0 (.DIODE(_03986_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__07281__A0 (.DIODE(_02594_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__07989__S1 (.DIODE(_04096_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__07281__A1 (.DIODE(net465),
+ sky130_fd_sc_hd__diode_2 ANTENNA__07990__S0 (.DIODE(_04098_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__07283__A1 (.DIODE(net459),
+ sky130_fd_sc_hd__diode_2 ANTENNA__07990__S1 (.DIODE(_04046_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__07285__A1 (.DIODE(net444),
+ sky130_fd_sc_hd__diode_2 ANTENNA__07991__S (.DIODE(_04005_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__07287__A1 (.DIODE(net448),
+ sky130_fd_sc_hd__diode_2 ANTENNA__07992__S1 (.DIODE(_04051_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__07289__A1 (.DIODE(net438),
+ sky130_fd_sc_hd__diode_2 ANTENNA__07993__S0 (.DIODE(_04053_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__07291__A (.DIODE(net22),
+ sky130_fd_sc_hd__diode_2 ANTENNA__07993__S1 (.DIODE(_04103_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__07292__A1 (.DIODE(net481),
+ sky130_fd_sc_hd__diode_2 ANTENNA__07994__S (.DIODE(_04004_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__07292__S (.DIODE(_02676_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__07995__A (.DIODE(_04101_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__07294__S (.DIODE(_02676_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__07996__A (.DIODE(_03970_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__07296__S (.DIODE(_02676_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__07997__A1 (.DIODE(_03983_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__07298__A0 (.DIODE(\i_string_led_controller.i_bit_generator.polarity ),
+ sky130_fd_sc_hd__diode_2 ANTENNA__07997__C1 (.DIODE(_04117_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__07298__A1 (.DIODE(net457),
+ sky130_fd_sc_hd__diode_2 ANTENNA__07998__A2 (.DIODE(_04041_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__07300__A0 (.DIODE(_02370_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__07998__B1 (.DIODE(_04043_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__07302__A (.DIODE(net21),
+ sky130_fd_sc_hd__diode_2 ANTENNA__07998__C1 (.DIODE(_04118_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__07302__B_N (.DIODE(net18),
+ sky130_fd_sc_hd__diode_2 ANTENNA__08001__S0 (.DIODE(_04064_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__07303__B (.DIODE(_02705_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__08001__S1 (.DIODE(_04065_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__07304__A (.DIODE(_02706_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__08002__S0 (.DIODE(_04067_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__07305__A0 (.DIODE(_02370_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__08002__S1 (.DIODE(_04068_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__07307__A0 (.DIODE(\i_nec_ir_receiver.i_nec_ir_receiver_registers.tolerance[1] ),
+ sky130_fd_sc_hd__diode_2 ANTENNA__08003__S (.DIODE(_04006_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__07307__A1 (.DIODE(net473),
+ sky130_fd_sc_hd__diode_2 ANTENNA__08004__S0 (.DIODE(_04077_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__07307__S (.DIODE(_02366_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__08004__S1 (.DIODE(_04078_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__07309__A (.DIODE(net353),
+ sky130_fd_sc_hd__diode_2 ANTENNA__08006__S0 (.DIODE(_03997_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__07310__A (.DIODE(_02503_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__08006__S1 (.DIODE(_04125_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__07311__A (.DIODE(_02364_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__08007__S (.DIODE(_04071_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__07311__B (.DIODE(_02450_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__08008__A (.DIODE(_04074_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__07311__C (.DIODE(_02711_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__08009__A1 (.DIODE(_04063_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__07312__A1 (.DIODE(_02710_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__08009__A2 (.DIODE(_04123_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__07315__A1 (.DIODE(_02714_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__08010__C1 (.DIODE(_04094_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__07318__A1 (.DIODE(_02716_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__08011__A2 (.DIODE(_04025_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__07320__A1 (.DIODE(_02501_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__08011__B1 (.DIODE(_04129_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__07322__A (.DIODE(_02507_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__08012__A (.DIODE(_03982_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__07323__A (.DIODE(_02363_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__08013__S0 (.DIODE(_03986_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__07323__C (.DIODE(_02719_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__08013__S1 (.DIODE(_04096_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__07325__A1 (.DIODE(_02506_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__08014__A (.DIODE(_04051_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__07327__A1 (.DIODE(_02511_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__08015__S0 (.DIODE(_04098_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__07329__A1 (.DIODE(_02513_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__08016__S (.DIODE(_04005_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__07331__A1 (.DIODE(_02515_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__08017__A (.DIODE(_03959_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__07333__A0 (.DIODE(\i_nec_ir_receiver.i_nec_ir_receiver_registers.multiplier[11] ),
+ sky130_fd_sc_hd__diode_2 ANTENNA__08018__S0 (.DIODE(_04136_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__07333__A1 (.DIODE(_02517_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__08018__S1 (.DIODE(_04051_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__07335__A0 (.DIODE(\i_nec_ir_receiver.i_nec_ir_receiver_registers.multiplier[10] ),
+ sky130_fd_sc_hd__diode_2 ANTENNA__08019__A (.DIODE(_03959_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__07335__A1 (.DIODE(_02519_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__08020__S0 (.DIODE(_04138_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__07337__A0 (.DIODE(\i_nec_ir_receiver.i_nec_ir_receiver_registers.multiplier[9] ),
+ sky130_fd_sc_hd__diode_2 ANTENNA__08020__S1 (.DIODE(_04103_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__07337__A1 (.DIODE(_02521_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__08023__A (.DIODE(_04101_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__07339__A0 (.DIODE(\i_nec_ir_receiver.i_nec_ir_receiver_registers.multiplier[8] ),
+ sky130_fd_sc_hd__diode_2 ANTENNA__08024__A1 (.DIODE(_04131_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__07339__A1 (.DIODE(_02523_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__08024__C1 (.DIODE(_04117_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__07341__A0 (.DIODE(\i_nec_ir_receiver.i_nec_ir_receiver_registers.multiplier[7] ),
+ sky130_fd_sc_hd__diode_2 ANTENNA__08025__A2 (.DIODE(_04041_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__07341__A1 (.DIODE(net377),
+ sky130_fd_sc_hd__diode_2 ANTENNA__08025__B1 (.DIODE(_04043_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__07341__S (.DIODE(_02384_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__08025__C1 (.DIODE(_04143_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__07343__A0 (.DIODE(\i_nec_ir_receiver.i_nec_ir_receiver_registers.multiplier[6] ),
+ sky130_fd_sc_hd__diode_2 ANTENNA__08028__A (.DIODE(_04040_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__07343__A1 (.DIODE(_02530_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__08030__S0 (.DIODE(_04045_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__07343__S (.DIODE(_02384_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__08030__S1 (.DIODE(_04096_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__07345__A (.DIODE(net312),
+ sky130_fd_sc_hd__diode_2 ANTENNA__08031__S0 (.DIODE(_04098_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__07346__A0 (.DIODE(\i_nec_ir_receiver.i_nec_ir_receiver_registers.multiplier[5] ),
+ sky130_fd_sc_hd__diode_2 ANTENNA__08033__S0 (.DIODE(_04136_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__07346__A1 (.DIODE(_02732_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__08033__S1 (.DIODE(_04054_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__07346__S (.DIODE(_02384_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__08034__S0 (.DIODE(_04138_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__07348__A (.DIODE(net325),
+ sky130_fd_sc_hd__diode_2 ANTENNA__08034__S1 (.DIODE(_04103_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__07349__A0 (.DIODE(\i_nec_ir_receiver.i_nec_ir_receiver_registers.multiplier[4] ),
+ sky130_fd_sc_hd__diode_2 ANTENNA__08036__A (.DIODE(_04101_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__07349__A1 (.DIODE(_02734_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__08037__A1 (.DIODE(_04131_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__07349__S (.DIODE(_02384_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__08037__C1 (.DIODE(_04117_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__07351__A (.DIODE(net410),
+ sky130_fd_sc_hd__diode_2 ANTENNA__08038__B1 (.DIODE(_04147_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__07352__A0 (.DIODE(\i_nec_ir_receiver.i_nec_ir_receiver_registers.multiplier[3] ),
+ sky130_fd_sc_hd__diode_2 ANTENNA__08038__C1 (.DIODE(_04155_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__07352__A1 (.DIODE(net409),
+ sky130_fd_sc_hd__diode_2 ANTENNA__08041__S0 (.DIODE(_04045_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__07354__A (.DIODE(net308),
+ sky130_fd_sc_hd__diode_2 ANTENNA__08041__S1 (.DIODE(_04096_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__07355__A0 (.DIODE(\i_nec_ir_receiver.i_nec_ir_receiver_registers.multiplier[2] ),
+ sky130_fd_sc_hd__diode_2 ANTENNA__08042__S0 (.DIODE(_04098_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__07355__A1 (.DIODE(_02738_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__08044__S0 (.DIODE(_04136_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__07357__A (.DIODE(net386),
+ sky130_fd_sc_hd__diode_2 ANTENNA__08044__S1 (.DIODE(_04054_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__07358__A0 (.DIODE(\i_nec_ir_receiver.i_nec_ir_receiver_registers.multiplier[1] ),
+ sky130_fd_sc_hd__diode_2 ANTENNA__08045__S0 (.DIODE(_04138_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__07358__A1 (.DIODE(net385),
+ sky130_fd_sc_hd__diode_2 ANTENNA__08045__S1 (.DIODE(_04103_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__07361__A (.DIODE(_02364_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__08047__A (.DIODE(_04101_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__07361__B (.DIODE(_02449_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__08048__A1 (.DIODE(_04131_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__07361__C (.DIODE(_02503_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__08048__C1 (.DIODE(_04117_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__07362__A1 (.DIODE(_02710_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__08049__B1 (.DIODE(_04147_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__07364__A1 (.DIODE(_02714_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__08049__C1 (.DIODE(_04165_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__07366__A1 (.DIODE(_02716_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__08053__S0 (.DIODE(_04045_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__07368__A1 (.DIODE(_02501_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__08053__S1 (.DIODE(_04046_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__07370__A (.DIODE(_02363_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__08054__S0 (.DIODE(_03996_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__07370__C (.DIODE(_02507_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__08056__S0 (.DIODE(_04136_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__07372__A1 (.DIODE(_02506_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__08056__S1 (.DIODE(_04054_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__07374__A1 (.DIODE(_02511_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__08057__S0 (.DIODE(_04138_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__07376__A1 (.DIODE(_02513_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__08060__A1 (.DIODE(_04131_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__07378__A1 (.DIODE(_02515_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__08060__C1 (.DIODE(_04117_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__07380__A0 (.DIODE(\i_nec_ir_receiver.divider[11] ),
+ sky130_fd_sc_hd__diode_2 ANTENNA__08061__B1 (.DIODE(_04147_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__07380__A1 (.DIODE(_02517_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__08061__C1 (.DIODE(_04176_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__07382__A0 (.DIODE(\i_nec_ir_receiver.divider[10] ),
+ sky130_fd_sc_hd__diode_2 ANTENNA__08064__S0 (.DIODE(_04064_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__07382__A1 (.DIODE(_02519_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__08064__S1 (.DIODE(_04068_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__07384__A0 (.DIODE(\i_nec_ir_receiver.divider[9] ),
+ sky130_fd_sc_hd__diode_2 ANTENNA__08065__S0 (.DIODE(_04067_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__07384__A1 (.DIODE(_02521_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__08065__S1 (.DIODE(_03995_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__07386__A0 (.DIODE(\i_nec_ir_receiver.divider[8] ),
+ sky130_fd_sc_hd__diode_2 ANTENNA__08066__S (.DIODE(_04006_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__07386__A1 (.DIODE(_02523_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__08067__S0 (.DIODE(_04077_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__07389__A0 (.DIODE(\i_nec_ir_receiver.divider[7] ),
+ sky130_fd_sc_hd__diode_2 ANTENNA__08067__S1 (.DIODE(_04078_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__07389__A1 (.DIODE(_02758_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__08068__S0 (.DIODE(_03997_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__07392__A0 (.DIODE(\i_nec_ir_receiver.divider[6] ),
+ sky130_fd_sc_hd__diode_2 ANTENNA__08068__S1 (.DIODE(_04125_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__07392__A1 (.DIODE(net393),
+ sky130_fd_sc_hd__diode_2 ANTENNA__08069__S (.DIODE(_04071_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__07394__A0 (.DIODE(\i_nec_ir_receiver.divider[5] ),
+ sky130_fd_sc_hd__diode_2 ANTENNA__08070__A (.DIODE(_04074_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__07394__A1 (.DIODE(_02732_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__08071__A1 (.DIODE(_03984_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__07396__A0 (.DIODE(\i_nec_ir_receiver.divider[4] ),
+ sky130_fd_sc_hd__diode_2 ANTENNA__08072__C1 (.DIODE(_04094_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__07396__A1 (.DIODE(_02734_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__08073__B1 (.DIODE(_04186_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__07398__A0 (.DIODE(\i_nec_ir_receiver.divider[3] ),
+ sky130_fd_sc_hd__diode_2 ANTENNA__08074__S0 (.DIODE(_04064_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__07398__A1 (.DIODE(net409),
+ sky130_fd_sc_hd__diode_2 ANTENNA__08074__S1 (.DIODE(_04068_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__07400__A0 (.DIODE(\i_nec_ir_receiver.divider[2] ),
+ sky130_fd_sc_hd__diode_2 ANTENNA__08075__S0 (.DIODE(_04067_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__07400__A1 (.DIODE(_02738_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__08075__S1 (.DIODE(_03995_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__07402__A0 (.DIODE(\i_nec_ir_receiver.divider[1] ),
+ sky130_fd_sc_hd__diode_2 ANTENNA__08076__S (.DIODE(_04006_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__07402__A1 (.DIODE(net385),
+ sky130_fd_sc_hd__diode_2 ANTENNA__08077__S0 (.DIODE(_04077_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__07405__A (.DIODE(\i_step_motor_controller.i_motor_sequencer.period[19] ),
+ sky130_fd_sc_hd__diode_2 ANTENNA__08077__S1 (.DIODE(_04078_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__07406__A (.DIODE(\i_step_motor_controller.i_motor_sequencer.period[18] ),
+ sky130_fd_sc_hd__diode_2 ANTENNA__08078__S0 (.DIODE(_03997_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__07407__A (.DIODE(\i_step_motor_controller.i_motor_sequencer.period[17] ),
+ sky130_fd_sc_hd__diode_2 ANTENNA__08078__S1 (.DIODE(_04125_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__07408__A (.DIODE(\i_step_motor_controller.i_motor_sequencer.period[16] ),
+ sky130_fd_sc_hd__diode_2 ANTENNA__08079__S (.DIODE(_04071_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__07409__A (.DIODE(\i_step_motor_controller.i_motor_sequencer.period[15] ),
+ sky130_fd_sc_hd__diode_2 ANTENNA__08080__A (.DIODE(_04050_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__07411__A (.DIODE(\i_step_motor_controller.i_motor_sequencer.period[14] ),
+ sky130_fd_sc_hd__diode_2 ANTENNA__08081__A1 (.DIODE(_03984_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__07412__A (.DIODE(\i_step_motor_controller.i_motor_sequencer.period[13] ),
+ sky130_fd_sc_hd__diode_2 ANTENNA__08082__C1 (.DIODE(_04094_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__07414__A (.DIODE(\i_step_motor_controller.i_motor_sequencer.period[10] ),
+ sky130_fd_sc_hd__diode_2 ANTENNA__08083__B1 (.DIODE(_04195_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__07415__A2_N (.DIODE(\i_step_motor_controller.i_motor_sequencer.period[11] ),
+ sky130_fd_sc_hd__diode_2 ANTENNA__08085__A1 (.DIODE(_03964_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__07416__B (.DIODE(\i_step_motor_controller.i_motor_sequencer.period[11] ),
+ sky130_fd_sc_hd__diode_2 ANTENNA__08088__S0 (.DIODE(_03961_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__07424__A (.DIODE(\i_step_motor_controller.i_motor_sequencer.period[2] ),
+ sky130_fd_sc_hd__diode_2 ANTENNA__08089__B2 (.DIODE(_04012_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__07425__A (.DIODE(\i_step_motor_controller.i_motor_sequencer.period[1] ),
+ sky130_fd_sc_hd__diode_2 ANTENNA__08089__C1 (.DIODE(_04050_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__07426__A (.DIODE(\i_step_motor_controller.i_motor_sequencer.period[0] ),
+ sky130_fd_sc_hd__diode_2 ANTENNA__08090__S0 (.DIODE(_03961_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__07436__A2_N (.DIODE(\i_step_motor_controller.i_motor_sequencer.period[9] ),
+ sky130_fd_sc_hd__diode_2 ANTENNA__08091__S (.DIODE(_03996_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__07437__B (.DIODE(\i_step_motor_controller.i_motor_sequencer.period[9] ),
+ sky130_fd_sc_hd__diode_2 ANTENNA__08092__S (.DIODE(_04053_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__07439__B_N (.DIODE(\i_step_motor_controller.i_motor_sequencer.period[12] ),
+ sky130_fd_sc_hd__diode_2 ANTENNA__08094__A1 (.DIODE(_04125_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__07443__A_N (.DIODE(\i_step_motor_controller.i_motor_sequencer.period[12] ),
+ sky130_fd_sc_hd__diode_2 ANTENNA__08095__A1 (.DIODE(_04012_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__07555__A (.DIODE(_02893_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__08095__C1 (.DIODE(_03982_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__07556__A1 (.DIODE(_02892_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__08096__B1 (.DIODE(_03971_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__07556__S (.DIODE(_02894_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__08097__B1 (.DIODE(_04147_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__07559__A1 (.DIODE(_02896_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__08097__C1 (.DIODE(_04209_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__07559__S (.DIODE(_02894_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__08100__A (.DIODE(_04009_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__07562__A1 (.DIODE(_02898_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__08101__A1 (.DIODE(_03964_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__07562__S (.DIODE(_02894_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__08101__C1 (.DIODE(_04017_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__07566__A (.DIODE(_02901_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__08102__S (.DIODE(_04009_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__07567__A1 (.DIODE(_02900_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__08103__A1 (.DIODE(_03991_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__07570__A1 (.DIODE(_02904_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__08103__B1 (.DIODE(_04000_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__07573__A1 (.DIODE(_02906_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__08104__A (.DIODE(_03987_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__07576__A1 (.DIODE(_02908_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__08105__S0 (.DIODE(_04216_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__07578__B (.DIODE(\i_pseudorandom.i_xoroshiro_64_plus_plus.s0[11] ),
+ sky130_fd_sc_hd__diode_2 ANTENNA__08105__S1 (.DIODE(_04065_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__07579__A1 (.DIODE(_02910_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__08106__B2 (.DIODE(_04072_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__07582__A (.DIODE(_02901_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__08106__C1 (.DIODE(_04074_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__07583__A1 (.DIODE(_02912_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__08107__S0 (.DIODE(_04216_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__07586__A1 (.DIODE(_02915_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__08107__S1 (.DIODE(_04017_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__07590__A1 (.DIODE(_02918_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__08108__S (.DIODE(_04009_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__07593__A1 (.DIODE(_02920_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__08109__S (.DIODE(_03987_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__07595__B (.DIODE(\i_pseudorandom.i_xoroshiro_64_plus_plus.s0[6] ),
+ sky130_fd_sc_hd__diode_2 ANTENNA__08110__A1 (.DIODE(_03991_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__07596__A1 (.DIODE(_02922_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__08110__B1 (.DIODE(_04000_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__07598__B (.DIODE(\i_pseudorandom.i_xoroshiro_64_plus_plus.s0[5] ),
+ sky130_fd_sc_hd__diode_2 ANTENNA__08111__A1 (.DIODE(_04017_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__07600__A (.DIODE(_02901_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__08112__A1 (.DIODE(_04072_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__07601__A1 (.DIODE(_02925_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__08112__C1 (.DIODE(_03984_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__07603__B (.DIODE(\i_pseudorandom.i_xoroshiro_64_plus_plus.s0[4] ),
+ sky130_fd_sc_hd__diode_2 ANTENNA__08113__B1 (.DIODE(_03973_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__07604__A1 (.DIODE(_02928_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__08114__A (.DIODE(_04029_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__07606__B (.DIODE(\i_pseudorandom.i_xoroshiro_64_plus_plus.s0[3] ),
+ sky130_fd_sc_hd__diode_2 ANTENNA__08115__A (.DIODE(_04033_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__07607__A1 (.DIODE(_02930_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__08116__A2 (.DIODE(_04226_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__07609__B (.DIODE(\i_pseudorandom.i_xoroshiro_64_plus_plus.s0[2] ),
+ sky130_fd_sc_hd__diode_2 ANTENNA__08116__B1 (.DIODE(_04227_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__07610__A1 (.DIODE(_02932_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__08116__B2 (.DIODE(net815),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__07613__A (.DIODE(_02934_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__08116__C1 (.DIODE(_04094_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__07614__A1 (.DIODE(_02935_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__08117__B1 (.DIODE(_04225_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__07617__A (.DIODE(_02937_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__08118__S0 (.DIODE(_04045_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__07618__A (.DIODE(_02901_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__08118__S1 (.DIODE(_04046_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__07622__A1 (.DIODE(_02941_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__08119__S0 (.DIODE(_03996_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__07631__A1 (.DIODE(_02947_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__08121__S0 (.DIODE(_04136_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__07634__A (.DIODE(_02893_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__08121__S1 (.DIODE(_04054_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__07636__A1 (.DIODE(_02949_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__08122__S0 (.DIODE(_04138_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__07636__S (.DIODE(_02951_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__08125__A1 (.DIODE(_04131_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__07639__A1 (.DIODE(_02953_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__08125__C1 (.DIODE(_03970_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__07639__S (.DIODE(_02951_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__08126__B1 (.DIODE(_04147_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__07642__A1 (.DIODE(_02955_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__08126__B2 (.DIODE(\i_nec_ir_receiver.i_nec_ir_receiver_registers.multiplier[1] ),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__07642__S (.DIODE(_02951_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__08126__C1 (.DIODE(_04236_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__07645__A (.DIODE(_02957_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__08130__A1 (.DIODE(_03964_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__07646__S (.DIODE(_02951_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__08133__S0 (.DIODE(_03961_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__07649__A1 (.DIODE(_02960_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__08134__B2 (.DIODE(_04012_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__07649__S (.DIODE(_02951_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__08134__C1 (.DIODE(_04050_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__07653__A1 (.DIODE(_02962_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__08135__S0 (.DIODE(_03961_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__07653__S (.DIODE(_02963_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__08136__S (.DIODE(_03996_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__07656__A (.DIODE(_02965_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__08137__S (.DIODE(_04053_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__07657__S (.DIODE(_02963_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__08139__A1 (.DIODE(_04125_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__07660__A1 (.DIODE(_02968_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__08140__A1 (.DIODE(_04012_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__07660__S (.DIODE(_02963_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__08140__C1 (.DIODE(_03982_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__07663__A (.DIODE(_02970_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__08141__B1 (.DIODE(_03971_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__07664__S (.DIODE(_02963_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__08142__A2 (.DIODE(_04029_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__07673__A (.DIODE(_02973_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__08142__B1 (.DIODE(_04033_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__07696__A (.DIODE(net22),
+ sky130_fd_sc_hd__diode_2 ANTENNA__08142__C1 (.DIODE(_04251_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__07696__B (.DIODE(_02705_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__08143__A0 (.DIODE(\i_nec_ir_receiver.i_nec_ir_receiver_registers.wbs_dat_o[0] ),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__07698__A (.DIODE(_02375_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__08147__A (.DIODE(_04255_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__07700__A1 (.DIODE(net398),
+ sky130_fd_sc_hd__diode_2 ANTENNA__08155__A (.DIODE(\i_nec_ir_receiver.i_event_catcher.clear_n ),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__07700__S (.DIODE(_02998_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__08159__A2 (.DIODE(_04256_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__07704__A (.DIODE(net22),
+ sky130_fd_sc_hd__diode_2 ANTENNA__08171__B (.DIODE(_04277_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__07704__B (.DIODE(_02502_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__08173__A (.DIODE(_03979_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__07706__A (.DIODE(_02375_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__08194__A (.DIODE(_04277_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__07708__A1 (.DIODE(net398),
+ sky130_fd_sc_hd__diode_2 ANTENNA__08204__B (.DIODE(_03979_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__07710__A (.DIODE(_02380_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__08207__B (.DIODE(_03979_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__07710__B (.DIODE(_02371_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__08213__C1 (.DIODE(_04256_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__07711__A (.DIODE(net468),
+ sky130_fd_sc_hd__diode_2 ANTENNA__08219__A1 (.DIODE(\i_nec_ir_receiver.i_fifo.rd_ptr[2] ),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__07711__B (.DIODE(_02358_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__08222__A2 (.DIODE(\i_nec_ir_receiver.i_fifo.rd_ptr[0] ),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__07711__C (.DIODE(_02360_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__08225__A (.DIODE(\i_nec_ir_receiver.frame_write ),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__07713__B (.DIODE(_02542_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__08229__A (.DIODE(_04309_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__07713__C (.DIODE(_03007_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__08229__B (.DIODE(_04322_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__07714__A0 (.DIODE(net465),
+ sky130_fd_sc_hd__diode_2 ANTENNA__08231__A (.DIODE(\i_nec_ir_receiver.frame_write ),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__07714__S (.DIODE(_03010_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__08239__A (.DIODE(_04309_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__07716__B (.DIODE(_02351_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__08244__B1 (.DIODE(_04336_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__07716__C (.DIODE(_02374_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__08247__A (.DIODE(_04255_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__07718__A0 (.DIODE(\i_step_motor_controller.duty_cycle[0] ),
+ sky130_fd_sc_hd__diode_2 ANTENNA__08249__A (.DIODE(\i_nec_ir_receiver.fifo_wdata[15] ),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__07718__A1 (.DIODE(net398),
+ sky130_fd_sc_hd__diode_2 ANTENNA__08250__A (.DIODE(\i_nec_ir_receiver.i_event_catcher.clear_n ),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__07718__S (.DIODE(_03013_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__08252__A1_N (.DIODE(\i_nec_ir_receiver.i_frame_decoder.frame_shift[23] ),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__07720__A0 (.DIODE(net459),
+ sky130_fd_sc_hd__diode_2 ANTENNA__08252__B2 (.DIODE(\i_nec_ir_receiver.i_frame_decoder.frame_shift[20] ),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__07720__S (.DIODE(_03010_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__08253__A1 (.DIODE(\i_nec_ir_receiver.i_frame_decoder.frame_shift[23] ),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__07722__A0 (.DIODE(net457),
+ sky130_fd_sc_hd__diode_2 ANTENNA__08253__B2 (.DIODE(\i_nec_ir_receiver.i_frame_decoder.frame_shift[22] ),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__07722__S (.DIODE(_03010_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__08256__A1_N (.DIODE(\i_nec_ir_receiver.i_frame_decoder.frame_shift[21] ),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__07725__A (.DIODE(_02348_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__08256__B2 (.DIODE(\i_nec_ir_receiver.i_frame_decoder.frame_shift[20] ),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__07726__A1 (.DIODE(net459),
+ sky130_fd_sc_hd__diode_2 ANTENNA__08257__A1 (.DIODE(\i_nec_ir_receiver.i_frame_decoder.frame_shift[22] ),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__07726__S (.DIODE(_03017_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__08257__B2 (.DIODE(\i_nec_ir_receiver.i_frame_decoder.frame_shift[21] ),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__07728__B (.DIODE(_02396_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__08264__A_N (.DIODE(\i_nec_ir_receiver.i_nec_ir_receiver_registers.tolerance[0] ),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__07730__A (.DIODE(_02375_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__08265__B1_N (.DIODE(\i_nec_ir_receiver.i_nec_ir_receiver_registers.tolerance[1] ),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__07730__C (.DIODE(_03020_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__08269__A1_N (.DIODE(\i_nec_ir_receiver.i_frame_decoder.frame_shift[7] ),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__07732__A0 (.DIODE(\i_step_motor_controller.i_motor_sequencer.period[0] ),
+ sky130_fd_sc_hd__diode_2 ANTENNA__08269__B2 (.DIODE(\i_nec_ir_receiver.i_frame_decoder.frame_shift[5] ),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__07732__A1 (.DIODE(net399),
+ sky130_fd_sc_hd__diode_2 ANTENNA__08270__A1 (.DIODE(\i_nec_ir_receiver.i_frame_decoder.frame_shift[3] ),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__07736__A1 (.DIODE(net465),
+ sky130_fd_sc_hd__diode_2 ANTENNA__08270__B2 (.DIODE(\i_nec_ir_receiver.i_frame_decoder.frame_shift[1] ),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__07736__S (.DIODE(_03017_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__08271__A1 (.DIODE(\i_nec_ir_receiver.i_frame_decoder.frame_shift[3] ),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__07738__A1 (.DIODE(net457),
+ sky130_fd_sc_hd__diode_2 ANTENNA__08271__B2 (.DIODE(\i_nec_ir_receiver.i_frame_decoder.frame_shift[2] ),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__07738__S (.DIODE(_03017_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__08273__A1_N (.DIODE(\i_nec_ir_receiver.i_frame_decoder.frame_shift[5] ),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__07740__A (.DIODE(_02705_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__08273__B2 (.DIODE(_04363_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__07740__C_N (.DIODE(_02507_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__08275__A (.DIODE(\i_nec_ir_receiver.i_frame_decoder.frame_shift[6] ),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__07742__A0 (.DIODE(_02513_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__08276__B2 (.DIODE(\i_nec_ir_receiver.i_frame_decoder.frame_shift[4] ),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__07742__A1 (.DIODE(\i_string_led_controller.i_prescaler.multiplier[13] ),
+ sky130_fd_sc_hd__diode_2 ANTENNA__08277__A1_N (.DIODE(\i_nec_ir_receiver.i_frame_decoder.frame_shift[2] ),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__07744__A0 (.DIODE(_02515_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__08277__B2 (.DIODE(\i_nec_ir_receiver.i_frame_decoder.frame_shift[1] ),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__07744__A1 (.DIODE(\i_string_led_controller.i_prescaler.multiplier[12] ),
+ sky130_fd_sc_hd__diode_2 ANTENNA__08278__A1_N (.DIODE(\i_nec_ir_receiver.i_frame_decoder.frame_shift[4] ),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__07746__A0 (.DIODE(_02517_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__08278__B2 (.DIODE(\i_nec_ir_receiver.i_frame_decoder.frame_shift[7] ),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__07746__A1 (.DIODE(\i_string_led_controller.i_prescaler.multiplier[11] ),
+ sky130_fd_sc_hd__diode_2 ANTENNA__08282__A (.DIODE(_04372_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__07748__A0 (.DIODE(_02519_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__08283__A0 (.DIODE(_04340_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__07748__A1 (.DIODE(\i_string_led_controller.i_prescaler.multiplier[10] ),
+ sky130_fd_sc_hd__diode_2 ANTENNA__08283__A1 (.DIODE(\i_nec_ir_receiver.i_frame_decoder.frame_shift[7] ),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__07750__A0 (.DIODE(_02521_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__08283__S (.DIODE(_04373_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__07752__A0 (.DIODE(_02523_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__08285__A (.DIODE(\i_nec_ir_receiver.fifo_wdata[14] ),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__07754__A0 (.DIODE(_02525_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__08286__A1 (.DIODE(\i_nec_ir_receiver.i_frame_decoder.frame_shift[6] ),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__07754__A1 (.DIODE(\i_string_led_controller.i_prescaler.multiplier[7] ),
+ sky130_fd_sc_hd__diode_2 ANTENNA__08286__S (.DIODE(_04373_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__07756__A0 (.DIODE(_02530_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__08288__A (.DIODE(\i_nec_ir_receiver.fifo_wdata[13] ),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__07758__A0 (.DIODE(_02532_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__08289__A1 (.DIODE(\i_nec_ir_receiver.i_frame_decoder.frame_shift[5] ),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__07760__A0 (.DIODE(_02534_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__08289__S (.DIODE(_04373_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__07762__A0 (.DIODE(_02536_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__08291__A (.DIODE(\i_nec_ir_receiver.fifo_wdata[12] ),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__07762__S (.DIODE(_02706_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__08292__A0 (.DIODE(_04379_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__07764__A0 (.DIODE(_02538_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__08292__A1 (.DIODE(\i_nec_ir_receiver.i_frame_decoder.frame_shift[4] ),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__07764__S (.DIODE(_02706_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__08292__S (.DIODE(_04373_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__07766__A0 (.DIODE(_02540_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__08294__A (.DIODE(\i_nec_ir_receiver.fifo_wdata[11] ),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__07766__S (.DIODE(_02706_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__08295__A (.DIODE(_04372_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__07768__A0 (.DIODE(_02710_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__08296__A1 (.DIODE(\i_nec_ir_receiver.i_frame_decoder.frame_shift[3] ),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__07770__A0 (.DIODE(_02716_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__08296__S (.DIODE(_04382_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__07772__A0 (.DIODE(_02714_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__08298__A (.DIODE(\i_nec_ir_receiver.fifo_wdata[10] ),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__07775__A (.DIODE(_03046_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__08299__A1 (.DIODE(\i_nec_ir_receiver.i_frame_decoder.frame_shift[2] ),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__07776__A1 (.DIODE(net481),
+ sky130_fd_sc_hd__diode_2 ANTENNA__08299__S (.DIODE(_04382_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__07776__A2 (.DIODE(_03007_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__08301__A (.DIODE(\i_nec_ir_receiver.fifo_wdata[9] ),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__07785__A2 (.DIODE(_03046_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__08302__A1 (.DIODE(\i_nec_ir_receiver.i_frame_decoder.frame_shift[1] ),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__07785__B1 (.DIODE(_03052_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__08302__S (.DIODE(_04382_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__07786__A0 (.DIODE(_03053_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__08304__A (.DIODE(\i_nec_ir_receiver.fifo_wdata[8] ),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__07788__A2 (.DIODE(_03046_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__08305__A1 (.DIODE(_04363_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__07788__B1 (.DIODE(_03052_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__08305__S (.DIODE(_04382_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__07790__A (.DIODE(_03056_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__08307__A (.DIODE(\i_nec_ir_receiver.fifo_wdata[7] ),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__07791__A0 (.DIODE(_03055_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__08308__A1 (.DIODE(\i_nec_ir_receiver.i_frame_decoder.frame_shift[23] ),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__07793__A2 (.DIODE(_03046_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__08308__S (.DIODE(_04382_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__07793__B1 (.DIODE(_03052_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__08310__A (.DIODE(\i_nec_ir_receiver.fifo_wdata[6] ),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__07794__A0 (.DIODE(_03059_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__08311__A (.DIODE(_04372_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__07796__A (.DIODE(_03020_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__08312__A1 (.DIODE(\i_nec_ir_receiver.i_frame_decoder.frame_shift[22] ),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__07800__A2 (.DIODE(_03062_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__08314__A (.DIODE(\i_nec_ir_receiver.fifo_wdata[5] ),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__07800__B1 (.DIODE(_03063_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__08315__A0 (.DIODE(_04395_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__07801__A2 (.DIODE(_03046_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__08315__A1 (.DIODE(\i_nec_ir_receiver.i_frame_decoder.frame_shift[21] ),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__07801__B2 (.DIODE(\i_step_motor_controller.i_motor_sequencer.period[19] ),
+ sky130_fd_sc_hd__diode_2 ANTENNA__08317__A (.DIODE(\i_nec_ir_receiver.fifo_wdata[4] ),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__07805__A (.DIODE(_03068_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__08318__A1 (.DIODE(\i_nec_ir_receiver.i_frame_decoder.frame_shift[20] ),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__07809__B2 (.DIODE(\i_step_motor_controller.i_motor_sequencer.period[18] ),
+ sky130_fd_sc_hd__diode_2 ANTENNA__08320__A (.DIODE(\i_nec_ir_receiver.fifo_wdata[3] ),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__07813__B2 (.DIODE(\i_step_motor_controller.i_motor_sequencer.period[17] ),
+ sky130_fd_sc_hd__diode_2 ANTENNA__08323__A (.DIODE(\i_nec_ir_receiver.fifo_wdata[2] ),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__07817__B2 (.DIODE(\i_step_motor_controller.i_motor_sequencer.period[16] ),
+ sky130_fd_sc_hd__diode_2 ANTENNA__08326__A (.DIODE(\i_nec_ir_receiver.fifo_wdata[1] ),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__07818__A (.DIODE(_03056_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__08327__S (.DIODE(_04372_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__07822__B2 (.DIODE(\i_step_motor_controller.i_motor_sequencer.period[15] ),
+ sky130_fd_sc_hd__diode_2 ANTENNA__08329__A (.DIODE(\i_nec_ir_receiver.fifo_wdata[0] ),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__07825__A (.DIODE(_03020_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__08330__S (.DIODE(_04372_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__07827__B2 (.DIODE(\i_step_motor_controller.i_motor_sequencer.period[14] ),
+ sky130_fd_sc_hd__diode_2 ANTENNA__08332__A (.DIODE(\i_nec_ir_receiver.fifo_wdata[16] ),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__07830__A (.DIODE(_03068_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__08333__A (.DIODE(_04407_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__07834__B2 (.DIODE(\i_step_motor_controller.i_motor_sequencer.period[13] ),
+ sky130_fd_sc_hd__diode_2 ANTENNA__08336__C_N (.DIODE(\i_nec_ir_receiver.i_frame_decoder.repeat_en ),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__07839__B2 (.DIODE(\i_step_motor_controller.i_motor_sequencer.period[12] ),
+ sky130_fd_sc_hd__diode_2 ANTENNA__08341__A1 (.DIODE(_04408_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__07843__B2 (.DIODE(\i_step_motor_controller.i_motor_sequencer.period[11] ),
+ sky130_fd_sc_hd__diode_2 ANTENNA__08342__A (.DIODE(_04336_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__07844__A (.DIODE(_03056_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__08343__A1 (.DIODE(\i_nec_ir_receiver.frame_write ),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__07849__B2 (.DIODE(\i_step_motor_controller.i_motor_sequencer.period[10] ),
+ sky130_fd_sc_hd__diode_2 ANTENNA__08343__A2 (.DIODE(_04416_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__07854__B2 (.DIODE(\i_step_motor_controller.i_motor_sequencer.period[9] ),
+ sky130_fd_sc_hd__diode_2 ANTENNA__08343__B1 (.DIODE(_04373_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__07857__B2 (.DIODE(\i_step_motor_controller.divider[8] ),
+ sky130_fd_sc_hd__diode_2 ANTENNA__08344__A (.DIODE(\i_nec_ir_receiver.frame_read ),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__07865__B2 (.DIODE(\i_step_motor_controller.divider[7] ),
+ sky130_fd_sc_hd__diode_2 ANTENNA__08345__A (.DIODE(_04065_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__07866__A1 (.DIODE(\i_step_motor_controller.duty_cycle[7] ),
+ sky130_fd_sc_hd__diode_2 ANTENNA__08345__B (.DIODE(_04216_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__07866__A2 (.DIODE(_03052_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__08347__A (.DIODE(_04072_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__07867__A0 (.DIODE(_03119_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__08349__A1 (.DIODE(_04063_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__07870__B2 (.DIODE(\i_step_motor_controller.divider[6] ),
+ sky130_fd_sc_hd__diode_2 ANTENNA__08349__B1 (.DIODE(_04421_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__07871__A1 (.DIODE(\i_step_motor_controller.duty_cycle[6] ),
+ sky130_fd_sc_hd__diode_2 ANTENNA__08350__A1 (.DIODE(_04063_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__07871__A2 (.DIODE(_03052_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__08351__A (.DIODE(_04277_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__07871__C1 (.DIODE(_03122_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__08352__A1 (.DIODE(_04000_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__07879__A1 (.DIODE(\i_step_motor_controller.duty_cycle[5] ),
+ sky130_fd_sc_hd__diode_2 ANTENNA__08352__B1 (.DIODE(_04423_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__07879__C1 (.DIODE(_03129_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__08355__A1 (.DIODE(_04425_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__07884__A1 (.DIODE(\i_step_motor_controller.duty_cycle[4] ),
+ sky130_fd_sc_hd__diode_2 ANTENNA__08355__B1 (.DIODE(_04216_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__07884__C1 (.DIODE(_03133_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__08356__A1 (.DIODE(_04017_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__07889__A2 (.DIODE(_03062_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__08356__C1 (.DIODE(_04256_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__07889__B1 (.DIODE(_03063_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__08357__A1 (.DIODE(_04423_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__07890__A1 (.DIODE(\i_step_motor_controller.duty_cycle[3] ),
+ sky130_fd_sc_hd__diode_2 ANTENNA__08357__B1 (.DIODE(_04216_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__07893__A2 (.DIODE(_03068_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__08360__B1 (.DIODE(_04416_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__07893__B2 (.DIODE(\i_step_motor_controller.i_motor_sequencer.period[2] ),
+ sky130_fd_sc_hd__diode_2 ANTENNA__08369__A (.DIODE(_04336_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__07894__A2 (.DIODE(_03062_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__08380__C1 (.DIODE(_04421_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__07894__B1 (.DIODE(_03063_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__08382__C1 (.DIODE(_04421_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__07895__A1 (.DIODE(\i_step_motor_controller.duty_cycle[2] ),
+ sky130_fd_sc_hd__diode_2 ANTENNA__08383__A (.DIODE(_04309_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__07898__A2 (.DIODE(_03068_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__08384__A (.DIODE(\i_nec_ir_receiver.i_nec_ir_receiver_registers.tolerance[1] ),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__07898__B2 (.DIODE(\i_step_motor_controller.i_motor_sequencer.period[1] ),
+ sky130_fd_sc_hd__diode_2 ANTENNA__08386__A (.DIODE(_04425_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__07899__A2 (.DIODE(_03062_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__08388__B2 (.DIODE(\i_nec_ir_receiver.i_nec_ir_receiver_registers.tolerance[0] ),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__07899__B1 (.DIODE(_03063_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__08390__A (.DIODE(\i_nec_ir_receiver.i_nec_ir_receiver_registers.tolerance[0] ),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__07900__A1 (.DIODE(\i_step_motor_controller.duty_cycle[1] ),
+ sky130_fd_sc_hd__diode_2 ANTENNA__08390__B (.DIODE(\i_nec_ir_receiver.i_nec_ir_receiver_registers.tolerance[1] ),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__07901__S (.DIODE(_03056_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__08392__C1 (.DIODE(_04421_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__07903__A2 (.DIODE(_03068_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__08393__A0 (.DIODE(net339),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__07903__B1 (.DIODE(_03020_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__08393__A1 (.DIODE(\i_nec_ir_receiver.i_frame_decoder.repeat_en ),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__07903__B2 (.DIODE(\i_step_motor_controller.i_motor_sequencer.period[0] ),
+ sky130_fd_sc_hd__diode_2 ANTENNA__08395__A0 (.DIODE(net325),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__07904__A2 (.DIODE(_03062_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__08395__A1 (.DIODE(_03979_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__07904__B1 (.DIODE(_03063_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__08397__A (.DIODE(net601),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__07905__A1 (.DIODE(\i_step_motor_controller.duty_cycle[0] ),
+ sky130_fd_sc_hd__diode_2 ANTENNA__08398__B (.DIODE(net615),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__07906__S (.DIODE(_03056_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__08401__A0 (.DIODE(_04453_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__07908__A (.DIODE(_02711_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__08403__B (.DIODE(net615),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__07909__A1 (.DIODE(net353),
+ sky130_fd_sc_hd__diode_2 ANTENNA__08406__A0 (.DIODE(_04453_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__07917__A (.DIODE(_02719_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__08408__A (.DIODE(net652),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__07919__A1 (.DIODE(net366),
+ sky130_fd_sc_hd__diode_2 ANTENNA__08410__A (.DIODE(_03957_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__07923__A1 (.DIODE(_02513_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__08411__B2 (.DIODE(\i_string_led_controller.i_registers.start ),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__07925__A1 (.DIODE(_02515_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__08414__A (.DIODE(net413),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__07929__A1 (.DIODE(_02519_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__08416__A1 (.DIODE(_04465_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__07933__A1 (.DIODE(net335),
+ sky130_fd_sc_hd__diode_2 ANTENNA__08416__S (.DIODE(_04469_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__07935__A1 (.DIODE(net383),
+ sky130_fd_sc_hd__diode_2 ANTENNA__08418__A (.DIODE(\i_string_led_controller.i_sequencer.state_reg[0] ),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__07935__S (.DIODE(_02998_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__08419__B2 (.DIODE(\i_string_led_controller.i_registers.progress ),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__07937__A1 (.DIODE(net393),
+ sky130_fd_sc_hd__diode_2 ANTENNA__08420__A1 (.DIODE(_04471_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__07937__S (.DIODE(_02998_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__08420__S (.DIODE(_04469_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__07939__A1 (.DIODE(_02732_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__08423__B (.DIODE(net413),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__07941__A1 (.DIODE(_02734_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__08425__A (.DIODE(_04475_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__07941__S (.DIODE(_02998_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__08426__A (.DIODE(net413),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__07943__A1 (.DIODE(net409),
+ sky130_fd_sc_hd__diode_2 ANTENNA__08427__B (.DIODE(_03704_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__07945__A1 (.DIODE(_02738_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__08429__B (.DIODE(_03957_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__07947__A1 (.DIODE(net385),
+ sky130_fd_sc_hd__diode_2 ANTENNA__08431__B1 (.DIODE(_04480_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__07949__A (.DIODE(_02711_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__08431__B2 (.DIODE(\i_string_led_controller.i_registers.w_count[3] ),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__07950__A1 (.DIODE(net353),
+ sky130_fd_sc_hd__diode_2 ANTENNA__08432__B1 (.DIODE(_04480_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__07958__A (.DIODE(_02719_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__08432__B2 (.DIODE(\i_string_led_controller.i_registers.w_count[2] ),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__07960__A1 (.DIODE(net366),
+ sky130_fd_sc_hd__diode_2 ANTENNA__08433__B1 (.DIODE(_04480_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__07970__A1 (.DIODE(net316),
+ sky130_fd_sc_hd__diode_2 ANTENNA__08433__B2 (.DIODE(\i_string_led_controller.i_registers.w_count[1] ),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__07974__A0 (.DIODE(\i_step_motor_controller.divider[8] ),
+ sky130_fd_sc_hd__diode_2 ANTENNA__08434__B1 (.DIODE(_04480_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__07974__A1 (.DIODE(net335),
+ sky130_fd_sc_hd__diode_2 ANTENNA__08434__B2 (.DIODE(\i_string_led_controller.i_registers.w_count[0] ),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__07976__A0 (.DIODE(\i_step_motor_controller.divider[7] ),
+ sky130_fd_sc_hd__diode_2 ANTENNA__08435__A2 (.DIODE(_04226_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__07976__A1 (.DIODE(net383),
+ sky130_fd_sc_hd__diode_2 ANTENNA__08435__B1 (.DIODE(_04227_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__07978__A0 (.DIODE(\i_step_motor_controller.divider[6] ),
+ sky130_fd_sc_hd__diode_2 ANTENNA__08438__A2 (.DIODE(_04226_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__07978__A1 (.DIODE(net393),
+ sky130_fd_sc_hd__diode_2 ANTENNA__08438__B1 (.DIODE(_04227_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__07980__A1 (.DIODE(_02732_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__08439__A (.DIODE(_04475_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__07982__A1 (.DIODE(_02734_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__08449__A (.DIODE(_04040_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__07984__A1 (.DIODE(net409),
+ sky130_fd_sc_hd__diode_2 ANTENNA__08450__A (.DIODE(_04033_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__07986__A1 (.DIODE(_02738_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__08452__C1 (.DIODE(_04494_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__07988__A1 (.DIODE(net385),
+ sky130_fd_sc_hd__diode_2 ANTENNA__08456__C1 (.DIODE(_04497_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__07990__A0 (.DIODE(\i_step_motor_controller.duty_cycle[7] ),
+ sky130_fd_sc_hd__diode_2 ANTENNA__08463__C1 (.DIODE(_04503_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__07990__A1 (.DIODE(net383),
+ sky130_fd_sc_hd__diode_2 ANTENNA__08467__C1 (.DIODE(_04506_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__07990__S (.DIODE(_03013_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__08472__C1 (.DIODE(_04510_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__07992__A0 (.DIODE(\i_step_motor_controller.duty_cycle[6] ),
+ sky130_fd_sc_hd__diode_2 ANTENNA__08475__A2 (.DIODE(_04226_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__07992__A1 (.DIODE(net393),
+ sky130_fd_sc_hd__diode_2 ANTENNA__08475__B1 (.DIODE(_04227_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__07992__S (.DIODE(_03013_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__08478__A2 (.DIODE(_04226_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__07994__A0 (.DIODE(\i_step_motor_controller.duty_cycle[5] ),
+ sky130_fd_sc_hd__diode_2 ANTENNA__08478__B1 (.DIODE(_04227_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__07994__A1 (.DIODE(_02732_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__08491__A (.DIODE(_04040_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__07994__S (.DIODE(_03013_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__08505__A (.DIODE(\i_string_led_controller.i_registers.w_first[2] ),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__07996__A0 (.DIODE(\i_step_motor_controller.duty_cycle[4] ),
+ sky130_fd_sc_hd__diode_2 ANTENNA__08507__A0 (.DIODE(_04536_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__07996__A1 (.DIODE(_02734_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__08507__S (.DIODE(_04475_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__07996__S (.DIODE(_03013_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__08509__A (.DIODE(\i_string_led_controller.i_registers.w_first[1] ),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__07998__A0 (.DIODE(\i_step_motor_controller.duty_cycle[3] ),
+ sky130_fd_sc_hd__diode_2 ANTENNA__08511__S (.DIODE(_04475_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__07998__A1 (.DIODE(net409),
+ sky130_fd_sc_hd__diode_2 ANTENNA__08513__A (.DIODE(\i_string_led_controller.i_registers.w_first[0] ),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__08000__A0 (.DIODE(\i_step_motor_controller.duty_cycle[2] ),
+ sky130_fd_sc_hd__diode_2 ANTENNA__08514__A2 (.DIODE(_04041_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__08000__A1 (.DIODE(_02738_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__08514__B1 (.DIODE(_04043_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__08002__A0 (.DIODE(\i_step_motor_controller.duty_cycle[1] ),
+ sky130_fd_sc_hd__diode_2 ANTENNA__08515__S (.DIODE(_04475_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__08002__A1 (.DIODE(net385),
+ sky130_fd_sc_hd__diode_2 ANTENNA__08524__A1 (.DIODE(\i_nec_ir_receiver.i_event_catcher.clear_n ),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__08004__A (.DIODE(_02711_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__08527__C (.DIODE(_04277_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__08005__A0 (.DIODE(\i_step_motor_controller.i_motor_sequencer.period[19] ),
+ sky130_fd_sc_hd__diode_2 ANTENNA__08542__A1 (.DIODE(\i_nec_ir_receiver.i_frame_decoder.frame_shift[23] ),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__08005__A1 (.DIODE(net353),
+ sky130_fd_sc_hd__diode_2 ANTENNA__08543__A1 (.DIODE(\i_nec_ir_receiver.i_frame_decoder.frame_shift[22] ),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__08007__A0 (.DIODE(\i_step_motor_controller.i_motor_sequencer.period[18] ),
+ sky130_fd_sc_hd__diode_2 ANTENNA__08543__B2 (.DIODE(\i_nec_ir_receiver.i_frame_decoder.frame_shift[23] ),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__08009__A0 (.DIODE(\i_step_motor_controller.i_motor_sequencer.period[17] ),
+ sky130_fd_sc_hd__diode_2 ANTENNA__08544__A1 (.DIODE(\i_nec_ir_receiver.i_frame_decoder.frame_shift[21] ),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__08011__A0 (.DIODE(\i_step_motor_controller.i_motor_sequencer.period[16] ),
+ sky130_fd_sc_hd__diode_2 ANTENNA__08544__B2 (.DIODE(\i_nec_ir_receiver.i_frame_decoder.frame_shift[22] ),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__08013__A (.DIODE(_02719_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__08547__A1 (.DIODE(\i_nec_ir_receiver.i_frame_decoder.frame_shift[20] ),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__08013__C (.DIODE(_03020_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__08547__B2 (.DIODE(\i_nec_ir_receiver.i_frame_decoder.frame_shift[21] ),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__08015__A0 (.DIODE(\i_step_motor_controller.i_motor_sequencer.period[15] ),
+ sky130_fd_sc_hd__diode_2 ANTENNA__08548__B2 (.DIODE(\i_nec_ir_receiver.i_frame_decoder.frame_shift[20] ),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__08015__A1 (.DIODE(net366),
+ sky130_fd_sc_hd__diode_2 ANTENNA__08564__A1 (.DIODE(\i_nec_ir_receiver.i_frame_decoder.frame_shift[7] ),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__08017__A0 (.DIODE(\i_step_motor_controller.i_motor_sequencer.period[14] ),
+ sky130_fd_sc_hd__diode_2 ANTENNA__08565__A1 (.DIODE(\i_nec_ir_receiver.i_frame_decoder.frame_shift[6] ),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__08019__A0 (.DIODE(\i_step_motor_controller.i_motor_sequencer.period[13] ),
+ sky130_fd_sc_hd__diode_2 ANTENNA__08565__B2 (.DIODE(\i_nec_ir_receiver.i_frame_decoder.frame_shift[7] ),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__08021__A0 (.DIODE(\i_step_motor_controller.i_motor_sequencer.period[12] ),
+ sky130_fd_sc_hd__diode_2 ANTENNA__08568__A1 (.DIODE(\i_nec_ir_receiver.i_frame_decoder.frame_shift[5] ),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__08023__A0 (.DIODE(\i_step_motor_controller.i_motor_sequencer.period[11] ),
+ sky130_fd_sc_hd__diode_2 ANTENNA__08568__B2 (.DIODE(\i_nec_ir_receiver.i_frame_decoder.frame_shift[6] ),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__08025__A0 (.DIODE(\i_step_motor_controller.i_motor_sequencer.period[10] ),
+ sky130_fd_sc_hd__diode_2 ANTENNA__08569__A1 (.DIODE(\i_nec_ir_receiver.i_frame_decoder.frame_shift[4] ),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__08025__A1 (.DIODE(net316),
+ sky130_fd_sc_hd__diode_2 ANTENNA__08569__B2 (.DIODE(\i_nec_ir_receiver.i_frame_decoder.frame_shift[5] ),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__08027__A0 (.DIODE(\i_step_motor_controller.i_motor_sequencer.period[9] ),
+ sky130_fd_sc_hd__diode_2 ANTENNA__08570__A1 (.DIODE(\i_nec_ir_receiver.i_frame_decoder.frame_shift[3] ),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__08029__A1 (.DIODE(net335),
+ sky130_fd_sc_hd__diode_2 ANTENNA__08570__B2 (.DIODE(\i_nec_ir_receiver.i_frame_decoder.frame_shift[4] ),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__08031__A1 (.DIODE(net383),
+ sky130_fd_sc_hd__diode_2 ANTENNA__08571__A1 (.DIODE(\i_nec_ir_receiver.i_frame_decoder.frame_shift[2] ),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__08033__A1 (.DIODE(net393),
+ sky130_fd_sc_hd__diode_2 ANTENNA__08571__B2 (.DIODE(\i_nec_ir_receiver.i_frame_decoder.frame_shift[3] ),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__08035__A (.DIODE(_02719_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__08572__A1 (.DIODE(\i_nec_ir_receiver.i_frame_decoder.frame_shift[1] ),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__08050__A1 (.DIODE(_02511_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__08572__B2 (.DIODE(\i_nec_ir_receiver.i_frame_decoder.frame_shift[2] ),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__08051__A1 (.DIODE(net312),
+ sky130_fd_sc_hd__diode_2 ANTENNA__08573__A1 (.DIODE(_04363_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__08053__A (.DIODE(_02705_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__08573__B2 (.DIODE(\i_nec_ir_receiver.i_frame_decoder.frame_shift[1] ),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__08053__C_N (.DIODE(_02503_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__08574__A1 (.DIODE(\i_nec_ir_receiver.i_nec_ir_receiver_registers.polarity ),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__08054__A0 (.DIODE(_02714_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__08576__A1 (.DIODE(\i_nec_ir_receiver.i_nec_ir_receiver_registers.tolerance[0] ),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__08059__A (.DIODE(_02711_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__08579__A (.DIODE(net700),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__08067__A1 (.DIODE(net347),
+ sky130_fd_sc_hd__diode_2 ANTENNA__08580__A (.DIODE(_04572_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__08070__A1 (.DIODE(net325),
+ sky130_fd_sc_hd__diode_2 ANTENNA__08581__A_N (.DIODE(_04573_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__08072__A1 (.DIODE(_02517_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__08582__A (.DIODE(net726),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__08075__A1 (.DIODE(net425),
+ sky130_fd_sc_hd__diode_2 ANTENNA__08584__B1 (.DIODE(_04575_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__08076__A0 (.DIODE(_02716_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__08584__B2 (.DIODE(net1011),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__08078__B2 (.DIODE(net316),
+ sky130_fd_sc_hd__diode_2 ANTENNA__08585__A (.DIODE(net373),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__08080__A1 (.DIODE(net410),
+ sky130_fd_sc_hd__diode_2 ANTENNA__08586__A (.DIODE(net634),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__08083__B2 (.DIODE(_02521_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__08586__B (.DIODE(_04572_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__08084__B2 (.DIODE(net359),
+ sky130_fd_sc_hd__diode_2 ANTENNA__08588__A0 (.DIODE(_04576_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__08086__A1 (.DIODE(_02523_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__08590__A (.DIODE(net393),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__08088__A0 (.DIODE(\i_step_motor_controller.i_motor_sequencer.period[2] ),
+ sky130_fd_sc_hd__diode_2 ANTENNA__08592__A (.DIODE(net700),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__08088__A1 (.DIODE(net308),
+ sky130_fd_sc_hd__diode_2 ANTENNA__08593__B (.DIODE(net625),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__08090__A1 (.DIODE(net377),
+ sky130_fd_sc_hd__diode_2 ANTENNA__08593__C (.DIODE(_04040_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__08092__A0 (.DIODE(_02501_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__08595__A (.DIODE(_04584_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__08092__A1 (.DIODE(\i_string_led_controller.i_prescaler.multiplier[16] ),
+ sky130_fd_sc_hd__diode_2 ANTENNA__08596__A0 (.DIODE(_04580_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__08094__A1 (.DIODE(_02710_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__08598__A (.DIODE(net419),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__08096__B (.DIODE(_02397_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__08599__A0 (.DIODE(_04587_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__08097__A1 (.DIODE(_02620_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__08601__A (.DIODE(net400),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__08098__A1 (.DIODE(net428),
+ sky130_fd_sc_hd__diode_2 ANTENNA__08602__A0 (.DIODE(_04589_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__08100__A0 (.DIODE(\i_step_motor_controller.i_motor_sequencer.period[1] ),
+ sky130_fd_sc_hd__diode_2 ANTENNA__08604__A (.DIODE(net366),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__08100__A1 (.DIODE(net386),
+ sky130_fd_sc_hd__diode_2 ANTENNA__08605__A0 (.DIODE(_04591_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__08102__A1 (.DIODE(_02532_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__08607__A (.DIODE(net445),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__08104__A1 (.DIODE(_02714_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__08608__A0 (.DIODE(_04593_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__08108__A1 (.DIODE(_02534_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__08610__A (.DIODE(net452),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__08109__B2 (.DIODE(\i_string_led_controller.i_prescaler.multiplier[11] ),
+ sky130_fd_sc_hd__diode_2 ANTENNA__08611__A0 (.DIODE(_04595_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__08110__B2 (.DIODE(_02392_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__08611__S (.DIODE(_04584_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__08111__A0 (.DIODE(_02506_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__08613__A (.DIODE(net645),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__08113__A1 (.DIODE(net436),
+ sky130_fd_sc_hd__diode_2 ANTENNA__08616__A0 (.DIODE(net643),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__08119__A1 (.DIODE(_02716_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__08618__A (.DIODE(net609),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__08120__A1 (.DIODE(_02538_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__08619__A0 (.DIODE(net607),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__08125__A1 (.DIODE(_02501_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__08621__A (.DIODE(net541),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__08126__A0 (.DIODE(_02511_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__08622__A0 (.DIODE(_04603_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__08126__A1 (.DIODE(\i_string_led_controller.i_prescaler.multiplier[14] ),
+ sky130_fd_sc_hd__diode_2 ANTENNA__08624__A (.DIODE(net574),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__08128__B2 (.DIODE(net350),
+ sky130_fd_sc_hd__diode_2 ANTENNA__08625__A0 (.DIODE(_04605_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__08129__A0 (.DIODE(_02710_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__08627__A (.DIODE(net513),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__08133__A1 (.DIODE(_02506_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__08628__A0 (.DIODE(_04607_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__08134__A (.DIODE(\i_nec_ir_receiver.i_nec_ir_receiver_registers.polarity ),
+ sky130_fd_sc_hd__diode_2 ANTENNA__08630__A (.DIODE(net498),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__08135__A (.DIODE(_02243_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__08631__A0 (.DIODE(_04609_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__08137__A (.DIODE(\i_nec_ir_receiver.i_nec_ir_receiver_registers.polarity ),
+ sky130_fd_sc_hd__diode_2 ANTENNA__08633__A (.DIODE(net487),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__08142__A (.DIODE(net5),
+ sky130_fd_sc_hd__diode_2 ANTENNA__08634__A0 (.DIODE(_04611_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__08142__B (.DIODE(_02356_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__08637__A (.DIODE(net413),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__08142__C (.DIODE(_02388_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__08638__A (.DIODE(_03944_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__08143__B_N (.DIODE(_02358_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__08639__A1 (.DIODE(\i_string_led_controller.i_registers.w_count[3] ),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__08143__C (.DIODE(_03288_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__08639__S (.DIODE(_04615_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__08143__D (.DIODE(_02485_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__08641__A1 (.DIODE(\i_string_led_controller.i_registers.w_count[2] ),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__08144__A (.DIODE(_03289_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__08641__S (.DIODE(_04615_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__08145__A (.DIODE(\i_pseudorandom.i_xoroshiro_64_plus_plus.s0[4] ),
+ sky130_fd_sc_hd__diode_2 ANTENNA__08643__A1 (.DIODE(\i_string_led_controller.i_registers.w_count[1] ),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__08148__B (.DIODE(_02906_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__08643__S (.DIODE(_04615_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__08149__A (.DIODE(_02928_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__08645__A (.DIODE(_04572_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__08152__B (.DIODE(_03296_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__08645__B (.DIODE(net615),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__08154__B (.DIODE(_02908_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__08645__C (.DIODE(_04480_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__08155__A (.DIODE(_02930_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__08647__A0 (.DIODE(_04603_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__08156__A (.DIODE(_02941_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__08649__A0 (.DIODE(_04605_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__08156__B (.DIODE(_03300_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__08651__A0 (.DIODE(_04607_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__08157__A (.DIODE(_02941_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__08653__A0 (.DIODE(_04609_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__08157__B (.DIODE(_03300_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__08653__A1 (.DIODE(\i_string_led_controller.i_registers.w_first[2] ),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__08159__B (.DIODE(_02930_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__08655__A0 (.DIODE(_04611_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__08161__A (.DIODE(_02962_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__08655__A1 (.DIODE(\i_string_led_controller.i_registers.w_first[1] ),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__08162__B (.DIODE(_02932_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__08657__A (.DIODE(net346),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__08163__A (.DIODE(_02965_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__08658__A (.DIODE(net634),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__08164__A (.DIODE(_02965_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__08658__B (.DIODE(_04573_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__08166__B (.DIODE(_02935_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__08659__A0 (.DIODE(_04626_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__08167__B (.DIODE(_02934_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__08661__A0 (.DIODE(_04576_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__08168__A (.DIODE(\i_pseudorandom.i_xoroshiro_64_plus_plus.s0[6] ),
+ sky130_fd_sc_hd__diode_2 ANTENNA__08663__A (.DIODE(net432),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__08168__B (.DIODE(_02937_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__08664__A (.DIODE(_04573_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__08169__A (.DIODE(_02970_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__08664__B (.DIODE(net625),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__08170__B (.DIODE(_02934_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__08665__A0 (.DIODE(_04630_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__08171__A (.DIODE(_02968_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__08667__A (.DIODE(net425),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__08172__A1 (.DIODE(_02968_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__08668__A0 (.DIODE(_04633_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__08174__A (.DIODE(_02962_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__08670__A0 (.DIODE(_04580_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__08175__B (.DIODE(_02928_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__08672__A (.DIODE(\i_string_led_controller.controller_en ),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__08176__A (.DIODE(_02960_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__08674__A (.DIODE(_04637_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__08176__B (.DIODE(_03320_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__08676__A (.DIODE(\i_string_led_controller.controller_en ),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__08177__A (.DIODE(_02960_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__08680__B2 (.DIODE(net1005),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__08177__B (.DIODE(_03320_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__08681__B2 (.DIODE(net1001),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__08180__A (.DIODE(\i_pseudorandom.i_xoroshiro_64_plus_plus.s0[11] ),
+ sky130_fd_sc_hd__diode_2 ANTENNA__08682__B2 (.DIODE(net1000),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__08182__A (.DIODE(_02957_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__08683__B2 (.DIODE(net783),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__08183__A (.DIODE(_02957_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__08684__B2 (.DIODE(net1006),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__08185__B (.DIODE(_02922_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__08685__B2 (.DIODE(net1014),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__08187__A (.DIODE(_02955_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__08686__B2 (.DIODE(net781),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__08187__B (.DIODE(_03331_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__08687__B2 (.DIODE(net778),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__08188__A (.DIODE(_02955_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__08688__B (.DIODE(net625),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__08190__B (.DIODE(_02920_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__08691__A0 (.DIODE(_04633_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__08191__A (.DIODE(_02953_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__08693__A0 (.DIODE(_04630_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__08193__A (.DIODE(_02953_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__08695__A (.DIODE(net696),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__08193__B (.DIODE(_03337_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__08696__A (.DIODE(_04649_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__08196__B1 (.DIODE(_03337_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__08698__B (.DIODE(net493),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__08196__B2 (.DIODE(_02953_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__08700__A (.DIODE(_04573_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__08197__A1 (.DIODE(_02955_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__08700__C (.DIODE(net771),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__08197__A2 (.DIODE(_03331_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__08701__D (.DIODE(\i_step_motor_controller.i_step_motor_controller_registers.cycles[0] ),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__08199__B (.DIODE(_02918_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__08706__C_N (.DIODE(\i_step_motor_controller.i_motor_sequencer.step_strobe ),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__08200__A (.DIODE(_02949_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__08707__A (.DIODE(_04649_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__08202__A (.DIODE(_02949_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__08708__A (.DIODE(_04572_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__08204__B (.DIODE(_02912_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__08708__B (.DIODE(_04661_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__08205__A (.DIODE(_02934_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__08708__C (.DIODE(_04653_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__08206__B (.DIODE(_03350_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__08711__A1 (.DIODE(_04630_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__08207__B (.DIODE(_02910_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__08713__B1 (.DIODE(net965),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__08208__A (.DIODE(_02932_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__08720__A0 (.DIODE(_04633_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__08209__B (.DIODE(_03353_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__08723__A (.DIODE(_04572_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__08210__B (.DIODE(_03353_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__08723__B (.DIODE(_04661_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__08212__B (.DIODE(_02915_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__08723__C (.DIODE(_04674_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__08214__A (.DIODE(_02947_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__08726__A1 (.DIODE(_04580_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__08215__A (.DIODE(_02947_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__08729__A1 (.DIODE(_04587_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__08218__A (.DIODE(_02947_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__08732__A0 (.DIODE(_04589_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__08221__B (.DIODE(_03350_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__08737__A0 (.DIODE(_04591_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__08231__A (.DIODE(\i_pseudorandom.i_xoroshiro_64_plus_plus.s0[3] ),
+ sky130_fd_sc_hd__diode_2 ANTENNA__08742__A0 (.DIODE(_04593_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__08232__A (.DIODE(_02968_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__08746__A1 (.DIODE(_04595_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__08234__A (.DIODE(\i_pseudorandom.i_xoroshiro_64_plus_plus.s0[2] ),
+ sky130_fd_sc_hd__diode_2 ANTENNA__08748__A0 (.DIODE(net643),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__08234__B (.DIODE(_02947_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__08754__A0 (.DIODE(net607),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__08243__B (.DIODE(_02949_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__08757__A0 (.DIODE(_04603_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__08244__A (.DIODE(_02892_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__08762__A0 (.DIODE(_04605_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__08246__A (.DIODE(_03389_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__08764__B (.DIODE(\i_step_motor_controller.i_step_motor_controller_registers.cycles[0] ),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__08247__B (.DIODE(_02953_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__08767__A0 (.DIODE(_04607_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__08248__A (.DIODE(_02896_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__08770__A0 (.DIODE(_04609_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__08252__A (.DIODE(_03389_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__08772__A1 (.DIODE(\i_step_motor_controller.i_step_motor_controller_registers.cycles[0] ),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__08256__B (.DIODE(_02962_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__08774__A0 (.DIODE(_04611_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__08257__A (.DIODE(_02906_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__08776__A (.DIODE(\i_step_motor_controller.i_step_motor_controller_registers.cycles[0] ),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__08258__A_N (.DIODE(_03400_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__08777__A0 (.DIODE(_04453_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__08258__B (.DIODE(_03402_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__08788__B1 (.DIODE(_04637_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__08259__A_N (.DIODE(_03402_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__08794__A (.DIODE(_04729_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__08259__B (.DIODE(_03400_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__08795__A (.DIODE(_04730_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__08261__B (.DIODE(_02960_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__08816__A (.DIODE(_04747_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__08262__A (.DIODE(_02904_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__08818__A1 (.DIODE(\i_string_led_controller.i_sequencer.state_reg[0] ),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__08266__A (.DIODE(_03408_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__08818__A2 (.DIODE(\i_string_led_controller.i_registers.start ),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__08266__B (.DIODE(_03410_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__08820__B (.DIODE(\i_string_led_controller.i_sequencer.state_reg[0] ),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__08267__A (.DIODE(_03408_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__08820__C (.DIODE(\i_string_led_controller.i_registers.start ),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__08267__B (.DIODE(_03410_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__08834__B2 (.DIODE(\i_string_led_controller.i_registers.w_first[2] ),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__08269__B (.DIODE(_02968_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__08835__B2 (.DIODE(\i_string_led_controller.i_registers.w_first[1] ),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__08270__A (.DIODE(_02910_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__08836__B2 (.DIODE(\i_string_led_controller.i_registers.w_first[0] ),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__08274__A (.DIODE(_03415_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__08842__A (.DIODE(\i_string_led_controller.i_registers.w_count[3] ),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__08274__B (.DIODE(_03418_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__08843__C1 (.DIODE(_04729_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__08275__A (.DIODE(_03415_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__08845__B (.DIODE(\i_string_led_controller.addr1[4] ),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__08275__B (.DIODE(_03418_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__08846__A (.DIODE(\i_string_led_controller.addr1[1] ),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__08277__B (.DIODE(_02965_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__08849__A (.DIODE(\i_string_led_controller.addr1[0] ),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__08278__A (.DIODE(_02908_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__08850__A2 (.DIODE(\i_string_led_controller.addr1[2] ),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__08282__A (.DIODE(_03424_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__08851__A2 (.DIODE(\i_string_led_controller.addr1[5] ),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__08282__B (.DIODE(_03426_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__08852__A (.DIODE(\i_string_led_controller.addr1[3] ),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__08283__A (.DIODE(_03424_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__08853__B1 (.DIODE(\i_string_led_controller.addr1[2] ),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__08283__B (.DIODE(_03426_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__08854__B2 (.DIODE(\i_string_led_controller.addr1[5] ),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__08286__B (.DIODE(_02898_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__08857__C (.DIODE(\i_string_led_controller.i_bit_generator.ready ),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__08287__A (.DIODE(_02920_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__08858__A (.DIODE(\i_string_led_controller.controller_en ),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__08288__A (.DIODE(_03432_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__08859__A1 (.DIODE(\i_string_led_controller.i_sequencer.state_reg[0] ),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__08289__A (.DIODE(_02898_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__08867__A1 (.DIODE(\i_string_led_controller.i_registers.w_count[2] ),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__08291__A (.DIODE(_02900_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__08872__A1 (.DIODE(\i_string_led_controller.i_registers.w_count[1] ),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__08292__A (.DIODE(_02915_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__08876__A1 (.DIODE(\i_string_led_controller.i_registers.w_count[0] ),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__08292__B (.DIODE(_03402_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__08879__A (.DIODE(\i_string_led_controller.addr1[0] ),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__08293__B (.DIODE(_02896_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__08880__A (.DIODE(\i_string_led_controller.addr1[2] ),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__08295__A (.DIODE(_02928_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__08880__B (.DIODE(\i_string_led_controller.addr1[1] ),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__08295__B (.DIODE(_03439_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__08881__A (.DIODE(\i_string_led_controller.addr1[3] ),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__08297__A (.DIODE(_02928_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__08882__A (.DIODE(\i_string_led_controller.addr1[4] ),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__08297__B (.DIODE(_03439_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__08883__A (.DIODE(\i_string_led_controller.addr1[5] ),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__08298__A (.DIODE(_02930_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__08887__A1 (.DIODE(\i_string_led_controller.i_bit_generator.ready ),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__08298__B (.DIODE(_03432_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__08888__A (.DIODE(_04637_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__08300__B (.DIODE(_02970_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__08891__B2 (.DIODE(\i_string_led_controller.addr1[5] ),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__08301__A (.DIODE(_02912_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__08895__A (.DIODE(\i_string_led_controller.addr1[4] ),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__08302__A (.DIODE(_02922_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__08898__B2 (.DIODE(\i_string_led_controller.addr1[4] ),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__08302__B (.DIODE(_03446_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__08899__A (.DIODE(\i_string_led_controller.addr1[3] ),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__08303__A (.DIODE(_02922_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__08903__B2 (.DIODE(\i_string_led_controller.addr1[3] ),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__08303__B (.DIODE(_03446_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__08904__B1 (.DIODE(\i_string_led_controller.addr1[2] ),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__08305__B (.DIODE(_02892_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__08907__A (.DIODE(\i_string_led_controller.i_registers.w_first[2] ),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__08306__A (.DIODE(_02915_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__08908__B2 (.DIODE(\i_string_led_controller.addr1[2] ),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__08307__A (.DIODE(_02925_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__08913__A (.DIODE(\i_string_led_controller.i_registers.w_first[1] ),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__08307__B (.DIODE(_03451_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__08916__A0 (.DIODE(\i_string_led_controller.i_registers.w_first[0] ),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__08308__A (.DIODE(_02925_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__08919__A (.DIODE(_04827_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__08308__B (.DIODE(_03451_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__08921__A0 (.DIODE(net643),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__08312__A (.DIODE(_02920_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__08921__A1 (.DIODE(\i_string_led_controller.i_memory.inferred.sram.wdata0[7] ),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__08312__B (.DIODE(_03415_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__08923__A0 (.DIODE(net607),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__08313__A (.DIODE(_02920_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__08923__A1 (.DIODE(\i_string_led_controller.i_memory.inferred.sram.wdata0[6] ),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__08313__B (.DIODE(_03415_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__08925__A0 (.DIODE(_04603_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__08315__A (.DIODE(_02918_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__08925__A1 (.DIODE(\i_string_led_controller.i_memory.inferred.sram.wdata0[5] ),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__08315__B (.DIODE(_03424_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__08927__A0 (.DIODE(_04605_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__08316__A (.DIODE(_02918_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__08927__A1 (.DIODE(\i_string_led_controller.i_memory.inferred.sram.wdata0[4] ),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__08319__A (.DIODE(_02918_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__08929__A0 (.DIODE(_04607_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__08319__B (.DIODE(_03424_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__08929__A1 (.DIODE(\i_string_led_controller.i_memory.inferred.sram.wdata0[3] ),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__08323__A (.DIODE(_02912_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__08931__A (.DIODE(_04827_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__08323__B (.DIODE(_03408_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__08932__A0 (.DIODE(_04609_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__08324__A (.DIODE(_02915_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__08932__A1 (.DIODE(\i_string_led_controller.i_memory.inferred.sram.wdata0[2] ),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__08324__B (.DIODE(_03402_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__08934__A0 (.DIODE(_04611_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__08325__A (.DIODE(_02912_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__08934__A1 (.DIODE(\i_string_led_controller.i_memory.inferred.sram.wdata0[1] ),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__08327__B (.DIODE(_02900_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__08936__A0 (.DIODE(_04453_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__08328__A (.DIODE(_02922_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__08936__A1 (.DIODE(\i_string_led_controller.i_memory.inferred.sram.wdata0[0] ),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__08329__A (.DIODE(_02932_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__08938__A1 (.DIODE(net1059),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__08329__B (.DIODE(_03473_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__08939__A0 (.DIODE(_04453_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__08330__A (.DIODE(_02932_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__08939__A1 (.DIODE(\i_string_led_controller.i_registers.w_first[0] ),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__08330__B (.DIODE(_03473_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__08941__A1 (.DIODE(\i_string_led_controller.i_registers.w_count[0] ),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__08331__B (.DIODE(_02904_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__08941__S (.DIODE(_04615_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__08332__A (.DIODE(_02925_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__08944__A (.DIODE(_03944_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__08333__A (.DIODE(_02935_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__08944__B (.DIODE(_03947_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__08333__B (.DIODE(_03477_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__08945__A0 (.DIODE(net325),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__08341__B (.DIODE(_03477_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__08945__A1 (.DIODE(_04841_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__08341__C (.DIODE(_02935_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__08947__A0 (.DIODE(net339),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__08344__A (.DIODE(_02930_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__08949__A0 (.DIODE(net554),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__08344__B (.DIODE(_03432_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__08949__A1 (.DIODE(\i_string_led_controller.addr0[5] ),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__08352__A (.DIODE(_02906_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__08951__A0 (.DIODE(net559),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__08352__B (.DIODE(_03496_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__08951__A1 (.DIODE(\i_string_led_controller.addr0[4] ),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__08353__A (.DIODE(_02906_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__08953__A0 (.DIODE(net532),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__08353__B (.DIODE(_03496_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__08953__A1 (.DIODE(\i_string_led_controller.addr0[3] ),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__08355__A (.DIODE(_02904_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__08955__A (.DIODE(net696),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__08355__B (.DIODE(_03389_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__08956__A0 (.DIODE(net694),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__08356__A (.DIODE(_02904_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__08956__A1 (.DIODE(\i_string_led_controller.addr0[2] ),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__08356__B (.DIODE(_03389_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__08958__A1 (.DIODE(\i_string_led_controller.addr0[1] ),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__08359__B (.DIODE(_02957_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__08960__A1 (.DIODE(\i_string_led_controller.addr0[0] ),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__08360__A (.DIODE(_02900_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__08962__A0 (.DIODE(net356),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__08361__A (.DIODE(_02910_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__08964__A (.DIODE(net601),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__08361__B (.DIODE(_03505_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__08965__A0 (.DIODE(_04853_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__08362__A (.DIODE(_02910_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__08969__A0 (.DIODE(_04853_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__08362__B (.DIODE(_03505_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__08971__A0 (.DIODE(_04587_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__08364__B (.DIODE(_02955_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__08973__A0 (.DIODE(_04580_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__08365__A (.DIODE(_02898_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__08975__A0 (.DIODE(_04587_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__08366__A (.DIODE(_02908_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__08977__A0 (.DIODE(_04589_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__08366__B (.DIODE(_03510_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__08979__A0 (.DIODE(_04591_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__08368__A (.DIODE(_02908_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__08981__A0 (.DIODE(_04593_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__08368__B (.DIODE(_03510_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__08983__A0 (.DIODE(_04595_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__08375__A (.DIODE(_02900_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__08985__A0 (.DIODE(net643),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__08377__A (.DIODE(_02898_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__08987__A0 (.DIODE(net607),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__08378__A (.DIODE(_02896_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__08989__A0 (.DIODE(_04603_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__08380__A1 (.DIODE(_02896_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__08991__A0 (.DIODE(_04605_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__08381__A (.DIODE(\i_pseudorandom.i_xoroshiro_64_plus_plus.s0[5] ),
+ sky130_fd_sc_hd__diode_2 ANTENNA__08993__A0 (.DIODE(_04607_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__08381__B (.DIODE(_02941_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__08995__A0 (.DIODE(_04609_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__08382__A (.DIODE(_02962_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__08997__A0 (.DIODE(_04611_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__08383__A (.DIODE(_02892_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__08997__A1 (.DIODE(\i_nec_ir_receiver.i_nec_ir_receiver_registers.multiplier[1] ),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__08385__A (.DIODE(_02970_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__08999__A (.DIODE(net406),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__08386__A (.DIODE(_02970_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__09000__A (.DIODE(net634),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__08387__B (.DIODE(_03439_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__09000__C (.DIODE(_04029_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__08388__A1 (.DIODE(_03433_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__09002__A0 (.DIODE(_04872_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__08391__A (.DIODE(_03446_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__09004__A (.DIODE(net470),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__08391__B (.DIODE(_03535_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__09005__A0 (.DIODE(_04876_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__08392__A (.DIODE(_03446_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__09007__A0 (.DIODE(_04626_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__08392__B (.DIODE(_03535_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__09009__A0 (.DIODE(_04576_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__08394__A (.DIODE(_03451_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__09012__A (.DIODE(net746),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__08399__B1 (.DIODE(_03439_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__09013__A0 (.DIODE(_04630_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__08406__A (.DIODE(_03473_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__09015__A0 (.DIODE(_04633_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__08407__B1 (.DIODE(_03473_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__09017__A0 (.DIODE(_04580_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__08408__A (.DIODE(_03477_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__09019__A0 (.DIODE(_04587_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__08412__A (.DIODE(_03553_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__09021__A0 (.DIODE(_04589_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__08413__B1 (.DIODE(_03553_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__09023__A0 (.DIODE(_04591_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__08416__B1 (.DIODE(_03296_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__09023__S (.DIODE(net746),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__08417__A (.DIODE(_03296_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__09025__A0 (.DIODE(_04593_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__08424__A (.DIODE(_03300_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__09025__S (.DIODE(net746),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__08425__B1 (.DIODE(_03300_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__09027__A0 (.DIODE(_04595_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__08432__A (.DIODE(_02925_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__09029__A0 (.DIODE(net643),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__08432__B (.DIODE(_03451_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__09031__A0 (.DIODE(net607),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__08438__A (.DIODE(_03320_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__09033__A (.DIODE(net541),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__08444__A (.DIODE(_03337_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__09034__A0 (.DIODE(_04892_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__08445__B1 (.DIODE(_03337_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__09036__A (.DIODE(net574),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__08448__A (.DIODE(_03331_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__09037__A0 (.DIODE(_04894_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__08449__B1 (.DIODE(_03331_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__09039__A (.DIODE(net513),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__08458__A (.DIODE(_03601_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__09040__A0 (.DIODE(_04896_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__08461__B (.DIODE(_03296_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__09042__A (.DIODE(net498),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__08463__B1 (.DIODE(_03553_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__09043__A0 (.DIODE(_04898_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__08463__B2 (.DIODE(_02935_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__09045__A (.DIODE(net487),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__08468__A (.DIODE(_03610_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__09046__A0 (.DIODE(_04900_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__08470__A1 (.DIODE(_03604_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__09048__A1 (.DIODE(\i_nec_ir_receiver.i_nec_ir_receiver_registers.tolerance[1] ),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__08475__B1 (.DIODE(_03320_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__09050__A_N (.DIODE(net696),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__08482__A (.DIODE(_03353_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__09051__A (.DIODE(_04903_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__08483__B1 (.DIODE(_03353_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__09053__A1 (.DIODE(net694),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__08486__B1 (.DIODE(_03350_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__09054__A (.DIODE(net694),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__08487__A (.DIODE(_03350_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__09055__A (.DIODE(_04907_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__08503__A (.DIODE(_03553_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__09056__A (.DIODE(\i_step_motor_controller.i_motor_sequencer.period[19] ),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__08509__A (.DIODE(_03433_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__09057__B1 (.DIODE(_04906_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__08512__A1 (.DIODE(_03408_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__09058__A1 (.DIODE(net694),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__08512__A2 (.DIODE(_03410_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__09058__A2 (.DIODE(_03947_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__08517__A (.DIODE(_03510_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__09058__B1_N (.DIODE(_04674_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__08518__A (.DIODE(_03510_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__09060__A (.DIODE(_04912_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__08523__A (.DIODE(_03505_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__09061__A0 (.DIODE(_04910_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__08523__B (.DIODE(_03667_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__09063__A (.DIODE(\i_step_motor_controller.i_motor_sequencer.period[18] ),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__08524__A (.DIODE(_03505_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__09064__B1 (.DIODE(_04906_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__08524__B (.DIODE(_03667_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__09065__A0 (.DIODE(_04916_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__08543__A1 (.DIODE(_03687_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__09067__B2 (.DIODE(\i_step_motor_controller.i_motor_sequencer.period[17] ),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__08564__A (.DIODE(_03289_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__09068__A1 (.DIODE(\i_step_motor_controller.i_prescaler.multiplier[17] ),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__08565__A (.DIODE(_03705_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__09068__S (.DIODE(_04906_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__08574__A0 (.DIODE(\i_pseudorandom.wbs_dat_o[25] ),
+ sky130_fd_sc_hd__diode_2 ANTENNA__09069__A0 (.DIODE(_04919_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__08584__A0 (.DIODE(\i_pseudorandom.wbs_dat_o[23] ),
+ sky130_fd_sc_hd__diode_2 ANTENNA__09071__A (.DIODE(_04907_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__08587__A (.DIODE(_03705_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__09072__B2 (.DIODE(\i_step_motor_controller.i_motor_sequencer.period[16] ),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__08588__A0 (.DIODE(\i_pseudorandom.wbs_dat_o[22] ),
+ sky130_fd_sc_hd__diode_2 ANTENNA__09073__A1 (.DIODE(\i_step_motor_controller.i_prescaler.multiplier[16] ),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__08591__A0 (.DIODE(\i_pseudorandom.wbs_dat_o[21] ),
+ sky130_fd_sc_hd__diode_2 ANTENNA__09073__S (.DIODE(_04906_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__08594__A0 (.DIODE(\i_pseudorandom.wbs_dat_o[20] ),
+ sky130_fd_sc_hd__diode_2 ANTENNA__09074__A0 (.DIODE(_04923_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__08606__A1 (.DIODE(_03433_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__09076__A_N (.DIODE(net696),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__08608__A (.DIODE(_03289_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__09077__A (.DIODE(_04925_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__08628__A0 (.DIODE(\i_pseudorandom.wbs_dat_o[13] ),
+ sky130_fd_sc_hd__diode_2 ANTENNA__09078__C (.DIODE(_04907_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__08631__A (.DIODE(_03289_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__09081__A1 (.DIODE(\i_step_motor_controller.i_motor_sequencer.run ),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__08661__A (.DIODE(_03289_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__09081__B2 (.DIODE(_04929_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__08682__S (.DIODE(_03705_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__09082__A0 (.DIODE(_04930_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__08684__A (.DIODE(_03604_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__09084__A1 (.DIODE(\i_step_motor_controller.direction ),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__08686__S (.DIODE(_03705_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__09084__B2 (.DIODE(\i_step_motor_controller.i_motor_sequencer.ptype ),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__08688__A (.DIODE(_03604_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__09085__A (.DIODE(_04912_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__08690__S (.DIODE(_03705_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__09086__A1 (.DIODE(\i_step_motor_controller.i_step_motor_controller_registers.wbs_dat_o[30] ),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__08693__S (.DIODE(_02963_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__09088__B2 (.DIODE(\i_step_motor_controller.i_motor_sequencer.mode ),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__08702__A1 (.DIODE(_03389_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__09089__A1 (.DIODE(\i_step_motor_controller.i_step_motor_controller_registers.wbs_dat_o[29] ),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__08704__A1 (.DIODE(_03496_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__09092__A (.DIODE(_04903_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__08707__A1 (.DIODE(_03510_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__09093__A (.DIODE(_04925_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__08709__A1 (.DIODE(_03505_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__09094__B2 (.DIODE(\i_step_motor_controller.i_prescaler.multiplier[15] ),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__08711__A1 (.DIODE(_03408_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__09095__B2 (.DIODE(\i_step_motor_controller.i_motor_sequencer.period[15] ),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__08713__A1 (.DIODE(_03402_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__09096__A1 (.DIODE(\i_step_motor_controller.i_step_motor_controller_registers.wbs_dat_o[15] ),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__08715__A1 (.DIODE(_03424_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__09100__B2 (.DIODE(\i_step_motor_controller.i_motor_sequencer.period[14] ),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__08718__A1 (.DIODE(_03415_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__09103__A (.DIODE(_04903_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__08720__A1 (.DIODE(_03446_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__09105__B2 (.DIODE(\i_step_motor_controller.i_motor_sequencer.period[13] ),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__08722__A1 (.DIODE(_03451_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__09109__B2 (.DIODE(\i_step_motor_controller.i_motor_sequencer.period[12] ),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__08724__A1 (.DIODE(_03439_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__09115__B2 (.DIODE(\i_step_motor_controller.i_motor_sequencer.period[11] ),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__08726__A1 (.DIODE(_03432_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__09116__A0 (.DIODE(_04957_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__08728__A (.DIODE(_02893_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__09119__B2 (.DIODE(\i_step_motor_controller.i_motor_sequencer.period[10] ),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__08729__A1 (.DIODE(_03473_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__09120__A0 (.DIODE(_04960_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__08731__A1 (.DIODE(_03553_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__09123__A2 (.DIODE(_04661_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__08733__A1 (.DIODE(_03296_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__09123__B2 (.DIODE(\i_step_motor_controller.i_motor_sequencer.period[9] ),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__08735__A1 (.DIODE(_03300_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__09124__A0 (.DIODE(_04963_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__08737__A0 (.DIODE(\i_pseudorandom.i_xoroshiro_64_plus_plus.s0[11] ),
+ sky130_fd_sc_hd__diode_2 ANTENNA__09127__A2 (.DIODE(_04661_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__08737__A1 (.DIODE(_03353_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__09127__B2 (.DIODE(\i_step_motor_controller.i_motor_sequencer.period[8] ),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__08739__A (.DIODE(_02894_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__09128__A0 (.DIODE(_04966_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__08740__A1 (.DIODE(_02894_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__09130__A (.DIODE(_04649_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__08740__A2 (.DIODE(_03350_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__09131__A (.DIODE(_04907_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__08741__A (.DIODE(_02893_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__09133__A (.DIODE(_04903_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__08746__A1 (.DIODE(_03337_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__09134__A (.DIODE(_04925_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__08748__A0 (.DIODE(\i_pseudorandom.i_xoroshiro_64_plus_plus.s0[6] ),
+ sky130_fd_sc_hd__diode_2 ANTENNA__09135__B1 (.DIODE(_04972_),
+ .VGND(vssd1),
+ .VNB(vssd1),
+ .VPB(vccd1),
+ .VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__09136__A1 (.DIODE(\i_step_motor_controller.duty_cycle[7] ),
+ .VGND(vssd1),
+ .VNB(vssd1),
+ .VPB(vccd1),
+ .VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__09138__A0 (.DIODE(_04974_),
+ .VGND(vssd1),
+ .VNB(vssd1),
+ .VPB(vccd1),
+ .VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__09138__S (.DIODE(_04975_),
+ .VGND(vssd1),
+ .VNB(vssd1),
+ .VPB(vccd1),
+ .VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__09140__A (.DIODE(_04925_),
+ .VGND(vssd1),
+ .VNB(vssd1),
+ .VPB(vccd1),
+ .VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__09142__A2 (.DIODE(_04661_),
+ .VGND(vssd1),
+ .VNB(vssd1),
+ .VPB(vccd1),
+ .VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__09142__B2 (.DIODE(\i_step_motor_controller.divider[6] ),
+ .VGND(vssd1),
+ .VNB(vssd1),
+ .VPB(vccd1),
+ .VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__09143__A1 (.DIODE(\i_step_motor_controller.duty_cycle[6] ),
+ .VGND(vssd1),
+ .VNB(vssd1),
+ .VPB(vccd1),
+ .VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__09144__A0 (.DIODE(_04980_),
+ .VGND(vssd1),
+ .VNB(vssd1),
+ .VPB(vccd1),
+ .VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__09144__S (.DIODE(_04975_),
+ .VGND(vssd1),
+ .VNB(vssd1),
+ .VPB(vccd1),
+ .VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__09147__B2 (.DIODE(\i_step_motor_controller.i_motor_sequencer.period[5] ),
+ .VGND(vssd1),
+ .VNB(vssd1),
+ .VPB(vccd1),
+ .VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__09148__B1 (.DIODE(_04972_),
+ .VGND(vssd1),
+ .VNB(vssd1),
+ .VPB(vccd1),
+ .VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__09149__A1 (.DIODE(\i_step_motor_controller.duty_cycle[5] ),
+ .VGND(vssd1),
+ .VNB(vssd1),
+ .VPB(vccd1),
+ .VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__09150__A0 (.DIODE(_04985_),
+ .VGND(vssd1),
+ .VNB(vssd1),
+ .VPB(vccd1),
+ .VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__09150__S (.DIODE(_04975_),
+ .VGND(vssd1),
+ .VNB(vssd1),
+ .VPB(vccd1),
+ .VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__09153__B2 (.DIODE(\i_step_motor_controller.divider[4] ),
+ .VGND(vssd1),
+ .VNB(vssd1),
+ .VPB(vccd1),
+ .VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__09154__A1 (.DIODE(\i_step_motor_controller.duty_cycle[4] ),
+ .VGND(vssd1),
+ .VNB(vssd1),
+ .VPB(vccd1),
+ .VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__09155__A0 (.DIODE(_04989_),
+ .VGND(vssd1),
+ .VNB(vssd1),
+ .VPB(vccd1),
+ .VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__09155__A1 (.DIODE(\i_step_motor_controller.i_step_motor_controller_registers.wbs_dat_o[4] ),
+ .VGND(vssd1),
+ .VNB(vssd1),
+ .VPB(vccd1),
+ .VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__09155__S (.DIODE(_04975_),
+ .VGND(vssd1),
+ .VNB(vssd1),
+ .VPB(vccd1),
+ .VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__09157__B2 (.DIODE(\i_step_motor_controller.i_motor_sequencer.period[3] ),
+ .VGND(vssd1),
+ .VNB(vssd1),
+ .VPB(vccd1),
+ .VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__09158__A1 (.DIODE(\i_step_motor_controller.divider[3] ),
+ .VGND(vssd1),
+ .VNB(vssd1),
+ .VPB(vccd1),
+ .VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__09159__A1 (.DIODE(\i_step_motor_controller.duty_cycle[3] ),
+ .VGND(vssd1),
+ .VNB(vssd1),
+ .VPB(vccd1),
+ .VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__09160__A0 (.DIODE(_04993_),
+ .VGND(vssd1),
+ .VNB(vssd1),
+ .VPB(vccd1),
+ .VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__09160__A1 (.DIODE(\i_step_motor_controller.i_step_motor_controller_registers.wbs_dat_o[3] ),
+ .VGND(vssd1),
+ .VNB(vssd1),
+ .VPB(vccd1),
+ .VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__09160__S (.DIODE(_04975_),
+ .VGND(vssd1),
+ .VNB(vssd1),
+ .VPB(vccd1),
+ .VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__09162__B2 (.DIODE(\i_step_motor_controller.i_motor_sequencer.period[2] ),
+ .VGND(vssd1),
+ .VNB(vssd1),
+ .VPB(vccd1),
+ .VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__09163__A1 (.DIODE(\i_step_motor_controller.divider[2] ),
+ .VGND(vssd1),
+ .VNB(vssd1),
+ .VPB(vccd1),
+ .VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__09164__A1 (.DIODE(\i_step_motor_controller.duty_cycle[2] ),
+ .VGND(vssd1),
+ .VNB(vssd1),
+ .VPB(vccd1),
+ .VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__09165__A0 (.DIODE(_04997_),
+ .VGND(vssd1),
+ .VNB(vssd1),
+ .VPB(vccd1),
+ .VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__09165__A1 (.DIODE(\i_step_motor_controller.i_step_motor_controller_registers.wbs_dat_o[2] ),
+ .VGND(vssd1),
+ .VNB(vssd1),
+ .VPB(vccd1),
+ .VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__09165__S (.DIODE(_04912_),
+ .VGND(vssd1),
+ .VNB(vssd1),
+ .VPB(vccd1),
+ .VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__09168__B2 (.DIODE(\i_step_motor_controller.divider[1] ),
+ .VGND(vssd1),
+ .VNB(vssd1),
+ .VPB(vccd1),
+ .VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__09169__A1 (.DIODE(\i_step_motor_controller.duty_cycle[1] ),
+ .VGND(vssd1),
+ .VNB(vssd1),
+ .VPB(vccd1),
+ .VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__09170__A0 (.DIODE(_05001_),
+ .VGND(vssd1),
+ .VNB(vssd1),
+ .VPB(vccd1),
+ .VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__09170__A1 (.DIODE(\i_step_motor_controller.i_step_motor_controller_registers.wbs_dat_o[1] ),
+ .VGND(vssd1),
+ .VNB(vssd1),
+ .VPB(vccd1),
+ .VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__09170__S (.DIODE(_04912_),
+ .VGND(vssd1),
+ .VNB(vssd1),
+ .VPB(vccd1),
+ .VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__09172__A1 (.DIODE(\i_step_motor_controller.divider[0] ),
+ .VGND(vssd1),
+ .VNB(vssd1),
+ .VPB(vccd1),
+ .VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__09173__A1 (.DIODE(\i_step_motor_controller.i_step_motor_controller_registers.cycles[0] ),
+ .VGND(vssd1),
+ .VNB(vssd1),
+ .VPB(vccd1),
+ .VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__09174__A1 (.DIODE(\i_step_motor_controller.duty_cycle[0] ),
+ .VGND(vssd1),
+ .VNB(vssd1),
+ .VPB(vccd1),
+ .VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__09175__A0 (.DIODE(_05005_),
+ .VGND(vssd1),
+ .VNB(vssd1),
+ .VPB(vccd1),
+ .VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__09175__A1 (.DIODE(\i_step_motor_controller.i_step_motor_controller_registers.wbs_dat_o[0] ),
+ .VGND(vssd1),
+ .VNB(vssd1),
+ .VPB(vccd1),
+ .VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__09175__S (.DIODE(_04912_),
+ .VGND(vssd1),
+ .VNB(vssd1),
+ .VPB(vccd1),
+ .VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__09177__A (.DIODE(net634),
+ .VGND(vssd1),
+ .VNB(vssd1),
+ .VPB(vccd1),
+ .VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__09177__C (.DIODE(net771),
+ .VGND(vssd1),
+ .VNB(vssd1),
+ .VPB(vccd1),
+ .VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__09178__A (.DIODE(_04972_),
+ .VGND(vssd1),
+ .VNB(vssd1),
+ .VPB(vccd1),
+ .VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__09178__B (.DIODE(_05007_),
+ .VGND(vssd1),
+ .VNB(vssd1),
+ .VPB(vccd1),
+ .VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__09179__A0 (.DIODE(_04872_),
+ .VGND(vssd1),
+ .VNB(vssd1),
+ .VPB(vccd1),
+ .VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__09181__A0 (.DIODE(_04876_),
+ .VGND(vssd1),
+ .VNB(vssd1),
+ .VPB(vccd1),
+ .VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__09183__A0 (.DIODE(_04626_),
+ .VGND(vssd1),
+ .VNB(vssd1),
+ .VPB(vccd1),
+ .VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__09183__A1 (.DIODE(\i_step_motor_controller.i_prescaler.multiplier[17] ),
+ .VGND(vssd1),
+ .VNB(vssd1),
+ .VPB(vccd1),
+ .VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__09185__A0 (.DIODE(_04576_),
+ .VGND(vssd1),
+ .VNB(vssd1),
+ .VPB(vccd1),
+ .VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__09185__A1 (.DIODE(\i_step_motor_controller.i_prescaler.multiplier[16] ),
+ .VGND(vssd1),
+ .VNB(vssd1),
+ .VPB(vccd1),
+ .VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__09187__B (.DIODE(net625),
+ .VGND(vssd1),
+ .VNB(vssd1),
+ .VPB(vccd1),
+ .VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__09187__C (.DIODE(net771),
+ .VGND(vssd1),
+ .VNB(vssd1),
+ .VPB(vccd1),
+ .VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__09188__A (.DIODE(_04972_),
+ .VGND(vssd1),
+ .VNB(vssd1),
+ .VPB(vccd1),
+ .VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__09188__B (.DIODE(_05013_),
+ .VGND(vssd1),
+ .VNB(vssd1),
+ .VPB(vccd1),
+ .VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__09190__A0 (.DIODE(_04630_),
+ .VGND(vssd1),
+ .VNB(vssd1),
+ .VPB(vccd1),
+ .VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__09190__A1 (.DIODE(\i_step_motor_controller.i_prescaler.multiplier[15] ),
+ .VGND(vssd1),
+ .VNB(vssd1),
+ .VPB(vccd1),
+ .VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__09192__A0 (.DIODE(_04633_),
+ .VGND(vssd1),
+ .VNB(vssd1),
+ .VPB(vccd1),
+ .VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__09194__A0 (.DIODE(net393),
+ .VGND(vssd1),
+ .VNB(vssd1),
+ .VPB(vccd1),
+ .VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__09196__A0 (.DIODE(net419),
+ .VGND(vssd1),
+ .VNB(vssd1),
+ .VPB(vccd1),
+ .VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__09198__A0 (.DIODE(_04589_),
+ .VGND(vssd1),
+ .VNB(vssd1),
+ .VPB(vccd1),
+ .VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__09200__A0 (.DIODE(_04591_),
+ .VGND(vssd1),
+ .VNB(vssd1),
+ .VPB(vccd1),
+ .VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__09202__A0 (.DIODE(_04593_),
+ .VGND(vssd1),
+ .VNB(vssd1),
+ .VPB(vccd1),
+ .VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__09204__A0 (.DIODE(_04595_),
+ .VGND(vssd1),
+ .VNB(vssd1),
+ .VPB(vccd1),
+ .VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__09206__A (.DIODE(net645),
+ .VGND(vssd1),
+ .VNB(vssd1),
+ .VPB(vccd1),
+ .VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__09207__B (.DIODE(net615),
+ .VGND(vssd1),
+ .VNB(vssd1),
+ .VPB(vccd1),
+ .VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__09207__C (.DIODE(_04674_),
+ .VGND(vssd1),
+ .VNB(vssd1),
+ .VPB(vccd1),
+ .VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__09208__A (.DIODE(_04972_),
+ .VGND(vssd1),
+ .VNB(vssd1),
+ .VPB(vccd1),
+ .VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__09208__B (.DIODE(_05025_),
+ .VGND(vssd1),
+ .VNB(vssd1),
+ .VPB(vccd1),
+ .VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__09210__A0 (.DIODE(net682),
+ .VGND(vssd1),
+ .VNB(vssd1),
+ .VPB(vccd1),
+ .VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__09212__A (.DIODE(net609),
+ .VGND(vssd1),
+ .VNB(vssd1),
+ .VPB(vccd1),
+ .VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__09213__A0 (.DIODE(net662),
+ .VGND(vssd1),
+ .VNB(vssd1),
+ .VPB(vccd1),
+ .VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__09215__A0 (.DIODE(_04892_),
+ .VGND(vssd1),
+ .VNB(vssd1),
+ .VPB(vccd1),
+ .VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__09217__A0 (.DIODE(_04894_),
+ .VGND(vssd1),
+ .VNB(vssd1),
+ .VPB(vccd1),
+ .VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__09219__A0 (.DIODE(_04896_),
+ .VGND(vssd1),
+ .VNB(vssd1),
+ .VPB(vccd1),
+ .VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__09221__A0 (.DIODE(_04898_),
+ .VGND(vssd1),
+ .VNB(vssd1),
+ .VPB(vccd1),
+ .VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__09223__A0 (.DIODE(_04900_),
+ .VGND(vssd1),
+ .VNB(vssd1),
+ .VPB(vccd1),
+ .VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__09225__B (.DIODE(_05007_),
+ .VGND(vssd1),
+ .VNB(vssd1),
+ .VPB(vccd1),
+ .VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__09226__A0 (.DIODE(_04872_),
+ .VGND(vssd1),
+ .VNB(vssd1),
+ .VPB(vccd1),
+ .VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__09228__A0 (.DIODE(_04876_),
+ .VGND(vssd1),
+ .VNB(vssd1),
+ .VPB(vccd1),
+ .VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__09230__A0 (.DIODE(_04626_),
+ .VGND(vssd1),
+ .VNB(vssd1),
+ .VPB(vccd1),
+ .VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__09232__A0 (.DIODE(_04576_),
+ .VGND(vssd1),
+ .VNB(vssd1),
+ .VPB(vccd1),
+ .VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__09239__A2 (.DIODE(\i_step_motor_controller.i_motor_sequencer.period[17] ),
+ .VGND(vssd1),
+ .VNB(vssd1),
+ .VPB(vccd1),
+ .VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__09239__B1 (.DIODE(\i_step_motor_controller.i_motor_sequencer.period[16] ),
+ .VGND(vssd1),
+ .VNB(vssd1),
+ .VPB(vccd1),
+ .VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__09241__A2 (.DIODE(\i_step_motor_controller.i_motor_sequencer.period[18] ),
+ .VGND(vssd1),
+ .VNB(vssd1),
+ .VPB(vccd1),
+ .VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__09241__B1 (.DIODE(\i_step_motor_controller.i_motor_sequencer.period[17] ),
+ .VGND(vssd1),
+ .VNB(vssd1),
+ .VPB(vccd1),
+ .VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__09242__A2 (.DIODE(\i_step_motor_controller.i_motor_sequencer.period[19] ),
+ .VGND(vssd1),
+ .VNB(vssd1),
+ .VPB(vccd1),
+ .VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__09242__B1 (.DIODE(\i_step_motor_controller.i_motor_sequencer.period[18] ),
+ .VGND(vssd1),
+ .VNB(vssd1),
+ .VPB(vccd1),
+ .VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__09244__A2 (.DIODE(\i_step_motor_controller.i_motor_sequencer.period[19] ),
+ .VGND(vssd1),
+ .VNB(vssd1),
+ .VPB(vccd1),
+ .VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__09245__A2 (.DIODE(\i_step_motor_controller.i_motor_sequencer.period[19] ),
+ .VGND(vssd1),
+ .VNB(vssd1),
+ .VPB(vccd1),
+ .VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__09245__B1 (.DIODE(\i_step_motor_controller.i_motor_sequencer.period[16] ),
+ .VGND(vssd1),
+ .VNB(vssd1),
+ .VPB(vccd1),
+ .VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__09249__A2 (.DIODE(\i_step_motor_controller.i_motor_sequencer.period[3] ),
+ .VGND(vssd1),
+ .VNB(vssd1),
+ .VPB(vccd1),
+ .VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__09249__B1 (.DIODE(\i_step_motor_controller.i_motor_sequencer.period[2] ),
+ .VGND(vssd1),
+ .VNB(vssd1),
+ .VPB(vccd1),
+ .VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__09255__B2 (.DIODE(\i_step_motor_controller.i_motor_sequencer.period[2] ),
+ .VGND(vssd1),
+ .VNB(vssd1),
+ .VPB(vccd1),
+ .VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__09258__B1 (.DIODE(\i_step_motor_controller.i_motor_sequencer.period[3] ),
+ .VGND(vssd1),
+ .VNB(vssd1),
+ .VPB(vccd1),
+ .VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__09260__A2 (.DIODE(\i_step_motor_controller.i_motor_sequencer.period[5] ),
+ .VGND(vssd1),
+ .VNB(vssd1),
+ .VPB(vccd1),
+ .VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__09262__B (.DIODE(\i_step_motor_controller.i_motor_sequencer.period[5] ),
+ .VGND(vssd1),
+ .VNB(vssd1),
+ .VPB(vccd1),
+ .VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__09270__B (.DIODE(\i_step_motor_controller.i_motor_sequencer.period[15] ),
+ .VGND(vssd1),
+ .VNB(vssd1),
+ .VPB(vccd1),
+ .VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__09272__B (.DIODE(\i_step_motor_controller.i_motor_sequencer.period[8] ),
+ .VGND(vssd1),
+ .VNB(vssd1),
+ .VPB(vccd1),
+ .VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__09274__B (.DIODE(\i_step_motor_controller.i_motor_sequencer.period[12] ),
+ .VGND(vssd1),
+ .VNB(vssd1),
+ .VPB(vccd1),
+ .VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__09276__A2 (.DIODE(\i_step_motor_controller.i_motor_sequencer.period[15] ),
+ .VGND(vssd1),
+ .VNB(vssd1),
+ .VPB(vccd1),
+ .VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__09276__B1 (.DIODE(\i_step_motor_controller.i_motor_sequencer.period[14] ),
+ .VGND(vssd1),
+ .VNB(vssd1),
+ .VPB(vccd1),
+ .VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__09277__A (.DIODE(\i_step_motor_controller.i_motor_sequencer.period[13] ),
+ .VGND(vssd1),
+ .VNB(vssd1),
+ .VPB(vccd1),
+ .VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__09278__A2_N (.DIODE(\i_step_motor_controller.i_motor_sequencer.period[14] ),
+ .VGND(vssd1),
+ .VNB(vssd1),
+ .VPB(vccd1),
+ .VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__09280__A2 (.DIODE(\i_step_motor_controller.i_motor_sequencer.period[9] ),
+ .VGND(vssd1),
+ .VNB(vssd1),
+ .VPB(vccd1),
+ .VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__09280__B1 (.DIODE(\i_step_motor_controller.i_motor_sequencer.period[8] ),
+ .VGND(vssd1),
+ .VNB(vssd1),
+ .VPB(vccd1),
+ .VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__09282__A2 (.DIODE(\i_step_motor_controller.i_motor_sequencer.period[10] ),
+ .VGND(vssd1),
+ .VNB(vssd1),
+ .VPB(vccd1),
+ .VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__09282__B1 (.DIODE(\i_step_motor_controller.i_motor_sequencer.period[9] ),
+ .VGND(vssd1),
+ .VNB(vssd1),
+ .VPB(vccd1),
+ .VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__09285__A (.DIODE(\i_step_motor_controller.i_motor_sequencer.period[11] ),
+ .VGND(vssd1),
+ .VNB(vssd1),
+ .VPB(vccd1),
+ .VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__09286__A1_N (.DIODE(\i_step_motor_controller.i_motor_sequencer.period[10] ),
+ .VGND(vssd1),
+ .VNB(vssd1),
+ .VPB(vccd1),
+ .VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__09286__B1 (.DIODE(\i_step_motor_controller.i_motor_sequencer.counter[11] ),
+ .VGND(vssd1),
+ .VNB(vssd1),
+ .VPB(vccd1),
+ .VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__09288__A2_N (.DIODE(\i_step_motor_controller.i_motor_sequencer.counter[11] ),
+ .VGND(vssd1),
+ .VNB(vssd1),
+ .VPB(vccd1),
+ .VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__09288__B2 (.DIODE(\i_step_motor_controller.i_motor_sequencer.period[12] ),
+ .VGND(vssd1),
+ .VNB(vssd1),
+ .VPB(vccd1),
+ .VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__09296__A (.DIODE(\i_step_motor_controller.i_motor_sequencer.period[2] ),
+ .VGND(vssd1),
+ .VNB(vssd1),
+ .VPB(vccd1),
+ .VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__09302__A (.DIODE(\i_step_motor_controller.i_motor_sequencer.run ),
+ .VGND(vssd1),
+ .VNB(vssd1),
+ .VPB(vccd1),
+ .VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__09303__A (.DIODE(_05042_),
+ .VGND(vssd1),
+ .VNB(vssd1),
+ .VPB(vccd1),
+ .VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__09304__A (.DIODE(_04929_),
+ .VGND(vssd1),
+ .VNB(vssd1),
+ .VPB(vccd1),
+ .VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__09306__B (.DIODE(\i_step_motor_controller.direction ),
+ .VGND(vssd1),
+ .VNB(vssd1),
+ .VPB(vccd1),
+ .VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__09307__B (.DIODE(\i_step_motor_controller.direction ),
+ .VGND(vssd1),
+ .VNB(vssd1),
+ .VPB(vccd1),
+ .VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__09310__B (.DIODE(\i_step_motor_controller.direction ),
+ .VGND(vssd1),
+ .VNB(vssd1),
+ .VPB(vccd1),
+ .VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__09327__A (.DIODE(\i_step_motor_controller.i_motor_sequencer.counter[11] ),
+ .VGND(vssd1),
+ .VNB(vssd1),
+ .VPB(vccd1),
+ .VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__09334__B (.DIODE(\i_step_motor_controller.i_motor_sequencer.run ),
+ .VGND(vssd1),
+ .VNB(vssd1),
+ .VPB(vccd1),
+ .VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__09338__B (.DIODE(\i_step_motor_controller.i_motor_sequencer.run ),
+ .VGND(vssd1),
+ .VNB(vssd1),
+ .VPB(vccd1),
+ .VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__09363__B1 (.DIODE(\i_step_motor_controller.i_motor_sequencer.counter[11] ),
+ .VGND(vssd1),
+ .VNB(vssd1),
+ .VPB(vccd1),
+ .VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__09364__B2 (.DIODE(\i_step_motor_controller.i_motor_sequencer.counter[11] ),
+ .VGND(vssd1),
+ .VNB(vssd1),
+ .VPB(vccd1),
+ .VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__09394__S (.DIODE(_03686_),
+ .VGND(vssd1),
+ .VNB(vssd1),
+ .VPB(vccd1),
+ .VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__09396__A (.DIODE(_03676_),
+ .VGND(vssd1),
+ .VNB(vssd1),
+ .VPB(vccd1),
+ .VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__09407__A (.DIODE(_03676_),
+ .VGND(vssd1),
+ .VNB(vssd1),
+ .VPB(vccd1),
+ .VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__09412__A1 (.DIODE(\i_pseudorandom.i_xoroshiro_64_plus_plus.n1[23] ),
+ .VGND(vssd1),
+ .VNB(vssd1),
+ .VPB(vccd1),
+ .VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__09414__A1 (.DIODE(\i_pseudorandom.i_xoroshiro_64_plus_plus.n1[22] ),
+ .VGND(vssd1),
+ .VNB(vssd1),
+ .VPB(vccd1),
+ .VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__09416__A1 (.DIODE(\i_pseudorandom.i_xoroshiro_64_plus_plus.n1[21] ),
+ .VGND(vssd1),
+ .VNB(vssd1),
+ .VPB(vccd1),
+ .VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__09418__A (.DIODE(_03676_),
+ .VGND(vssd1),
+ .VNB(vssd1),
+ .VPB(vccd1),
+ .VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__09427__A1 (.DIODE(\i_pseudorandom.i_xoroshiro_64_plus_plus.n1[16] ),
+ .VGND(vssd1),
+ .VNB(vssd1),
+ .VPB(vccd1),
+ .VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__09430__A (.DIODE(_05196_),
+ .VGND(vssd1),
+ .VNB(vssd1),
+ .VPB(vccd1),
+ .VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__09441__A (.DIODE(_05196_),
+ .VGND(vssd1),
+ .VNB(vssd1),
+ .VPB(vccd1),
+ .VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__09442__A1 (.DIODE(\i_pseudorandom.i_xoroshiro_64_plus_plus.n1[10] ),
+ .VGND(vssd1),
+ .VNB(vssd1),
+ .VPB(vccd1),
+ .VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__09446__A1 (.DIODE(\i_pseudorandom.i_xoroshiro_64_plus_plus.n1[8] ),
+ .VGND(vssd1),
+ .VNB(vssd1),
+ .VPB(vccd1),
+ .VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__09448__A1 (.DIODE(\i_pseudorandom.i_xoroshiro_64_plus_plus.n1[7] ),
+ .VGND(vssd1),
+ .VNB(vssd1),
+ .VPB(vccd1),
+ .VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__09450__A1 (.DIODE(\i_pseudorandom.i_xoroshiro_64_plus_plus.n1[6] ),
+ .VGND(vssd1),
+ .VNB(vssd1),
+ .VPB(vccd1),
+ .VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__09452__A (.DIODE(_05196_),
+ .VGND(vssd1),
+ .VNB(vssd1),
+ .VPB(vccd1),
+ .VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__09453__A1 (.DIODE(\i_pseudorandom.i_xoroshiro_64_plus_plus.n1[5] ),
+ .VGND(vssd1),
+ .VNB(vssd1),
+ .VPB(vccd1),
+ .VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__09453__S (.DIODE(_05209_),
+ .VGND(vssd1),
+ .VNB(vssd1),
+ .VPB(vccd1),
+ .VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__09455__A1 (.DIODE(\i_pseudorandom.i_xoroshiro_64_plus_plus.n1[4] ),
+ .VGND(vssd1),
+ .VNB(vssd1),
+ .VPB(vccd1),
+ .VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__09455__S (.DIODE(_05209_),
+ .VGND(vssd1),
+ .VNB(vssd1),
+ .VPB(vccd1),
+ .VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__09457__A1 (.DIODE(\i_pseudorandom.i_xoroshiro_64_plus_plus.n1[3] ),
+ .VGND(vssd1),
+ .VNB(vssd1),
+ .VPB(vccd1),
+ .VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__09457__S (.DIODE(_05209_),
+ .VGND(vssd1),
+ .VNB(vssd1),
+ .VPB(vccd1),
+ .VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__09459__A1 (.DIODE(\i_pseudorandom.i_xoroshiro_64_plus_plus.n1[2] ),
+ .VGND(vssd1),
+ .VNB(vssd1),
+ .VPB(vccd1),
+ .VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__09459__S (.DIODE(_05209_),
+ .VGND(vssd1),
+ .VNB(vssd1),
+ .VPB(vccd1),
+ .VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__09461__A1 (.DIODE(\i_pseudorandom.i_xoroshiro_64_plus_plus.n1[1] ),
+ .VGND(vssd1),
+ .VNB(vssd1),
+ .VPB(vccd1),
+ .VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__09461__S (.DIODE(_05209_),
+ .VGND(vssd1),
+ .VNB(vssd1),
+ .VPB(vccd1),
+ .VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__09463__A (.DIODE(_05196_),
+ .VGND(vssd1),
+ .VNB(vssd1),
+ .VPB(vccd1),
+ .VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__09464__A1 (.DIODE(\i_pseudorandom.i_xoroshiro_64_plus_plus.n1[0] ),
+ .VGND(vssd1),
+ .VNB(vssd1),
+ .VPB(vccd1),
+ .VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__09464__S (.DIODE(_05215_),
+ .VGND(vssd1),
+ .VNB(vssd1),
+ .VPB(vccd1),
+ .VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__09466__A0 (.DIODE(_04626_),
+ .VGND(vssd1),
+ .VNB(vssd1),
+ .VPB(vccd1),
+ .VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__09468__A0 (.DIODE(_04872_),
+ .VGND(vssd1),
+ .VNB(vssd1),
+ .VPB(vccd1),
+ .VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__09470__A0 (.DIODE(_04876_),
+ .VGND(vssd1),
+ .VNB(vssd1),
+ .VPB(vccd1),
+ .VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__09472__B (.DIODE(_05025_),
+ .VGND(vssd1),
+ .VNB(vssd1),
+ .VPB(vccd1),
+ .VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__09474__A0 (.DIODE(_04853_),
+ .VGND(vssd1),
+ .VNB(vssd1),
+ .VPB(vccd1),
+ .VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__09476__A (.DIODE(net461),
+ .VGND(vssd1),
+ .VNB(vssd1),
+ .VPB(vccd1),
+ .VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__09477__A0 (.DIODE(net339),
+ .VGND(vssd1),
+ .VNB(vssd1),
+ .VPB(vccd1),
+ .VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__09477__A1 (.DIODE(\i_step_motor_controller.direction ),
+ .VGND(vssd1),
+ .VNB(vssd1),
+ .VPB(vccd1),
+ .VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__09480__C (.DIODE(net380),
+ .VGND(vssd1),
+ .VNB(vssd1),
+ .VPB(vccd1),
+ .VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__09480__D (.DIODE(net390),
+ .VGND(vssd1),
+ .VNB(vssd1),
+ .VPB(vccd1),
+ .VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__09482__A_N (.DIODE(net694),
+ .VGND(vssd1),
+ .VNB(vssd1),
+ .VPB(vccd1),
+ .VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__09483__A_N (.DIODE(_03944_),
+ .VGND(vssd1),
+ .VNB(vssd1),
+ .VPB(vccd1),
+ .VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__09484__A0 (.DIODE(\i_step_motor_controller.i_motor_sequencer.mode ),
+ .VGND(vssd1),
+ .VNB(vssd1),
+ .VPB(vccd1),
+ .VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__09484__A1 (.DIODE(net356),
+ .VGND(vssd1),
+ .VNB(vssd1),
+ .VPB(vccd1),
+ .VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__09484__S (.DIODE(_05228_),
+ .VGND(vssd1),
+ .VNB(vssd1),
+ .VPB(vccd1),
+ .VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__09486__A0 (.DIODE(\i_step_motor_controller.i_motor_sequencer.ptype ),
+ .VGND(vssd1),
+ .VNB(vssd1),
+ .VPB(vccd1),
+ .VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__09486__A1 (.DIODE(net339),
+ .VGND(vssd1),
+ .VNB(vssd1),
+ .VPB(vccd1),
+ .VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__09486__S (.DIODE(_05228_),
+ .VGND(vssd1),
+ .VNB(vssd1),
+ .VPB(vccd1),
+ .VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__09488__B (.DIODE(net615),
+ .VGND(vssd1),
+ .VNB(vssd1),
+ .VPB(vccd1),
+ .VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__09489__A (.DIODE(_05231_),
+ .VGND(vssd1),
+ .VNB(vssd1),
+ .VPB(vccd1),
+ .VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__09490__A0 (.DIODE(\i_step_motor_controller.duty_cycle[0] ),
+ .VGND(vssd1),
+ .VNB(vssd1),
+ .VPB(vccd1),
+ .VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__09490__A1 (.DIODE(net601),
+ .VGND(vssd1),
+ .VNB(vssd1),
+ .VPB(vccd1),
+ .VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__09492__A (.DIODE(_04929_),
+ .VGND(vssd1),
+ .VNB(vssd1),
+ .VPB(vccd1),
+ .VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__09493__A0 (.DIODE(_05234_),
+ .VGND(vssd1),
+ .VNB(vssd1),
+ .VPB(vccd1),
+ .VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__09493__A1 (.DIODE(net325),
+ .VGND(vssd1),
+ .VNB(vssd1),
+ .VPB(vccd1),
+ .VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__09493__S (.DIODE(_05228_),
+ .VGND(vssd1),
+ .VNB(vssd1),
+ .VPB(vccd1),
+ .VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__09495__B (.DIODE(_05025_),
+ .VGND(vssd1),
+ .VNB(vssd1),
+ .VPB(vccd1),
+ .VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__09497__A0 (.DIODE(_04853_),
+ .VGND(vssd1),
+ .VNB(vssd1),
+ .VPB(vccd1),
+ .VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__09497__A1 (.DIODE(\i_step_motor_controller.divider[0] ),
+ .VGND(vssd1),
+ .VNB(vssd1),
+ .VPB(vccd1),
+ .VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__09499__A0 (.DIODE(_04853_),
+ .VGND(vssd1),
+ .VNB(vssd1),
+ .VPB(vccd1),
+ .VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__09501__A (.DIODE(_04929_),
+ .VGND(vssd1),
+ .VNB(vssd1),
+ .VPB(vccd1),
+ .VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__09508__A (.DIODE(_05240_),
+ .VGND(vssd1),
+ .VNB(vssd1),
+ .VPB(vccd1),
+ .VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__09511__C (.DIODE(_05234_),
+ .VGND(vssd1),
+ .VNB(vssd1),
+ .VPB(vccd1),
+ .VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__09513__A (.DIODE(_04929_),
+ .VGND(vssd1),
+ .VNB(vssd1),
+ .VPB(vccd1),
+ .VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__09515__B1 (.DIODE(_05251_),
+ .VGND(vssd1),
+ .VNB(vssd1),
+ .VPB(vccd1),
+ .VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__09518__C (.DIODE(_05234_),
+ .VGND(vssd1),
+ .VNB(vssd1),
+ .VPB(vccd1),
+ .VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__09520__B1 (.DIODE(_05240_),
+ .VGND(vssd1),
+ .VNB(vssd1),
+ .VPB(vccd1),
+ .VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__09522__B1 (.DIODE(_05251_),
+ .VGND(vssd1),
+ .VNB(vssd1),
+ .VPB(vccd1),
+ .VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__09525__C (.DIODE(_05234_),
+ .VGND(vssd1),
+ .VNB(vssd1),
+ .VPB(vccd1),
+ .VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__09527__B1 (.DIODE(_05240_),
+ .VGND(vssd1),
+ .VNB(vssd1),
+ .VPB(vccd1),
+ .VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__09529__C1 (.DIODE(\i_step_motor_controller.i_motor_sequencer.run ),
+ .VGND(vssd1),
+ .VNB(vssd1),
+ .VPB(vccd1),
+ .VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__09530__A1 (.DIODE(net325),
+ .VGND(vssd1),
+ .VNB(vssd1),
+ .VPB(vccd1),
+ .VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__09530__A2 (.DIODE(net461),
+ .VGND(vssd1),
+ .VNB(vssd1),
+ .VPB(vccd1),
+ .VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__09531__A0 (.DIODE(net356),
+ .VGND(vssd1),
+ .VNB(vssd1),
+ .VPB(vccd1),
+ .VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__09534__A (.DIODE(_05262_),
+ .VGND(vssd1),
+ .VNB(vssd1),
+ .VPB(vccd1),
+ .VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__09535__A0 (.DIODE(net445),
+ .VGND(vssd1),
+ .VNB(vssd1),
+ .VPB(vccd1),
+ .VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__09537__A0 (.DIODE(net452),
+ .VGND(vssd1),
+ .VNB(vssd1),
+ .VPB(vccd1),
+ .VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__09539__A0 (.DIODE(net682),
+ .VGND(vssd1),
+ .VNB(vssd1),
+ .VPB(vccd1),
+ .VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__09541__A0 (.DIODE(net662),
+ .VGND(vssd1),
+ .VNB(vssd1),
+ .VPB(vccd1),
+ .VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__09543__A0 (.DIODE(_04892_),
+ .VGND(vssd1),
+ .VNB(vssd1),
+ .VPB(vccd1),
+ .VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__09545__A0 (.DIODE(_04894_),
+ .VGND(vssd1),
+ .VNB(vssd1),
+ .VPB(vccd1),
+ .VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__09547__A0 (.DIODE(_04896_),
+ .VGND(vssd1),
+ .VNB(vssd1),
+ .VPB(vccd1),
+ .VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__09549__A0 (.DIODE(_04898_),
+ .VGND(vssd1),
+ .VNB(vssd1),
+ .VPB(vccd1),
+ .VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__09551__A0 (.DIODE(_04900_),
+ .VGND(vssd1),
+ .VNB(vssd1),
+ .VPB(vccd1),
+ .VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__09554__A0 (.DIODE(_04872_),
+ .VGND(vssd1),
+ .VNB(vssd1),
+ .VPB(vccd1),
+ .VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__09554__S (.DIODE(_05273_),
+ .VGND(vssd1),
+ .VNB(vssd1),
+ .VPB(vccd1),
+ .VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__09556__A0 (.DIODE(_04876_),
+ .VGND(vssd1),
+ .VNB(vssd1),
+ .VPB(vccd1),
+ .VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__09556__S (.DIODE(_05273_),
+ .VGND(vssd1),
+ .VNB(vssd1),
+ .VPB(vccd1),
+ .VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__09558__A0 (.DIODE(net346),
+ .VGND(vssd1),
+ .VNB(vssd1),
+ .VPB(vccd1),
+ .VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__09558__S (.DIODE(_05273_),
+ .VGND(vssd1),
+ .VNB(vssd1),
+ .VPB(vccd1),
+ .VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__09560__A0 (.DIODE(net373),
+ .VGND(vssd1),
+ .VNB(vssd1),
+ .VPB(vccd1),
+ .VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__09560__S (.DIODE(_05273_),
+ .VGND(vssd1),
+ .VNB(vssd1),
+ .VPB(vccd1),
+ .VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__09562__A0 (.DIODE(net425),
+ .VGND(vssd1),
+ .VNB(vssd1),
+ .VPB(vccd1),
+ .VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__09562__S (.DIODE(_04584_),
+ .VGND(vssd1),
+ .VNB(vssd1),
+ .VPB(vccd1),
+ .VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__09564__A0 (.DIODE(net432),
+ .VGND(vssd1),
+ .VNB(vssd1),
+ .VPB(vccd1),
+ .VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__09564__S (.DIODE(_04584_),
+ .VGND(vssd1),
+ .VNB(vssd1),
+ .VPB(vccd1),
+ .VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__09566__B (.DIODE(_05013_),
+ .VGND(vssd1),
+ .VNB(vssd1),
+ .VPB(vccd1),
+ .VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__09568__A0 (.DIODE(net432),
+ .VGND(vssd1),
+ .VNB(vssd1),
+ .VPB(vccd1),
+ .VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__09568__S (.DIODE(_05281_),
+ .VGND(vssd1),
+ .VNB(vssd1),
+ .VPB(vccd1),
+ .VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__09570__A0 (.DIODE(net425),
+ .VGND(vssd1),
+ .VNB(vssd1),
+ .VPB(vccd1),
+ .VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__09570__S (.DIODE(_05281_),
+ .VGND(vssd1),
+ .VNB(vssd1),
+ .VPB(vccd1),
+ .VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__09572__A0 (.DIODE(net393),
+ .VGND(vssd1),
+ .VNB(vssd1),
+ .VPB(vccd1),
+ .VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__09572__S (.DIODE(_05281_),
+ .VGND(vssd1),
+ .VNB(vssd1),
+ .VPB(vccd1),
+ .VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__09574__A0 (.DIODE(net419),
+ .VGND(vssd1),
+ .VNB(vssd1),
+ .VPB(vccd1),
+ .VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__09574__S (.DIODE(_05281_),
+ .VGND(vssd1),
+ .VNB(vssd1),
+ .VPB(vccd1),
+ .VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__09576__A0 (.DIODE(net400),
+ .VGND(vssd1),
+ .VNB(vssd1),
+ .VPB(vccd1),
+ .VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__09576__S (.DIODE(_05281_),
+ .VGND(vssd1),
+ .VNB(vssd1),
+ .VPB(vccd1),
+ .VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__09578__A0 (.DIODE(net366),
+ .VGND(vssd1),
+ .VNB(vssd1),
+ .VPB(vccd1),
+ .VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__09580__A0 (.DIODE(net445),
+ .VGND(vssd1),
+ .VNB(vssd1),
+ .VPB(vccd1),
+ .VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__09582__A0 (.DIODE(net452),
+ .VGND(vssd1),
+ .VNB(vssd1),
+ .VPB(vccd1),
+ .VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__09584__A0 (.DIODE(net1012),
+ .VGND(vssd1),
+ .VNB(vssd1),
+ .VPB(vccd1),
+ .VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__09586__A0 (.DIODE(net662),
+ .VGND(vssd1),
+ .VNB(vssd1),
+ .VPB(vccd1),
+ .VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__09586__A1 (.DIODE(\i_step_motor_controller.divider[6] ),
+ .VGND(vssd1),
+ .VNB(vssd1),
+ .VPB(vccd1),
+ .VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__09588__A0 (.DIODE(_04892_),
+ .VGND(vssd1),
+ .VNB(vssd1),
+ .VPB(vccd1),
+ .VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__09590__A0 (.DIODE(_04894_),
+ .VGND(vssd1),
+ .VNB(vssd1),
+ .VPB(vccd1),
+ .VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__09590__A1 (.DIODE(\i_step_motor_controller.divider[4] ),
+ .VGND(vssd1),
+ .VNB(vssd1),
+ .VPB(vccd1),
+ .VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__09592__A0 (.DIODE(_04896_),
+ .VGND(vssd1),
+ .VNB(vssd1),
+ .VPB(vccd1),
+ .VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__09592__A1 (.DIODE(\i_step_motor_controller.divider[3] ),
+ .VGND(vssd1),
+ .VNB(vssd1),
+ .VPB(vccd1),
+ .VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__09594__A0 (.DIODE(_04898_),
+ .VGND(vssd1),
+ .VNB(vssd1),
+ .VPB(vccd1),
+ .VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__09594__A1 (.DIODE(\i_step_motor_controller.divider[2] ),
+ .VGND(vssd1),
+ .VNB(vssd1),
+ .VPB(vccd1),
+ .VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__09596__A0 (.DIODE(_04900_),
+ .VGND(vssd1),
+ .VNB(vssd1),
+ .VPB(vccd1),
+ .VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__09596__A1 (.DIODE(\i_step_motor_controller.divider[1] ),
+ .VGND(vssd1),
+ .VNB(vssd1),
+ .VPB(vccd1),
+ .VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__09598__A0 (.DIODE(\i_step_motor_controller.duty_cycle[7] ),
+ .VGND(vssd1),
+ .VNB(vssd1),
+ .VPB(vccd1),
+ .VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__09598__A1 (.DIODE(net682),
+ .VGND(vssd1),
+ .VNB(vssd1),
+ .VPB(vccd1),
+ .VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__09600__A0 (.DIODE(\i_step_motor_controller.duty_cycle[6] ),
+ .VGND(vssd1),
+ .VNB(vssd1),
+ .VPB(vccd1),
+ .VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__09600__A1 (.DIODE(net662),
+ .VGND(vssd1),
+ .VNB(vssd1),
+ .VPB(vccd1),
+ .VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__09602__A0 (.DIODE(\i_step_motor_controller.duty_cycle[5] ),
+ .VGND(vssd1),
+ .VNB(vssd1),
+ .VPB(vccd1),
+ .VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__09602__A1 (.DIODE(net541),
+ .VGND(vssd1),
+ .VNB(vssd1),
+ .VPB(vccd1),
+ .VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__09604__A0 (.DIODE(\i_step_motor_controller.duty_cycle[4] ),
+ .VGND(vssd1),
+ .VNB(vssd1),
+ .VPB(vccd1),
+ .VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__09604__A1 (.DIODE(net574),
+ .VGND(vssd1),
+ .VNB(vssd1),
+ .VPB(vccd1),
+ .VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__09606__A0 (.DIODE(\i_step_motor_controller.duty_cycle[3] ),
+ .VGND(vssd1),
+ .VNB(vssd1),
+ .VPB(vccd1),
+ .VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__09606__A1 (.DIODE(net513),
+ .VGND(vssd1),
+ .VNB(vssd1),
+ .VPB(vccd1),
+ .VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__09606__S (.DIODE(_05231_),
+ .VGND(vssd1),
+ .VNB(vssd1),
+ .VPB(vccd1),
+ .VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__09608__A0 (.DIODE(\i_step_motor_controller.duty_cycle[2] ),
+ .VGND(vssd1),
+ .VNB(vssd1),
+ .VPB(vccd1),
+ .VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__09608__A1 (.DIODE(net498),
+ .VGND(vssd1),
+ .VNB(vssd1),
+ .VPB(vccd1),
+ .VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__09608__S (.DIODE(_05231_),
+ .VGND(vssd1),
+ .VNB(vssd1),
+ .VPB(vccd1),
+ .VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__09610__A0 (.DIODE(\i_step_motor_controller.duty_cycle[1] ),
+ .VGND(vssd1),
+ .VNB(vssd1),
+ .VPB(vccd1),
+ .VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__09610__A1 (.DIODE(net487),
+ .VGND(vssd1),
+ .VNB(vssd1),
+ .VPB(vccd1),
+ .VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__09610__S (.DIODE(_05231_),
+ .VGND(vssd1),
+ .VNB(vssd1),
+ .VPB(vccd1),
+ .VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__09612__B (.DIODE(_05007_),
+ .VGND(vssd1),
+ .VNB(vssd1),
+ .VPB(vccd1),
+ .VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__09613__A0 (.DIODE(net406),
+ .VGND(vssd1),
+ .VNB(vssd1),
+ .VPB(vccd1),
+ .VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__09613__A1 (.DIODE(\i_step_motor_controller.i_motor_sequencer.period[19] ),
+ .VGND(vssd1),
+ .VNB(vssd1),
+ .VPB(vccd1),
+ .VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__09615__A0 (.DIODE(net470),
+ .VGND(vssd1),
+ .VNB(vssd1),
+ .VPB(vccd1),
+ .VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__09615__A1 (.DIODE(\i_step_motor_controller.i_motor_sequencer.period[18] ),
+ .VGND(vssd1),
+ .VNB(vssd1),
+ .VPB(vccd1),
+ .VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__09617__A0 (.DIODE(net346),
+ .VGND(vssd1),
+ .VNB(vssd1),
+ .VPB(vccd1),
+ .VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__09617__A1 (.DIODE(\i_step_motor_controller.i_motor_sequencer.period[17] ),
+ .VGND(vssd1),
+ .VNB(vssd1),
+ .VPB(vccd1),
+ .VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__09619__A0 (.DIODE(net373),
+ .VGND(vssd1),
+ .VNB(vssd1),
+ .VPB(vccd1),
+ .VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__09619__A1 (.DIODE(\i_step_motor_controller.i_motor_sequencer.period[16] ),
+ .VGND(vssd1),
+ .VNB(vssd1),
+ .VPB(vccd1),
+ .VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__09621__B (.DIODE(_05013_),
+ .VGND(vssd1),
+ .VNB(vssd1),
+ .VPB(vccd1),
+ .VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__09623__A0 (.DIODE(net432),
+ .VGND(vssd1),
+ .VNB(vssd1),
+ .VPB(vccd1),
+ .VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__09623__A1 (.DIODE(\i_step_motor_controller.i_motor_sequencer.period[15] ),
+ .VGND(vssd1),
+ .VNB(vssd1),
+ .VPB(vccd1),
+ .VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__09625__A0 (.DIODE(net425),
+ .VGND(vssd1),
+ .VNB(vssd1),
+ .VPB(vccd1),
+ .VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__09625__A1 (.DIODE(\i_step_motor_controller.i_motor_sequencer.period[14] ),
+ .VGND(vssd1),
+ .VNB(vssd1),
+ .VPB(vccd1),
+ .VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__09627__A0 (.DIODE(net393),
+ .VGND(vssd1),
+ .VNB(vssd1),
+ .VPB(vccd1),
+ .VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__09627__A1 (.DIODE(\i_step_motor_controller.i_motor_sequencer.period[13] ),
+ .VGND(vssd1),
+ .VNB(vssd1),
+ .VPB(vccd1),
+ .VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__09629__A0 (.DIODE(net419),
+ .VGND(vssd1),
+ .VNB(vssd1),
+ .VPB(vccd1),
+ .VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__09629__A1 (.DIODE(\i_step_motor_controller.i_motor_sequencer.period[12] ),
+ .VGND(vssd1),
+ .VNB(vssd1),
+ .VPB(vccd1),
+ .VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__09631__A0 (.DIODE(net400),
+ .VGND(vssd1),
+ .VNB(vssd1),
+ .VPB(vccd1),
+ .VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__09631__A1 (.DIODE(\i_step_motor_controller.i_motor_sequencer.period[11] ),
+ .VGND(vssd1),
+ .VNB(vssd1),
+ .VPB(vccd1),
+ .VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__09633__A0 (.DIODE(net366),
+ .VGND(vssd1),
+ .VNB(vssd1),
+ .VPB(vccd1),
+ .VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__09633__A1 (.DIODE(\i_step_motor_controller.i_motor_sequencer.period[10] ),
+ .VGND(vssd1),
+ .VNB(vssd1),
+ .VPB(vccd1),
+ .VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__09635__A0 (.DIODE(net445),
+ .VGND(vssd1),
+ .VNB(vssd1),
+ .VPB(vccd1),
+ .VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__09635__A1 (.DIODE(\i_step_motor_controller.i_motor_sequencer.period[9] ),
+ .VGND(vssd1),
+ .VNB(vssd1),
+ .VPB(vccd1),
+ .VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__09637__A0 (.DIODE(net452),
+ .VGND(vssd1),
+ .VNB(vssd1),
+ .VPB(vccd1),
+ .VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__09637__A1 (.DIODE(\i_step_motor_controller.i_motor_sequencer.period[8] ),
+ .VGND(vssd1),
+ .VNB(vssd1),
+ .VPB(vccd1),
+ .VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__09639__A0 (.DIODE(net1012),
+ .VGND(vssd1),
+ .VNB(vssd1),
+ .VPB(vccd1),
+ .VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__09641__A0 (.DIODE(net662),
+ .VGND(vssd1),
+ .VNB(vssd1),
+ .VPB(vccd1),
+ .VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__09643__A0 (.DIODE(_04892_),
+ .VGND(vssd1),
+ .VNB(vssd1),
+ .VPB(vccd1),
+ .VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__09643__A1 (.DIODE(\i_step_motor_controller.i_motor_sequencer.period[5] ),
+ .VGND(vssd1),
+ .VNB(vssd1),
+ .VPB(vccd1),
+ .VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__09645__A0 (.DIODE(_04894_),
+ .VGND(vssd1),
+ .VNB(vssd1),
+ .VPB(vccd1),
+ .VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__09647__A0 (.DIODE(_04896_),
+ .VGND(vssd1),
+ .VNB(vssd1),
+ .VPB(vccd1),
+ .VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__09647__A1 (.DIODE(\i_step_motor_controller.i_motor_sequencer.period[3] ),
+ .VGND(vssd1),
+ .VNB(vssd1),
+ .VPB(vccd1),
+ .VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__09649__A0 (.DIODE(_04898_),
+ .VGND(vssd1),
+ .VNB(vssd1),
+ .VPB(vccd1),
+ .VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__09649__A1 (.DIODE(\i_step_motor_controller.i_motor_sequencer.period[2] ),
+ .VGND(vssd1),
+ .VNB(vssd1),
+ .VPB(vccd1),
+ .VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__09651__A0 (.DIODE(_04900_),
+ .VGND(vssd1),
+ .VNB(vssd1),
+ .VPB(vccd1),
+ .VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__09653__A (.DIODE(_04841_),
+ .VGND(vssd1),
+ .VNB(vssd1),
+ .VPB(vccd1),
+ .VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__09654__B (.DIODE(_04469_),
+ .VGND(vssd1),
+ .VNB(vssd1),
+ .VPB(vccd1),
+ .VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__09656__A1 (.DIODE(_05326_),
+ .VGND(vssd1),
+ .VNB(vssd1),
+ .VPB(vccd1),
+ .VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__09656__A3 (.DIODE(_04469_),
+ .VGND(vssd1),
+ .VNB(vssd1),
+ .VPB(vccd1),
+ .VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__09657__A2 (.DIODE(_04031_),
+ .VGND(vssd1),
+ .VNB(vssd1),
+ .VPB(vccd1),
+ .VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__09657__B1 (.DIODE(_04035_),
+ .VGND(vssd1),
+ .VNB(vssd1),
+ .VPB(vccd1),
+ .VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__09657__B2 (.DIODE(\i_string_led_controller.i_prescaler.multiplier[11] ),
+ .VGND(vssd1),
+ .VNB(vssd1),
+ .VPB(vccd1),
+ .VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__09658__B2 (.DIODE(_04469_),
+ .VGND(vssd1),
+ .VNB(vssd1),
+ .VPB(vccd1),
+ .VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__09660__A0 (.DIODE(net406),
+ .VGND(vssd1),
+ .VNB(vssd1),
+ .VPB(vccd1),
+ .VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__09662__A0 (.DIODE(net470),
+ .VGND(vssd1),
+ .VNB(vssd1),
+ .VPB(vccd1),
+ .VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__09664__A0 (.DIODE(net346),
+ .VGND(vssd1),
+ .VNB(vssd1),
+ .VPB(vccd1),
+ .VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__09666__A0 (.DIODE(net373),
+ .VGND(vssd1),
+ .VNB(vssd1),
+ .VPB(vccd1),
+ .VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__09668__A0 (.DIODE(net432),
+ .VGND(vssd1),
+ .VNB(vssd1),
+ .VPB(vccd1),
+ .VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__09670__A0 (.DIODE(net425),
+ .VGND(vssd1),
+ .VNB(vssd1),
+ .VPB(vccd1),
+ .VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__09672__A0 (.DIODE(net393),
+ .VGND(vssd1),
+ .VNB(vssd1),
+ .VPB(vccd1),
+ .VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__09674__A0 (.DIODE(net419),
+ .VGND(vssd1),
+ .VNB(vssd1),
+ .VPB(vccd1),
+ .VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__09674__S (.DIODE(_05262_),
+ .VGND(vssd1),
+ .VNB(vssd1),
+ .VPB(vccd1),
+ .VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__09676__A0 (.DIODE(net366),
+ .VGND(vssd1),
+ .VNB(vssd1),
+ .VPB(vccd1),
+ .VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__09676__S (.DIODE(_05262_),
+ .VGND(vssd1),
+ .VNB(vssd1),
+ .VPB(vccd1),
+ .VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__09678__A0 (.DIODE(net400),
+ .VGND(vssd1),
+ .VNB(vssd1),
+ .VPB(vccd1),
+ .VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__09678__A1 (.DIODE(\i_string_led_controller.i_prescaler.multiplier[11] ),
+ .VGND(vssd1),
+ .VNB(vssd1),
+ .VPB(vccd1),
+ .VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__09678__S (.DIODE(_05262_),
+ .VGND(vssd1),
+ .VNB(vssd1),
+ .VPB(vccd1),
+ .VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__09681__A (.DIODE(\i_nec_ir_receiver.i_nec_ir_receiver_registers.polarity ),
+ .VGND(vssd1),
+ .VNB(vssd1),
+ .VPB(vccd1),
+ .VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__09683__A1 (.DIODE(\i_nec_ir_receiver.i_nec_ir_receiver_registers.polarity ),
+ .VGND(vssd1),
+ .VNB(vssd1),
+ .VPB(vccd1),
+ .VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__09686__C1 (.DIODE(_04256_),
+ .VGND(vssd1),
+ .VNB(vssd1),
+ .VPB(vccd1),
+ .VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__09687__A (.DIODE(_04277_),
+ .VGND(vssd1),
+ .VNB(vssd1),
+ .VPB(vccd1),
+ .VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__09688__A1 (.DIODE(_04256_),
+ .VGND(vssd1),
+ .VNB(vssd1),
+ .VPB(vccd1),
+ .VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__09689__S (.DIODE(_05215_),
+ .VGND(vssd1),
+ .VNB(vssd1),
+ .VPB(vccd1),
+ .VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__09691__S (.DIODE(_05215_),
+ .VGND(vssd1),
+ .VNB(vssd1),
+ .VPB(vccd1),
+ .VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__09693__S (.DIODE(_05215_),
+ .VGND(vssd1),
+ .VNB(vssd1),
+ .VPB(vccd1),
+ .VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__09695__A1 (.DIODE(_03838_),
+ .VGND(vssd1),
+ .VNB(vssd1),
+ .VPB(vccd1),
+ .VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__09695__S (.DIODE(_05215_),
+ .VGND(vssd1),
+ .VNB(vssd1),
+ .VPB(vccd1),
+ .VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__09697__A (.DIODE(_05196_),
+ .VGND(vssd1),
+ .VNB(vssd1),
+ .VPB(vccd1),
+ .VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__09698__A1 (.DIODE(_03804_),
+ .VGND(vssd1),
+ .VNB(vssd1),
+ .VPB(vccd1),
+ .VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__09700__A1 (.DIODE(\i_pseudorandom.i_xoroshiro_64_plus_plus.n0[26] ),
+ .VGND(vssd1),
+ .VNB(vssd1),
+ .VPB(vccd1),
+ .VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__09702__A1 (.DIODE(_03811_),
+ .VGND(vssd1),
+ .VNB(vssd1),
+ .VPB(vccd1),
+ .VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__09704__A1 (.DIODE(\i_pseudorandom.i_xoroshiro_64_plus_plus.n0[24] ),
+ .VGND(vssd1),
+ .VNB(vssd1),
+ .VPB(vccd1),
+ .VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__09706__A1 (.DIODE(\i_pseudorandom.i_xoroshiro_64_plus_plus.n0[23] ),
+ .VGND(vssd1),
+ .VNB(vssd1),
+ .VPB(vccd1),
+ .VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__09709__A1 (.DIODE(\i_pseudorandom.i_xoroshiro_64_plus_plus.n0[22] ),
+ .VGND(vssd1),
+ .VNB(vssd1),
+ .VPB(vccd1),
+ .VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__09709__S (.DIODE(_05356_),
+ .VGND(vssd1),
+ .VNB(vssd1),
+ .VPB(vccd1),
+ .VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__09711__A1 (.DIODE(_03711_),
+ .VGND(vssd1),
+ .VNB(vssd1),
+ .VPB(vccd1),
+ .VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__09711__S (.DIODE(_05356_),
+ .VGND(vssd1),
+ .VNB(vssd1),
+ .VPB(vccd1),
+ .VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__09713__A1 (.DIODE(\i_pseudorandom.i_xoroshiro_64_plus_plus.n0[20] ),
+ .VGND(vssd1),
+ .VNB(vssd1),
+ .VPB(vccd1),
+ .VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__09713__S (.DIODE(_05356_),
+ .VGND(vssd1),
+ .VNB(vssd1),
+ .VPB(vccd1),
+ .VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__09715__A1 (.DIODE(_03727_),
+ .VGND(vssd1),
+ .VNB(vssd1),
+ .VPB(vccd1),
+ .VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__09715__S (.DIODE(_05356_),
+ .VGND(vssd1),
+ .VNB(vssd1),
+ .VPB(vccd1),
+ .VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__09717__A1 (.DIODE(_03729_),
+ .VGND(vssd1),
+ .VNB(vssd1),
+ .VPB(vccd1),
+ .VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__09717__S (.DIODE(_05356_),
+ .VGND(vssd1),
+ .VNB(vssd1),
+ .VPB(vccd1),
+ .VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__09720__A1 (.DIODE(_03733_),
+ .VGND(vssd1),
+ .VNB(vssd1),
+ .VPB(vccd1),
+ .VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__09722__A1 (.DIODE(_03737_),
+ .VGND(vssd1),
+ .VNB(vssd1),
+ .VPB(vccd1),
+ .VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__09728__A1 (.DIODE(\i_pseudorandom.i_xoroshiro_64_plus_plus.n0[13] ),
+ .VGND(vssd1),
+ .VNB(vssd1),
+ .VPB(vccd1),
+ .VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__09730__B (.DIODE(\i_step_motor_controller.i_prescaler.mul[0] ),
+ .VGND(vssd1),
+ .VNB(vssd1),
+ .VPB(vccd1),
+ .VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__09731__A (.DIODE(\i_step_motor_controller.i_prescaler.mul[0] ),
+ .VGND(vssd1),
+ .VNB(vssd1),
+ .VPB(vccd1),
+ .VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__09740__A (.DIODE(_04363_),
+ .VGND(vssd1),
+ .VNB(vssd1),
+ .VPB(vccd1),
+ .VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__09742__A (.DIODE(\i_string_led_controller.i_bit_generator.ready ),
+ .VGND(vssd1),
+ .VNB(vssd1),
+ .VPB(vccd1),
+ .VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__09744__A (.DIODE(\i_string_led_controller.i_bit_generator.ready ),
+ .VGND(vssd1),
+ .VNB(vssd1),
+ .VPB(vccd1),
+ .VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__09747__A1 (.DIODE(_05326_),
+ .VGND(vssd1),
+ .VNB(vssd1),
+ .VPB(vccd1),
+ .VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__09759__A_N (.DIODE(_04363_),
+ .VGND(vssd1),
+ .VNB(vssd1),
+ .VPB(vccd1),
+ .VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__09763__A (.DIODE(\i_string_led_controller.i_bit_generator.ready ),
+ .VGND(vssd1),
+ .VNB(vssd1),
+ .VPB(vccd1),
+ .VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__09765__A1 (.DIODE(_05326_),
+ .VGND(vssd1),
+ .VNB(vssd1),
+ .VPB(vccd1),
+ .VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__09766__A (.DIODE(_04841_),
+ .VGND(vssd1),
+ .VNB(vssd1),
+ .VPB(vccd1),
+ .VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__09767__A1 (.DIODE(_05326_),
+ .VGND(vssd1),
+ .VNB(vssd1),
+ .VPB(vccd1),
+ .VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__09768__A (.DIODE(_04841_),
+ .VGND(vssd1),
+ .VNB(vssd1),
+ .VPB(vccd1),
+ .VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__09770__A1 (.DIODE(_05393_),
+ .VGND(vssd1),
+ .VNB(vssd1),
+ .VPB(vccd1),
+ .VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__09773__A (.DIODE(_04841_),
+ .VGND(vssd1),
+ .VNB(vssd1),
+ .VPB(vccd1),
+ .VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__09774__A1 (.DIODE(_05326_),
+ .VGND(vssd1),
+ .VNB(vssd1),
+ .VPB(vccd1),
+ .VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__09782__A1 (.DIODE(\i_string_led_controller.i_registers.progress ),
+ .VGND(vssd1),
+ .VNB(vssd1),
+ .VPB(vccd1),
+ .VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__09782__A2 (.DIODE(\i_string_led_controller.i_registers.start ),
+ .VGND(vssd1),
+ .VNB(vssd1),
+ .VPB(vccd1),
+ .VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__09782__B1 (.DIODE(_05393_),
+ .VGND(vssd1),
+ .VNB(vssd1),
+ .VPB(vccd1),
+ .VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__09784__B (.DIODE(\i_string_led_controller.i_sequencer.state_reg[0] ),
+ .VGND(vssd1),
+ .VNB(vssd1),
+ .VPB(vccd1),
+ .VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__09786__B (.DIODE(\i_nec_ir_receiver.frame_write ),
+ .VGND(vssd1),
+ .VNB(vssd1),
+ .VPB(vccd1),
+ .VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__09844__A2 (.DIODE(_05459_),
+ .VGND(vssd1),
+ .VNB(vssd1),
+ .VPB(vccd1),
+ .VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__09844__B1 (.DIODE(_05461_),
+ .VGND(vssd1),
+ .VNB(vssd1),
+ .VPB(vccd1),
+ .VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__09845__A0 (.DIODE(\i_step_motor_controller.i_prescaler.mul[0] ),
+ .VGND(vssd1),
+ .VNB(vssd1),
+ .VPB(vccd1),
+ .VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__09845__S (.DIODE(_05462_),
+ .VGND(vssd1),
+ .VNB(vssd1),
+ .VPB(vccd1),
+ .VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__09846__A (.DIODE(_05042_),
+ .VGND(vssd1),
+ .VNB(vssd1),
+ .VPB(vccd1),
+ .VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__09847__A (.DIODE(_05464_),
+ .VGND(vssd1),
+ .VNB(vssd1),
+ .VPB(vccd1),
+ .VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__09848__B1 (.DIODE(_05465_),
+ .VGND(vssd1),
+ .VNB(vssd1),
+ .VPB(vccd1),
+ .VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__09850__A2 (.DIODE(_05459_),
+ .VGND(vssd1),
+ .VNB(vssd1),
+ .VPB(vccd1),
+ .VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__09850__B1 (.DIODE(_05461_),
+ .VGND(vssd1),
+ .VNB(vssd1),
+ .VPB(vccd1),
+ .VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__09851__A1 (.DIODE(\i_step_motor_controller.i_prescaler.mul[1] ),
+ .VGND(vssd1),
+ .VNB(vssd1),
+ .VPB(vccd1),
+ .VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__09851__A2 (.DIODE(_05462_),
+ .VGND(vssd1),
+ .VNB(vssd1),
+ .VPB(vccd1),
+ .VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__09852__A (.DIODE(\i_step_motor_controller.i_prescaler.mul[1] ),
+ .VGND(vssd1),
+ .VNB(vssd1),
+ .VPB(vccd1),
+ .VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__09854__A2 (.DIODE(_05459_),
+ .VGND(vssd1),
+ .VNB(vssd1),
+ .VPB(vccd1),
+ .VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__09854__B1 (.DIODE(_05461_),
+ .VGND(vssd1),
+ .VNB(vssd1),
+ .VPB(vccd1),
+ .VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__09856__A2 (.DIODE(_05462_),
+ .VGND(vssd1),
+ .VNB(vssd1),
+ .VPB(vccd1),
+ .VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__09860__A (.DIODE(_05240_),
+ .VGND(vssd1),
+ .VNB(vssd1),
+ .VPB(vccd1),
+ .VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__09862__A2 (.DIODE(_05459_),
+ .VGND(vssd1),
+ .VNB(vssd1),
+ .VPB(vccd1),
+ .VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__09862__B1 (.DIODE(_05461_),
+ .VGND(vssd1),
+ .VNB(vssd1),
+ .VPB(vccd1),
+ .VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__09867__B1 (.DIODE(_05482_),
+ .VGND(vssd1),
+ .VNB(vssd1),
+ .VPB(vccd1),
+ .VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__09877__B1 (.DIODE(_05251_),
+ .VGND(vssd1),
+ .VNB(vssd1),
+ .VPB(vccd1),
+ .VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__09885__B (.DIODE(_05482_),
+ .VGND(vssd1),
+ .VNB(vssd1),
+ .VPB(vccd1),
+ .VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__09904__B (.DIODE(_05482_),
+ .VGND(vssd1),
+ .VNB(vssd1),
+ .VPB(vccd1),
+ .VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__09909__B1 (.DIODE(_05465_),
+ .VGND(vssd1),
+ .VNB(vssd1),
+ .VPB(vccd1),
+ .VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__09916__A (.DIODE(_05523_),
+ .VGND(vssd1),
+ .VNB(vssd1),
+ .VPB(vccd1),
+ .VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__09916__B (.DIODE(_05525_),
+ .VGND(vssd1),
+ .VNB(vssd1),
+ .VPB(vccd1),
+ .VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__09921__B1 (.DIODE(_05465_),
+ .VGND(vssd1),
+ .VNB(vssd1),
+ .VPB(vccd1),
+ .VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__09929__B1 (.DIODE(_05464_),
+ .VGND(vssd1),
+ .VNB(vssd1),
+ .VPB(vccd1),
+ .VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__09939__B (.DIODE(_05482_),
+ .VGND(vssd1),
+ .VNB(vssd1),
+ .VPB(vccd1),
+ .VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__09948__A (.DIODE(_05548_),
+ .VGND(vssd1),
+ .VNB(vssd1),
+ .VPB(vccd1),
+ .VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__09953__A1 (.DIODE(_05523_),
+ .VGND(vssd1),
+ .VNB(vssd1),
+ .VPB(vccd1),
+ .VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__09953__A2 (.DIODE(_05525_),
+ .VGND(vssd1),
+ .VNB(vssd1),
+ .VPB(vccd1),
+ .VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__09961__B (.DIODE(_05234_),
+ .VGND(vssd1),
+ .VNB(vssd1),
+ .VPB(vccd1),
+ .VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__09964__S (.DIODE(_05568_),
+ .VGND(vssd1),
+ .VNB(vssd1),
+ .VPB(vccd1),
+ .VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__09968__A (.DIODE(_05548_),
+ .VGND(vssd1),
+ .VNB(vssd1),
+ .VPB(vccd1),
+ .VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__09979__A (.DIODE(_05464_),
+ .VGND(vssd1),
+ .VNB(vssd1),
+ .VPB(vccd1),
+ .VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__09987__A (.DIODE(_05548_),
+ .VGND(vssd1),
+ .VNB(vssd1),
+ .VPB(vccd1),
+ .VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__09989__S (.DIODE(_05568_),
+ .VGND(vssd1),
+ .VNB(vssd1),
+ .VPB(vccd1),
+ .VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__09999__A1 (.DIODE(_05523_),
+ .VGND(vssd1),
+ .VNB(vssd1),
+ .VPB(vccd1),
+ .VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__09999__A2 (.DIODE(_05525_),
+ .VGND(vssd1),
+ .VNB(vssd1),
+ .VPB(vccd1),
+ .VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__10000__B1 (.DIODE(_05042_),
+ .VGND(vssd1),
+ .VNB(vssd1),
+ .VPB(vccd1),
+ .VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__10004__S (.DIODE(_05568_),
+ .VGND(vssd1),
+ .VNB(vssd1),
+ .VPB(vccd1),
+ .VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__10009__B1 (.DIODE(_05464_),
+ .VGND(vssd1),
+ .VNB(vssd1),
+ .VPB(vccd1),
+ .VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__10011__S (.DIODE(_05568_),
+ .VGND(vssd1),
+ .VNB(vssd1),
+ .VPB(vccd1),
+ .VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__10018__A (.DIODE(_05464_),
+ .VGND(vssd1),
+ .VNB(vssd1),
+ .VPB(vccd1),
+ .VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__10020__S (.DIODE(_05462_),
+ .VGND(vssd1),
+ .VNB(vssd1),
+ .VPB(vccd1),
+ .VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__10024__A (.DIODE(_05548_),
+ .VGND(vssd1),
+ .VNB(vssd1),
+ .VPB(vccd1),
+ .VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__10026__A (.DIODE(net413),
+ .VGND(vssd1),
+ .VNB(vssd1),
+ .VPB(vccd1),
+ .VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__10026__B (.DIODE(_04573_),
+ .VGND(vssd1),
+ .VNB(vssd1),
+ .VPB(vccd1),
+ .VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__10088__A1 (.DIODE(_05656_),
+ .VGND(vssd1),
+ .VNB(vssd1),
+ .VPB(vccd1),
+ .VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__10088__B1 (.DIODE(_05684_),
+ .VGND(vssd1),
+ .VNB(vssd1),
+ .VPB(vccd1),
+ .VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__10092__A (.DIODE(_05656_),
+ .VGND(vssd1),
+ .VNB(vssd1),
+ .VPB(vccd1),
+ .VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__10099__S (.DIODE(_05695_),
+ .VGND(vssd1),
+ .VNB(vssd1),
+ .VPB(vccd1),
+ .VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__10100__B1 (.DIODE(_04747_),
+ .VGND(vssd1),
+ .VNB(vssd1),
+ .VPB(vccd1),
+ .VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__10104__A1 (.DIODE(_05698_),
+ .VGND(vssd1),
+ .VNB(vssd1),
+ .VPB(vccd1),
+ .VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__10110__B1 (.DIODE(_04747_),
+ .VGND(vssd1),
+ .VNB(vssd1),
+ .VPB(vccd1),
+ .VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__10115__A2 (.DIODE(_05708_),
+ .VGND(vssd1),
+ .VNB(vssd1),
+ .VPB(vccd1),
+ .VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__10117__B1 (.DIODE(_05393_),
+ .VGND(vssd1),
+ .VNB(vssd1),
+ .VPB(vccd1),
+ .VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__10119__A (.DIODE(_05708_),
+ .VGND(vssd1),
+ .VNB(vssd1),
+ .VPB(vccd1),
+ .VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__10120__A2 (.DIODE(_05713_),
+ .VGND(vssd1),
+ .VNB(vssd1),
+ .VPB(vccd1),
+ .VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__10122__S (.DIODE(_05695_),
+ .VGND(vssd1),
+ .VNB(vssd1),
+ .VPB(vccd1),
+ .VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__10126__A (.DIODE(_04730_),
+ .VGND(vssd1),
+ .VNB(vssd1),
+ .VPB(vccd1),
+ .VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__10128__S (.DIODE(_05695_),
+ .VGND(vssd1),
+ .VNB(vssd1),
+ .VPB(vccd1),
+ .VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__10134__A (.DIODE(_04729_),
+ .VGND(vssd1),
+ .VNB(vssd1),
+ .VPB(vccd1),
+ .VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__10136__B (.DIODE(_05727_),
+ .VGND(vssd1),
+ .VNB(vssd1),
+ .VPB(vccd1),
+ .VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__10138__A (.DIODE(_04729_),
+ .VGND(vssd1),
+ .VNB(vssd1),
+ .VPB(vccd1),
+ .VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__10143__A (.DIODE(_05730_),
+ .VGND(vssd1),
+ .VNB(vssd1),
+ .VPB(vccd1),
+ .VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__10148__S (.DIODE(_05695_),
+ .VGND(vssd1),
+ .VNB(vssd1),
+ .VPB(vccd1),
+ .VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__10152__B (.DIODE(_05727_),
+ .VGND(vssd1),
+ .VNB(vssd1),
+ .VPB(vccd1),
+ .VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__10157__B1 (.DIODE(_04747_),
+ .VGND(vssd1),
+ .VNB(vssd1),
+ .VPB(vccd1),
+ .VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__10159__S (.DIODE(_05708_),
+ .VGND(vssd1),
+ .VNB(vssd1),
+ .VPB(vccd1),
+ .VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__10167__A (.DIODE(_05755_),
+ .VGND(vssd1),
+ .VNB(vssd1),
+ .VPB(vccd1),
+ .VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__10168__B1 (.DIODE(_05393_),
+ .VGND(vssd1),
+ .VNB(vssd1),
+ .VPB(vccd1),
+ .VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__10171__A (.DIODE(_05695_),
+ .VGND(vssd1),
+ .VNB(vssd1),
+ .VPB(vccd1),
+ .VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__10175__B1 (.DIODE(_05393_),
+ .VGND(vssd1),
+ .VNB(vssd1),
+ .VPB(vccd1),
+ .VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__10177__S (.DIODE(_05708_),
+ .VGND(vssd1),
+ .VNB(vssd1),
+ .VPB(vccd1),
+ .VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__10183__B1 (.DIODE(_05730_),
+ .VGND(vssd1),
+ .VNB(vssd1),
+ .VPB(vccd1),
+ .VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__10190__A (.DIODE(_05730_),
+ .VGND(vssd1),
+ .VNB(vssd1),
+ .VPB(vccd1),
+ .VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__10203__A (.DIODE(_04637_),
+ .VGND(vssd1),
+ .VNB(vssd1),
+ .VPB(vccd1),
+ .VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__10205__S (.DIODE(_05708_),
+ .VGND(vssd1),
+ .VNB(vssd1),
+ .VPB(vccd1),
+ .VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__10208__B1 (.DIODE(_04729_),
+ .VGND(vssd1),
+ .VNB(vssd1),
+ .VPB(vccd1),
+ .VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__10219__B (.DIODE(_05727_),
+ .VGND(vssd1),
+ .VNB(vssd1),
+ .VPB(vccd1),
+ .VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__10226__A (.DIODE(_04730_),
+ .VGND(vssd1),
+ .VNB(vssd1),
+ .VPB(vccd1),
+ .VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__10228__S (.DIODE(_05713_),
+ .VGND(vssd1),
+ .VNB(vssd1),
+ .VPB(vccd1),
+ .VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__10238__A1 (.DIODE(_05755_),
+ .VGND(vssd1),
+ .VNB(vssd1),
+ .VPB(vccd1),
+ .VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__10241__A (.DIODE(_04730_),
+ .VGND(vssd1),
+ .VNB(vssd1),
+ .VPB(vccd1),
+ .VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__10243__S (.DIODE(_05713_),
+ .VGND(vssd1),
+ .VNB(vssd1),
+ .VPB(vccd1),
+ .VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__10249__B (.DIODE(_05727_),
+ .VGND(vssd1),
+ .VNB(vssd1),
+ .VPB(vccd1),
+ .VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__10251__S (.DIODE(_05713_),
+ .VGND(vssd1),
+ .VNB(vssd1),
+ .VPB(vccd1),
+ .VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__10259__A (.DIODE(_04637_),
+ .VGND(vssd1),
+ .VNB(vssd1),
+ .VPB(vccd1),
+ .VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__10261__S (.DIODE(_05713_),
+ .VGND(vssd1),
+ .VNB(vssd1),
+ .VPB(vccd1),
+ .VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__10265__A (.DIODE(_04730_),
+ .VGND(vssd1),
+ .VNB(vssd1),
+ .VPB(vccd1),
+ .VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__10269__A (.DIODE(_05845_),
+ .VGND(vssd1),
+ .VNB(vssd1),
+ .VPB(vccd1),
+ .VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__10272__A (.DIODE(_05848_),
+ .VGND(vssd1),
+ .VNB(vssd1),
+ .VPB(vccd1),
+ .VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__10275__A (.DIODE(_03704_),
+ .VGND(vssd1),
+ .VNB(vssd1),
+ .VPB(vccd1),
+ .VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__10279__A1 (.DIODE(_03667_),
+ .VGND(vssd1),
+ .VNB(vssd1),
+ .VPB(vccd1),
+ .VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__10281__B2 (.DIODE(\i_nec_ir_receiver.i_nec_ir_receiver_registers.wbs_dat_o[0] ),
+ .VGND(vssd1),
+ .VNB(vssd1),
+ .VPB(vccd1),
+ .VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__10282__A1 (.DIODE(\i_pseudorandom.wbs_dat_o[0] ),
+ .VGND(vssd1),
+ .VNB(vssd1),
+ .VPB(vccd1),
+ .VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__10282__A2 (.DIODE(_03705_),
+ .VGND(vssd1),
+ .VNB(vssd1),
+ .VPB(vccd1),
+ .VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__10282__B2 (.DIODE(\i_step_motor_controller.i_step_motor_controller_registers.wbs_dat_o[0] ),
+ .VGND(vssd1),
+ .VNB(vssd1),
+ .VPB(vccd1),
+ .VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__10284__A1 (.DIODE(\i_step_motor_controller.i_step_motor_controller_registers.wbs_dat_o[1] ),
+ .VGND(vssd1),
+ .VNB(vssd1),
+ .VPB(vccd1),
+ .VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__10285__A1 (.DIODE(\i_pseudorandom.wbs_dat_o[1] ),
+ .VGND(vssd1),
+ .VNB(vssd1),
+ .VPB(vccd1),
+ .VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__10287__A1 (.DIODE(\i_pseudorandom.wbs_dat_o[2] ),
+ .VGND(vssd1),
+ .VNB(vssd1),
+ .VPB(vccd1),
+ .VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__10287__A2 (.DIODE(_03705_),
+ .VGND(vssd1),
+ .VNB(vssd1),
+ .VPB(vccd1),
+ .VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__10287__B2 (.DIODE(\i_step_motor_controller.i_step_motor_controller_registers.wbs_dat_o[2] ),
+ .VGND(vssd1),
+ .VNB(vssd1),
+ .VPB(vccd1),
+ .VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__10290__A1 (.DIODE(\i_pseudorandom.wbs_dat_o[3] ),
+ .VGND(vssd1),
+ .VNB(vssd1),
+ .VPB(vccd1),
+ .VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__10290__B2 (.DIODE(\i_step_motor_controller.i_step_motor_controller_registers.wbs_dat_o[3] ),
+ .VGND(vssd1),
+ .VNB(vssd1),
+ .VPB(vccd1),
+ .VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__10292__A (.DIODE(_05864_),
+ .VGND(vssd1),
+ .VNB(vssd1),
+ .VPB(vccd1),
+ .VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__10293__A1 (.DIODE(\i_step_motor_controller.i_step_motor_controller_registers.wbs_dat_o[4] ),
+ .VGND(vssd1),
+ .VNB(vssd1),
+ .VPB(vccd1),
+ .VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__10294__A1 (.DIODE(\i_pseudorandom.wbs_dat_o[4] ),
+ .VGND(vssd1),
+ .VNB(vssd1),
+ .VPB(vccd1),
+ .VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__10294__C1 (.DIODE(_05865_),
+ .VGND(vssd1),
+ .VNB(vssd1),
+ .VPB(vccd1),
+ .VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__10297__A1 (.DIODE(\i_pseudorandom.wbs_dat_o[5] ),
+ .VGND(vssd1),
+ .VNB(vssd1),
+ .VPB(vccd1),
+ .VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__10299__A1 (.DIODE(\i_pseudorandom.wbs_dat_o[6] ),
+ .VGND(vssd1),
+ .VNB(vssd1),
+ .VPB(vccd1),
+ .VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__10299__C1 (.DIODE(_05868_),
+ .VGND(vssd1),
+ .VNB(vssd1),
+ .VPB(vccd1),
+ .VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__10300__A (.DIODE(_05845_),
+ .VGND(vssd1),
+ .VNB(vssd1),
+ .VPB(vccd1),
+ .VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__10301__A (.DIODE(_05848_),
+ .VGND(vssd1),
+ .VNB(vssd1),
+ .VPB(vccd1),
+ .VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__10304__A1 (.DIODE(\i_pseudorandom.wbs_dat_o[7] ),
+ .VGND(vssd1),
+ .VNB(vssd1),
+ .VPB(vccd1),
+ .VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__10306__A1 (.DIODE(\i_pseudorandom.wbs_dat_o[8] ),
+ .VGND(vssd1),
+ .VNB(vssd1),
+ .VPB(vccd1),
+ .VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__10308__A1 (.DIODE(\i_pseudorandom.wbs_dat_o[9] ),
+ .VGND(vssd1),
+ .VNB(vssd1),
+ .VPB(vccd1),
+ .VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__10311__A1 (.DIODE(\i_pseudorandom.wbs_dat_o[10] ),
+ .VGND(vssd1),
+ .VNB(vssd1),
+ .VPB(vccd1),
+ .VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__10311__C1 (.DIODE(_05876_),
+ .VGND(vssd1),
+ .VNB(vssd1),
+ .VPB(vccd1),
+ .VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__10313__A1 (.DIODE(\i_pseudorandom.wbs_dat_o[11] ),
+ .VGND(vssd1),
+ .VNB(vssd1),
+ .VPB(vccd1),
+ .VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__10313__C1 (.DIODE(_05877_),
+ .VGND(vssd1),
+ .VNB(vssd1),
+ .VPB(vccd1),
+ .VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__10318__A1 (.DIODE(\i_pseudorandom.wbs_dat_o[12] ),
+ .VGND(vssd1),
+ .VNB(vssd1),
+ .VPB(vccd1),
+ .VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__10318__C1 (.DIODE(_05881_),
+ .VGND(vssd1),
+ .VNB(vssd1),
+ .VPB(vccd1),
+ .VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__10320__A1 (.DIODE(\i_pseudorandom.wbs_dat_o[13] ),
+ .VGND(vssd1),
+ .VNB(vssd1),
+ .VPB(vccd1),
+ .VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__10320__C1 (.DIODE(_05882_),
+ .VGND(vssd1),
+ .VNB(vssd1),
+ .VPB(vccd1),
+ .VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__10322__A1 (.DIODE(\i_pseudorandom.wbs_dat_o[14] ),
+ .VGND(vssd1),
+ .VNB(vssd1),
+ .VPB(vccd1),
+ .VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__10322__C1 (.DIODE(_05883_),
+ .VGND(vssd1),
+ .VNB(vssd1),
+ .VPB(vccd1),
+ .VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__10324__A1 (.DIODE(\i_step_motor_controller.i_step_motor_controller_registers.wbs_dat_o[15] ),
+ .VGND(vssd1),
+ .VNB(vssd1),
+ .VPB(vccd1),
+ .VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__10325__A1 (.DIODE(\i_pseudorandom.wbs_dat_o[15] ),
+ .VGND(vssd1),
+ .VNB(vssd1),
+ .VPB(vccd1),
+ .VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__10327__A1 (.DIODE(\i_pseudorandom.wbs_dat_o[16] ),
+ .VGND(vssd1),
+ .VNB(vssd1),
+ .VPB(vccd1),
+ .VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__10332__A1 (.DIODE(\i_pseudorandom.wbs_dat_o[17] ),
+ .VGND(vssd1),
+ .VNB(vssd1),
+ .VPB(vccd1),
+ .VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__10334__A1 (.DIODE(\i_pseudorandom.wbs_dat_o[18] ),
+ .VGND(vssd1),
+ .VNB(vssd1),
+ .VPB(vccd1),
+ .VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__10336__A1 (.DIODE(\i_pseudorandom.wbs_dat_o[19] ),
+ .VGND(vssd1),
+ .VNB(vssd1),
+ .VPB(vccd1),
+ .VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__10338__A (.DIODE(_03704_),
+ .VGND(vssd1),
+ .VNB(vssd1),
+ .VPB(vccd1),
+ .VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__10339__A (.DIODE(\i_pseudorandom.wbs_dat_o[20] ),
+ .VGND(vssd1),
+ .VNB(vssd1),
+ .VPB(vccd1),
+ .VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__10341__A (.DIODE(\i_pseudorandom.wbs_dat_o[21] ),
+ .VGND(vssd1),
+ .VNB(vssd1),
+ .VPB(vccd1),
+ .VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__10343__A (.DIODE(\i_pseudorandom.wbs_dat_o[22] ),
+ .VGND(vssd1),
+ .VNB(vssd1),
+ .VPB(vccd1),
+ .VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__10345__A (.DIODE(\i_pseudorandom.wbs_dat_o[23] ),
+ .VGND(vssd1),
+ .VNB(vssd1),
+ .VPB(vccd1),
+ .VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__10347__A (.DIODE(\i_pseudorandom.wbs_dat_o[24] ),
+ .VGND(vssd1),
+ .VNB(vssd1),
+ .VPB(vccd1),
+ .VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__10350__A1 (.DIODE(\i_pseudorandom.wbs_dat_o[25] ),
+ .VGND(vssd1),
+ .VNB(vssd1),
+ .VPB(vccd1),
+ .VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__10350__A2 (.DIODE(_03705_),
+ .VGND(vssd1),
+ .VNB(vssd1),
+ .VPB(vccd1),
+ .VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__10351__A1 (.DIODE(\i_pseudorandom.wbs_dat_o[26] ),
+ .VGND(vssd1),
+ .VNB(vssd1),
+ .VPB(vccd1),
+ .VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__10351__A2 (.DIODE(_05845_),
+ .VGND(vssd1),
+ .VNB(vssd1),
+ .VPB(vccd1),
+ .VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__10351__B1 (.DIODE(_05848_),
+ .VGND(vssd1),
+ .VNB(vssd1),
+ .VPB(vccd1),
+ .VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__10353__A1 (.DIODE(\i_pseudorandom.wbs_dat_o[27] ),
+ .VGND(vssd1),
+ .VNB(vssd1),
+ .VPB(vccd1),
+ .VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__10353__A2 (.DIODE(_03705_),
+ .VGND(vssd1),
+ .VNB(vssd1),
+ .VPB(vccd1),
+ .VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__10355__A1 (.DIODE(\i_pseudorandom.wbs_dat_o[28] ),
+ .VGND(vssd1),
+ .VNB(vssd1),
+ .VPB(vccd1),
+ .VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__10355__A2 (.DIODE(_05845_),
+ .VGND(vssd1),
+ .VNB(vssd1),
+ .VPB(vccd1),
+ .VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__10355__B1 (.DIODE(_05848_),
+ .VGND(vssd1),
+ .VNB(vssd1),
+ .VPB(vccd1),
+ .VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__10357__A1 (.DIODE(\i_step_motor_controller.i_step_motor_controller_registers.wbs_dat_o[29] ),
+ .VGND(vssd1),
+ .VNB(vssd1),
+ .VPB(vccd1),
+ .VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__10358__A1 (.DIODE(\i_pseudorandom.wbs_dat_o[29] ),
+ .VGND(vssd1),
+ .VNB(vssd1),
+ .VPB(vccd1),
+ .VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__10359__A1 (.DIODE(\i_step_motor_controller.i_step_motor_controller_registers.wbs_dat_o[30] ),
+ .VGND(vssd1),
+ .VNB(vssd1),
+ .VPB(vccd1),
+ .VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__10360__A1 (.DIODE(\i_pseudorandom.wbs_dat_o[30] ),
+ .VGND(vssd1),
+ .VNB(vssd1),
+ .VPB(vccd1),
+ .VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__10362__A1 (.DIODE(\i_pseudorandom.wbs_dat_o[31] ),
+ .VGND(vssd1),
+ .VNB(vssd1),
+ .VPB(vccd1),
+ .VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__10362__A2 (.DIODE(_05845_),
+ .VGND(vssd1),
+ .VNB(vssd1),
+ .VPB(vccd1),
+ .VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__10362__B1 (.DIODE(_05848_),
+ .VGND(vssd1),
+ .VNB(vssd1),
+ .VPB(vccd1),
+ .VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__10425__B1 (.DIODE(_05968_),
+ .VGND(vssd1),
+ .VNB(vssd1),
+ .VPB(vccd1),
+ .VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__10432__A (.DIODE(_05971_),
+ .VGND(vssd1),
+ .VNB(vssd1),
+ .VPB(vccd1),
+ .VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__10434__B1 (.DIODE(_04421_),
+ .VGND(vssd1),
+ .VNB(vssd1),
+ .VPB(vccd1),
+ .VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__10436__A1 (.DIODE(_05971_),
+ .VGND(vssd1),
+ .VNB(vssd1),
+ .VPB(vccd1),
+ .VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__10437__B (.DIODE(_05971_),
+ .VGND(vssd1),
+ .VNB(vssd1),
+ .VPB(vccd1),
+ .VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__10442__B1 (.DIODE(_04425_),
+ .VGND(vssd1),
+ .VNB(vssd1),
+ .VPB(vccd1),
+ .VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__10446__A1 (.DIODE(_05971_),
+ .VGND(vssd1),
+ .VNB(vssd1),
+ .VPB(vccd1),
+ .VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__10450__B1 (.DIODE(_05991_),
+ .VGND(vssd1),
+ .VNB(vssd1),
+ .VPB(vccd1),
+ .VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__10453__A2 (.DIODE(_05993_),
+ .VGND(vssd1),
+ .VNB(vssd1),
+ .VPB(vccd1),
+ .VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__10458__A (.DIODE(_04416_),
+ .VGND(vssd1),
+ .VNB(vssd1),
+ .VPB(vccd1),
+ .VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__10465__B1 (.DIODE(_04423_),
+ .VGND(vssd1),
+ .VNB(vssd1),
+ .VPB(vccd1),
+ .VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__10471__A (.DIODE(_04309_),
+ .VGND(vssd1),
+ .VNB(vssd1),
+ .VPB(vccd1),
+ .VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__10480__B (.DIODE(_04255_),
+ .VGND(vssd1),
+ .VNB(vssd1),
+ .VPB(vccd1),
+ .VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__10486__A (.DIODE(_04416_),
+ .VGND(vssd1),
+ .VNB(vssd1),
+ .VPB(vccd1),
+ .VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__10487__S (.DIODE(_05993_),
+ .VGND(vssd1),
+ .VNB(vssd1),
+ .VPB(vccd1),
+ .VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__10493__A (.DIODE(_06028_),
+ .VGND(vssd1),
+ .VNB(vssd1),
+ .VPB(vccd1),
+ .VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__10494__B1 (.DIODE(_05991_),
+ .VGND(vssd1),
+ .VNB(vssd1),
+ .VPB(vccd1),
+ .VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__10496__S (.DIODE(_05993_),
+ .VGND(vssd1),
+ .VNB(vssd1),
+ .VPB(vccd1),
+ .VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__10499__B1 (.DIODE(_04423_),
+ .VGND(vssd1),
+ .VNB(vssd1),
+ .VPB(vccd1),
+ .VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__10502__S (.DIODE(_06035_),
+ .VGND(vssd1),
+ .VNB(vssd1),
+ .VPB(vccd1),
+ .VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__10508__A (.DIODE(_05991_),
+ .VGND(vssd1),
+ .VNB(vssd1),
+ .VPB(vccd1),
+ .VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__10510__S (.DIODE(_06035_),
+ .VGND(vssd1),
+ .VNB(vssd1),
+ .VPB(vccd1),
+ .VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__10513__B1 (.DIODE(_05991_),
+ .VGND(vssd1),
+ .VNB(vssd1),
+ .VPB(vccd1),
+ .VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__10515__S (.DIODE(_05993_),
+ .VGND(vssd1),
+ .VNB(vssd1),
+ .VPB(vccd1),
+ .VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__10521__A1 (.DIODE(_06028_),
+ .VGND(vssd1),
+ .VNB(vssd1),
+ .VPB(vccd1),
+ .VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__10523__A (.DIODE(_05991_),
+ .VGND(vssd1),
+ .VNB(vssd1),
+ .VPB(vccd1),
+ .VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__10525__S (.DIODE(_05993_),
+ .VGND(vssd1),
+ .VNB(vssd1),
+ .VPB(vccd1),
+ .VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__10529__A (.DIODE(_04309_),
+ .VGND(vssd1),
+ .VNB(vssd1),
+ .VPB(vccd1),
+ .VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__10531__S (.DIODE(_06035_),
+ .VGND(vssd1),
+ .VNB(vssd1),
+ .VPB(vccd1),
+ .VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__10539__A (.DIODE(_04336_),
+ .VGND(vssd1),
+ .VNB(vssd1),
+ .VPB(vccd1),
+ .VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__10541__S (.DIODE(_06035_),
+ .VGND(vssd1),
+ .VNB(vssd1),
+ .VPB(vccd1),
+ .VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__10545__A (.DIODE(_04255_),
+ .VGND(vssd1),
+ .VNB(vssd1),
+ .VPB(vccd1),
+ .VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__10547__A (.DIODE(_06035_),
+ .VGND(vssd1),
+ .VNB(vssd1),
+ .VPB(vccd1),
+ .VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__10548__S (.DIODE(_06075_),
+ .VGND(vssd1),
+ .VNB(vssd1),
+ .VPB(vccd1),
+ .VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__10557__B1 (.DIODE(_04423_),
+ .VGND(vssd1),
+ .VNB(vssd1),
+ .VPB(vccd1),
+ .VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__10559__S (.DIODE(_06075_),
+ .VGND(vssd1),
+ .VNB(vssd1),
+ .VPB(vccd1),
+ .VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__10562__B1 (.DIODE(_04336_),
+ .VGND(vssd1),
+ .VNB(vssd1),
+ .VPB(vccd1),
+ .VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__10564__S (.DIODE(_06075_),
+ .VGND(vssd1),
+ .VNB(vssd1),
+ .VPB(vccd1),
+ .VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__10572__A (.DIODE(_04255_),
+ .VGND(vssd1),
+ .VNB(vssd1),
+ .VPB(vccd1),
+ .VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__10574__S (.DIODE(_06075_),
+ .VGND(vssd1),
+ .VNB(vssd1),
+ .VPB(vccd1),
+ .VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__10577__B1 (.DIODE(_04425_),
+ .VGND(vssd1),
+ .VNB(vssd1),
+ .VPB(vccd1),
+ .VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__10580__A (.DIODE(\i_pseudorandom.i_xoroshiro_64_plus_plus.s0[0] ),
+ .VGND(vssd1),
+ .VNB(vssd1),
+ .VPB(vccd1),
+ .VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__10581__A (.DIODE(\i_pseudorandom.i_xoroshiro_64_plus_plus.s0[1] ),
+ .VGND(vssd1),
+ .VNB(vssd1),
+ .VPB(vccd1),
+ .VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__10582__A (.DIODE(\i_pseudorandom.i_xoroshiro_64_plus_plus.s0[2] ),
+ .VGND(vssd1),
+ .VNB(vssd1),
+ .VPB(vccd1),
+ .VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__10583__A (.DIODE(\i_pseudorandom.i_xoroshiro_64_plus_plus.s0[3] ),
+ .VGND(vssd1),
+ .VNB(vssd1),
+ .VPB(vccd1),
+ .VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__10584__A (.DIODE(\i_pseudorandom.i_xoroshiro_64_plus_plus.s0[4] ),
+ .VGND(vssd1),
+ .VNB(vssd1),
+ .VPB(vccd1),
+ .VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__10585__A (.DIODE(\i_pseudorandom.i_xoroshiro_64_plus_plus.s0[5] ),
+ .VGND(vssd1),
+ .VNB(vssd1),
+ .VPB(vccd1),
+ .VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__10589__A (.DIODE(\i_pseudorandom.i_xoroshiro_64_plus_plus.s0[9] ),
+ .VGND(vssd1),
+ .VNB(vssd1),
+ .VPB(vccd1),
+ .VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__10612__B (.DIODE(\i_step_motor_controller.i_motor_sequencer.mode ),
+ .VGND(vssd1),
+ .VNB(vssd1),
+ .VPB(vccd1),
+ .VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__10614__C1 (.DIODE(_05251_),
+ .VGND(vssd1),
+ .VNB(vssd1),
+ .VPB(vccd1),
+ .VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__10616__B1 (.DIODE(\i_step_motor_controller.i_motor_sequencer.ptype ),
+ .VGND(vssd1),
+ .VNB(vssd1),
+ .VPB(vccd1),
+ .VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__10617__C (.DIODE(\i_step_motor_controller.i_motor_sequencer.mode ),
+ .VGND(vssd1),
+ .VNB(vssd1),
+ .VPB(vccd1),
+ .VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__10618__B1 (.DIODE(\i_step_motor_controller.i_motor_sequencer.ptype ),
+ .VGND(vssd1),
+ .VNB(vssd1),
+ .VPB(vccd1),
+ .VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__10619__A (.DIODE(_05548_),
+ .VGND(vssd1),
+ .VNB(vssd1),
+ .VPB(vccd1),
+ .VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__10621__A (.DIODE(\i_step_motor_controller.i_motor_sequencer.ptype ),
+ .VGND(vssd1),
+ .VNB(vssd1),
+ .VPB(vccd1),
+ .VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__10624__A (.DIODE(_05482_),
+ .VGND(vssd1),
+ .VNB(vssd1),
+ .VPB(vccd1),
+ .VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__10628__A (.DIODE(_05240_),
+ .VGND(vssd1),
+ .VNB(vssd1),
+ .VPB(vccd1),
+ .VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__10638__A2 (.DIODE(\i_step_motor_controller.duty_cycle[1] ),
+ .VGND(vssd1),
+ .VNB(vssd1),
+ .VPB(vccd1),
+ .VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__10638__C1 (.DIODE(\i_step_motor_controller.duty_cycle[0] ),
+ .VGND(vssd1),
+ .VNB(vssd1),
+ .VPB(vccd1),
+ .VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__10639__A2 (.DIODE(\i_step_motor_controller.duty_cycle[2] ),
+ .VGND(vssd1),
+ .VNB(vssd1),
+ .VPB(vccd1),
+ .VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__10639__B1 (.DIODE(\i_step_motor_controller.duty_cycle[1] ),
+ .VGND(vssd1),
+ .VNB(vssd1),
+ .VPB(vccd1),
+ .VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__10640__A2 (.DIODE(\i_step_motor_controller.duty_cycle[3] ),
+ .VGND(vssd1),
+ .VNB(vssd1),
+ .VPB(vccd1),
+ .VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__10640__B1 (.DIODE(\i_step_motor_controller.duty_cycle[2] ),
+ .VGND(vssd1),
+ .VNB(vssd1),
+ .VPB(vccd1),
+ .VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__10641__A2 (.DIODE(\i_step_motor_controller.duty_cycle[4] ),
+ .VGND(vssd1),
+ .VNB(vssd1),
+ .VPB(vccd1),
+ .VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__10641__B1 (.DIODE(\i_step_motor_controller.duty_cycle[3] ),
+ .VGND(vssd1),
+ .VNB(vssd1),
+ .VPB(vccd1),
+ .VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__10642__A2 (.DIODE(\i_step_motor_controller.duty_cycle[5] ),
+ .VGND(vssd1),
+ .VNB(vssd1),
+ .VPB(vccd1),
+ .VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__10642__B1 (.DIODE(\i_step_motor_controller.duty_cycle[4] ),
+ .VGND(vssd1),
+ .VNB(vssd1),
+ .VPB(vccd1),
+ .VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__10643__A2 (.DIODE(\i_step_motor_controller.duty_cycle[6] ),
+ .VGND(vssd1),
+ .VNB(vssd1),
+ .VPB(vccd1),
+ .VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__10643__B1 (.DIODE(\i_step_motor_controller.duty_cycle[5] ),
+ .VGND(vssd1),
+ .VNB(vssd1),
+ .VPB(vccd1),
+ .VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__10644__A2 (.DIODE(\i_step_motor_controller.duty_cycle[7] ),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__08748__A1 (.DIODE(_03331_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__10644__B1 (.DIODE(\i_step_motor_controller.duty_cycle[6] ),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__08751__A0 (.DIODE(\i_pseudorandom.i_xoroshiro_64_plus_plus.s0[5] ),
+ sky130_fd_sc_hd__diode_2 ANTENNA__10645__A2 (.DIODE(\i_step_motor_controller.duty_cycle[7] ),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__08753__A (.DIODE(_02893_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__10645__C1 (.DIODE(_05251_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__08754__A0 (.DIODE(\i_pseudorandom.i_xoroshiro_64_plus_plus.s0[4] ),
+ sky130_fd_sc_hd__diode_2 ANTENNA__10646__A (.DIODE(_05465_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__08754__A1 (.DIODE(_03320_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__10647__A (.DIODE(_05465_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__08754__S (.DIODE(_03839_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__10647__B (.DIODE(_05568_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__08756__A0 (.DIODE(\i_pseudorandom.i_xoroshiro_64_plus_plus.s0[3] ),
+ sky130_fd_sc_hd__diode_2 ANTENNA__10648__A (.DIODE(_03944_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__08756__S (.DIODE(_03839_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__10649__A (.DIODE(net325),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__08758__A0 (.DIODE(\i_pseudorandom.i_xoroshiro_64_plus_plus.s0[2] ),
+ sky130_fd_sc_hd__diode_2 ANTENNA__10651__A (.DIODE(_04747_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__08758__A1 (.DIODE(_03601_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__10651__B (.DIODE(\i_string_led_controller.cs1_n ),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__08758__S (.DIODE(_03839_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__10654__B1 (.DIODE(_05730_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__08760__A1 (.DIODE(_03610_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__10656__A (.DIODE(_05727_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__08760__S (.DIODE(_03839_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__10656__B (.DIODE(_05698_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__08762__A1 (.DIODE(_03604_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__10658__A (.DIODE(\i_step_motor_controller.i_prescaler.mul[1] ),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__08762__S (.DIODE(_03839_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__10676__A_N (.DIODE(\i_step_motor_controller.i_prescaler.mul[1] ),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__08764__A0 (.DIODE(\i_nec_ir_receiver.i_nec_ir_receiver_registers.irq_en ),
+ sky130_fd_sc_hd__diode_2 ANTENNA__10990__B (.DIODE(\i_pseudorandom.i_xoroshiro_64_plus_plus.n1[0] ),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__08764__A1 (.DIODE(net457),
+ sky130_fd_sc_hd__diode_2 ANTENNA__10991__B (.DIODE(\i_pseudorandom.i_xoroshiro_64_plus_plus.n1[0] ),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__08765__A (.DIODE(_03845_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__10994__B (.DIODE(\i_pseudorandom.i_xoroshiro_64_plus_plus.n1[1] ),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__08766__A (.DIODE(_02357_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__10995__B (.DIODE(\i_pseudorandom.i_xoroshiro_64_plus_plus.n1[1] ),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__08766__C (.DIODE(_02359_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__10999__B (.DIODE(\i_pseudorandom.i_xoroshiro_64_plus_plus.n1[2] ),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__08768__A (.DIODE(_02261_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__11000__B (.DIODE(\i_pseudorandom.i_xoroshiro_64_plus_plus.n1[2] ),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__08768__B (.DIODE(_02396_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__11003__B (.DIODE(\i_pseudorandom.i_xoroshiro_64_plus_plus.n1[3] ),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__08771__A (.DIODE(_03007_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__11004__B (.DIODE(\i_pseudorandom.i_xoroshiro_64_plus_plus.n1[3] ),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__08773__A (.DIODE(_02357_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__11009__B (.DIODE(\i_pseudorandom.i_xoroshiro_64_plus_plus.n1[4] ),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__08773__C (.DIODE(_02359_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__11010__B (.DIODE(\i_pseudorandom.i_xoroshiro_64_plus_plus.n1[4] ),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__08774__A (.DIODE(_03853_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__11014__B (.DIODE(\i_pseudorandom.i_xoroshiro_64_plus_plus.n1[5] ),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__08776__A1 (.DIODE(_02229_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__11015__B (.DIODE(\i_pseudorandom.i_xoroshiro_64_plus_plus.n1[5] ),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__08779__S1 (.DIODE(_02267_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__11019__B (.DIODE(\i_pseudorandom.i_xoroshiro_64_plus_plus.n1[6] ),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__08780__A (.DIODE(_02250_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__11020__B (.DIODE(\i_pseudorandom.i_xoroshiro_64_plus_plus.n1[6] ),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__08781__A (.DIODE(\i_nec_ir_receiver.i_fifo.rd_ptr[2] ),
+ sky130_fd_sc_hd__diode_2 ANTENNA__11022__B (.DIODE(\i_pseudorandom.i_xoroshiro_64_plus_plus.n1[4] ),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__08783__A (.DIODE(_03861_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__11025__B (.DIODE(\i_pseudorandom.i_xoroshiro_64_plus_plus.n1[7] ),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__08784__S1 (.DIODE(_02267_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__11026__A (.DIODE(_03679_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__08785__B1 (.DIODE(_02248_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__11026__B (.DIODE(\i_pseudorandom.i_xoroshiro_64_plus_plus.n1[7] ),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__08786__A (.DIODE(_02263_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__11030__B (.DIODE(\i_pseudorandom.i_xoroshiro_64_plus_plus.n1[8] ),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__08787__A (.DIODE(_02266_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__11031__A (.DIODE(_03675_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__08788__S0 (.DIODE(_03865_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__11031__B (.DIODE(\i_pseudorandom.i_xoroshiro_64_plus_plus.n1[8] ),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__08788__S1 (.DIODE(_03866_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__11033__B (.DIODE(\i_pseudorandom.i_xoroshiro_64_plus_plus.n1[6] ),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__08789__S0 (.DIODE(_03865_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__11034__A1 (.DIODE(_03679_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__08789__S1 (.DIODE(_03866_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__11034__A2 (.DIODE(\i_pseudorandom.i_xoroshiro_64_plus_plus.n1[7] ),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__08790__S (.DIODE(_02250_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__11038__A (.DIODE(_03673_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__08791__A (.DIODE(_02246_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__11043__B (.DIODE(\i_pseudorandom.i_xoroshiro_64_plus_plus.n1[10] ),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__08794__A1 (.DIODE(\i_nec_ir_receiver.i_frame_decoder.repeat_en ),
+ sky130_fd_sc_hd__diode_2 ANTENNA__11044__A (.DIODE(_03671_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__08795__A3 (.DIODE(_03872_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__11044__B (.DIODE(\i_pseudorandom.i_xoroshiro_64_plus_plus.n1[10] ),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__08797__A1 (.DIODE(\i_nec_ir_receiver.i_nec_ir_receiver_registers.irq_en ),
+ sky130_fd_sc_hd__diode_2 ANTENNA__11046__A (.DIODE(_03675_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__08797__B1 (.DIODE(_03874_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__11046__B (.DIODE(\i_pseudorandom.i_xoroshiro_64_plus_plus.n1[8] ),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__08799__A1 (.DIODE(\i_nec_ir_receiver.i_nec_ir_receiver_registers.polarity ),
+ sky130_fd_sc_hd__diode_2 ANTENNA__11047__A1 (.DIODE(_03673_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__08800__A1 (.DIODE(\i_nec_ir_receiver.i_nec_ir_receiver_registers.tolerance[1] ),
+ sky130_fd_sc_hd__diode_2 ANTENNA__11056__A (.DIODE(_03665_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__08801__A1 (.DIODE(\i_nec_ir_receiver.i_nec_ir_receiver_registers.tolerance[0] ),
+ sky130_fd_sc_hd__diode_2 ANTENNA__11057__A (.DIODE(_03665_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__08802__A2 (.DIODE(_02424_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__11059__A (.DIODE(_03671_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__08802__B1 (.DIODE(_02425_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__11059__B (.DIODE(\i_pseudorandom.i_xoroshiro_64_plus_plus.n1[10] ),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__08802__C1 (.DIODE(_03874_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__11063__B (.DIODE(\i_pseudorandom.i_xoroshiro_64_plus_plus.n0[13] ),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__08804__A (.DIODE(_02449_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__11064__B (.DIODE(\i_pseudorandom.i_xoroshiro_64_plus_plus.n0[13] ),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__08805__A (.DIODE(_02450_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__11066__A (.DIODE(_03665_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__08806__C1 (.DIODE(_03874_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__11076__B (.DIODE(_03737_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__08808__C1 (.DIODE(_03874_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__11080__B (.DIODE(_06466_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__08810__C1 (.DIODE(_03874_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__11081__B (.DIODE(_03733_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__08813__A (.DIODE(\i_nec_ir_receiver.i_fifo.rd_ptr[0] ),
+ sky130_fd_sc_hd__diode_2 ANTENNA__11082__B (.DIODE(_03733_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__08814__A (.DIODE(_03883_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__11085__B (.DIODE(_06466_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__08815__A (.DIODE(_02265_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__11086__A2 (.DIODE(_03737_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__08816__A (.DIODE(_03885_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__11088__B (.DIODE(_03729_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__08817__S0 (.DIODE(_03884_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__11089__B (.DIODE(_03729_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__08817__S1 (.DIODE(_03886_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__11091__A2 (.DIODE(_03733_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__08821__A (.DIODE(_03890_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__11091__B1 (.DIODE(\i_pseudorandom.i_xoroshiro_64_plus_plus.n0[16] ),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__08822__A (.DIODE(_02266_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__11091__B2 (.DIODE(\i_pseudorandom.i_xoroshiro_64_plus_plus.n1[16] ),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__08823__S0 (.DIODE(_03891_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__11094__B (.DIODE(_03727_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__08823__S1 (.DIODE(_03892_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__11099__B (.DIODE(\i_pseudorandom.i_xoroshiro_64_plus_plus.n0[20] ),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__08825__A1 (.DIODE(_03889_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__11100__B (.DIODE(\i_pseudorandom.i_xoroshiro_64_plus_plus.n0[20] ),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__08826__A (.DIODE(_03883_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__11107__A1 (.DIODE(_06466_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__08827__A (.DIODE(_02265_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__11109__A (.DIODE(\i_pseudorandom.i_xoroshiro_64_plus_plus.n1[21] ),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__08829__S0 (.DIODE(_03896_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__11109__B (.DIODE(\i_pseudorandom.i_xoroshiro_64_plus_plus.n0[21] ),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__08829__S1 (.DIODE(_03898_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__11110__A (.DIODE(\i_pseudorandom.i_xoroshiro_64_plus_plus.n1[21] ),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__08832__S0 (.DIODE(_03900_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__11110__B (.DIODE(_03711_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__08832__S1 (.DIODE(_03901_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__11114__A (.DIODE(\i_pseudorandom.i_xoroshiro_64_plus_plus.n1[22] ),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__08834__A (.DIODE(_03903_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__11114__B (.DIODE(\i_pseudorandom.i_xoroshiro_64_plus_plus.n0[22] ),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__08835__S (.DIODE(_03904_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__11115__A (.DIODE(\i_pseudorandom.i_xoroshiro_64_plus_plus.n1[22] ),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__08837__A (.DIODE(_02373_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__11115__B (.DIODE(\i_pseudorandom.i_xoroshiro_64_plus_plus.n0[22] ),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__08838__A (.DIODE(_02382_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__11117__A (.DIODE(\i_pseudorandom.i_xoroshiro_64_plus_plus.n1[21] ),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__08839__A (.DIODE(_03853_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__11117__B (.DIODE(_03711_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__08841__A2 (.DIODE(_03906_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__11120__A (.DIODE(\i_pseudorandom.i_xoroshiro_64_plus_plus.n1[23] ),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__08843__A (.DIODE(_03885_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__11120__B (.DIODE(\i_pseudorandom.i_xoroshiro_64_plus_plus.n0[23] ),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__08844__S0 (.DIODE(_03884_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__11121__A (.DIODE(\i_pseudorandom.i_xoroshiro_64_plus_plus.n1[23] ),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__08844__S1 (.DIODE(_03912_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__11121__B (.DIODE(\i_pseudorandom.i_xoroshiro_64_plus_plus.n0[23] ),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__08846__S0 (.DIODE(_03891_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__11131__A1 (.DIODE(_06466_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__08846__S1 (.DIODE(_03892_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__11132__B (.DIODE(\i_pseudorandom.i_xoroshiro_64_plus_plus.n0[24] ),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__08847__A1 (.DIODE(_03889_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__11133__B (.DIODE(\i_pseudorandom.i_xoroshiro_64_plus_plus.n0[24] ),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__08848__S0 (.DIODE(_03896_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__11135__A (.DIODE(_06510_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__08848__S1 (.DIODE(_03898_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__11135__B (.DIODE(net853),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__08850__S0 (.DIODE(_03918_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__11136__B (.DIODE(_03811_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__08850__S1 (.DIODE(_03901_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__11137__B (.DIODE(_03811_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__08851__S (.DIODE(_03904_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__11139__A1 (.DIODE(_06510_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__08852__B1 (.DIODE(_03920_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__11141__B (.DIODE(\i_pseudorandom.i_xoroshiro_64_plus_plus.n0[26] ),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__08854__A2 (.DIODE(_03921_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__11142__B (.DIODE(\i_pseudorandom.i_xoroshiro_64_plus_plus.n0[26] ),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__08857__A (.DIODE(_03861_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__11144__B (.DIODE(_03811_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__08858__S0 (.DIODE(_03884_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__11147__B (.DIODE(_03804_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__08858__S1 (.DIODE(_03912_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__11148__B (.DIODE(_03804_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__08859__A (.DIODE(_03925_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__11153__A (.DIODE(_06510_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__08860__A (.DIODE(_03890_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__11156__B (.DIODE(_03838_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__08861__S0 (.DIODE(_03928_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__11157__B (.DIODE(_03838_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__08861__S1 (.DIODE(_03892_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__11163__B (.DIODE(_03838_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__08862__A1 (.DIODE(_03889_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__11340__A (.DIODE(_05730_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__08863__S0 (.DIODE(_03896_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__11343__A (.DIODE(\i_pseudorandom.i_xoroshiro_64_plus_plus.s1_xor_s0[0] ),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__08863__S1 (.DIODE(_03898_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__11347__A (.DIODE(\i_pseudorandom.i_xoroshiro_64_plus_plus.s1_xor_s0[2] ),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__08865__S0 (.DIODE(_03918_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__11348__B (.DIODE(\i_pseudorandom.i_xoroshiro_64_plus_plus.s1_xor_s0[12] ),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__08865__S1 (.DIODE(_03932_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__11349__A (.DIODE(\i_pseudorandom.i_xoroshiro_64_plus_plus.s1_xor_s0[3] ),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__08866__S (.DIODE(_03904_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__11350__B (.DIODE(\i_pseudorandom.i_xoroshiro_64_plus_plus.s1_xor_s0[13] ),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__08868__B2 (.DIODE(_03935_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__11351__A (.DIODE(\i_pseudorandom.i_xoroshiro_64_plus_plus.s1_xor_s0[4] ),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__08870__A2 (.DIODE(_03936_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__11352__B (.DIODE(\i_pseudorandom.i_xoroshiro_64_plus_plus.s1_xor_s0[14] ),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__08872__S0 (.DIODE(_03884_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__11353__A (.DIODE(\i_pseudorandom.i_xoroshiro_64_plus_plus.s1_xor_s0[5] ),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__08872__S1 (.DIODE(_03912_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__11354__B (.DIODE(\i_pseudorandom.i_xoroshiro_64_plus_plus.s1_xor_s0[15] ),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__08873__A (.DIODE(_03925_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__11355__A (.DIODE(\i_pseudorandom.i_xoroshiro_64_plus_plus.s1_xor_s0[6] ),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__08874__A (.DIODE(_03885_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__11356__B (.DIODE(\i_pseudorandom.i_xoroshiro_64_plus_plus.s1_xor_s0[16] ),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__08875__S0 (.DIODE(_03928_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__11357__B (.DIODE(_06681_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__08875__S1 (.DIODE(_03941_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__11358__B (.DIODE(\i_pseudorandom.i_xoroshiro_64_plus_plus.s1_xor_s0[17] ),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__08876__A1 (.DIODE(_03889_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__11359__B (.DIODE(_06682_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__08877__A (.DIODE(_03883_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__11360__B (.DIODE(\i_pseudorandom.i_xoroshiro_64_plus_plus.s1_xor_s0[18] ),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__08878__S0 (.DIODE(_03944_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__11361__B (.DIODE(_06683_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__08878__S1 (.DIODE(_03898_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__11362__B (.DIODE(\i_pseudorandom.i_xoroshiro_64_plus_plus.s1_xor_s0[19] ),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__08879__S0 (.DIODE(_03918_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__11364__B (.DIODE(\i_pseudorandom.i_xoroshiro_64_plus_plus.s1_xor_s0[20] ),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__08879__S1 (.DIODE(_03932_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__11367__A (.DIODE(\i_pseudorandom.i_xoroshiro_64_plus_plus.s1_xor_s0[12] ),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__08880__S (.DIODE(_03904_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__11368__B (.DIODE(\i_pseudorandom.i_xoroshiro_64_plus_plus.s1_xor_s0[22] ),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__08881__B2 (.DIODE(_03935_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__11369__A (.DIODE(\i_pseudorandom.i_xoroshiro_64_plus_plus.s1_xor_s0[13] ),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__08883__A2 (.DIODE(_03948_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__11371__A (.DIODE(\i_pseudorandom.i_xoroshiro_64_plus_plus.s1_xor_s0[14] ),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__08885__S0 (.DIODE(_03865_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__11373__A (.DIODE(\i_pseudorandom.i_xoroshiro_64_plus_plus.s1_xor_s0[15] ),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__08885__S1 (.DIODE(_03866_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__11375__A (.DIODE(\i_pseudorandom.i_xoroshiro_64_plus_plus.s1_xor_s0[16] ),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__08886__S0 (.DIODE(_03891_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__11376__A (.DIODE(\i_pseudorandom.i_xoroshiro_64_plus_plus.s0[0] ),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__08886__S1 (.DIODE(_03892_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__11377__A (.DIODE(\i_pseudorandom.i_xoroshiro_64_plus_plus.s1_xor_s0[17] ),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__08887__S (.DIODE(_02250_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__11378__A (.DIODE(\i_pseudorandom.i_xoroshiro_64_plus_plus.s0[1] ),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__08888__S0 (.DIODE(_03865_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__11379__A (.DIODE(\i_pseudorandom.i_xoroshiro_64_plus_plus.s1_xor_s0[18] ),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__08888__S1 (.DIODE(_02267_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__11380__A (.DIODE(\i_pseudorandom.i_xoroshiro_64_plus_plus.s0[2] ),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__08889__A (.DIODE(\i_nec_ir_receiver.i_fifo.rd_ptr[2] ),
+ sky130_fd_sc_hd__diode_2 ANTENNA__11381__A (.DIODE(\i_pseudorandom.i_xoroshiro_64_plus_plus.s1_xor_s0[19] ),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__08890__S0 (.DIODE(_02263_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__11382__A (.DIODE(\i_pseudorandom.i_xoroshiro_64_plus_plus.s0[3] ),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__08890__S1 (.DIODE(_02266_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__11383__A (.DIODE(\i_pseudorandom.i_xoroshiro_64_plus_plus.s1_xor_s0[20] ),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__08891__A (.DIODE(_03955_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__11384__A (.DIODE(\i_pseudorandom.i_xoroshiro_64_plus_plus.s0[4] ),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__08892__C1 (.DIODE(_02248_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__11386__A (.DIODE(\i_pseudorandom.i_xoroshiro_64_plus_plus.s0[5] ),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__08894__B (.DIODE(_03959_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__11387__A (.DIODE(\i_pseudorandom.i_xoroshiro_64_plus_plus.s1_xor_s0[22] ),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__08895__A1 (.DIODE(\i_nec_ir_receiver.divider[11] ),
+ sky130_fd_sc_hd__diode_2 ANTENNA__11388__A (.DIODE(_04416_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__08895__B2 (.DIODE(\i_nec_ir_receiver.i_nec_ir_receiver_registers.multiplier[11] ),
+ sky130_fd_sc_hd__diode_2 ANTENNA__11388__B (.DIODE(_06075_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__08897__S0 (.DIODE(_03884_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__11389__B (.DIODE(\i_pseudorandom.i_xoroshiro_64_plus_plus.s1_xor_s0[0] ),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__08897__S1 (.DIODE(_03912_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__11391__B (.DIODE(\i_pseudorandom.i_xoroshiro_64_plus_plus.s1_xor_s0[2] ),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__08898__A (.DIODE(_03925_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__11392__A (.DIODE(\i_pseudorandom.i_xoroshiro_64_plus_plus.s0[9] ),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__08900__S0 (.DIODE(_03928_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__11393__B (.DIODE(\i_pseudorandom.i_xoroshiro_64_plus_plus.s1_xor_s0[4] ),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__08900__S1 (.DIODE(_03941_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__11394__A (.DIODE(net843),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__08901__A1 (.DIODE(_03964_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__11394__B (.DIODE(\i_pseudorandom.i_xoroshiro_64_plus_plus.s1_xor_s0[5] ),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__08903__S0 (.DIODE(_03944_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__11395__B (.DIODE(\i_pseudorandom.i_xoroshiro_64_plus_plus.s1_xor_s0[6] ),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__08903__S1 (.DIODE(_03967_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__11398__A (.DIODE(net353),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__08904__S0 (.DIODE(_03918_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__11400__A (.DIODE(_06698_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__08904__S1 (.DIODE(_03932_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__11401__A (.DIODE(_06698_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__08905__S (.DIODE(_03904_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__11409__A (.DIODE(_06701_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__08906__B2 (.DIODE(_03935_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__11410__A (.DIODE(_06701_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__08907__A (.DIODE(_03853_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__11411__A (.DIODE(_06701_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__08908__A1 (.DIODE(\i_nec_ir_receiver.divider[10] ),
+ sky130_fd_sc_hd__diode_2 ANTENNA__11412__A (.DIODE(_06701_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__08908__B2 (.DIODE(\i_nec_ir_receiver.i_nec_ir_receiver_registers.multiplier[10] ),
+ sky130_fd_sc_hd__diode_2 ANTENNA__11413__A (.DIODE(_06701_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__08909__A2 (.DIODE(_03971_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__11416__A (.DIODE(_06703_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__08912__A (.DIODE(_03883_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__11422__A (.DIODE(_06703_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__08913__S1 (.DIODE(_03912_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__11423__A (.DIODE(_06705_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__08914__A (.DIODE(_03925_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__11424__A (.DIODE(_06705_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__08915__S0 (.DIODE(_03928_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__11425__A (.DIODE(_06705_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__08915__S1 (.DIODE(_03941_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__11426__A (.DIODE(_06705_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__08917__A1 (.DIODE(_03964_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__11427__A (.DIODE(_06705_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__08918__S0 (.DIODE(_03944_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__11428__A (.DIODE(_06703_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__08918__S1 (.DIODE(_03967_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__11434__A (.DIODE(_06703_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__08919__S0 (.DIODE(_03918_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__11440__A (.DIODE(_06703_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__08919__S1 (.DIODE(_03932_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__11447__A (.DIODE(_06709_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__08920__A (.DIODE(_03903_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__11448__A (.DIODE(_06710_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__08921__S (.DIODE(_03984_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__11449__A (.DIODE(_06710_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__08922__B2 (.DIODE(_03935_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__11450__A (.DIODE(_06710_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__08923__A (.DIODE(_02373_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__11451__A (.DIODE(_06710_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__08924__A (.DIODE(_02382_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__11452__A (.DIODE(_06710_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__08925__A1 (.DIODE(\i_nec_ir_receiver.divider[9] ),
+ sky130_fd_sc_hd__diode_2 ANTENNA__11453__A (.DIODE(_06709_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__08925__B2 (.DIODE(\i_nec_ir_receiver.i_nec_ir_receiver_registers.multiplier[9] ),
+ sky130_fd_sc_hd__diode_2 ANTENNA__11459__A (.DIODE(_06709_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__08926__A2 (.DIODE(_03986_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__11465__A (.DIODE(_06709_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__08926__B1 (.DIODE(_03989_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__11466__A (.DIODE(_06713_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__08928__A (.DIODE(_03885_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__11467__A (.DIODE(_06713_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__08929__S1 (.DIODE(_03991_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__11468__A (.DIODE(_06713_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__08930__A (.DIODE(_03925_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__11469__A (.DIODE(_06713_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__08931__S0 (.DIODE(_03928_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__11470__A (.DIODE(_06713_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__08931__S1 (.DIODE(_03941_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__11471__A (.DIODE(_06709_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__08932__A1 (.DIODE(_03964_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__11477__A (.DIODE(_06718_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__08933__S0 (.DIODE(_03944_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__11488__A (.DIODE(_06718_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__08933__S1 (.DIODE(_03967_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__11489__A0 (.DIODE(_04395_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__08935__S0 (.DIODE(_03997_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__11499__A (.DIODE(_06718_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__08935__S1 (.DIODE(_03932_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__11500__S (.DIODE(_06731_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__08936__S (.DIODE(_03984_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__11502__S (.DIODE(_06731_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__08937__B1 (.DIODE(_03999_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__11504__A0 (.DIODE(_04379_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__08937__B2 (.DIODE(_03935_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__11504__S (.DIODE(_06731_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__08938__A1 (.DIODE(\i_nec_ir_receiver.divider[8] ),
+ sky130_fd_sc_hd__diode_2 ANTENNA__11506__S (.DIODE(_06731_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__08938__B2 (.DIODE(\i_nec_ir_receiver.i_nec_ir_receiver_registers.multiplier[8] ),
+ sky130_fd_sc_hd__diode_2 ANTENNA__11508__S (.DIODE(_06731_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__08939__A2 (.DIODE(_04000_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__11510__A0 (.DIODE(_04340_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__08939__B1 (.DIODE(_04001_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__11510__S (.DIODE(_06718_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__08942__A (.DIODE(_03861_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__11512__A0 (.DIODE(_04408_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__08943__S1 (.DIODE(_03991_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__11512__S (.DIODE(_06718_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__08945__A (.DIODE(_03883_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__11515__A (.DIODE(\i_string_led_controller.i_memory.inferred.sram.r_wdata0[0] ),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__08946__S1 (.DIODE(_03941_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__11517__A (.DIODE(\i_string_led_controller.i_memory.inferred.sram.r_cs0_n ),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__08947__A1 (.DIODE(_03964_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__11518__A (.DIODE(\i_string_led_controller.i_memory.inferred.sram.r_addr0[0] ),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__08948__S0 (.DIODE(_03944_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__11521__A (.DIODE(\i_string_led_controller.i_memory.inferred.sram.r_addr0[3] ),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__08948__S1 (.DIODE(_03967_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__11522__A (.DIODE(\i_string_led_controller.i_memory.inferred.sram.r_addr0[5] ),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__08949__A (.DIODE(\i_nec_ir_receiver.i_fifo.rd_ptr[1] ),
+ sky130_fd_sc_hd__diode_2 ANTENNA__11523__A (.DIODE(\i_string_led_controller.i_memory.inferred.sram.r_addr0[4] ),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__08950__A (.DIODE(_04011_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__11526__A (.DIODE(_06744_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__08951__S0 (.DIODE(_03997_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__11526__B (.DIODE(_06749_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__08951__S1 (.DIODE(_04012_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__11527__A (.DIODE(_06750_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__08952__S (.DIODE(_03984_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__11528__A1 (.DIODE(_06739_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__08954__B2 (.DIODE(_04015_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__11530__A (.DIODE(\i_string_led_controller.i_memory.inferred.sram.r_wdata0[1] ),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__08955__A1 (.DIODE(\i_nec_ir_receiver.divider[7] ),
+ sky130_fd_sc_hd__diode_2 ANTENNA__11531__A1 (.DIODE(_06753_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__08955__B2 (.DIODE(\i_nec_ir_receiver.i_nec_ir_receiver_registers.multiplier[7] ),
+ sky130_fd_sc_hd__diode_2 ANTENNA__11533__A (.DIODE(\i_string_led_controller.i_memory.inferred.sram.r_wdata0[2] ),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__08956__A2 (.DIODE(_04016_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__11534__A1 (.DIODE(_06755_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__08956__B1 (.DIODE(_04017_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__11536__A (.DIODE(\i_string_led_controller.i_memory.inferred.sram.r_wdata0[3] ),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__08958__S1 (.DIODE(_03991_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__11537__A1 (.DIODE(_06757_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__08960__S1 (.DIODE(_03886_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__11539__A (.DIODE(\i_string_led_controller.i_memory.inferred.sram.r_wdata0[4] ),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__08961__A1 (.DIODE(_03964_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__11540__A1 (.DIODE(_06759_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__08962__S0 (.DIODE(_03900_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__11542__A (.DIODE(\i_string_led_controller.i_memory.inferred.sram.r_wdata0[5] ),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__08962__S1 (.DIODE(_03967_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__11543__A1 (.DIODE(_06761_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__08963__S0 (.DIODE(_03997_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__11543__S (.DIODE(_06750_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__08963__S1 (.DIODE(_04012_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__11545__A (.DIODE(\i_string_led_controller.i_memory.inferred.sram.r_wdata0[6] ),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__08964__S (.DIODE(_03984_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__11546__S (.DIODE(_06750_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__08965__B2 (.DIODE(_04015_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__11548__A (.DIODE(\i_string_led_controller.i_memory.inferred.sram.r_wdata0[7] ),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__08966__A1 (.DIODE(\i_nec_ir_receiver.divider[6] ),
+ sky130_fd_sc_hd__diode_2 ANTENNA__11549__S (.DIODE(_06750_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__08966__B2 (.DIODE(\i_nec_ir_receiver.i_nec_ir_receiver_registers.multiplier[6] ),
+ sky130_fd_sc_hd__diode_2 ANTENNA__11554__A (.DIODE(\i_string_led_controller.i_memory.inferred.sram.r_cs0_n ),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__08967__A2 (.DIODE(_04026_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__11554__B (.DIODE(\i_string_led_controller.i_memory.inferred.sram.r_addr0[0] ),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__08967__B1 (.DIODE(_04027_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__11556__A (.DIODE(_06749_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__08969__S1 (.DIODE(_03991_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__11556__B (.DIODE(_06768_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__08971__S1 (.DIODE(_03886_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__11557__A (.DIODE(_06769_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__08972__A1 (.DIODE(_03955_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__11558__A1 (.DIODE(_06739_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__08973__S0 (.DIODE(_03900_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__11560__A1 (.DIODE(_06753_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__08973__S1 (.DIODE(_03901_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__11562__A1 (.DIODE(_06755_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__08974__S0 (.DIODE(_03997_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__11564__A1 (.DIODE(_06757_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__08974__S1 (.DIODE(_04012_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__11566__A1 (.DIODE(_06759_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__08975__S (.DIODE(_03984_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__11568__A1 (.DIODE(_06761_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__08976__B1 (.DIODE(_04035_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__11568__S (.DIODE(_06769_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__08976__B2 (.DIODE(_04015_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__11570__S (.DIODE(_06769_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__08977__A1 (.DIODE(\i_nec_ir_receiver.divider[5] ),
+ sky130_fd_sc_hd__diode_2 ANTENNA__11572__S (.DIODE(_06769_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__08977__B2 (.DIODE(\i_nec_ir_receiver.i_nec_ir_receiver_registers.multiplier[5] ),
+ sky130_fd_sc_hd__diode_2 ANTENNA__11575__A (.DIODE(_06779_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__08978__A2 (.DIODE(_04036_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__11576__A (.DIODE(_06780_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__08978__B1 (.DIODE(_04037_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__11577__A (.DIODE(_06780_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__08980__S0 (.DIODE(_03896_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__11578__A (.DIODE(_06780_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__08980__S1 (.DIODE(_03991_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__11579__A (.DIODE(_06780_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__08982__S1 (.DIODE(_03886_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__11580__A (.DIODE(\i_string_led_controller.i_memory.inferred.sram.r_wdata0[0] ),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__08983__A1 (.DIODE(_03955_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__11581__A (.DIODE(_06781_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__08984__S0 (.DIODE(_03900_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__11583__A (.DIODE(\i_string_led_controller.i_memory.inferred.sram.r_cs0_n ),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__08984__S1 (.DIODE(_03901_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__11583__C_N (.DIODE(\i_string_led_controller.i_memory.inferred.sram.r_addr0[0] ),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__08985__S0 (.DIODE(_03997_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__11585__A (.DIODE(_06749_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__08985__S1 (.DIODE(_04012_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__11585__B (.DIODE(_06785_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__08986__S (.DIODE(_03861_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__11586__A (.DIODE(_06786_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__08987__B1 (.DIODE(_04045_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__11587__A1 (.DIODE(_06782_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__08987__B2 (.DIODE(_04015_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__11589__A (.DIODE(\i_string_led_controller.i_memory.inferred.sram.r_wdata0[1] ),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__08988__A1 (.DIODE(\i_nec_ir_receiver.divider[4] ),
+ sky130_fd_sc_hd__diode_2 ANTENNA__11590__A (.DIODE(_06789_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__08988__A2 (.DIODE(_02406_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__11591__A1 (.DIODE(_06790_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__08988__B1 (.DIODE(_02408_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__11593__A (.DIODE(\i_string_led_controller.i_memory.inferred.sram.r_wdata0[2] ),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__08988__B2 (.DIODE(\i_nec_ir_receiver.i_nec_ir_receiver_registers.multiplier[4] ),
+ sky130_fd_sc_hd__diode_2 ANTENNA__11594__A (.DIODE(_06792_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__08989__A2 (.DIODE(_04046_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__11595__A1 (.DIODE(_06793_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__08991__A (.DIODE(\i_nec_ir_receiver.i_fifo.rd_ptr[0] ),
+ sky130_fd_sc_hd__diode_2 ANTENNA__11597__A (.DIODE(\i_string_led_controller.i_memory.inferred.sram.r_wdata0[3] ),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__08992__S0 (.DIODE(_04049_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__11598__A (.DIODE(_06795_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__08992__S1 (.DIODE(_04011_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__11599__A1 (.DIODE(_06796_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__08993__A (.DIODE(_03903_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__11601__A (.DIODE(\i_string_led_controller.i_memory.inferred.sram.r_wdata0[4] ),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__08994__S0 (.DIODE(_04049_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__11602__A (.DIODE(_06798_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__08994__S1 (.DIODE(_03885_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__11605__A (.DIODE(\i_string_led_controller.i_memory.inferred.sram.r_wdata0[5] ),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__08995__B1 (.DIODE(_02246_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__11606__A (.DIODE(_06801_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__08996__S0 (.DIODE(_04049_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__11607__S (.DIODE(_06786_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__08996__S1 (.DIODE(_04011_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__11609__A (.DIODE(\i_string_led_controller.i_memory.inferred.sram.r_wdata0[6] ),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__08997__S0 (.DIODE(_03890_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__11610__A (.DIODE(_06804_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__08997__S1 (.DIODE(_02265_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__11611__S (.DIODE(_06786_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__08998__S (.DIODE(_03903_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__11613__A (.DIODE(\i_string_led_controller.i_memory.inferred.sram.r_wdata0[7] ),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__09000__A1 (.DIODE(\i_nec_ir_receiver.divider[3] ),
+ sky130_fd_sc_hd__diode_2 ANTENNA__11614__A (.DIODE(_06807_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__09000__A2 (.DIODE(_02449_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__11615__S (.DIODE(_06786_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__09000__B1 (.DIODE(_02450_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__11617__A (.DIODE(_06780_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__09000__B2 (.DIODE(\i_nec_ir_receiver.i_nec_ir_receiver_registers.multiplier[3] ),
+ sky130_fd_sc_hd__diode_2 ANTENNA__11618__A (.DIODE(_06779_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__09001__A2 (.DIODE(_04057_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__11621__A (.DIODE(_06811_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__09002__S (.DIODE(_02364_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__11624__A (.DIODE(_06814_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__09004__S0 (.DIODE(_03896_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__11625__B (.DIODE(_06815_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__09004__S1 (.DIODE(_03898_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__11627__A1 (.DIODE(_06782_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__09006__S1 (.DIODE(_03886_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__11627__S (.DIODE(_06817_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__09007__A1 (.DIODE(_03955_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__11629__A1 (.DIODE(_06790_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__09008__S0 (.DIODE(_03900_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__11629__S (.DIODE(_06817_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__09008__S1 (.DIODE(_03901_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__11631__A1 (.DIODE(_06793_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__09009__S0 (.DIODE(_02263_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__11631__S (.DIODE(_06817_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__09009__S1 (.DIODE(_04012_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__11633__A1 (.DIODE(_06796_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__09010__S (.DIODE(_03861_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__11633__S (.DIODE(_06817_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__09011__B2 (.DIODE(_04015_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__11635__S (.DIODE(_06817_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__09012__A1 (.DIODE(\i_nec_ir_receiver.divider[2] ),
+ sky130_fd_sc_hd__diode_2 ANTENNA__11644__A (.DIODE(_06826_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__09012__A2 (.DIODE(_02406_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__11645__B (.DIODE(_06827_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__09012__B1 (.DIODE(_02408_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__11647__A1 (.DIODE(_06782_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__09012__B2 (.DIODE(\i_nec_ir_receiver.i_nec_ir_receiver_registers.multiplier[2] ),
+ sky130_fd_sc_hd__diode_2 ANTENNA__11647__S (.DIODE(_06829_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__09013__A2 (.DIODE(_04068_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__11649__A1 (.DIODE(_06790_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__09015__S0 (.DIODE(_03891_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__11649__S (.DIODE(_06829_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__09015__S1 (.DIODE(_03866_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__11651__A1 (.DIODE(_06793_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__09016__S0 (.DIODE(_03891_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__11651__S (.DIODE(_06829_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__09016__S1 (.DIODE(_03892_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__11653__A1 (.DIODE(_06796_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__09017__S (.DIODE(_03889_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__11653__S (.DIODE(_06829_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__09018__S0 (.DIODE(_03865_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__11655__S (.DIODE(_06829_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__09018__S1 (.DIODE(_03866_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__11664__A (.DIODE(_06838_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__09019__S0 (.DIODE(_02263_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__11665__B (.DIODE(_06839_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__09019__S1 (.DIODE(_02266_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__11667__A1 (.DIODE(_06782_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__09020__A (.DIODE(_03955_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__11667__S (.DIODE(_06841_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__09021__C1 (.DIODE(_02248_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__11669__A1 (.DIODE(_06790_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__09023__B (.DIODE(_04078_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__11669__S (.DIODE(_06841_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__09024__A1 (.DIODE(\i_nec_ir_receiver.divider[1] ),
+ sky130_fd_sc_hd__diode_2 ANTENNA__11671__A1 (.DIODE(_06793_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__09024__B2 (.DIODE(\i_nec_ir_receiver.i_nec_ir_receiver_registers.multiplier[1] ),
+ sky130_fd_sc_hd__diode_2 ANTENNA__11671__S (.DIODE(_06841_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__09026__S0 (.DIODE(_04049_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__11673__A1 (.DIODE(_06796_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__09026__S1 (.DIODE(_04011_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__11673__S (.DIODE(_06841_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__09028__S0 (.DIODE(_04049_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__11675__S (.DIODE(_06841_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__09029__A1 (.DIODE(_03903_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__11686__A (.DIODE(_06749_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__09029__B1 (.DIODE(_02246_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__11686__B (.DIODE(_06827_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__09030__S0 (.DIODE(_03890_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__11687__A (.DIODE(_06850_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__09030__S1 (.DIODE(_04011_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__11688__A1 (.DIODE(_06782_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__09031__S0 (.DIODE(_03890_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__11690__A1 (.DIODE(_06790_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__09031__S1 (.DIODE(_02265_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__11692__A1 (.DIODE(_06793_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__09032__S (.DIODE(\i_nec_ir_receiver.i_fifo.rd_ptr[2] ),
+ sky130_fd_sc_hd__diode_2 ANTENNA__11694__A1 (.DIODE(_06796_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__09034__A1 (.DIODE(_02369_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__11698__S (.DIODE(_06850_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__09034__A2 (.DIODE(_02449_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__11700__S (.DIODE(_06850_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__09034__B1 (.DIODE(_02450_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__11702__S (.DIODE(_06850_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__09034__B2 (.DIODE(\i_nec_ir_receiver.i_nec_ir_receiver_registers.multiplier[0] ),
+ sky130_fd_sc_hd__diode_2 ANTENNA__11705__A (.DIODE(_06779_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__09035__A2 (.DIODE(_04088_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__11708__A (.DIODE(_06781_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__09036__S (.DIODE(_02364_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__11711__A (.DIODE(_06839_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__09042__A (.DIODE(_02274_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__11712__A (.DIODE(_06864_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__09043__C1 (.DIODE(_04095_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__11713__A1 (.DIODE(_06861_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__09044__A1 (.DIODE(_02274_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__11713__S (.DIODE(_06865_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__09057__A (.DIODE(_02271_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__11715__A (.DIODE(_06789_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__09062__A (.DIODE(\i_nec_ir_receiver.frame_write ),
+ sky130_fd_sc_hd__diode_2 ANTENNA__11716__A1 (.DIODE(_06867_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__09063__B (.DIODE(_02500_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__11716__S (.DIODE(_06865_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__09065__C1 (.DIODE(_04095_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__11718__A (.DIODE(_06792_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__09068__B (.DIODE(\i_nec_ir_receiver.frame_write ),
+ sky130_fd_sc_hd__diode_2 ANTENNA__11719__A1 (.DIODE(_06869_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__09071__B1 (.DIODE(_02272_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__11719__S (.DIODE(_06865_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__09074__A (.DIODE(_02279_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__11721__A (.DIODE(_06795_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__09076__B1 (.DIODE(_02274_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__11722__A1 (.DIODE(_06871_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__09078__A (.DIODE(\i_nec_ir_receiver.fifo_wdata[15] ),
+ sky130_fd_sc_hd__diode_2 ANTENNA__11722__S (.DIODE(_06865_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__09082__B1 (.DIODE(\i_nec_ir_receiver.i_frame_decoder.frame_shift[5] ),
+ sky130_fd_sc_hd__diode_2 ANTENNA__11724__A (.DIODE(_06798_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__09083__B (.DIODE(\i_nec_ir_receiver.i_frame_decoder.frame_shift[5] ),
+ sky130_fd_sc_hd__diode_2 ANTENNA__11725__S (.DIODE(_06865_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__09084__A2 (.DIODE(\i_nec_ir_receiver.i_frame_decoder.frame_shift[3] ),
+ sky130_fd_sc_hd__diode_2 ANTENNA__11727__A (.DIODE(_06801_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__09084__B1 (.DIODE(\i_nec_ir_receiver.i_frame_decoder.frame_shift[1] ),
+ sky130_fd_sc_hd__diode_2 ANTENNA__11728__A1 (.DIODE(_06875_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__09085__A2 (.DIODE(\i_nec_ir_receiver.i_frame_decoder.frame_shift[3] ),
+ sky130_fd_sc_hd__diode_2 ANTENNA__11728__S (.DIODE(_06864_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__09085__B1 (.DIODE(\i_nec_ir_receiver.i_frame_decoder.frame_shift[2] ),
+ sky130_fd_sc_hd__diode_2 ANTENNA__11730__A (.DIODE(_06804_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__09086__A2 (.DIODE(_02481_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__11731__S (.DIODE(_06864_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__09089__B1 (.DIODE(\i_nec_ir_receiver.i_frame_decoder.frame_shift[4] ),
+ sky130_fd_sc_hd__diode_2 ANTENNA__11733__A (.DIODE(_06807_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__09090__B (.DIODE(\i_nec_ir_receiver.i_frame_decoder.frame_shift[4] ),
+ sky130_fd_sc_hd__diode_2 ANTENNA__11734__A1 (.DIODE(_06879_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__09091__A2_N (.DIODE(\i_nec_ir_receiver.i_frame_decoder.frame_shift[2] ),
+ sky130_fd_sc_hd__diode_2 ANTENNA__11734__S (.DIODE(_06864_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__09091__B1 (.DIODE(\i_nec_ir_receiver.i_frame_decoder.frame_shift[1] ),
+ sky130_fd_sc_hd__diode_2 ANTENNA__11739__A (.DIODE(_06779_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__09094__A (.DIODE(_04116_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__11742__A (.DIODE(_06882_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__09095__A2_N (.DIODE(\i_nec_ir_receiver.i_frame_decoder.frame_shift[23] ),
+ sky130_fd_sc_hd__diode_2 ANTENNA__11743__A (.DIODE(_06749_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__09095__B1 (.DIODE(\i_nec_ir_receiver.i_frame_decoder.frame_shift[20] ),
+ sky130_fd_sc_hd__diode_2 ANTENNA__11743__B (.DIODE(_06883_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__09096__A2 (.DIODE(\i_nec_ir_receiver.i_frame_decoder.frame_shift[23] ),
+ sky130_fd_sc_hd__diode_2 ANTENNA__11744__A (.DIODE(_06884_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__09096__B1 (.DIODE(\i_nec_ir_receiver.i_frame_decoder.frame_shift[22] ),
+ sky130_fd_sc_hd__diode_2 ANTENNA__11745__A1 (.DIODE(_06861_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__09097__A2_N (.DIODE(\i_nec_ir_receiver.i_frame_decoder.frame_shift[21] ),
+ sky130_fd_sc_hd__diode_2 ANTENNA__11747__A1 (.DIODE(_06867_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__09097__B1 (.DIODE(\i_nec_ir_receiver.i_frame_decoder.frame_shift[20] ),
+ sky130_fd_sc_hd__diode_2 ANTENNA__11749__A1 (.DIODE(_06869_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__09098__A2 (.DIODE(\i_nec_ir_receiver.i_frame_decoder.frame_shift[22] ),
+ sky130_fd_sc_hd__diode_2 ANTENNA__11751__A1 (.DIODE(_06871_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__09098__B1 (.DIODE(\i_nec_ir_receiver.i_frame_decoder.frame_shift[21] ),
+ sky130_fd_sc_hd__diode_2 ANTENNA__11755__A1 (.DIODE(_06875_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__09100__A2 (.DIODE(\i_nec_ir_receiver.i_frame_decoder.frame_shift[18] ),
+ sky130_fd_sc_hd__diode_2 ANTENNA__11755__S (.DIODE(_06884_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__09102__A2 (.DIODE(\i_nec_ir_receiver.i_frame_decoder.frame_shift[18] ),
+ sky130_fd_sc_hd__diode_2 ANTENNA__11757__S (.DIODE(_06884_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__09104__D (.DIODE(_04138_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__11759__A1 (.DIODE(_06879_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__09105__A (.DIODE(_04139_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__11759__S (.DIODE(_06884_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__09106__S (.DIODE(_04140_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__11764__B (.DIODE(_06839_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__09108__A (.DIODE(\i_nec_ir_receiver.fifo_wdata[14] ),
+ sky130_fd_sc_hd__diode_2 ANTENNA__11765__A (.DIODE(_06894_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__09109__A0 (.DIODE(net526),
+ sky130_fd_sc_hd__diode_2 ANTENNA__11766__A1 (.DIODE(_06861_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__09109__A1 (.DIODE(_04142_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__11768__A1 (.DIODE(_06867_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__09109__S (.DIODE(_04140_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__11770__A1 (.DIODE(_06869_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__09112__A0 (.DIODE(\i_nec_ir_receiver.i_frame_decoder.frame_shift[5] ),
+ sky130_fd_sc_hd__diode_2 ANTENNA__11772__A1 (.DIODE(_06871_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__09112__A1 (.DIODE(_04144_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__11776__A1 (.DIODE(_06875_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__09112__S (.DIODE(_04140_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__11776__S (.DIODE(_06894_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__09114__A (.DIODE(\i_nec_ir_receiver.fifo_wdata[12] ),
+ sky130_fd_sc_hd__diode_2 ANTENNA__11778__S (.DIODE(_06894_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__09115__A0 (.DIODE(\i_nec_ir_receiver.i_frame_decoder.frame_shift[4] ),
+ sky130_fd_sc_hd__diode_2 ANTENNA__11780__A1 (.DIODE(_06879_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__09115__A1 (.DIODE(_04146_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__11780__S (.DIODE(_06894_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__09115__S (.DIODE(_04140_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__11783__A (.DIODE(_06779_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__09118__A (.DIODE(_04139_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__11787__B (.DIODE(_06815_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__09119__A0 (.DIODE(\i_nec_ir_receiver.i_frame_decoder.frame_shift[3] ),
+ sky130_fd_sc_hd__diode_2 ANTENNA__11788__A (.DIODE(_06905_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__09119__S (.DIODE(_04149_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__11789__A1 (.DIODE(_06861_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__09121__A (.DIODE(\i_nec_ir_receiver.fifo_wdata[10] ),
+ sky130_fd_sc_hd__diode_2 ANTENNA__11791__A1 (.DIODE(_06867_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__09122__A0 (.DIODE(\i_nec_ir_receiver.i_frame_decoder.frame_shift[2] ),
+ sky130_fd_sc_hd__diode_2 ANTENNA__11793__A1 (.DIODE(_06869_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__09122__A1 (.DIODE(_04151_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__11795__A1 (.DIODE(_06871_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__09122__S (.DIODE(_04149_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__11799__A1 (.DIODE(_06875_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__09125__A0 (.DIODE(\i_nec_ir_receiver.i_frame_decoder.frame_shift[1] ),
+ sky130_fd_sc_hd__diode_2 ANTENNA__11799__S (.DIODE(_06905_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__09125__A1 (.DIODE(_04153_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__11801__S (.DIODE(_06905_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__09125__S (.DIODE(_04149_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__11803__A1 (.DIODE(_06879_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__09128__A0 (.DIODE(_02481_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__11803__S (.DIODE(_06905_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__09128__A1 (.DIODE(_04155_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__11807__A (.DIODE(\i_string_led_controller.i_memory.inferred.sram.r_wdata0[0] ),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__09128__S (.DIODE(_04149_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__11808__A (.DIODE(_06915_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__09130__A1 (.DIODE(_02230_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__11810__A (.DIODE(_06744_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__09130__B1 (.DIODE(_04140_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__11811__A (.DIODE(_06918_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__09131__A (.DIODE(\i_nec_ir_receiver.fifo_wdata[7] ),
+ sky130_fd_sc_hd__diode_2 ANTENNA__11812__A0 (.DIODE(_06916_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__09132__A0 (.DIODE(\i_nec_ir_receiver.i_frame_decoder.frame_shift[23] ),
+ sky130_fd_sc_hd__diode_2 ANTENNA__11814__A (.DIODE(\i_string_led_controller.i_memory.inferred.sram.r_wdata0[1] ),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__09132__A1 (.DIODE(_04157_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__11815__A (.DIODE(_06921_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__09132__S (.DIODE(_04149_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__11816__A0 (.DIODE(_06922_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__09134__A (.DIODE(\i_nec_ir_receiver.fifo_wdata[6] ),
+ sky130_fd_sc_hd__diode_2 ANTENNA__11818__A (.DIODE(\i_string_led_controller.i_memory.inferred.sram.r_wdata0[2] ),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__09135__A (.DIODE(_04139_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__11819__A (.DIODE(_06924_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__09136__A0 (.DIODE(\i_nec_ir_receiver.i_frame_decoder.frame_shift[22] ),
+ sky130_fd_sc_hd__diode_2 ANTENNA__11820__A0 (.DIODE(_06925_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__09136__A1 (.DIODE(_04159_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__11822__A (.DIODE(\i_string_led_controller.i_memory.inferred.sram.r_wdata0[3] ),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__09136__S (.DIODE(_04160_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__11823__A (.DIODE(_06927_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__09139__A0 (.DIODE(\i_nec_ir_receiver.i_frame_decoder.frame_shift[21] ),
+ sky130_fd_sc_hd__diode_2 ANTENNA__11824__A0 (.DIODE(_06928_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__09139__A1 (.DIODE(_04162_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__11826__A (.DIODE(\i_string_led_controller.i_memory.inferred.sram.r_wdata0[4] ),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__09139__S (.DIODE(_04160_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__11827__A (.DIODE(_06930_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__09141__A (.DIODE(\i_nec_ir_receiver.fifo_wdata[4] ),
+ sky130_fd_sc_hd__diode_2 ANTENNA__11828__A0 (.DIODE(_06931_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__09142__A0 (.DIODE(\i_nec_ir_receiver.i_frame_decoder.frame_shift[20] ),
+ sky130_fd_sc_hd__diode_2 ANTENNA__11830__A (.DIODE(\i_string_led_controller.i_memory.inferred.sram.r_wdata0[5] ),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__09142__A1 (.DIODE(_04164_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__11831__A (.DIODE(_06933_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__09142__S (.DIODE(_04160_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__11832__A0 (.DIODE(_06934_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__09145__S (.DIODE(_04160_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__11832__S (.DIODE(_06918_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__09148__A0 (.DIODE(\i_nec_ir_receiver.i_frame_decoder.frame_shift[18] ),
+ sky130_fd_sc_hd__diode_2 ANTENNA__11834__A (.DIODE(\i_string_led_controller.i_memory.inferred.sram.r_wdata0[6] ),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__09148__S (.DIODE(_04160_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__11835__A (.DIODE(_06936_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__09151__A1 (.DIODE(_04170_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__11836__A0 (.DIODE(_06937_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__09151__S (.DIODE(_04139_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__11836__S (.DIODE(_06918_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__09154__A1 (.DIODE(_04172_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__11838__A (.DIODE(\i_string_led_controller.i_memory.inferred.sram.r_wdata0[7] ),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__09154__S (.DIODE(_04139_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__11839__A (.DIODE(_06939_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__09168__A1 (.DIODE(_02481_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__11840__A0 (.DIODE(_06940_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__09169__B (.DIODE(_04116_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__11840__S (.DIODE(_06918_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__09175__A1 (.DIODE(_02481_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__11843__A (.DIODE(_06942_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__09182__B1 (.DIODE(_02620_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__11847__A (.DIODE(_06768_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__09183__B (.DIODE(_04116_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__11848__A (.DIODE(_06945_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__09191__B (.DIODE(\i_string_led_controller.i_sequencer.state_reg[0] ),
+ sky130_fd_sc_hd__diode_2 ANTENNA__11849__A1 (.DIODE(_06861_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__09192__A (.DIODE(_04194_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__11849__S (.DIODE(_06946_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__09193__A (.DIODE(\i_nec_ir_receiver.i_nec_ir_receiver_registers.irq_en ),
+ sky130_fd_sc_hd__diode_2 ANTENNA__11851__A1 (.DIODE(_06867_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__09193__B (.DIODE(\i_nec_ir_receiver.frame_write ),
+ sky130_fd_sc_hd__diode_2 ANTENNA__11851__S (.DIODE(_06946_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__09204__A (.DIODE(\i_nec_ir_receiver.i_nec_ir_receiver_registers.multiplier[11] ),
+ sky130_fd_sc_hd__diode_2 ANTENNA__11853__A1 (.DIODE(_06869_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__09205__A (.DIODE(\i_nec_ir_receiver.i_nec_ir_receiver_registers.multiplier[10] ),
+ sky130_fd_sc_hd__diode_2 ANTENNA__11853__S (.DIODE(_06946_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__09206__A (.DIODE(\i_nec_ir_receiver.i_nec_ir_receiver_registers.multiplier[9] ),
+ sky130_fd_sc_hd__diode_2 ANTENNA__11855__A1 (.DIODE(_06871_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__09207__A (.DIODE(\i_nec_ir_receiver.i_nec_ir_receiver_registers.multiplier[8] ),
+ sky130_fd_sc_hd__diode_2 ANTENNA__11855__S (.DIODE(_06946_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__09208__A (.DIODE(\i_nec_ir_receiver.i_nec_ir_receiver_registers.multiplier[8] ),
+ sky130_fd_sc_hd__diode_2 ANTENNA__11857__S (.DIODE(_06946_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__09209__A (.DIODE(\i_nec_ir_receiver.i_nec_ir_receiver_registers.multiplier[6] ),
+ sky130_fd_sc_hd__diode_2 ANTENNA__11859__A1 (.DIODE(_06875_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__09210__A (.DIODE(\i_nec_ir_receiver.i_nec_ir_receiver_registers.multiplier[5] ),
+ sky130_fd_sc_hd__diode_2 ANTENNA__11859__S (.DIODE(_06945_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__09211__A (.DIODE(\i_nec_ir_receiver.i_nec_ir_receiver_registers.multiplier[4] ),
+ sky130_fd_sc_hd__diode_2 ANTENNA__11861__S (.DIODE(_06945_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__09212__A (.DIODE(\i_nec_ir_receiver.i_nec_ir_receiver_registers.multiplier[3] ),
+ sky130_fd_sc_hd__diode_2 ANTENNA__11863__A1 (.DIODE(_06879_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__09213__A (.DIODE(\i_nec_ir_receiver.i_nec_ir_receiver_registers.multiplier[2] ),
+ sky130_fd_sc_hd__diode_2 ANTENNA__11863__S (.DIODE(_06945_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__09214__A (.DIODE(\i_nec_ir_receiver.i_nec_ir_receiver_registers.multiplier[0] ),
+ sky130_fd_sc_hd__diode_2 ANTENNA__11868__A (.DIODE(_06781_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__09215__A (.DIODE(\i_nec_ir_receiver.i_nec_ir_receiver_registers.multiplier[1] ),
+ sky130_fd_sc_hd__diode_2 ANTENNA__11869__A (.DIODE(_06785_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__09216__A (.DIODE(\i_nec_ir_receiver.i_nec_ir_receiver_registers.multiplier[1] ),
+ sky130_fd_sc_hd__diode_2 ANTENNA__11870__A (.DIODE(_06956_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__09217__A (.DIODE(\i_nec_ir_receiver.i_nec_ir_receiver_registers.multiplier[2] ),
+ sky130_fd_sc_hd__diode_2 ANTENNA__11871__S (.DIODE(_06957_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__09219__A (.DIODE(\i_nec_ir_receiver.i_nec_ir_receiver_registers.multiplier[3] ),
+ sky130_fd_sc_hd__diode_2 ANTENNA__11873__A (.DIODE(_06789_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__09221__A (.DIODE(\i_nec_ir_receiver.i_nec_ir_receiver_registers.multiplier[4] ),
+ sky130_fd_sc_hd__diode_2 ANTENNA__11874__S (.DIODE(_06957_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__09223__A (.DIODE(\i_nec_ir_receiver.i_nec_ir_receiver_registers.multiplier[5] ),
+ sky130_fd_sc_hd__diode_2 ANTENNA__11876__A (.DIODE(_06792_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__09225__A (.DIODE(\i_nec_ir_receiver.i_nec_ir_receiver_registers.multiplier[7] ),
+ sky130_fd_sc_hd__diode_2 ANTENNA__11877__S (.DIODE(_06957_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__09226__A (.DIODE(\i_nec_ir_receiver.i_nec_ir_receiver_registers.multiplier[6] ),
+ sky130_fd_sc_hd__diode_2 ANTENNA__11879__A (.DIODE(_06795_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__09228__A1 (.DIODE(\i_nec_ir_receiver.i_nec_ir_receiver_registers.multiplier[7] ),
+ sky130_fd_sc_hd__diode_2 ANTENNA__11880__S (.DIODE(_06957_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__09229__A (.DIODE(\i_nec_ir_receiver.i_nec_ir_receiver_registers.multiplier[9] ),
+ sky130_fd_sc_hd__diode_2 ANTENNA__11882__A (.DIODE(_06798_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__09230__A (.DIODE(\i_nec_ir_receiver.i_nec_ir_receiver_registers.multiplier[10] ),
+ sky130_fd_sc_hd__diode_2 ANTENNA__11883__S (.DIODE(_06957_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__09233__A (.DIODE(\i_nec_ir_receiver.i_nec_ir_receiver_registers.multiplier[11] ),
+ sky130_fd_sc_hd__diode_2 ANTENNA__11885__A (.DIODE(_06801_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__09273__A (.DIODE(\i_nec_ir_receiver.divider[11] ),
+ sky130_fd_sc_hd__diode_2 ANTENNA__11886__S (.DIODE(_06956_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__09274__A (.DIODE(\i_nec_ir_receiver.divider[11] ),
+ sky130_fd_sc_hd__diode_2 ANTENNA__11888__A (.DIODE(_06804_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__09278__A (.DIODE(\i_nec_ir_receiver.divider[10] ),
+ sky130_fd_sc_hd__diode_2 ANTENNA__11889__S (.DIODE(_06956_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__09279__A (.DIODE(\i_nec_ir_receiver.divider[10] ),
+ sky130_fd_sc_hd__diode_2 ANTENNA__11891__A (.DIODE(_06807_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__09282__A (.DIODE(\i_nec_ir_receiver.i_nec_ir_receiver_registers.multiplier[9] ),
+ sky130_fd_sc_hd__diode_2 ANTENNA__11892__S (.DIODE(_06956_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__09285__A (.DIODE(\i_nec_ir_receiver.divider[9] ),
+ sky130_fd_sc_hd__diode_2 ANTENNA__11894__A (.DIODE(_06942_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__09286__A (.DIODE(\i_nec_ir_receiver.divider[9] ),
+ sky130_fd_sc_hd__diode_2 ANTENNA__11897__A (.DIODE(_06827_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__09288__A (.DIODE(\i_nec_ir_receiver.i_nec_ir_receiver_registers.multiplier[7] ),
+ sky130_fd_sc_hd__diode_2 ANTENNA__11898__A (.DIODE(_06974_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__09292__A (.DIODE(\i_nec_ir_receiver.divider[8] ),
+ sky130_fd_sc_hd__diode_2 ANTENNA__11899__S (.DIODE(_06975_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__09296__A (.DIODE(\i_nec_ir_receiver.divider[7] ),
+ sky130_fd_sc_hd__diode_2 ANTENNA__11901__S (.DIODE(_06975_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__09298__A (.DIODE(\i_nec_ir_receiver.divider[7] ),
+ sky130_fd_sc_hd__diode_2 ANTENNA__11903__S (.DIODE(_06975_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__09302__A (.DIODE(\i_nec_ir_receiver.divider[6] ),
+ sky130_fd_sc_hd__diode_2 ANTENNA__11905__S (.DIODE(_06975_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__09305__A (.DIODE(\i_nec_ir_receiver.divider[5] ),
+ sky130_fd_sc_hd__diode_2 ANTENNA__11907__S (.DIODE(_06975_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__09309__A (.DIODE(\i_nec_ir_receiver.divider[2] ),
+ sky130_fd_sc_hd__diode_2 ANTENNA__11909__S (.DIODE(_06974_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__09310__A (.DIODE(\i_nec_ir_receiver.i_nec_ir_receiver_registers.multiplier[1] ),
+ sky130_fd_sc_hd__diode_2 ANTENNA__11911__S (.DIODE(_06974_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__09312__A (.DIODE(\i_nec_ir_receiver.divider[1] ),
+ sky130_fd_sc_hd__diode_2 ANTENNA__11913__S (.DIODE(_06974_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__09313__A (.DIODE(\i_nec_ir_receiver.i_nec_ir_receiver_registers.multiplier[0] ),
+ sky130_fd_sc_hd__diode_2 ANTENNA__11918__A (.DIODE(\i_string_led_controller.i_memory.inferred.sram.r_cs0_n ),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__09321__A (.DIODE(\i_nec_ir_receiver.divider[3] ),
+ sky130_fd_sc_hd__diode_2 ANTENNA__11918__D_N (.DIODE(\i_string_led_controller.i_memory.inferred.sram.r_addr0[0] ),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__09322__A (.DIODE(\i_nec_ir_receiver.divider[3] ),
+ sky130_fd_sc_hd__diode_2 ANTENNA__11919__A (.DIODE(_06984_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__09323__A1 (.DIODE(_02369_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__11920__B (.DIODE(_06985_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__09325__A (.DIODE(\i_nec_ir_receiver.divider[5] ),
+ sky130_fd_sc_hd__diode_2 ANTENNA__11921__A (.DIODE(_06986_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__09328__A (.DIODE(\i_nec_ir_receiver.divider[4] ),
+ sky130_fd_sc_hd__diode_2 ANTENNA__11922__S (.DIODE(_06987_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__09337__A_N (.DIODE(\i_nec_ir_receiver.divider[1] ),
+ sky130_fd_sc_hd__diode_2 ANTENNA__11924__S (.DIODE(_06987_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__09339__A_N (.DIODE(\i_nec_ir_receiver.divider[3] ),
+ sky130_fd_sc_hd__diode_2 ANTENNA__11926__S (.DIODE(_06987_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__09340__A (.DIODE(\i_nec_ir_receiver.divider[2] ),
+ sky130_fd_sc_hd__diode_2 ANTENNA__11928__S (.DIODE(_06987_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__09342__A (.DIODE(\i_nec_ir_receiver.divider[4] ),
+ sky130_fd_sc_hd__diode_2 ANTENNA__11930__S (.DIODE(_06987_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__09345__A_N (.DIODE(\i_nec_ir_receiver.divider[6] ),
+ sky130_fd_sc_hd__diode_2 ANTENNA__11932__S (.DIODE(_06986_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__09348__A (.DIODE(\i_nec_ir_receiver.divider[8] ),
+ sky130_fd_sc_hd__diode_2 ANTENNA__11934__S (.DIODE(_06986_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__09367__C_N (.DIODE(_02369_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__11936__S (.DIODE(_06986_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__09369__A1 (.DIODE(_02369_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__11938__A (.DIODE(_06942_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__09369__A2 (.DIODE(_04369_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__11942__A (.DIODE(_06883_),
.VGND(vssd